physical files and netlist updated
diff --git a/def/rest_top.def b/def/rest_top.def
new file mode 100644
index 0000000..6e8d814
--- /dev/null
+++ b/def/rest_top.def
Binary files differ
diff --git a/def/rest_top.def.gz b/def/rest_top.def.gz
deleted file mode 100644
index 0fc1592..0000000
--- a/def/rest_top.def.gz
+++ /dev/null
Binary files differ
diff --git a/def/user_project_wrapper.def b/def/user_project_wrapper.def
index 9b90f08..95b72e0 100644
--- a/def/user_project_wrapper.def
+++ b/def/user_project_wrapper.def
@@ -3441,24 +3441,25 @@
     - vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
       + PORT
         + LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
-        + LAYER met4 ( -181550 -1769310 ) ( -178450 1769310 )
-        + LAYER met4 ( -361550 1445260 ) ( -358450 1769310 )
-        + LAYER met4 ( -541550 1445260 ) ( -538450 1769310 )
-        + LAYER met4 ( -721550 1445260 ) ( -718450 1769310 )
-        + LAYER met4 ( -901550 1445260 ) ( -898450 1769310 )
-        + LAYER met4 ( -1081550 1445260 ) ( -1078450 1769310 )
-        + LAYER met4 ( -1261550 1445260 ) ( -1258450 1769310 )
-        + LAYER met4 ( -1441550 1445260 ) ( -1438450 1769310 )
-        + LAYER met4 ( -1621550 1445260 ) ( -1618450 1769310 )
-        + LAYER met4 ( -1801550 1445260 ) ( -1798450 1769310 )
-        + LAYER met4 ( -1981550 1445260 ) ( -1978450 1769310 )
-        + LAYER met4 ( -2161550 1445260 ) ( -2158450 1769310 )
-        + LAYER met4 ( -2341550 1445260 ) ( -2338450 1769310 )
-        + LAYER met4 ( -2521550 1445260 ) ( -2518450 1769310 )
+        + LAYER met4 ( -181550 840400 ) ( -178450 1769310 )
+        + LAYER met4 ( -361550 840400 ) ( -358450 1769310 )
+        + LAYER met4 ( -541550 840400 ) ( -538450 1769310 )
+        + LAYER met4 ( -721550 840400 ) ( -718450 1769310 )
+        + LAYER met4 ( -901550 840400 ) ( -898450 1769310 )
+        + LAYER met4 ( -1081550 840400 ) ( -1078450 1769310 )
+        + LAYER met4 ( -1261550 840400 ) ( -1258450 1769310 )
+        + LAYER met4 ( -1441550 840400 ) ( -1438450 1769310 )
+        + LAYER met4 ( -1621550 840400 ) ( -1618450 1769310 )
+        + LAYER met4 ( -1801550 840400 ) ( -1798450 1769310 )
+        + LAYER met4 ( -1981550 840400 ) ( -1978450 1769310 )
+        + LAYER met4 ( -2161550 840400 ) ( -2158450 1769310 )
+        + LAYER met4 ( -2341550 840400 ) ( -2338450 1769310 )
+        + LAYER met4 ( -2521550 840400 ) ( -2518450 1769310 )
         + LAYER met4 ( -2701550 -1769310 ) ( -2698450 1769310 )
         + LAYER met4 ( -2881550 -1769310 ) ( -2878450 1769310 )
         + LAYER met4 ( 36030 -1764510 ) ( 39130 1764510 )
         + LAYER met4 ( -2900550 -1764510 ) ( -2897450 1764510 )
+        + LAYER met4 ( -181550 -1769310 ) ( -178450 -1469840 )
         + LAYER met4 ( -361550 -1769310 ) ( -358450 -1469840 )
         + LAYER met4 ( -541550 -1769310 ) ( -538450 -1469840 )
         + LAYER met4 ( -721550 -1769310 ) ( -718450 -1469840 )
@@ -3498,24 +3499,25 @@
     - vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE POWER
       + PORT
         + LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
-        + LAYER met4 ( -181550 -1778910 ) ( -178450 1778910 )
-        + LAYER met4 ( -361550 1445260 ) ( -358450 1778910 )
-        + LAYER met4 ( -541550 1445260 ) ( -538450 1778910 )
-        + LAYER met4 ( -721550 1445260 ) ( -718450 1778910 )
-        + LAYER met4 ( -901550 1445260 ) ( -898450 1778910 )
-        + LAYER met4 ( -1081550 1445260 ) ( -1078450 1778910 )
-        + LAYER met4 ( -1261550 1445260 ) ( -1258450 1778910 )
-        + LAYER met4 ( -1441550 1445260 ) ( -1438450 1778910 )
-        + LAYER met4 ( -1621550 1445260 ) ( -1618450 1778910 )
-        + LAYER met4 ( -1801550 1445260 ) ( -1798450 1778910 )
-        + LAYER met4 ( -1981550 1445260 ) ( -1978450 1778910 )
-        + LAYER met4 ( -2161550 1445260 ) ( -2158450 1778910 )
-        + LAYER met4 ( -2341550 1445260 ) ( -2338450 1778910 )
-        + LAYER met4 ( -2521550 1445260 ) ( -2518450 1778910 )
+        + LAYER met4 ( -181550 840400 ) ( -178450 1778910 )
+        + LAYER met4 ( -361550 840400 ) ( -358450 1778910 )
+        + LAYER met4 ( -541550 840400 ) ( -538450 1778910 )
+        + LAYER met4 ( -721550 840400 ) ( -718450 1778910 )
+        + LAYER met4 ( -901550 840400 ) ( -898450 1778910 )
+        + LAYER met4 ( -1081550 840400 ) ( -1078450 1778910 )
+        + LAYER met4 ( -1261550 840400 ) ( -1258450 1778910 )
+        + LAYER met4 ( -1441550 840400 ) ( -1438450 1778910 )
+        + LAYER met4 ( -1621550 840400 ) ( -1618450 1778910 )
+        + LAYER met4 ( -1801550 840400 ) ( -1798450 1778910 )
+        + LAYER met4 ( -1981550 840400 ) ( -1978450 1778910 )
+        + LAYER met4 ( -2161550 840400 ) ( -2158450 1778910 )
+        + LAYER met4 ( -2341550 840400 ) ( -2338450 1778910 )
+        + LAYER met4 ( -2521550 840400 ) ( -2518450 1778910 )
         + LAYER met4 ( -2701550 -1778910 ) ( -2698450 1778910 )
         + LAYER met4 ( -2881550 -1778910 ) ( -2878450 1778910 )
         + LAYER met4 ( 27030 -1774110 ) ( 30130 1774110 )
         + LAYER met4 ( -2928750 -1774110 ) ( -2925650 1774110 )
+        + LAYER met4 ( -181550 -1778910 ) ( -178450 -1469840 )
         + LAYER met4 ( -361550 -1778910 ) ( -358450 -1469840 )
         + LAYER met4 ( -541550 -1778910 ) ( -538450 -1469840 )
         + LAYER met4 ( -721550 -1778910 ) ( -718450 -1469840 )
@@ -3555,19 +3557,19 @@
     - vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE POWER
       + PORT
         + LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
-        + LAYER met4 ( -181550 1445260 ) ( -178450 1788510 )
-        + LAYER met4 ( -361550 1445260 ) ( -358450 1788510 )
-        + LAYER met4 ( -541550 1445260 ) ( -538450 1788510 )
-        + LAYER met4 ( -721550 1445260 ) ( -718450 1788510 )
-        + LAYER met4 ( -901550 1445260 ) ( -898450 1788510 )
-        + LAYER met4 ( -1081550 1445260 ) ( -1078450 1788510 )
-        + LAYER met4 ( -1261550 1445260 ) ( -1258450 1788510 )
-        + LAYER met4 ( -1441550 1445260 ) ( -1438450 1788510 )
-        + LAYER met4 ( -1621550 1445260 ) ( -1618450 1788510 )
-        + LAYER met4 ( -1801550 1445260 ) ( -1798450 1788510 )
-        + LAYER met4 ( -1981550 1445260 ) ( -1978450 1788510 )
-        + LAYER met4 ( -2161550 1445260 ) ( -2158450 1788510 )
-        + LAYER met4 ( -2341550 1445260 ) ( -2338450 1788510 )
+        + LAYER met4 ( -181550 840400 ) ( -178450 1788510 )
+        + LAYER met4 ( -361550 840400 ) ( -358450 1788510 )
+        + LAYER met4 ( -541550 840400 ) ( -538450 1788510 )
+        + LAYER met4 ( -721550 840400 ) ( -718450 1788510 )
+        + LAYER met4 ( -901550 840400 ) ( -898450 1788510 )
+        + LAYER met4 ( -1081550 840400 ) ( -1078450 1788510 )
+        + LAYER met4 ( -1261550 840400 ) ( -1258450 1788510 )
+        + LAYER met4 ( -1441550 840400 ) ( -1438450 1788510 )
+        + LAYER met4 ( -1621550 840400 ) ( -1618450 1788510 )
+        + LAYER met4 ( -1801550 840400 ) ( -1798450 1788510 )
+        + LAYER met4 ( -1981550 840400 ) ( -1978450 1788510 )
+        + LAYER met4 ( -2161550 840400 ) ( -2158450 1788510 )
+        + LAYER met4 ( -2341550 840400 ) ( -2338450 1788510 )
         + LAYER met4 ( -2521550 -1788510 ) ( -2518450 1788510 )
         + LAYER met4 ( -2701550 -1788510 ) ( -2698450 1788510 )
         + LAYER met4 ( 198030 -1783710 ) ( 201130 1783710 )
@@ -3611,19 +3613,19 @@
     - vdda2 + NET vdda2 + SPECIAL + DIRECTION INPUT + USE POWER
       + PORT
         + LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
-        + LAYER met4 ( -181550 1445260 ) ( -178450 1798110 )
-        + LAYER met4 ( -361550 1445260 ) ( -358450 1798110 )
-        + LAYER met4 ( -541550 1445260 ) ( -538450 1798110 )
-        + LAYER met4 ( -721550 1445260 ) ( -718450 1798110 )
-        + LAYER met4 ( -901550 1445260 ) ( -898450 1798110 )
-        + LAYER met4 ( -1081550 1445260 ) ( -1078450 1798110 )
-        + LAYER met4 ( -1261550 1445260 ) ( -1258450 1798110 )
-        + LAYER met4 ( -1441550 1445260 ) ( -1438450 1798110 )
-        + LAYER met4 ( -1621550 1445260 ) ( -1618450 1798110 )
-        + LAYER met4 ( -1801550 1445260 ) ( -1798450 1798110 )
-        + LAYER met4 ( -1981550 1445260 ) ( -1978450 1798110 )
-        + LAYER met4 ( -2161550 1445260 ) ( -2158450 1798110 )
-        + LAYER met4 ( -2341550 1445260 ) ( -2338450 1798110 )
+        + LAYER met4 ( -181550 840400 ) ( -178450 1798110 )
+        + LAYER met4 ( -361550 840400 ) ( -358450 1798110 )
+        + LAYER met4 ( -541550 840400 ) ( -538450 1798110 )
+        + LAYER met4 ( -721550 840400 ) ( -718450 1798110 )
+        + LAYER met4 ( -901550 840400 ) ( -898450 1798110 )
+        + LAYER met4 ( -1081550 840400 ) ( -1078450 1798110 )
+        + LAYER met4 ( -1261550 840400 ) ( -1258450 1798110 )
+        + LAYER met4 ( -1441550 840400 ) ( -1438450 1798110 )
+        + LAYER met4 ( -1621550 840400 ) ( -1618450 1798110 )
+        + LAYER met4 ( -1801550 840400 ) ( -1798450 1798110 )
+        + LAYER met4 ( -1981550 840400 ) ( -1978450 1798110 )
+        + LAYER met4 ( -2161550 840400 ) ( -2158450 1798110 )
+        + LAYER met4 ( -2341550 840400 ) ( -2338450 1798110 )
         + LAYER met4 ( -2521550 -1798110 ) ( -2518450 1798110 )
         + LAYER met4 ( -2701550 -1798110 ) ( -2698450 1798110 )
         + LAYER met4 ( 189030 -1793310 ) ( 192130 1793310 )
@@ -3668,22 +3670,23 @@
       + PORT
         + LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
         + LAYER met4 ( -115930 -1788510 ) ( -112830 1788510 )
-        + LAYER met4 ( -295930 -1788510 ) ( -292830 1788510 )
-        + LAYER met4 ( -475930 1445260 ) ( -472830 1788510 )
-        + LAYER met4 ( -655930 1445260 ) ( -652830 1788510 )
-        + LAYER met4 ( -835930 1445260 ) ( -832830 1788510 )
-        + LAYER met4 ( -1015930 1445260 ) ( -1012830 1788510 )
-        + LAYER met4 ( -1195930 1445260 ) ( -1192830 1788510 )
-        + LAYER met4 ( -1375930 1445260 ) ( -1372830 1788510 )
-        + LAYER met4 ( -1555930 1445260 ) ( -1552830 1788510 )
-        + LAYER met4 ( -1735930 1445260 ) ( -1732830 1788510 )
-        + LAYER met4 ( -1915930 1445260 ) ( -1912830 1788510 )
-        + LAYER met4 ( -2095930 1445260 ) ( -2092830 1788510 )
-        + LAYER met4 ( -2275930 1445260 ) ( -2272830 1788510 )
-        + LAYER met4 ( -2455930 1445260 ) ( -2452830 1788510 )
+        + LAYER met4 ( -295930 840400 ) ( -292830 1788510 )
+        + LAYER met4 ( -475930 840400 ) ( -472830 1788510 )
+        + LAYER met4 ( -655930 840400 ) ( -652830 1788510 )
+        + LAYER met4 ( -835930 840400 ) ( -832830 1788510 )
+        + LAYER met4 ( -1015930 840400 ) ( -1012830 1788510 )
+        + LAYER met4 ( -1195930 840400 ) ( -1192830 1788510 )
+        + LAYER met4 ( -1375930 840400 ) ( -1372830 1788510 )
+        + LAYER met4 ( -1555930 840400 ) ( -1552830 1788510 )
+        + LAYER met4 ( -1735930 840400 ) ( -1732830 1788510 )
+        + LAYER met4 ( -1915930 840400 ) ( -1912830 1788510 )
+        + LAYER met4 ( -2095930 840400 ) ( -2092830 1788510 )
+        + LAYER met4 ( -2275930 840400 ) ( -2272830 1788510 )
+        + LAYER met4 ( -2455930 840400 ) ( -2452830 1788510 )
         + LAYER met4 ( -2635930 -1788510 ) ( -2632830 1788510 )
         + LAYER met4 ( -2815930 -1788510 ) ( -2812830 1788510 )
         + LAYER met4 ( -2986130 -1788510 ) ( -2983030 1788510 )
+        + LAYER met4 ( -295930 -1788510 ) ( -292830 -1469840 )
         + LAYER met4 ( -475930 -1788510 ) ( -472830 -1469840 )
         + LAYER met4 ( -655930 -1788510 ) ( -652830 -1469840 )
         + LAYER met4 ( -835930 -1788510 ) ( -832830 -1469840 )
@@ -3722,22 +3725,23 @@
       + PORT
         + LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
         + LAYER met4 ( -106930 -1798110 ) ( -103830 1798110 )
-        + LAYER met4 ( -286930 -1798110 ) ( -283830 1798110 )
-        + LAYER met4 ( -466930 1445260 ) ( -463830 1798110 )
-        + LAYER met4 ( -646930 1445260 ) ( -643830 1798110 )
-        + LAYER met4 ( -826930 1445260 ) ( -823830 1798110 )
-        + LAYER met4 ( -1006930 1445260 ) ( -1003830 1798110 )
-        + LAYER met4 ( -1186930 1445260 ) ( -1183830 1798110 )
-        + LAYER met4 ( -1366930 1445260 ) ( -1363830 1798110 )
-        + LAYER met4 ( -1546930 1445260 ) ( -1543830 1798110 )
-        + LAYER met4 ( -1726930 1445260 ) ( -1723830 1798110 )
-        + LAYER met4 ( -1906930 1445260 ) ( -1903830 1798110 )
-        + LAYER met4 ( -2086930 1445260 ) ( -2083830 1798110 )
-        + LAYER met4 ( -2266930 1445260 ) ( -2263830 1798110 )
-        + LAYER met4 ( -2446930 1445260 ) ( -2443830 1798110 )
+        + LAYER met4 ( -286930 840400 ) ( -283830 1798110 )
+        + LAYER met4 ( -466930 840400 ) ( -463830 1798110 )
+        + LAYER met4 ( -646930 840400 ) ( -643830 1798110 )
+        + LAYER met4 ( -826930 840400 ) ( -823830 1798110 )
+        + LAYER met4 ( -1006930 840400 ) ( -1003830 1798110 )
+        + LAYER met4 ( -1186930 840400 ) ( -1183830 1798110 )
+        + LAYER met4 ( -1366930 840400 ) ( -1363830 1798110 )
+        + LAYER met4 ( -1546930 840400 ) ( -1543830 1798110 )
+        + LAYER met4 ( -1726930 840400 ) ( -1723830 1798110 )
+        + LAYER met4 ( -1906930 840400 ) ( -1903830 1798110 )
+        + LAYER met4 ( -2086930 840400 ) ( -2083830 1798110 )
+        + LAYER met4 ( -2266930 840400 ) ( -2263830 1798110 )
+        + LAYER met4 ( -2446930 840400 ) ( -2443830 1798110 )
         + LAYER met4 ( -2626930 -1798110 ) ( -2623830 1798110 )
         + LAYER met4 ( -2806930 -1798110 ) ( -2803830 1798110 )
         + LAYER met4 ( -3005330 -1798110 ) ( -3002230 1798110 )
+        + LAYER met4 ( -286930 -1798110 ) ( -283830 -1469840 )
         + LAYER met4 ( -466930 -1798110 ) ( -463830 -1469840 )
         + LAYER met4 ( -646930 -1798110 ) ( -643830 -1469840 )
         + LAYER met4 ( -826930 -1798110 ) ( -823830 -1469840 )
@@ -3776,22 +3780,23 @@
       + PORT
         + LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
         + LAYER met4 ( -133930 -1769310 ) ( -130830 1769310 )
-        + LAYER met4 ( -313930 -1769310 ) ( -310830 1769310 )
-        + LAYER met4 ( -493930 1445260 ) ( -490830 1769310 )
-        + LAYER met4 ( -673930 1445260 ) ( -670830 1769310 )
-        + LAYER met4 ( -853930 1445260 ) ( -850830 1769310 )
-        + LAYER met4 ( -1033930 1445260 ) ( -1030830 1769310 )
-        + LAYER met4 ( -1213930 1445260 ) ( -1210830 1769310 )
-        + LAYER met4 ( -1393930 1445260 ) ( -1390830 1769310 )
-        + LAYER met4 ( -1573930 1445260 ) ( -1570830 1769310 )
-        + LAYER met4 ( -1753930 1445260 ) ( -1750830 1769310 )
-        + LAYER met4 ( -1933930 1445260 ) ( -1930830 1769310 )
-        + LAYER met4 ( -2113930 1445260 ) ( -2110830 1769310 )
-        + LAYER met4 ( -2293930 1445260 ) ( -2290830 1769310 )
-        + LAYER met4 ( -2473930 1445260 ) ( -2470830 1769310 )
+        + LAYER met4 ( -313930 840400 ) ( -310830 1769310 )
+        + LAYER met4 ( -493930 840400 ) ( -490830 1769310 )
+        + LAYER met4 ( -673930 840400 ) ( -670830 1769310 )
+        + LAYER met4 ( -853930 840400 ) ( -850830 1769310 )
+        + LAYER met4 ( -1033930 840400 ) ( -1030830 1769310 )
+        + LAYER met4 ( -1213930 840400 ) ( -1210830 1769310 )
+        + LAYER met4 ( -1393930 840400 ) ( -1390830 1769310 )
+        + LAYER met4 ( -1573930 840400 ) ( -1570830 1769310 )
+        + LAYER met4 ( -1753930 840400 ) ( -1750830 1769310 )
+        + LAYER met4 ( -1933930 840400 ) ( -1930830 1769310 )
+        + LAYER met4 ( -2113930 840400 ) ( -2110830 1769310 )
+        + LAYER met4 ( -2293930 840400 ) ( -2290830 1769310 )
+        + LAYER met4 ( -2473930 840400 ) ( -2470830 1769310 )
         + LAYER met4 ( -2653930 -1769310 ) ( -2650830 1769310 )
         + LAYER met4 ( -2833930 -1769310 ) ( -2830830 1769310 )
         + LAYER met4 ( -2947730 -1769310 ) ( -2944630 1769310 )
+        + LAYER met4 ( -313930 -1769310 ) ( -310830 -1469840 )
         + LAYER met4 ( -493930 -1769310 ) ( -490830 -1469840 )
         + LAYER met4 ( -673930 -1769310 ) ( -670830 -1469840 )
         + LAYER met4 ( -853930 -1769310 ) ( -850830 -1469840 )
@@ -3830,22 +3835,23 @@
       + PORT
         + LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
         + LAYER met4 ( -124930 -1778910 ) ( -121830 1778910 )
-        + LAYER met4 ( -304930 -1778910 ) ( -301830 1778910 )
-        + LAYER met4 ( -484930 1445260 ) ( -481830 1778910 )
-        + LAYER met4 ( -664930 1445260 ) ( -661830 1778910 )
-        + LAYER met4 ( -844930 1445260 ) ( -841830 1778910 )
-        + LAYER met4 ( -1024930 1445260 ) ( -1021830 1778910 )
-        + LAYER met4 ( -1204930 1445260 ) ( -1201830 1778910 )
-        + LAYER met4 ( -1384930 1445260 ) ( -1381830 1778910 )
-        + LAYER met4 ( -1564930 1445260 ) ( -1561830 1778910 )
-        + LAYER met4 ( -1744930 1445260 ) ( -1741830 1778910 )
-        + LAYER met4 ( -1924930 1445260 ) ( -1921830 1778910 )
-        + LAYER met4 ( -2104930 1445260 ) ( -2101830 1778910 )
-        + LAYER met4 ( -2284930 1445260 ) ( -2281830 1778910 )
-        + LAYER met4 ( -2464930 1445260 ) ( -2461830 1778910 )
+        + LAYER met4 ( -304930 840400 ) ( -301830 1778910 )
+        + LAYER met4 ( -484930 840400 ) ( -481830 1778910 )
+        + LAYER met4 ( -664930 840400 ) ( -661830 1778910 )
+        + LAYER met4 ( -844930 840400 ) ( -841830 1778910 )
+        + LAYER met4 ( -1024930 840400 ) ( -1021830 1778910 )
+        + LAYER met4 ( -1204930 840400 ) ( -1201830 1778910 )
+        + LAYER met4 ( -1384930 840400 ) ( -1381830 1778910 )
+        + LAYER met4 ( -1564930 840400 ) ( -1561830 1778910 )
+        + LAYER met4 ( -1744930 840400 ) ( -1741830 1778910 )
+        + LAYER met4 ( -1924930 840400 ) ( -1921830 1778910 )
+        + LAYER met4 ( -2104930 840400 ) ( -2101830 1778910 )
+        + LAYER met4 ( -2284930 840400 ) ( -2281830 1778910 )
+        + LAYER met4 ( -2464930 840400 ) ( -2461830 1778910 )
         + LAYER met4 ( -2644930 -1778910 ) ( -2641830 1778910 )
         + LAYER met4 ( -2824930 -1778910 ) ( -2821830 1778910 )
         + LAYER met4 ( -2966930 -1778910 ) ( -2963830 1778910 )
+        + LAYER met4 ( -304930 -1778910 ) ( -301830 -1469840 )
         + LAYER met4 ( -484930 -1778910 ) ( -481830 -1469840 )
         + LAYER met4 ( -664930 -1778910 ) ( -661830 -1469840 )
         + LAYER met4 ( -844930 -1778910 ) ( -841830 -1469840 )
@@ -4310,83 +4316,85 @@
 END BLOCKAGES
 SPECIALNETS 8 ;
     - vccd1 ( PIN vccd1 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2570160 3075880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2530225 3075880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 1448285 3075880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 375780 3075880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2570160 2895880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2530225 2895880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2504485 2895880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2035965 2895880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1942345 2895880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1473825 2895880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1448285 2895880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 375780 2895880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2570160 2715880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2530225 2715880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2504485 2715880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2035965 2715880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1942345 2715880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1473825 2715880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1448285 2715880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 375780 2715880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2570160 2535880 ) via4_4000x3100
+      + ROUTED met4 0 + SHAPE STRIPE ( 2713680 2535880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2692615 2535880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2224095 2535880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2089105 2535880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 1007165 2535880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 870515 2535880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 401995 2535880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 375780 2535880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2570160 2355880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2533705 2355880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2065185 2355880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1913855 2355880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1445335 2355880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2713680 2355880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2692615 2355880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2224095 2355880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2089105 2355880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2063365 2355880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1594845 2355880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1501225 2355880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1032705 2355880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1007165 2355880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 870515 2355880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 401995 2355880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 375780 2355880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2570160 2175880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2533705 2175880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2065185 2175880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1913855 2175880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1445335 2175880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2713680 2175880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2692615 2175880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2224095 2175880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2089105 2175880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2063365 2175880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1594845 2175880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1501225 2175880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1032705 2175880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1007165 2175880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 870515 2175880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 401995 2175880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 375780 2175880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2570160 1995880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2533705 1995880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2065185 1995880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1913855 1995880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1445335 1995880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2713680 1995880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2692615 1995880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2224095 1995880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 870515 1995880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 401995 1995880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 375780 1995880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2570160 1815880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2533705 1815880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2065185 1815880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1913855 1815880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1445335 1815880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2713680 1815880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2692615 1815880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2224095 1815880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 870515 1815880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 401995 1815880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 375780 1815880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2570160 1635880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2713680 1635880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2692615 1635880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2224095 1635880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 870515 1635880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 401995 1635880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 375780 1635880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2570160 1455880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2533705 1455880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2065185 1455880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1913855 1455880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1445335 1455880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2713680 1455880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2692615 1455880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2224095 1455880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 870515 1455880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 401995 1455880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 375780 1455880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2570160 1275880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2533705 1275880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2065185 1275880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1913855 1275880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1445335 1275880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2713680 1275880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2692615 1275880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2224095 1275880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 870515 1275880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 401995 1275880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 375780 1275880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2570160 1095880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2713680 1095880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2692615 1095880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2224095 1095880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 870515 1095880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 401995 1095880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 375780 1095880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2570160 915880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2533705 915880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2065185 915880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1913855 915880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1445335 915880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2713680 915880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2692615 915880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2224095 915880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 870515 915880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 401995 915880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 375780 915880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2570160 735880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2533705 735880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2065185 735880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1913855 735880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1445335 735880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2713680 735880 ) via4_4000x3100
       NEW met4 0 + SHAPE STRIPE ( 375780 735880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2570160 555880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2713680 555880 ) via4_4000x3100
       NEW met4 0 + SHAPE STRIPE ( 375780 555880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2570160 375880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2713680 375880 ) via4_4000x3100
       NEW met4 0 + SHAPE STRIPE ( 375780 375880 ) via4_4000x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 3522800 ) via4_3100x3100
@@ -4448,96 +4456,122 @@
       NEW met4 0 + SHAPE STRIPE ( 2928100 3075880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 3075880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2710520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 3075880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 3075880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 3075880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 3075880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 3075880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 2895880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 2895880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2710520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 2895880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 2895880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 2895880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 2895880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 2895880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 2715880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 2715880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2710520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2170520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1990520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1810520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1630520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1450520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1090520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 910520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 730520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 550520 2715880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 370520 2715880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 2715880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 2715880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 2715880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 2535880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 2535880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2710520 2535880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 2535880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 2535880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 2535880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 2355880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 2355880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2710520 2355880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 2355880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 2355880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 2355880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 2175880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 2175880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2710520 2175880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 2175880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 2175880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 2175880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 1995880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 1995880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2710520 1995880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 1995880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 1995880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 1995880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 1815880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 1815880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2710520 1815880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 1815880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 1815880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 1815880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 1635880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 1635880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2710520 1635880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 1635880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 1635880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 1635880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 1455880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 1455880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2710520 1455880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 1455880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 1455880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 1455880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 1275880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 1275880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2710520 1275880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 1275880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 1275880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 1275880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 1095880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 1095880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2710520 1095880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 1095880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 1095880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 1095880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 915880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 915880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2710520 915880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 915880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 915880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 915880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 735880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 735880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2710520 735880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 735880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 735880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 735880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 555880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 555880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2710520 555880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 555880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 555880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 555880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 375880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 375880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2710520 375880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 375880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 375880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 375880 ) via4_3100x3100
@@ -4621,24 +4655,25 @@
       NEW met5 3100 + SHAPE STRIPE ( -14830 15880 ) ( 2934450 15880 )
       NEW met5 3100 + SHAPE STRIPE ( -10030 -3120 ) ( 2929650 -3120 )
       NEW met4 3100 + SHAPE STRIPE ( 2890520 -9470 ) ( 2890520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2710520 -9470 ) ( 2710520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2530520 3205100 ) ( 2530520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2350520 3205100 ) ( 2350520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2170520 3205100 ) ( 2170520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1990520 3205100 ) ( 1990520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1810520 3205100 ) ( 1810520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1630520 3205100 ) ( 1630520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1450520 3205100 ) ( 1450520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1270520 3205100 ) ( 1270520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1090520 3205100 ) ( 1090520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 910520 3205100 ) ( 910520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 730520 3205100 ) ( 730520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 550520 3205100 ) ( 550520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 370520 3205100 ) ( 370520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2710520 2600240 ) ( 2710520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2530520 2600240 ) ( 2530520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2350520 2600240 ) ( 2350520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2170520 2600240 ) ( 2170520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1990520 2600240 ) ( 1990520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1810520 2600240 ) ( 1810520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1630520 2600240 ) ( 1630520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1450520 2600240 ) ( 1450520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1270520 2600240 ) ( 1270520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1090520 2600240 ) ( 1090520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 910520 2600240 ) ( 910520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 730520 2600240 ) ( 730520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 550520 2600240 ) ( 550520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 370520 2600240 ) ( 370520 3529150 )
       NEW met4 3100 + SHAPE STRIPE ( 190520 -9470 ) ( 190520 3529150 )
       NEW met4 3100 + SHAPE STRIPE ( 10520 -9470 ) ( 10520 3529150 )
       NEW met4 3100 + SHAPE STRIPE ( 2928100 -4670 ) ( 2928100 3524350 )
       NEW met4 3100 + SHAPE STRIPE ( -8480 -4670 ) ( -8480 3524350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2710520 -9470 ) ( 2710520 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2530520 -9470 ) ( 2530520 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2350520 -9470 ) ( 2350520 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2170520 -9470 ) ( 2170520 290000 )
@@ -4713,96 +4748,122 @@
       NEW met4 0 + SHAPE STRIPE ( 2937700 3094480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 3094480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2729120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 3094480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 3094480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 3094480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 3094480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 3094480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 2914480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 2914480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2729120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 2914480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 2914480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 2914480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 2914480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 2914480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 2734480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 2734480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2729120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2189120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2009120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1829120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1649120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1469120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1289120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1109120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 929120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 749120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 569120 2734480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 389120 2734480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 2734480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 2734480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 2734480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 2554480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 2554480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2729120 2554480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 2554480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 2554480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 2554480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 2374480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 2374480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2729120 2374480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 2374480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 2374480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 2374480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 2194480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 2194480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2729120 2194480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 2194480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 2194480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 2194480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 2014480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 2014480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2729120 2014480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 2014480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 2014480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 2014480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 1834480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 1834480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2729120 1834480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 1834480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 1834480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 1834480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 1654480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 1654480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2729120 1654480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 1654480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 1654480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 1654480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 1474480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 1474480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2729120 1474480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 1474480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 1474480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 1474480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 1294480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 1294480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2729120 1294480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 1294480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 1294480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 1294480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 1114480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 1114480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2729120 1114480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 1114480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 1114480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 1114480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 934480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 934480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2729120 934480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 934480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 934480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 934480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 754480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 754480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2729120 754480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 754480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 754480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 754480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 574480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 574480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2729120 574480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 574480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 574480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 574480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 394480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 394480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2729120 394480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 394480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 394480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 394480 ) via4_3100x3100
@@ -4886,24 +4947,25 @@
       NEW met5 3100 + SHAPE STRIPE ( -24430 34480 ) ( 2944050 34480 )
       NEW met5 3100 + SHAPE STRIPE ( -19630 -12720 ) ( 2939250 -12720 )
       NEW met4 3100 + SHAPE STRIPE ( 2909120 -19070 ) ( 2909120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2729120 -19070 ) ( 2729120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2549120 3205100 ) ( 2549120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2369120 3205100 ) ( 2369120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2189120 3205100 ) ( 2189120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2009120 3205100 ) ( 2009120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1829120 3205100 ) ( 1829120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1649120 3205100 ) ( 1649120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1469120 3205100 ) ( 1469120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1289120 3205100 ) ( 1289120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1109120 3205100 ) ( 1109120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 929120 3205100 ) ( 929120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 749120 3205100 ) ( 749120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 569120 3205100 ) ( 569120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 389120 3205100 ) ( 389120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2729120 2600240 ) ( 2729120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2549120 2600240 ) ( 2549120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2369120 2600240 ) ( 2369120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2189120 2600240 ) ( 2189120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2009120 2600240 ) ( 2009120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1829120 2600240 ) ( 1829120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1649120 2600240 ) ( 1649120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1469120 2600240 ) ( 1469120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1289120 2600240 ) ( 1289120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1109120 2600240 ) ( 1109120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 929120 2600240 ) ( 929120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 749120 2600240 ) ( 749120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 569120 2600240 ) ( 569120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 389120 2600240 ) ( 389120 3538750 )
       NEW met4 3100 + SHAPE STRIPE ( 209120 -19070 ) ( 209120 3538750 )
       NEW met4 3100 + SHAPE STRIPE ( 29120 -19070 ) ( 29120 3538750 )
       NEW met4 3100 + SHAPE STRIPE ( 2937700 -14270 ) ( 2937700 3533950 )
       NEW met4 3100 + SHAPE STRIPE ( -18080 -14270 ) ( -18080 3533950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2729120 -19070 ) ( 2729120 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2549120 -19070 ) ( 2549120 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2369120 -19070 ) ( 2369120 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2189120 -19070 ) ( 2189120 290000 )
@@ -4974,16 +5036,55 @@
       NEW met4 0 + SHAPE STRIPE ( -27680 3293080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2947300 3113080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2747720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 3113080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 3113080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 227720 3113080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 47720 3113080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -27680 3113080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2947300 2933080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2747720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 2933080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 2933080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 227720 2933080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 47720 2933080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -27680 2933080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2947300 2753080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2747720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2207720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2027720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1847720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1667720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1487720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1307720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1127720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 947720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 767720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 587720 2753080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 407720 2753080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 227720 2753080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 47720 2753080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -27680 2753080 ) via4_3100x3100
@@ -5129,19 +5230,19 @@
       NEW met5 3100 + SHAPE STRIPE ( -34030 53080 ) ( 2953650 53080 )
       NEW met5 3100 + SHAPE STRIPE ( -29230 -22320 ) ( 2948850 -22320 )
       NEW met4 3100 + SHAPE STRIPE ( 2747720 -28670 ) ( 2747720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2567720 3205100 ) ( 2567720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2387720 3205100 ) ( 2387720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2207720 3205100 ) ( 2207720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2027720 3205100 ) ( 2027720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1847720 3205100 ) ( 1847720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1667720 3205100 ) ( 1667720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1487720 3205100 ) ( 1487720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1307720 3205100 ) ( 1307720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1127720 3205100 ) ( 1127720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 947720 3205100 ) ( 947720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 767720 3205100 ) ( 767720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 587720 3205100 ) ( 587720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 407720 3205100 ) ( 407720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2567720 2600240 ) ( 2567720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2387720 2600240 ) ( 2387720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2207720 2600240 ) ( 2207720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2027720 2600240 ) ( 2027720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1847720 2600240 ) ( 1847720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1667720 2600240 ) ( 1667720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1487720 2600240 ) ( 1487720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1307720 2600240 ) ( 1307720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1127720 2600240 ) ( 1127720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 947720 2600240 ) ( 947720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 767720 2600240 ) ( 767720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 587720 2600240 ) ( 587720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 407720 2600240 ) ( 407720 3548350 )
       NEW met4 3100 + SHAPE STRIPE ( 227720 -28670 ) ( 227720 3548350 )
       NEW met4 3100 + SHAPE STRIPE ( 47720 -28670 ) ( 47720 3548350 )
       NEW met4 3100 + SHAPE STRIPE ( 2947300 -23870 ) ( 2947300 3543550 )
@@ -5216,16 +5317,55 @@
       NEW met4 0 + SHAPE STRIPE ( -37280 3311680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2956900 3131680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2766320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 3131680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 3131680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 246320 3131680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 66320 3131680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -37280 3131680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2956900 2951680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2766320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 2951680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 2951680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 246320 2951680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 66320 2951680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -37280 2951680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2956900 2771680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2766320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2226320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2046320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1866320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1686320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1506320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1326320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1146320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 966320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 786320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 606320 2771680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 426320 2771680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 246320 2771680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 66320 2771680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -37280 2771680 ) via4_3100x3100
@@ -5371,19 +5511,19 @@
       NEW met5 3100 + SHAPE STRIPE ( -43630 71680 ) ( 2963250 71680 )
       NEW met5 3100 + SHAPE STRIPE ( -38830 -31920 ) ( 2958450 -31920 )
       NEW met4 3100 + SHAPE STRIPE ( 2766320 -38270 ) ( 2766320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2586320 3205100 ) ( 2586320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2406320 3205100 ) ( 2406320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2226320 3205100 ) ( 2226320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2046320 3205100 ) ( 2046320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1866320 3205100 ) ( 1866320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1686320 3205100 ) ( 1686320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1506320 3205100 ) ( 1506320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1326320 3205100 ) ( 1326320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1146320 3205100 ) ( 1146320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 966320 3205100 ) ( 966320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 786320 3205100 ) ( 786320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 606320 3205100 ) ( 606320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 426320 3205100 ) ( 426320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2586320 2600240 ) ( 2586320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2406320 2600240 ) ( 2406320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2226320 2600240 ) ( 2226320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2046320 2600240 ) ( 2046320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1866320 2600240 ) ( 1866320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1686320 2600240 ) ( 1686320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1506320 2600240 ) ( 1506320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1326320 2600240 ) ( 1326320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1146320 2600240 ) ( 1146320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 966320 2600240 ) ( 966320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 786320 2600240 ) ( 786320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 606320 2600240 ) ( 606320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 426320 2600240 ) ( 426320 3557950 )
       NEW met4 3100 + SHAPE STRIPE ( 246320 -38270 ) ( 246320 3557950 )
       NEW met4 3100 + SHAPE STRIPE ( 66320 -38270 ) ( 66320 3557950 )
       NEW met4 3100 + SHAPE STRIPE ( 2956900 -33470 ) ( 2956900 3553150 )
@@ -5441,102 +5581,137 @@
       NEW met4 0 + SHAPE STRIPE ( 2952100 3203080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 3203080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2657720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 3203080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 3203080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 3203080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 3203080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 3203080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 3023080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 3023080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2657720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 3023080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 3023080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 3023080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 3023080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 3023080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 2843080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 2843080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2657720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 2843080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 2843080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 2843080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 2843080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 2843080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 2663080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 2663080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2657720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2297720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2117720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1937720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1757720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1577720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1397720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1217720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1037720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 857720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 677720 2663080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 497720 2663080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 2663080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 2663080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 2663080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 2483080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 2483080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2657720 2483080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 2483080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 2483080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 2483080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 2303080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 2303080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2657720 2303080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 2303080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 2303080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 2303080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 2123080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 2123080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2657720 2123080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 2123080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 2123080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 2123080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 1943080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 1943080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2657720 1943080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 1943080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 1943080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 1943080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 1763080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 1763080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2657720 1763080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 1763080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 1763080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 1763080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 1583080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 1583080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2657720 1583080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 1583080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 1583080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 1583080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 1403080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 1403080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2657720 1403080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 1403080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 1403080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 1403080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 1223080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 1223080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2657720 1223080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 1223080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 1223080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 1223080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 1043080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 1043080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2657720 1043080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 1043080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 1043080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 1043080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 863080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 863080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2657720 863080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 863080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 863080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 863080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 683080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 683080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2657720 683080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 683080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 683080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 683080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 503080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 503080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2657720 503080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 503080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 503080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 503080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 323080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 323080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2657720 323080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 323080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 323080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 323080 ) via4_3100x3100
@@ -5599,22 +5774,23 @@
       NEW met5 3100 + SHAPE STRIPE ( -34030 -27120 ) ( 2953650 -27120 )
       NEW met4 3100 + SHAPE STRIPE ( 2952100 -28670 ) ( 2952100 3548350 )
       NEW met4 3100 + SHAPE STRIPE ( 2837720 -28670 ) ( 2837720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2657720 -28670 ) ( 2657720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2477720 3205100 ) ( 2477720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2297720 3205100 ) ( 2297720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2117720 3205100 ) ( 2117720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1937720 3205100 ) ( 1937720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1757720 3205100 ) ( 1757720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1577720 3205100 ) ( 1577720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1397720 3205100 ) ( 1397720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1217720 3205100 ) ( 1217720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1037720 3205100 ) ( 1037720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 857720 3205100 ) ( 857720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 677720 3205100 ) ( 677720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 497720 3205100 ) ( 497720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2657720 2600240 ) ( 2657720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2477720 2600240 ) ( 2477720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2297720 2600240 ) ( 2297720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2117720 2600240 ) ( 2117720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1937720 2600240 ) ( 1937720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1757720 2600240 ) ( 1757720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1577720 2600240 ) ( 1577720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1397720 2600240 ) ( 1397720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1217720 2600240 ) ( 1217720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1037720 2600240 ) ( 1037720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 857720 2600240 ) ( 857720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 677720 2600240 ) ( 677720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 497720 2600240 ) ( 497720 3548350 )
       NEW met4 3100 + SHAPE STRIPE ( 317720 -28670 ) ( 317720 3548350 )
       NEW met4 3100 + SHAPE STRIPE ( 137720 -28670 ) ( 137720 3548350 )
       NEW met4 3100 + SHAPE STRIPE ( -32480 -28670 ) ( -32480 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2657720 -28670 ) ( 2657720 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2477720 -28670 ) ( 2477720 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2297720 -28670 ) ( 2297720 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2117720 -28670 ) ( 2117720 290000 )
@@ -5685,96 +5861,119 @@
       NEW met4 0 + SHAPE STRIPE ( 2961700 3041680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 3041680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2676320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 3041680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 3041680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 3041680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 3041680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 3041680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 2861680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 2861680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2676320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 2861680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 2861680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 2861680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 2861680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 2861680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 2681680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 2681680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2676320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2136320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1956320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1776320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1596320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1416320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1236320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1056320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 876320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 696320 2681680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 516320 2681680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 2681680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 2681680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 2681680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 2501680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 2501680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2676320 2501680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 2501680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 2501680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 2501680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 2321680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 2321680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2676320 2321680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 2321680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 2321680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 2321680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 2141680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 2141680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2676320 2141680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 2141680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 2141680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 2141680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 1961680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 1961680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2676320 1961680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 1961680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 1961680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 1961680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 1781680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 1781680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2676320 1781680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 1781680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 1781680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 1781680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 1601680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 1601680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2676320 1601680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 1601680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 1601680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 1601680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 1421680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 1421680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2676320 1421680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 1421680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 1421680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 1421680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 1241680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 1241680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2676320 1241680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 1241680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 1241680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 1241680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 1061680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 1061680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2676320 1061680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 1061680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 1061680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 1061680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 881680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 881680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2676320 881680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 881680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 881680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 881680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 701680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 701680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2676320 701680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 701680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 701680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 701680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 521680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 521680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2676320 521680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 521680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 521680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 521680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 341680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 341680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2676320 341680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 341680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 341680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 341680 ) via4_3100x3100
@@ -5837,22 +6036,23 @@
       NEW met5 3100 + SHAPE STRIPE ( -43630 -36720 ) ( 2963250 -36720 )
       NEW met4 3100 + SHAPE STRIPE ( 2961700 -38270 ) ( 2961700 3557950 )
       NEW met4 3100 + SHAPE STRIPE ( 2856320 -38270 ) ( 2856320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2676320 -38270 ) ( 2676320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2496320 3205100 ) ( 2496320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2316320 3205100 ) ( 2316320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2136320 3205100 ) ( 2136320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1956320 3205100 ) ( 1956320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1776320 3205100 ) ( 1776320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1596320 3205100 ) ( 1596320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1416320 3205100 ) ( 1416320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1236320 3205100 ) ( 1236320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1056320 3205100 ) ( 1056320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 876320 3205100 ) ( 876320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 696320 3205100 ) ( 696320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 516320 3205100 ) ( 516320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2676320 2600240 ) ( 2676320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2496320 2600240 ) ( 2496320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2316320 2600240 ) ( 2316320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2136320 2600240 ) ( 2136320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1956320 2600240 ) ( 1956320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1776320 2600240 ) ( 1776320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1596320 2600240 ) ( 1596320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1416320 2600240 ) ( 1416320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1236320 2600240 ) ( 1236320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1056320 2600240 ) ( 1056320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 876320 2600240 ) ( 876320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 696320 2600240 ) ( 696320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 516320 2600240 ) ( 516320 3557950 )
       NEW met4 3100 + SHAPE STRIPE ( 336320 -38270 ) ( 336320 3557950 )
       NEW met4 3100 + SHAPE STRIPE ( 156320 -38270 ) ( 156320 3557950 )
       NEW met4 3100 + SHAPE STRIPE ( -42080 -38270 ) ( -42080 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2676320 -38270 ) ( 2676320 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2496320 -38270 ) ( 2496320 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2316320 -38270 ) ( 2316320 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2136320 -38270 ) ( 2136320 290000 )
@@ -5866,81 +6066,79 @@
       NEW met4 3100 + SHAPE STRIPE ( 696320 -38270 ) ( 696320 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 516320 -38270 ) ( 516320 290000 ) ;
     - vssd1 ( PIN vssd1 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2575960 3165880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 369980 3165880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2575960 2985880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2534025 2985880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2507885 2985880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2032565 2985880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1945745 2985880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1470425 2985880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1444485 2985880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 369980 2985880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2575960 2805880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2534025 2805880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2507885 2805880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2032565 2805880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1945745 2805880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1470425 2805880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1444485 2805880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 369980 2805880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2575960 2625880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 369980 2625880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2575960 2445880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2537105 2445880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2061785 2445880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1917255 2445880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1441935 2445880 ) via4_1740x3100
+      + ROUTED met4 0 + SHAPE STRIPE ( 2719480 2445880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2696015 2445880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2220695 2445880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2092905 2445880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2066765 2445880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1591445 2445880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1504625 2445880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1029305 2445880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1003365 2445880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 873915 2445880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 398595 2445880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 369980 2445880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2575960 2265880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2537105 2265880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2061785 2265880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1917255 2265880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1441935 2265880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2719480 2265880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2696015 2265880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2220695 2265880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2092905 2265880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2066765 2265880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1591445 2265880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1504625 2265880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1029305 2265880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1003365 2265880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 873915 2265880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 398595 2265880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 369980 2265880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2575960 2085880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2719480 2085880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2696015 2085880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2220695 2085880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2092905 2085880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 1003365 2085880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 873915 2085880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 398595 2085880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 369980 2085880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2575960 1905880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2537105 1905880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2061785 1905880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1917255 1905880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1441935 1905880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2719480 1905880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2696015 1905880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2220695 1905880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 873915 1905880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 398595 1905880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 369980 1905880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2575960 1725880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2537105 1725880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2061785 1725880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1917255 1725880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1441935 1725880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2719480 1725880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2696015 1725880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2220695 1725880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 873915 1725880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 398595 1725880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 369980 1725880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2575960 1545880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2719480 1545880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2696015 1545880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2220695 1545880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 873915 1545880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 398595 1545880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 369980 1545880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2575960 1365880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2537105 1365880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2061785 1365880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1917255 1365880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1441935 1365880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2719480 1365880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2696015 1365880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2220695 1365880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 873915 1365880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 398595 1365880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 369980 1365880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2575960 1185880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2537105 1185880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2061785 1185880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1917255 1185880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1441935 1185880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2719480 1185880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2696015 1185880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2220695 1185880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 873915 1185880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 398595 1185880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 369980 1185880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2575960 1005880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2537105 1005880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2061785 1005880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1917255 1005880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1441935 1005880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2719480 1005880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2696015 1005880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2220695 1005880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 873915 1005880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 398595 1005880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 369980 1005880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2575960 825880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2537105 825880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2061785 825880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1917255 825880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1441935 825880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2719480 825880 ) via4_4000x3100
       NEW met4 0 + SHAPE STRIPE ( 369980 825880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2575960 645880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2719480 645880 ) via4_4000x3100
       NEW met4 0 + SHAPE STRIPE ( 369980 645880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2575960 465880 ) via4_4000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2719480 465880 ) via4_4000x3100
       NEW met4 0 + SHAPE STRIPE ( 369980 465880 ) via4_4000x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 3527600 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 3527600 ) via4_3100x3100
@@ -5981,96 +6179,132 @@
       NEW met4 0 + SHAPE STRIPE ( 2932900 3165880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 3165880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2620520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 3165880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 3165880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 3165880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 3165880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 3165880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 2985880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 2985880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2620520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 2985880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 2985880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 2985880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 2985880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 2985880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 2805880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 2805880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2620520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 2805880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 2805880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 2805880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 2805880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 2805880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 2625880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 2625880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2620520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2260520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2080520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1900520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1720520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1540520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1360520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1180520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1000520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 820520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 640520 2625880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 460520 2625880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 2625880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 2625880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 2625880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 2445880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 2445880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2620520 2445880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 2445880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 2445880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 2445880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 2265880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 2265880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2620520 2265880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 2265880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 2265880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 2265880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 2085880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 2085880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2620520 2085880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 2085880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 2085880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 2085880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 1905880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 1905880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2620520 1905880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 1905880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 1905880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 1905880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 1725880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 1725880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2620520 1725880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 1725880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 1725880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 1725880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 1545880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 1545880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2620520 1545880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 1545880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 1545880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 1545880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 1365880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 1365880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2620520 1365880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 1365880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 1365880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 1365880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 1185880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 1185880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2620520 1185880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 1185880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 1185880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 1185880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 1005880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 1005880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2620520 1005880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 1005880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 1005880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 1005880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 825880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 825880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2620520 825880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 825880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 825880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 825880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 645880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 645880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2620520 645880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 645880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 645880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 645880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 465880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 465880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2620520 465880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 465880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 465880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 465880 ) via4_3100x3100
@@ -6151,22 +6385,23 @@
       NEW met5 3100 + SHAPE STRIPE ( -14830 -7920 ) ( 2934450 -7920 )
       NEW met4 3100 + SHAPE STRIPE ( 2932900 -9470 ) ( 2932900 3529150 )
       NEW met4 3100 + SHAPE STRIPE ( 2800520 -9470 ) ( 2800520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2620520 -9470 ) ( 2620520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2440520 3205100 ) ( 2440520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2260520 3205100 ) ( 2260520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2080520 3205100 ) ( 2080520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1900520 3205100 ) ( 1900520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1720520 3205100 ) ( 1720520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1540520 3205100 ) ( 1540520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1360520 3205100 ) ( 1360520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1180520 3205100 ) ( 1180520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1000520 3205100 ) ( 1000520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 820520 3205100 ) ( 820520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 640520 3205100 ) ( 640520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 460520 3205100 ) ( 460520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2620520 2600240 ) ( 2620520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2440520 2600240 ) ( 2440520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2260520 2600240 ) ( 2260520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2080520 2600240 ) ( 2080520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1900520 2600240 ) ( 1900520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1720520 2600240 ) ( 1720520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1540520 2600240 ) ( 1540520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1360520 2600240 ) ( 1360520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1180520 2600240 ) ( 1180520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1000520 2600240 ) ( 1000520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 820520 2600240 ) ( 820520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 640520 2600240 ) ( 640520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 460520 2600240 ) ( 460520 3529150 )
       NEW met4 3100 + SHAPE STRIPE ( 280520 -9470 ) ( 280520 3529150 )
       NEW met4 3100 + SHAPE STRIPE ( 100520 -9470 ) ( 100520 3529150 )
       NEW met4 3100 + SHAPE STRIPE ( -13280 -9470 ) ( -13280 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2620520 -9470 ) ( 2620520 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2440520 -9470 ) ( 2440520 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2260520 -9470 ) ( 2260520 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2080520 -9470 ) ( 2080520 290000 )
@@ -6219,102 +6454,137 @@
       NEW met4 0 + SHAPE STRIPE ( 2942500 3184480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 3184480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2639120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 3184480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 3184480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 3184480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 3184480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 3184480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 3004480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 3004480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2639120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 3004480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 3004480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 3004480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 3004480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 3004480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 2824480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 2824480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2639120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 2824480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 2824480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 2824480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 2824480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 2824480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 2644480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 2644480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2639120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2279120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2099120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1919120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1739120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1559120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1379120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1199120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1019120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 839120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 659120 2644480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 479120 2644480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 2644480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 2644480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 2644480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 2464480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 2464480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2639120 2464480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 2464480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 2464480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 2464480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 2284480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 2284480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2639120 2284480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 2284480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 2284480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 2284480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 2104480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 2104480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2639120 2104480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 2104480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 2104480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 2104480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 1924480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 1924480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2639120 1924480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 1924480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 1924480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 1924480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 1744480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 1744480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2639120 1744480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 1744480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 1744480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 1744480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 1564480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 1564480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2639120 1564480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 1564480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 1564480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 1564480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 1384480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 1384480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2639120 1384480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 1384480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 1384480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 1384480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 1204480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 1204480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2639120 1204480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 1204480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 1204480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 1204480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 1024480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 1024480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2639120 1024480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 1024480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 1024480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 1024480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 844480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 844480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2639120 844480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 844480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 844480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 844480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 664480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 664480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2639120 664480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 664480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 664480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 664480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 484480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 484480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2639120 484480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 484480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 484480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 484480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 304480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 304480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2639120 304480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 304480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 304480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 304480 ) via4_3100x3100
@@ -6377,22 +6647,23 @@
       NEW met5 3100 + SHAPE STRIPE ( -24430 -17520 ) ( 2944050 -17520 )
       NEW met4 3100 + SHAPE STRIPE ( 2942500 -19070 ) ( 2942500 3538750 )
       NEW met4 3100 + SHAPE STRIPE ( 2819120 -19070 ) ( 2819120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2639120 -19070 ) ( 2639120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2459120 3205100 ) ( 2459120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2279120 3205100 ) ( 2279120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2099120 3205100 ) ( 2099120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1919120 3205100 ) ( 1919120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1739120 3205100 ) ( 1739120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1559120 3205100 ) ( 1559120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1379120 3205100 ) ( 1379120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1199120 3205100 ) ( 1199120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1019120 3205100 ) ( 1019120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 839120 3205100 ) ( 839120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 659120 3205100 ) ( 659120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 479120 3205100 ) ( 479120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2639120 2600240 ) ( 2639120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2459120 2600240 ) ( 2459120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2279120 2600240 ) ( 2279120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2099120 2600240 ) ( 2099120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1919120 2600240 ) ( 1919120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1739120 2600240 ) ( 1739120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1559120 2600240 ) ( 1559120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1379120 2600240 ) ( 1379120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1199120 2600240 ) ( 1199120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1019120 2600240 ) ( 1019120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 839120 2600240 ) ( 839120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 659120 2600240 ) ( 659120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 479120 2600240 ) ( 479120 3538750 )
       NEW met4 3100 + SHAPE STRIPE ( 299120 -19070 ) ( 299120 3538750 )
       NEW met4 3100 + SHAPE STRIPE ( 119120 -19070 ) ( 119120 3538750 )
       NEW met4 3100 + SHAPE STRIPE ( -22880 -19070 ) ( -22880 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2639120 -19070 ) ( 2639120 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2459120 -19070 ) ( 2459120 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2279120 -19070 ) ( 2279120 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2099120 -19070 ) ( 2099120 290000 )
@@ -6408,7346 +6679,7215 @@
 END SPECIALNETS
 NETS 637 ;
     - analog_io[0] ( PIN analog_io[0] ) ( mprj analog_io[0] ) + USE SIGNAL
-      + ROUTED met2 ( 2597850 1428170 ) ( * 1465740 )
-      NEW met2 ( 2900990 1426980 ) ( * 1428170 )
+      + ROUTED met3 ( 2731940 1199840 ) ( * 1199860 )
+      NEW met3 ( 2729180 1199840 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 1421710 ) ( * 1426980 )
       NEW met3 ( 2900990 1426980 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 1465515 ) ( * 1465740 )
-      NEW met3 ( 2585660 1465515 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1465740 ) ( 2597850 * )
-      NEW met1 ( 2597850 1428170 ) ( 2900990 * )
-      NEW met2 ( 2597850 1465740 ) M2M3_PR_M
-      NEW met1 ( 2597850 1428170 ) M1M2_PR
-      NEW met1 ( 2900990 1428170 ) M1M2_PR
-      NEW met2 ( 2900990 1426980 ) M2M3_PR_M ;
+      NEW met3 ( 2731940 1199860 ) ( 2742750 * )
+      NEW met2 ( 2742750 1199860 ) ( * 1421710 )
+      NEW met1 ( 2742750 1421710 ) ( 2900990 * )
+      NEW met1 ( 2900990 1421710 ) M1M2_PR
+      NEW met2 ( 2900990 1426980 ) M2M3_PR_M
+      NEW met2 ( 2742750 1199860 ) M2M3_PR_M
+      NEW met1 ( 2742750 1421710 ) M1M2_PR ;
     - analog_io[10] ( PIN analog_io[10] ) ( mprj analog_io[10] ) + USE SIGNAL
-      + ROUTED met2 ( 2230770 3501830 ) ( * 3517980 0 )
-      NEW met1 ( 2077130 3208750 ) ( 2083570 * )
-      NEW met2 ( 2077130 3196340 ) ( * 3208750 )
-      NEW met2 ( 2077100 3196340 ) ( 2077130 * )
-      NEW met2 ( 2077100 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 2083570 3501830 ) ( 2230770 * )
-      NEW met2 ( 2083570 3208750 ) ( * 3501830 )
-      NEW met1 ( 2230770 3501830 ) M1M2_PR
-      NEW met1 ( 2083570 3208750 ) M1M2_PR
-      NEW met1 ( 2077130 3208750 ) M1M2_PR
-      NEW met1 ( 2083570 3501830 ) M1M2_PR ;
+      + ROUTED met2 ( 2230770 3501490 ) ( * 3517980 0 )
+      NEW met1 ( 2176490 2607970 ) ( 2180170 * )
+      NEW met1 ( 2180170 3501490 ) ( 2230770 * )
+      NEW met2 ( 2176500 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 2176490 2591140 ) ( 2176500 * )
+      NEW met2 ( 2176490 2591140 ) ( * 2607970 )
+      NEW met2 ( 2180170 2607970 ) ( * 3501490 )
+      NEW met1 ( 2230770 3501490 ) M1M2_PR
+      NEW met1 ( 2176490 2607970 ) M1M2_PR
+      NEW met1 ( 2180170 2607970 ) M1M2_PR
+      NEW met1 ( 2180170 3501490 ) M1M2_PR ;
     - analog_io[11] ( PIN analog_io[11] ) ( mprj analog_io[11] ) + USE SIGNAL
-      + ROUTED met1 ( 1822750 3208750 ) ( 1828270 * )
-      NEW met2 ( 1822750 3196340 ) ( * 3208750 )
-      NEW met2 ( 1822700 3196340 ) ( 1822750 * )
-      NEW met2 ( 1822700 3194980 0 ) ( * 3196340 )
-      NEW met2 ( 1828270 3208750 ) ( * 3501490 )
-      NEW met1 ( 1828270 3501490 ) ( 1906010 * )
-      NEW met2 ( 1906010 3501490 ) ( * 3517980 0 )
-      NEW met1 ( 1828270 3208750 ) M1M2_PR
-      NEW met1 ( 1822750 3208750 ) M1M2_PR
-      NEW met1 ( 1828270 3501490 ) M1M2_PR
-      NEW met1 ( 1906010 3501490 ) M1M2_PR ;
+      + ROUTED met1 ( 1904630 2607970 ) ( 1907390 * )
+      NEW met2 ( 1907400 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 1907390 2591140 ) ( 1907400 * )
+      NEW met2 ( 1907390 2591140 ) ( * 2607970 )
+      NEW met2 ( 1904630 2607970 ) ( * 3512100 )
+      NEW met2 ( 1904630 3512100 ) ( 1906010 * )
+      NEW met2 ( 1906010 3512100 ) ( * 3517980 0 )
+      NEW met1 ( 1904630 2607970 ) M1M2_PR
+      NEW met1 ( 1907390 2607970 ) M1M2_PR ;
     - analog_io[12] ( PIN analog_io[12] ) ( mprj analog_io[12] ) + USE SIGNAL
-      + ROUTED met1 ( 1568370 3208750 ) ( 1572970 * )
-      NEW met2 ( 1568370 3196340 ) ( * 3208750 )
-      NEW met2 ( 1568300 3196340 ) ( 1568370 * )
-      NEW met2 ( 1568300 3194980 0 ) ( * 3196340 )
-      NEW met2 ( 1572970 3208750 ) ( * 3498430 )
-      NEW met1 ( 1572970 3498430 ) ( 1581710 * )
-      NEW met2 ( 1581710 3498430 ) ( * 3517980 0 )
-      NEW met1 ( 1572970 3208750 ) M1M2_PR
-      NEW met1 ( 1568370 3208750 ) M1M2_PR
-      NEW met1 ( 1572970 3498430 ) M1M2_PR
-      NEW met1 ( 1581710 3498430 ) M1M2_PR ;
+      + ROUTED met2 ( 1638300 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 1638290 2591140 ) ( 1638300 * )
+      NEW met2 ( 1638290 2591140 ) ( * 2604570 )
+      NEW met1 ( 1586770 2604570 ) ( 1638290 * )
+      NEW met1 ( 1581710 3501150 ) ( 1586770 * )
+      NEW met2 ( 1586770 2604570 ) ( * 3501150 )
+      NEW met2 ( 1581710 3501150 ) ( * 3517980 0 )
+      NEW met1 ( 1638290 2604570 ) M1M2_PR
+      NEW met1 ( 1586770 2604570 ) M1M2_PR
+      NEW met1 ( 1581710 3501150 ) M1M2_PR
+      NEW met1 ( 1586770 3501150 ) M1M2_PR ;
     - analog_io[13] ( PIN analog_io[13] ) ( mprj analog_io[13] ) + USE SIGNAL
       + ROUTED met1 ( 1257410 3500470 ) ( 1262470 * )
-      NEW met2 ( 1262470 3211810 ) ( * 3500470 )
+      NEW met2 ( 1262470 2604910 ) ( * 3500470 )
       NEW met2 ( 1257410 3500470 ) ( * 3517980 0 )
-      NEW met2 ( 1313990 3196340 ) ( * 3211810 )
-      NEW met2 ( 1313900 3196340 ) ( 1313990 * )
-      NEW met2 ( 1313900 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 1262470 3211810 ) ( 1313990 * )
-      NEW met1 ( 1262470 3211810 ) M1M2_PR
+      NEW met2 ( 1369200 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 1369190 2591140 ) ( 1369200 * )
+      NEW met2 ( 1369190 2591140 ) ( * 2604910 )
+      NEW met1 ( 1262470 2604910 ) ( 1369190 * )
+      NEW met1 ( 1262470 2604910 ) M1M2_PR
       NEW met1 ( 1257410 3500470 ) M1M2_PR
       NEW met1 ( 1262470 3500470 ) M1M2_PR
-      NEW met1 ( 1313990 3211810 ) M1M2_PR ;
+      NEW met1 ( 1369190 2604910 ) M1M2_PR ;
     - analog_io[14] ( PIN analog_io[14] ) ( mprj analog_io[14] ) + USE SIGNAL
-      + ROUTED met2 ( 1059610 3196340 ) ( * 3211810 )
-      NEW met2 ( 1059500 3196340 ) ( 1059610 * )
-      NEW met2 ( 1059500 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 932650 3500810 ) ( 938170 * )
-      NEW met1 ( 938170 3211810 ) ( 1059610 * )
-      NEW met2 ( 938170 3211810 ) ( * 3500810 )
+      + ROUTED met1 ( 932650 3500810 ) ( 938170 * )
+      NEW met1 ( 938170 2604570 ) ( 1099630 * )
+      NEW met2 ( 938170 2604570 ) ( * 3500810 )
       NEW met2 ( 932650 3500810 ) ( * 3517980 0 )
-      NEW met1 ( 1059610 3211810 ) M1M2_PR
-      NEW met1 ( 938170 3211810 ) M1M2_PR
+      NEW met2 ( 1099900 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 1099630 2591140 ) ( 1099900 * )
+      NEW met2 ( 1099630 2591140 ) ( * 2604570 )
+      NEW met1 ( 938170 2604570 ) M1M2_PR
       NEW met1 ( 932650 3500810 ) M1M2_PR
-      NEW met1 ( 938170 3500810 ) M1M2_PR ;
+      NEW met1 ( 938170 3500810 ) M1M2_PR
+      NEW met1 ( 1099630 2604570 ) M1M2_PR ;
     - analog_io[15] ( PIN analog_io[15] ) ( mprj analog_io[15] ) + USE SIGNAL
       + ROUTED met1 ( 608350 3498430 ) ( 613870 * )
-      NEW met2 ( 805230 3196340 ) ( * 3212830 )
-      NEW met2 ( 805100 3196340 ) ( 805230 * )
-      NEW met2 ( 805100 3194980 0 ) ( * 3196340 )
-      NEW met2 ( 613870 3212830 ) ( * 3498430 )
+      NEW met2 ( 613870 2605590 ) ( * 3498430 )
       NEW met2 ( 608350 3498430 ) ( * 3517980 0 )
-      NEW met1 ( 613870 3212830 ) ( 805230 * )
-      NEW met1 ( 613870 3212830 ) M1M2_PR
+      NEW met1 ( 613870 2605590 ) ( 830530 * )
+      NEW met2 ( 830800 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 830530 2591140 ) ( 830800 * )
+      NEW met2 ( 830530 2591140 ) ( * 2605590 )
+      NEW met1 ( 613870 2605590 ) M1M2_PR
       NEW met1 ( 608350 3498430 ) M1M2_PR
       NEW met1 ( 613870 3498430 ) M1M2_PR
-      NEW met1 ( 805230 3212830 ) M1M2_PR ;
+      NEW met1 ( 830530 2605590 ) M1M2_PR ;
     - analog_io[16] ( PIN analog_io[16] ) ( mprj analog_io[16] ) + USE SIGNAL
       + ROUTED met1 ( 284050 3500810 ) ( 289570 * )
-      NEW met2 ( 289570 3212830 ) ( * 3500810 )
+      NEW met2 ( 289570 2605590 ) ( * 3500810 )
       NEW met2 ( 284050 3500810 ) ( * 3517980 0 )
-      NEW met2 ( 550850 3196340 ) ( * 3212830 )
-      NEW met2 ( 550700 3196340 ) ( 550850 * )
-      NEW met2 ( 550700 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 289570 3212830 ) ( 550850 * )
-      NEW met1 ( 289570 3212830 ) M1M2_PR
+      NEW met1 ( 289570 2605590 ) ( 561430 * )
+      NEW met2 ( 561700 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 561430 2591140 ) ( 561700 * )
+      NEW met2 ( 561430 2591140 ) ( * 2605590 )
+      NEW met1 ( 289570 2605590 ) M1M2_PR
       NEW met1 ( 284050 3500810 ) M1M2_PR
       NEW met1 ( 289570 3500810 ) M1M2_PR
-      NEW met1 ( 550850 3212830 ) M1M2_PR ;
+      NEW met1 ( 561430 2605590 ) M1M2_PR ;
     - analog_io[17] ( PIN analog_io[17] ) ( mprj analog_io[17] ) + USE SIGNAL
       + ROUTED met3 ( 2300 3486700 0 ) ( 17250 * )
-      NEW met2 ( 17250 3187670 ) ( * 3486700 )
-      NEW met2 ( 345230 3183420 ) ( * 3187670 )
-      NEW met3 ( 345230 3183420 ) ( 357420 * )
-      NEW met3 ( 357420 3183260 ) ( * 3183420 )
-      NEW met3 ( 357420 3183260 ) ( 360180 * 0 )
-      NEW met1 ( 17250 3187670 ) ( 345230 * )
-      NEW met1 ( 17250 3187670 ) M1M2_PR
+      NEW met2 ( 17250 2532150 ) ( * 3486700 )
+      NEW met2 ( 345230 2526540 ) ( * 2532150 )
+      NEW met3 ( 345230 2526540 ) ( 357420 * )
+      NEW met3 ( 357420 2526325 ) ( * 2526540 )
+      NEW met3 ( 357420 2526325 ) ( 360180 * 0 )
+      NEW met1 ( 17250 2532150 ) ( 345230 * )
+      NEW met1 ( 17250 2532150 ) M1M2_PR
       NEW met2 ( 17250 3486700 ) M2M3_PR_M
-      NEW met1 ( 345230 3187670 ) M1M2_PR
-      NEW met2 ( 345230 3183420 ) M2M3_PR_M ;
+      NEW met1 ( 345230 2532150 ) M1M2_PR
+      NEW met2 ( 345230 2526540 ) M2M3_PR_M ;
     - analog_io[18] ( PIN analog_io[18] ) ( mprj analog_io[18] ) + USE SIGNAL
       + ROUTED met3 ( 2300 3225580 0 ) ( 19090 * )
-      NEW met2 ( 19090 2973810 ) ( * 3225580 )
-      NEW met2 ( 345230 2968540 ) ( * 2973810 )
-      NEW met3 ( 345230 2968540 ) ( 357420 * )
-      NEW met3 ( 357420 2968245 ) ( * 2968540 )
-      NEW met3 ( 357420 2968245 ) ( 360180 * 0 )
-      NEW met1 ( 19090 2973810 ) ( 345230 * )
-      NEW met1 ( 19090 2973810 ) M1M2_PR
+      NEW met2 ( 19090 2366570 ) ( * 3225580 )
+      NEW met2 ( 345230 2359940 ) ( * 2366570 )
+      NEW met3 ( 345230 2359940 ) ( 357420 * )
+      NEW met3 ( 357420 2359940 ) ( * 2360075 )
+      NEW met3 ( 357420 2360075 ) ( 360180 * 0 )
+      NEW met1 ( 19090 2366570 ) ( 345230 * )
+      NEW met1 ( 19090 2366570 ) M1M2_PR
       NEW met2 ( 19090 3225580 ) M2M3_PR_M
-      NEW met1 ( 345230 2973810 ) M1M2_PR
-      NEW met2 ( 345230 2968540 ) M2M3_PR_M ;
+      NEW met1 ( 345230 2366570 ) M1M2_PR
+      NEW met2 ( 345230 2359940 ) M2M3_PR_M ;
     - analog_io[19] ( PIN analog_io[19] ) ( mprj analog_io[19] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2965140 0 ) ( 18630 * )
-      NEW met2 ( 18630 2752810 ) ( * 2965140 )
-      NEW met2 ( 345230 2750940 ) ( * 2752810 )
-      NEW met3 ( 345230 2750940 ) ( 357420 * )
-      NEW met3 ( 357420 2750490 ) ( * 2750940 )
-      NEW met3 ( 357420 2750490 ) ( 360180 * 0 )
-      NEW met1 ( 18630 2752810 ) ( 345230 * )
-      NEW met1 ( 18630 2752810 ) M1M2_PR
-      NEW met2 ( 18630 2965140 ) M2M3_PR_M
-      NEW met1 ( 345230 2752810 ) M1M2_PR
-      NEW met2 ( 345230 2750940 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2965140 0 ) ( 16790 * )
+      NEW met2 ( 16790 2194190 ) ( * 2965140 )
+      NEW met2 ( 345230 2191980 ) ( * 2194190 )
+      NEW met3 ( 345230 2191980 ) ( 357420 * )
+      NEW met3 ( 357420 2191925 ) ( * 2191980 )
+      NEW met3 ( 357420 2191925 ) ( 360180 * 0 )
+      NEW met1 ( 16790 2194190 ) ( 345230 * )
+      NEW met1 ( 16790 2194190 ) M1M2_PR
+      NEW met2 ( 16790 2965140 ) M2M3_PR_M
+      NEW met1 ( 345230 2194190 ) M1M2_PR
+      NEW met2 ( 345230 2191980 ) M2M3_PR_M ;
     - analog_io[1] ( PIN analog_io[1] ) ( mprj analog_io[1] ) + USE SIGNAL
-      + ROUTED met2 ( 2600150 1687420 ) ( * 1690650 )
-      NEW met2 ( 2900990 1690650 ) ( * 1692860 )
+      + ROUTED met2 ( 2900990 1690650 ) ( * 1692860 )
       NEW met3 ( 2900990 1692860 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 1687420 ) ( * 1687580 )
-      NEW met3 ( 2585660 1687580 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1687420 ) ( 2600150 * )
-      NEW met1 ( 2600150 1690650 ) ( 2900990 * )
-      NEW met2 ( 2600150 1687420 ) M2M3_PR_M
-      NEW met1 ( 2600150 1690650 ) M1M2_PR
+      NEW met3 ( 2729180 1371220 0 ) ( 2743670 * )
+      NEW met2 ( 2743670 1371220 ) ( * 1690650 )
+      NEW met1 ( 2743670 1690650 ) ( 2900990 * )
       NEW met1 ( 2900990 1690650 ) M1M2_PR
-      NEW met2 ( 2900990 1692860 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 1692860 ) M2M3_PR_M
+      NEW met2 ( 2743670 1371220 ) M2M3_PR_M
+      NEW met1 ( 2743670 1690650 ) M1M2_PR ;
     - analog_io[20] ( PIN analog_io[20] ) ( mprj analog_io[20] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2704020 0 ) ( 18630 * )
-      NEW met2 ( 18630 2538950 ) ( * 2704020 )
-      NEW met2 ( 345230 2532660 ) ( * 2538950 )
-      NEW met3 ( 345230 2532660 ) ( 357420 * )
-      NEW met3 ( 357420 2532635 ) ( * 2532660 )
-      NEW met3 ( 357420 2532635 ) ( 360180 * 0 )
-      NEW met1 ( 18630 2538950 ) ( 345230 * )
-      NEW met1 ( 18630 2538950 ) M1M2_PR
-      NEW met2 ( 18630 2704020 ) M2M3_PR_M
-      NEW met1 ( 345230 2538950 ) M1M2_PR
-      NEW met2 ( 345230 2532660 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2704020 0 ) ( 14950 * )
+      NEW met2 ( 14950 2028270 ) ( * 2704020 )
+      NEW met2 ( 345230 2024020 ) ( * 2028270 )
+      NEW met3 ( 345230 2024020 ) ( 357420 * )
+      NEW met3 ( 357420 2023775 ) ( * 2024020 )
+      NEW met3 ( 357420 2023775 ) ( 360180 * 0 )
+      NEW met1 ( 14950 2028270 ) ( 345230 * )
+      NEW met1 ( 14950 2028270 ) M1M2_PR
+      NEW met2 ( 14950 2704020 ) M2M3_PR_M
+      NEW met1 ( 345230 2028270 ) M1M2_PR
+      NEW met2 ( 345230 2024020 ) M2M3_PR_M ;
     - analog_io[21] ( PIN analog_io[21] ) ( mprj analog_io[21] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2443580 0 ) ( 17250 * )
-      NEW met2 ( 17250 2318290 ) ( * 2443580 )
-      NEW met2 ( 345230 2315060 ) ( * 2318290 )
-      NEW met3 ( 345230 2315060 ) ( 357420 * )
-      NEW met3 ( 357420 2314780 ) ( * 2315060 )
-      NEW met3 ( 357420 2314780 ) ( 360180 * 0 )
-      NEW met1 ( 17250 2318290 ) ( 345230 * )
-      NEW met1 ( 17250 2318290 ) M1M2_PR
-      NEW met2 ( 17250 2443580 ) M2M3_PR_M
-      NEW met1 ( 345230 2318290 ) M1M2_PR
-      NEW met2 ( 345230 2315060 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2443580 0 ) ( 17710 * )
+      NEW met2 ( 17710 1855890 ) ( * 2443580 )
+      NEW met2 ( 345230 1855380 ) ( * 1855890 )
+      NEW met3 ( 345230 1855380 ) ( 357420 * )
+      NEW met3 ( 357420 1855380 ) ( * 1855625 )
+      NEW met3 ( 357420 1855625 ) ( 360180 * 0 )
+      NEW met1 ( 17710 1855890 ) ( 345230 * )
+      NEW met1 ( 17710 1855890 ) M1M2_PR
+      NEW met2 ( 17710 2443580 ) M2M3_PR_M
+      NEW met1 ( 345230 1855890 ) M1M2_PR
+      NEW met2 ( 345230 1855380 ) M2M3_PR_M ;
     - analog_io[22] ( PIN analog_io[22] ) ( mprj analog_io[22] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2183140 0 ) ( 17710 * )
-      NEW met2 ( 17710 2097290 ) ( * 2183140 )
-      NEW met2 ( 345230 2096780 ) ( * 2097290 )
-      NEW met3 ( 345230 2096780 ) ( 357420 * )
-      NEW met3 ( 357420 2096780 ) ( * 2097025 )
-      NEW met3 ( 357420 2097025 ) ( 360180 * 0 )
-      NEW met1 ( 17710 2097290 ) ( 345230 * )
-      NEW met1 ( 17710 2097290 ) M1M2_PR
-      NEW met2 ( 17710 2183140 ) M2M3_PR_M
-      NEW met1 ( 345230 2097290 ) M1M2_PR
-      NEW met2 ( 345230 2096780 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2183140 0 ) ( 19550 * )
+      NEW met2 ( 19550 1690310 ) ( * 2183140 )
+      NEW met2 ( 345230 1687420 ) ( * 1690310 )
+      NEW met3 ( 345230 1687420 ) ( 357420 * )
+      NEW met3 ( 357420 1687420 ) ( * 1687475 )
+      NEW met3 ( 357420 1687475 ) ( 360180 * 0 )
+      NEW met1 ( 19550 1690310 ) ( 345230 * )
+      NEW met1 ( 19550 1690310 ) M1M2_PR
+      NEW met2 ( 19550 2183140 ) M2M3_PR_M
+      NEW met1 ( 345230 1690310 ) M1M2_PR
+      NEW met2 ( 345230 1687420 ) M2M3_PR_M ;
     - analog_io[23] ( PIN analog_io[23] ) ( mprj analog_io[23] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1922020 0 ) ( 17250 * )
-      NEW met2 ( 17250 1883430 ) ( * 1922020 )
-      NEW met2 ( 345230 1879180 ) ( * 1883430 )
-      NEW met3 ( 345230 1879180 ) ( 357420 * )
-      NEW met3 ( 357420 1879170 ) ( * 1879180 )
-      NEW met3 ( 357420 1879170 ) ( 360180 * 0 )
-      NEW met1 ( 17250 1883430 ) ( 345230 * )
-      NEW met2 ( 17250 1922020 ) M2M3_PR_M
-      NEW met1 ( 17250 1883430 ) M1M2_PR
-      NEW met1 ( 345230 1883430 ) M1M2_PR
-      NEW met2 ( 345230 1879180 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1922020 0 ) ( 16330 * )
+      NEW met2 ( 16330 1524730 ) ( * 1922020 )
+      NEW met2 ( 345230 1519460 ) ( * 1524730 )
+      NEW met3 ( 345230 1519460 ) ( 357420 * )
+      NEW met3 ( 357420 1519230 ) ( * 1519460 )
+      NEW met3 ( 357420 1519230 ) ( 360180 * 0 )
+      NEW met1 ( 16330 1524730 ) ( 345230 * )
+      NEW met2 ( 16330 1922020 ) M2M3_PR_M
+      NEW met1 ( 16330 1524730 ) M1M2_PR
+      NEW met1 ( 345230 1524730 ) M1M2_PR
+      NEW met2 ( 345230 1519460 ) M2M3_PR_M ;
     - analog_io[24] ( PIN analog_io[24] ) ( mprj analog_io[24] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1661580 0 ) ( 17250 * )
-      NEW met2 ( 17250 1659370 ) ( * 1661580 )
-      NEW met2 ( 345230 1659370 ) ( * 1660900 )
-      NEW met3 ( 345230 1660900 ) ( 357420 * )
-      NEW met3 ( 357420 1660900 ) ( * 1661320 )
-      NEW met3 ( 357420 1661320 ) ( 360180 * 0 )
-      NEW met1 ( 17250 1659370 ) ( 345230 * )
-      NEW met2 ( 17250 1661580 ) M2M3_PR_M
-      NEW met1 ( 17250 1659370 ) M1M2_PR
-      NEW met1 ( 345230 1659370 ) M1M2_PR
-      NEW met2 ( 345230 1660900 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1661580 0 ) ( 18630 * )
+      NEW met2 ( 18630 1352350 ) ( * 1661580 )
+      NEW met2 ( 345230 1350820 ) ( * 1352350 )
+      NEW met3 ( 345230 1350820 ) ( 357420 * )
+      NEW met3 ( 357420 1350820 ) ( * 1350985 )
+      NEW met3 ( 357420 1350985 ) ( 360180 * 0 )
+      NEW met1 ( 18630 1352350 ) ( 345230 * )
+      NEW met1 ( 18630 1352350 ) M1M2_PR
+      NEW met2 ( 18630 1661580 ) M2M3_PR_M
+      NEW met1 ( 345230 1352350 ) M1M2_PR
+      NEW met2 ( 345230 1350820 ) M2M3_PR_M ;
     - analog_io[25] ( PIN analog_io[25] ) ( mprj analog_io[25] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1400460 0 ) ( 17250 * )
-      NEW met2 ( 17250 1400460 ) ( * 1442110 )
-      NEW met2 ( 345230 1442110 ) ( * 1443300 )
-      NEW met3 ( 345230 1443300 ) ( 357420 * )
-      NEW met3 ( 357420 1443300 ) ( * 1443560 )
-      NEW met3 ( 357420 1443560 ) ( 360180 * 0 )
-      NEW met1 ( 17250 1442110 ) ( 345230 * )
-      NEW met1 ( 17250 1442110 ) M1M2_PR
-      NEW met2 ( 17250 1400460 ) M2M3_PR_M
-      NEW met1 ( 345230 1442110 ) M1M2_PR
-      NEW met2 ( 345230 1443300 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1400460 0 ) ( 17710 * )
+      NEW met2 ( 17710 1186770 ) ( * 1400460 )
+      NEW met2 ( 345230 1182860 ) ( * 1186770 )
+      NEW met3 ( 345230 1182860 ) ( 357420 * )
+      NEW met3 ( 357420 1182740 ) ( * 1182860 )
+      NEW met3 ( 357420 1182740 ) ( 360180 * 0 )
+      NEW met1 ( 17710 1186770 ) ( 345230 * )
+      NEW met1 ( 17710 1186770 ) M1M2_PR
+      NEW met2 ( 17710 1400460 ) M2M3_PR_M
+      NEW met1 ( 345230 1186770 ) M1M2_PR
+      NEW met2 ( 345230 1182860 ) M2M3_PR_M ;
     - analog_io[26] ( PIN analog_io[26] ) ( mprj analog_io[26] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1140020 0 ) ( 17250 * )
-      NEW met2 ( 17250 1140020 ) ( * 1221450 )
-      NEW met2 ( 345230 1221450 ) ( * 1225700 )
-      NEW met3 ( 345230 1225700 ) ( 357420 * )
-      NEW met3 ( 357420 1225700 ) ( * 1225710 )
-      NEW met3 ( 357420 1225710 ) ( 360180 * 0 )
-      NEW met1 ( 17250 1221450 ) ( 345230 * )
-      NEW met2 ( 17250 1140020 ) M2M3_PR_M
-      NEW met1 ( 17250 1221450 ) M1M2_PR
-      NEW met1 ( 345230 1221450 ) M1M2_PR
-      NEW met2 ( 345230 1225700 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1140020 0 ) ( 17710 * )
+      NEW met2 ( 17710 1021190 ) ( * 1140020 )
+      NEW met2 ( 345230 1014900 ) ( * 1021190 )
+      NEW met3 ( 345230 1014900 ) ( 357420 * )
+      NEW met3 ( 357420 1014685 ) ( * 1014900 )
+      NEW met3 ( 357420 1014685 ) ( 360180 * 0 )
+      NEW met1 ( 17710 1021190 ) ( 345230 * )
+      NEW met1 ( 17710 1021190 ) M1M2_PR
+      NEW met2 ( 17710 1140020 ) M2M3_PR_M
+      NEW met1 ( 345230 1021190 ) M1M2_PR
+      NEW met2 ( 345230 1014900 ) M2M3_PR_M ;
     - analog_io[27] ( PIN analog_io[27] ) ( mprj analog_io[27] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 879580 0 ) ( 17710 * )
-      NEW met2 ( 17710 879580 ) ( * 1007590 )
-      NEW met2 ( 345230 1007590 ) ( * 1008100 )
-      NEW met3 ( 345230 1008100 ) ( 357420 * )
-      NEW met3 ( 357420 1007855 ) ( * 1008100 )
-      NEW met3 ( 357420 1007855 ) ( 360180 * 0 )
-      NEW met1 ( 17710 1007590 ) ( 345230 * )
-      NEW met2 ( 17710 879580 ) M2M3_PR_M
-      NEW met1 ( 17710 1007590 ) M1M2_PR
-      NEW met1 ( 345230 1007590 ) M1M2_PR
-      NEW met2 ( 345230 1008100 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 879580 0 ) ( 17250 * )
+      NEW met2 ( 17250 848470 ) ( * 879580 )
+      NEW met2 ( 345230 846260 ) ( * 848470 )
+      NEW met3 ( 345230 846260 ) ( 357420 * )
+      NEW met3 ( 357420 846260 ) ( * 846440 )
+      NEW met3 ( 357420 846440 ) ( 360180 * 0 )
+      NEW met1 ( 17250 848470 ) ( 345230 * )
+      NEW met2 ( 17250 879580 ) M2M3_PR_M
+      NEW met1 ( 17250 848470 ) M1M2_PR
+      NEW met1 ( 345230 848470 ) M1M2_PR
+      NEW met2 ( 345230 846260 ) M2M3_PR_M ;
     - analog_io[28] ( PIN analog_io[28] ) ( mprj analog_io[28] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 618460 0 ) ( 17250 * )
-      NEW met2 ( 17250 618460 ) ( * 786930 )
-      NEW met2 ( 345230 786930 ) ( * 789820 )
-      NEW met3 ( 345230 789820 ) ( 357420 * )
-      NEW met3 ( 357420 789820 ) ( * 790100 )
-      NEW met3 ( 357420 790100 ) ( 360180 * 0 )
-      NEW met1 ( 17250 786930 ) ( 345230 * )
-      NEW met1 ( 17250 786930 ) M1M2_PR
-      NEW met2 ( 17250 618460 ) M2M3_PR_M
-      NEW met1 ( 345230 786930 ) M1M2_PR
-      NEW met2 ( 345230 789820 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 618460 0 ) ( 17710 * )
+      NEW met2 ( 17710 618460 ) ( * 676430 )
+      NEW met2 ( 345230 676430 ) ( * 678300 )
+      NEW met3 ( 345230 678300 ) ( 357420 * )
+      NEW met3 ( 357420 678195 ) ( * 678300 )
+      NEW met3 ( 357420 678195 ) ( 360180 * 0 )
+      NEW met1 ( 17710 676430 ) ( 345230 * )
+      NEW met1 ( 17710 676430 ) M1M2_PR
+      NEW met2 ( 17710 618460 ) M2M3_PR_M
+      NEW met1 ( 345230 676430 ) M1M2_PR
+      NEW met2 ( 345230 678300 ) M2M3_PR_M ;
     - analog_io[2] ( PIN analog_io[2] ) ( mprj analog_io[2] ) + USE SIGNAL
-      + ROUTED met2 ( 2900990 1952790 ) ( * 1958740 )
+      + ROUTED met3 ( 2731940 1542580 ) ( * 1542790 )
+      NEW met3 ( 2729180 1542790 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 1952790 ) ( * 1958740 )
       NEW met3 ( 2900990 1958740 ) ( 2917780 * 0 )
-      NEW met2 ( 2597850 1909780 ) ( * 1952790 )
-      NEW met1 ( 2597850 1952790 ) ( 2900990 * )
-      NEW met3 ( 2588420 1909550 ) ( * 1909780 )
-      NEW met3 ( 2585660 1909550 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1909780 ) ( 2597850 * )
-      NEW met1 ( 2597850 1952790 ) M1M2_PR
+      NEW met3 ( 2731940 1542580 ) ( 2741830 * )
+      NEW met1 ( 2741830 1952790 ) ( 2900990 * )
+      NEW met2 ( 2741830 1542580 ) ( * 1952790 )
       NEW met1 ( 2900990 1952790 ) M1M2_PR
       NEW met2 ( 2900990 1958740 ) M2M3_PR_M
-      NEW met2 ( 2597850 1909780 ) M2M3_PR_M ;
+      NEW met2 ( 2741830 1542580 ) M2M3_PR_M
+      NEW met1 ( 2741830 1952790 ) M1M2_PR ;
     - analog_io[3] ( PIN analog_io[3] ) ( mprj analog_io[3] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 2222070 ) ( * 2223940 )
       NEW met3 ( 2900990 2223940 ) ( 2917780 * 0 )
-      NEW met2 ( 2598310 2131460 ) ( * 2222070 )
-      NEW met3 ( 2588420 2131460 ) ( * 2131520 )
-      NEW met3 ( 2585660 2131520 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2131460 ) ( 2598310 * )
-      NEW met1 ( 2598310 2222070 ) ( 2900990 * )
-      NEW met2 ( 2598310 2131460 ) M2M3_PR_M
-      NEW met1 ( 2598310 2222070 ) M1M2_PR
+      NEW met3 ( 2731940 1713940 ) ( * 1714075 )
+      NEW met3 ( 2729180 1714075 0 ) ( 2731940 * )
+      NEW met1 ( 2744590 2222070 ) ( 2900990 * )
+      NEW met3 ( 2731940 1713940 ) ( 2744590 * )
+      NEW met2 ( 2744590 1713940 ) ( * 2222070 )
       NEW met1 ( 2900990 2222070 ) M1M2_PR
-      NEW met2 ( 2900990 2223940 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 2223940 ) M2M3_PR_M
+      NEW met1 ( 2744590 2222070 ) M1M2_PR
+      NEW met2 ( 2744590 1713940 ) M2M3_PR_M ;
     - analog_io[4] ( PIN analog_io[4] ) ( mprj analog_io[4] ) + USE SIGNAL
-      + ROUTED met2 ( 2598770 2353820 ) ( * 2484210 )
+      + ROUTED met3 ( 2731940 1885300 ) ( * 1885455 )
+      NEW met3 ( 2729180 1885455 0 ) ( 2731940 * )
       NEW met2 ( 2900990 2484210 ) ( * 2489820 )
       NEW met3 ( 2900990 2489820 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 2353590 ) ( * 2353820 )
-      NEW met3 ( 2585660 2353590 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2353820 ) ( 2598770 * )
-      NEW met1 ( 2598770 2484210 ) ( 2900990 * )
-      NEW met2 ( 2598770 2353820 ) M2M3_PR_M
-      NEW met1 ( 2598770 2484210 ) M1M2_PR
+      NEW met3 ( 2731940 1885300 ) ( 2742750 * )
+      NEW met2 ( 2742750 1885300 ) ( * 2484210 )
+      NEW met1 ( 2742750 2484210 ) ( 2900990 * )
       NEW met1 ( 2900990 2484210 ) M1M2_PR
-      NEW met2 ( 2900990 2489820 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 2489820 ) M2M3_PR_M
+      NEW met2 ( 2742750 1885300 ) M2M3_PR_M
+      NEW met1 ( 2742750 2484210 ) M1M2_PR ;
     - analog_io[5] ( PIN analog_io[5] ) ( mprj analog_io[5] ) + USE SIGNAL
-      + ROUTED met2 ( 2598770 2575500 ) ( * 2753150 )
+      + ROUTED met3 ( 2731940 2056930 ) ( * 2057340 )
+      NEW met3 ( 2729180 2056930 0 ) ( 2731940 * )
       NEW met2 ( 2900990 2753150 ) ( * 2755700 )
       NEW met3 ( 2900990 2755700 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 2575500 ) ( * 2575560 )
-      NEW met3 ( 2585660 2575560 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2575500 ) ( 2598770 * )
-      NEW met1 ( 2598770 2753150 ) ( 2900990 * )
-      NEW met2 ( 2598770 2575500 ) M2M3_PR_M
-      NEW met1 ( 2598770 2753150 ) M1M2_PR
+      NEW met3 ( 2731940 2057340 ) ( 2745970 * )
+      NEW met2 ( 2745970 2057340 ) ( * 2753150 )
+      NEW met1 ( 2745970 2753150 ) ( 2900990 * )
       NEW met1 ( 2900990 2753150 ) M1M2_PR
-      NEW met2 ( 2900990 2755700 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 2755700 ) M2M3_PR_M
+      NEW met2 ( 2745970 2057340 ) M2M3_PR_M
+      NEW met1 ( 2745970 2753150 ) M1M2_PR ;
     - analog_io[6] ( PIN analog_io[6] ) ( mprj analog_io[6] ) + USE SIGNAL
-      + ROUTED met2 ( 2900990 3015630 ) ( * 3020900 )
+      + ROUTED met3 ( 2731940 2228405 ) ( * 2228700 )
+      NEW met3 ( 2729180 2228405 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 3015630 ) ( * 3020900 )
       NEW met3 ( 2900990 3020900 ) ( 2917780 * 0 )
-      NEW met2 ( 2598770 2797860 ) ( * 3015630 )
-      NEW met3 ( 2588420 2797630 ) ( * 2797860 )
-      NEW met3 ( 2585660 2797630 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2797860 ) ( 2598770 * )
-      NEW met1 ( 2598770 3015630 ) ( 2900990 * )
-      NEW met2 ( 2598770 2797860 ) M2M3_PR_M
-      NEW met1 ( 2598770 3015630 ) M1M2_PR
+      NEW met3 ( 2731940 2228700 ) ( 2745050 * )
+      NEW met1 ( 2745050 3015630 ) ( 2900990 * )
+      NEW met2 ( 2745050 2228700 ) ( * 3015630 )
       NEW met1 ( 2900990 3015630 ) M1M2_PR
-      NEW met2 ( 2900990 3020900 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 3020900 ) M2M3_PR_M
+      NEW met2 ( 2745050 2228700 ) M2M3_PR_M
+      NEW met1 ( 2745050 3015630 ) M1M2_PR ;
     - analog_io[7] ( PIN analog_io[7] ) ( mprj analog_io[7] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 3284570 ) ( * 3286780 )
       NEW met3 ( 2900990 3286780 ) ( 2917780 * 0 )
-      NEW met2 ( 2599230 3019540 ) ( * 3284570 )
-      NEW met3 ( 2588420 3019540 ) ( * 3019600 )
-      NEW met3 ( 2585660 3019600 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 3019540 ) ( 2599230 * )
-      NEW met1 ( 2599230 3284570 ) ( 2900990 * )
-      NEW met2 ( 2599230 3019540 ) M2M3_PR_M
-      NEW met1 ( 2599230 3284570 ) M1M2_PR
+      NEW met3 ( 2731940 2399785 ) ( * 2400060 )
+      NEW met3 ( 2729180 2399785 0 ) ( 2731940 * )
+      NEW met1 ( 2743670 3284570 ) ( 2900990 * )
+      NEW met3 ( 2731940 2400060 ) ( 2743670 * )
+      NEW met2 ( 2743670 2400060 ) ( * 3284570 )
       NEW met1 ( 2900990 3284570 ) M1M2_PR
-      NEW met2 ( 2900990 3286780 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 3286780 ) M2M3_PR_M
+      NEW met1 ( 2743670 3284570 ) M1M2_PR
+      NEW met2 ( 2743670 2400060 ) M2M3_PR_M ;
     - analog_io[8] ( PIN analog_io[8] ) ( mprj analog_io[8] ) + USE SIGNAL
-      + ROUTED met1 ( 2582670 3208750 ) ( 2587270 * )
-      NEW met2 ( 2582670 3196340 ) ( * 3208750 )
-      NEW met2 ( 2582400 3196340 ) ( 2582670 * )
-      NEW met2 ( 2582400 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 2587270 3501490 ) ( 2879370 * )
-      NEW met2 ( 2587270 3208750 ) ( * 3501490 )
+      + ROUTED met2 ( 2710100 2590120 0 ) ( * 2590460 )
+      NEW met2 ( 2710100 2590460 ) ( 2711470 * )
+      NEW met2 ( 2711470 2590460 ) ( * 3501490 )
+      NEW met1 ( 2711470 3501490 ) ( 2879370 * )
       NEW met2 ( 2879370 3501490 ) ( * 3517980 0 )
-      NEW met1 ( 2587270 3208750 ) M1M2_PR
-      NEW met1 ( 2582670 3208750 ) M1M2_PR
-      NEW met1 ( 2587270 3501490 ) M1M2_PR
+      NEW met1 ( 2711470 3501490 ) M1M2_PR
       NEW met1 ( 2879370 3501490 ) M1M2_PR ;
     - analog_io[9] ( PIN analog_io[9] ) ( mprj analog_io[9] ) + USE SIGNAL
-      + ROUTED met2 ( 2331500 3196340 ) ( 2331970 * )
-      NEW met2 ( 2331500 3194980 0 ) ( * 3196340 )
-      NEW met2 ( 2331970 3196340 ) ( * 3501490 )
-      NEW met1 ( 2331970 3501490 ) ( 2555070 * )
-      NEW met2 ( 2555070 3501490 ) ( * 3517980 0 )
-      NEW met1 ( 2331970 3501490 ) M1M2_PR
-      NEW met1 ( 2555070 3501490 ) M1M2_PR ;
+      + ROUTED met1 ( 2445590 2607970 ) ( 2449270 * )
+      NEW met2 ( 2445700 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 2445590 2591140 ) ( 2445700 * )
+      NEW met2 ( 2445590 2591140 ) ( * 2607970 )
+      NEW met2 ( 2449270 2607970 ) ( * 3501830 )
+      NEW met1 ( 2449270 3501830 ) ( 2555070 * )
+      NEW met2 ( 2555070 3501830 ) ( * 3517980 0 )
+      NEW met1 ( 2445590 2607970 ) M1M2_PR
+      NEW met1 ( 2449270 2607970 ) M1M2_PR
+      NEW met1 ( 2449270 3501830 ) M1M2_PR
+      NEW met1 ( 2555070 3501830 ) M1M2_PR ;
     - io_in[0] ( PIN io_in[0] ) ( mprj io_in[0] ) + USE SIGNAL
-      + ROUTED met2 ( 2900990 32980 ) ( * 34170 )
+      + ROUTED met3 ( 2731940 302260 ) ( * 302565 )
+      NEW met3 ( 2729180 302565 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 32980 ) ( * 34170 )
       NEW met3 ( 2900990 32980 ) ( 2917780 * 0 )
-      NEW met2 ( 2597850 34170 ) ( * 302260 )
-      NEW met3 ( 2588420 302260 ) ( * 302450 )
-      NEW met3 ( 2585660 302450 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 302260 ) ( 2597850 * )
-      NEW met1 ( 2597850 34170 ) ( 2900990 * )
-      NEW met1 ( 2597850 34170 ) M1M2_PR
-      NEW met2 ( 2597850 302260 ) M2M3_PR_M
+      NEW met3 ( 2731940 302260 ) ( 2742750 * )
+      NEW met1 ( 2742750 34170 ) ( 2900990 * )
+      NEW met2 ( 2742750 34170 ) ( * 302260 )
       NEW met1 ( 2900990 34170 ) M1M2_PR
-      NEW met2 ( 2900990 32980 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 32980 ) M2M3_PR_M
+      NEW met1 ( 2742750 34170 ) M1M2_PR
+      NEW met2 ( 2742750 302260 ) M2M3_PR_M ;
     - io_in[10] ( PIN io_in[10] ) ( mprj io_in[10] ) + USE SIGNAL
-      + ROUTED met2 ( 2597850 2187220 ) ( * 2283950 )
+      + ROUTED met3 ( 2731940 1756780 ) ( * 1756825 )
+      NEW met3 ( 2729180 1756825 0 ) ( 2731940 * )
       NEW met2 ( 2900990 2283950 ) ( * 2290580 )
       NEW met3 ( 2900990 2290580 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 2187090 ) ( * 2187220 )
-      NEW met3 ( 2585660 2187090 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2187220 ) ( 2597850 * )
-      NEW met1 ( 2597850 2283950 ) ( 2900990 * )
-      NEW met2 ( 2597850 2187220 ) M2M3_PR_M
-      NEW met1 ( 2597850 2283950 ) M1M2_PR
+      NEW met3 ( 2731940 1756780 ) ( 2744130 * )
+      NEW met2 ( 2744130 1756780 ) ( * 2283950 )
+      NEW met1 ( 2744130 2283950 ) ( 2900990 * )
       NEW met1 ( 2900990 2283950 ) M1M2_PR
-      NEW met2 ( 2900990 2290580 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 2290580 ) M2M3_PR_M
+      NEW met2 ( 2744130 1756780 ) M2M3_PR_M
+      NEW met1 ( 2744130 2283950 ) M1M2_PR ;
     - io_in[11] ( PIN io_in[11] ) ( mprj io_in[11] ) + USE SIGNAL
-      + ROUTED met2 ( 2598310 2408900 ) ( * 2553230 )
+      + ROUTED met3 ( 2731940 1928395 ) ( * 1928820 )
+      NEW met3 ( 2729180 1928395 0 ) ( 2731940 * )
       NEW met2 ( 2900990 2553230 ) ( * 2556460 )
       NEW met3 ( 2900990 2556460 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 2408900 ) ( * 2409060 )
-      NEW met3 ( 2585660 2409060 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2408900 ) ( 2598310 * )
-      NEW met1 ( 2598310 2553230 ) ( 2900990 * )
-      NEW met2 ( 2598310 2408900 ) M2M3_PR_M
-      NEW met1 ( 2598310 2553230 ) M1M2_PR
+      NEW met3 ( 2731940 1928820 ) ( 2742290 * )
+      NEW met2 ( 2742290 1928820 ) ( * 2553230 )
+      NEW met1 ( 2742290 2553230 ) ( 2900990 * )
       NEW met1 ( 2900990 2553230 ) M1M2_PR
-      NEW met2 ( 2900990 2556460 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 2556460 ) M2M3_PR_M
+      NEW met2 ( 2742290 1928820 ) M2M3_PR_M
+      NEW met1 ( 2742290 2553230 ) M1M2_PR ;
     - io_in[12] ( PIN io_in[12] ) ( mprj io_in[12] ) + USE SIGNAL
       + ROUTED met2 ( 2899150 2815370 ) ( * 2821660 )
       NEW met3 ( 2899150 2821660 ) ( 2917780 * 0 )
-      NEW met2 ( 2598310 2631260 ) ( * 2815370 )
-      NEW met3 ( 2588420 2631125 ) ( * 2631260 )
-      NEW met3 ( 2585660 2631125 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2631260 ) ( 2598310 * )
-      NEW met1 ( 2598310 2815370 ) ( 2899150 * )
-      NEW met2 ( 2598310 2631260 ) M2M3_PR_M
-      NEW met1 ( 2598310 2815370 ) M1M2_PR
+      NEW met3 ( 2731940 2099775 ) ( * 2100180 )
+      NEW met3 ( 2729180 2099775 0 ) ( 2731940 * )
+      NEW met1 ( 2745510 2815370 ) ( 2899150 * )
+      NEW met3 ( 2731940 2100180 ) ( 2745510 * )
+      NEW met2 ( 2745510 2100180 ) ( * 2815370 )
       NEW met1 ( 2899150 2815370 ) M1M2_PR
-      NEW met2 ( 2899150 2821660 ) M2M3_PR_M ;
+      NEW met2 ( 2899150 2821660 ) M2M3_PR_M
+      NEW met1 ( 2745510 2815370 ) M1M2_PR
+      NEW met2 ( 2745510 2100180 ) M2M3_PR_M ;
     - io_in[13] ( PIN io_in[13] ) ( mprj io_in[13] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 3084310 ) ( * 3087540 )
       NEW met3 ( 2900990 3087540 ) ( 2917780 * 0 )
-      NEW met2 ( 2598310 2852940 ) ( * 3084310 )
-      NEW met1 ( 2598310 3084310 ) ( 2900990 * )
-      NEW met3 ( 2588420 2852940 ) ( * 2853095 )
-      NEW met3 ( 2585660 2853095 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2852940 ) ( 2598310 * )
-      NEW met1 ( 2598310 3084310 ) M1M2_PR
+      NEW met3 ( 2731940 2271155 ) ( * 2271540 )
+      NEW met3 ( 2729180 2271155 0 ) ( 2731940 * )
+      NEW met1 ( 2744590 3084310 ) ( 2900990 * )
+      NEW met3 ( 2731940 2271540 ) ( 2744590 * )
+      NEW met2 ( 2744590 2271540 ) ( * 3084310 )
       NEW met1 ( 2900990 3084310 ) M1M2_PR
       NEW met2 ( 2900990 3087540 ) M2M3_PR_M
-      NEW met2 ( 2598310 2852940 ) M2M3_PR_M ;
+      NEW met1 ( 2744590 3084310 ) M1M2_PR
+      NEW met2 ( 2744590 2271540 ) M2M3_PR_M ;
     - io_in[14] ( PIN io_in[14] ) ( mprj io_in[14] ) + USE SIGNAL
-      + ROUTED met2 ( 2598770 3075300 ) ( * 3353590 )
+      + ROUTED met3 ( 2731940 2442725 ) ( * 2442900 )
+      NEW met3 ( 2729180 2442725 0 ) ( 2731940 * )
       NEW met2 ( 2900990 3353420 ) ( * 3353590 )
       NEW met3 ( 2900990 3353420 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 3075165 ) ( * 3075300 )
-      NEW met3 ( 2585660 3075165 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 3075300 ) ( 2598770 * )
-      NEW met1 ( 2598770 3353590 ) ( 2900990 * )
-      NEW met2 ( 2598770 3075300 ) M2M3_PR_M
-      NEW met1 ( 2598770 3353590 ) M1M2_PR
+      NEW met3 ( 2731940 2442900 ) ( 2743210 * )
+      NEW met2 ( 2743210 2442900 ) ( * 3353590 )
+      NEW met1 ( 2743210 3353590 ) ( 2900990 * )
       NEW met1 ( 2900990 3353590 ) M1M2_PR
-      NEW met2 ( 2900990 3353420 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 3353420 ) M2M3_PR_M
+      NEW met2 ( 2743210 2442900 ) M2M3_PR_M
+      NEW met1 ( 2743210 3353590 ) M1M2_PR ;
     - io_in[15] ( PIN io_in[15] ) ( mprj io_in[15] ) + USE SIGNAL
-      + ROUTED met1 ( 2522410 3208750 ) ( 2525170 * )
-      NEW met2 ( 2522410 3196340 ) ( * 3208750 )
-      NEW met2 ( 2522300 3196340 ) ( 2522410 * )
-      NEW met2 ( 2522300 3194980 0 ) ( * 3196340 )
-      NEW met2 ( 2525170 3208750 ) ( * 3501830 )
-      NEW met2 ( 2798410 3501830 ) ( * 3517980 0 )
-      NEW met1 ( 2525170 3501830 ) ( 2798410 * )
-      NEW met1 ( 2525170 3208750 ) M1M2_PR
-      NEW met1 ( 2522410 3208750 ) M1M2_PR
-      NEW met1 ( 2525170 3501830 ) M1M2_PR
-      NEW met1 ( 2798410 3501830 ) M1M2_PR ;
+      + ROUTED met2 ( 2798410 3501830 ) ( * 3517980 0 )
+      NEW met1 ( 2649370 3501830 ) ( 2798410 * )
+      NEW met2 ( 2647500 2590120 0 ) ( * 2590460 )
+      NEW met2 ( 2647500 2590460 ) ( 2649370 * )
+      NEW met2 ( 2649370 2590460 ) ( * 3501830 )
+      NEW met1 ( 2798410 3501830 ) M1M2_PR
+      NEW met1 ( 2649370 3501830 ) M1M2_PR ;
     - io_in[16] ( PIN io_in[16] ) ( mprj io_in[16] ) + USE SIGNAL
-      + ROUTED met2 ( 2267900 3196340 ) ( 2269870 * )
-      NEW met2 ( 2267900 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 2269870 3501830 ) ( 2474110 * )
-      NEW met2 ( 2269870 3196340 ) ( * 3501830 )
-      NEW met2 ( 2474110 3501830 ) ( * 3517980 0 )
-      NEW met1 ( 2269870 3501830 ) M1M2_PR
-      NEW met1 ( 2474110 3501830 ) M1M2_PR ;
+      + ROUTED met1 ( 2380270 3501490 ) ( 2474110 * )
+      NEW met2 ( 2378400 2590120 0 ) ( * 2590460 )
+      NEW met2 ( 2378400 2590460 ) ( 2380270 * )
+      NEW met2 ( 2380270 2590460 ) ( * 3501490 )
+      NEW met2 ( 2474110 3501490 ) ( * 3517980 0 )
+      NEW met1 ( 2380270 3501490 ) M1M2_PR
+      NEW met1 ( 2474110 3501490 ) M1M2_PR ;
     - io_in[17] ( PIN io_in[17] ) ( mprj io_in[17] ) + USE SIGNAL
-      + ROUTED met2 ( 2149350 3502170 ) ( * 3517980 0 )
-      NEW met2 ( 2013500 3196340 ) ( 2014570 * )
-      NEW met2 ( 2013500 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 2014570 3502170 ) ( 2149350 * )
-      NEW met2 ( 2014570 3196340 ) ( * 3502170 )
-      NEW met1 ( 2149350 3502170 ) M1M2_PR
-      NEW met1 ( 2014570 3502170 ) M1M2_PR ;
+      + ROUTED met2 ( 2149350 3501490 ) ( * 3517980 0 )
+      NEW met1 ( 2111170 3501490 ) ( 2149350 * )
+      NEW met2 ( 2109200 2590120 0 ) ( * 2590460 )
+      NEW met2 ( 2109200 2590460 ) ( 2111170 * )
+      NEW met2 ( 2111170 2590460 ) ( * 3501490 )
+      NEW met1 ( 2149350 3501490 ) M1M2_PR
+      NEW met1 ( 2111170 3501490 ) M1M2_PR ;
     - io_in[18] ( PIN io_in[18] ) ( mprj io_in[18] ) + USE SIGNAL
-      + ROUTED met2 ( 1759100 3196340 ) ( 1759270 * )
-      NEW met2 ( 1759100 3194980 0 ) ( * 3196340 )
-      NEW met2 ( 1759270 3196340 ) ( * 3501490 )
-      NEW met2 ( 1825050 3501490 ) ( * 3517980 0 )
-      NEW met1 ( 1759270 3501490 ) ( 1825050 * )
-      NEW met1 ( 1759270 3501490 ) M1M2_PR
-      NEW met1 ( 1825050 3501490 ) M1M2_PR ;
+      + ROUTED met1 ( 1825050 3500470 ) ( 1835630 * )
+      NEW met2 ( 1840100 2590120 0 ) ( * 2590460 )
+      NEW met2 ( 1835630 2590460 ) ( 1840100 * )
+      NEW met2 ( 1835630 2590460 ) ( * 3500470 )
+      NEW met2 ( 1825050 3500470 ) ( * 3517980 0 )
+      NEW met1 ( 1825050 3500470 ) M1M2_PR
+      NEW met1 ( 1835630 3500470 ) M1M2_PR ;
     - io_in[19] ( PIN io_in[19] ) ( mprj io_in[19] ) + USE SIGNAL
-      + ROUTED met2 ( 1503970 3208580 ) ( 1504430 * )
-      NEW met2 ( 1504430 3196340 ) ( * 3208580 )
-      NEW met2 ( 1504430 3196340 ) ( 1504700 * )
-      NEW met2 ( 1504700 3194980 0 ) ( * 3196340 )
+      + ROUTED met2 ( 1570900 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 1570670 2591140 ) ( 1570900 * )
+      NEW met2 ( 1570670 2591140 ) ( * 2604570 )
+      NEW met1 ( 1503970 2604570 ) ( 1570670 * )
       NEW met1 ( 1500750 3498430 ) ( 1503970 * )
-      NEW met2 ( 1503970 3208580 ) ( * 3498430 )
+      NEW met2 ( 1503970 2604570 ) ( * 3498430 )
       NEW met2 ( 1500750 3498430 ) ( * 3517980 0 )
+      NEW met1 ( 1570670 2604570 ) M1M2_PR
+      NEW met1 ( 1503970 2604570 ) M1M2_PR
       NEW met1 ( 1500750 3498430 ) M1M2_PR
       NEW met1 ( 1503970 3498430 ) M1M2_PR ;
     - io_in[1] ( PIN io_in[1] ) ( mprj io_in[1] ) + USE SIGNAL
-      + ROUTED met2 ( 2599230 234430 ) ( * 466140 )
+      + ROUTED met3 ( 2731940 428060 ) ( * 428250 )
+      NEW met3 ( 2729180 428250 0 ) ( 2731940 * )
       NEW met2 ( 2900990 231540 ) ( * 234430 )
       NEW met3 ( 2900990 231540 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 466140 ) ( * 466500 )
-      NEW met3 ( 2585660 466500 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 466140 ) ( 2599230 * )
-      NEW met1 ( 2599230 234430 ) ( 2900990 * )
-      NEW met1 ( 2599230 234430 ) M1M2_PR
-      NEW met2 ( 2599230 466140 ) M2M3_PR_M
+      NEW met3 ( 2731940 428060 ) ( 2744130 * )
+      NEW met2 ( 2744130 234430 ) ( * 428060 )
+      NEW met1 ( 2744130 234430 ) ( 2900990 * )
       NEW met1 ( 2900990 234430 ) M1M2_PR
-      NEW met2 ( 2900990 231540 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 231540 ) M2M3_PR_M
+      NEW met1 ( 2744130 234430 ) M1M2_PR
+      NEW met2 ( 2744130 428060 ) M2M3_PR_M ;
     - io_in[20] ( PIN io_in[20] ) ( mprj io_in[20] ) + USE SIGNAL
       + ROUTED met1 ( 1175990 3501830 ) ( 1179670 * )
-      NEW met2 ( 1250050 3196340 ) ( * 3212150 )
-      NEW met2 ( 1250050 3196340 ) ( 1250300 * )
-      NEW met2 ( 1250300 3194980 0 ) ( * 3196340 )
-      NEW met2 ( 1179670 3212150 ) ( * 3501830 )
+      NEW met2 ( 1179670 2604570 ) ( * 3501830 )
       NEW met2 ( 1175990 3501830 ) ( * 3517980 0 )
-      NEW met1 ( 1179670 3212150 ) ( 1250050 * )
-      NEW met1 ( 1179670 3212150 ) M1M2_PR
+      NEW met1 ( 1179670 2604570 ) ( 1301570 * )
+      NEW met2 ( 1301800 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 1301570 2591140 ) ( 1301800 * )
+      NEW met2 ( 1301570 2591140 ) ( * 2604570 )
+      NEW met1 ( 1179670 2604570 ) M1M2_PR
       NEW met1 ( 1175990 3501830 ) M1M2_PR
       NEW met1 ( 1179670 3501830 ) M1M2_PR
-      NEW met1 ( 1250050 3212150 ) M1M2_PR ;
+      NEW met1 ( 1301570 2604570 ) M1M2_PR ;
     - io_in[21] ( PIN io_in[21] ) ( mprj io_in[21] ) + USE SIGNAL
-      + ROUTED met2 ( 995670 3196340 ) ( * 3212490 )
-      NEW met2 ( 995670 3196340 ) ( 995900 * )
-      NEW met2 ( 995900 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 851690 3501150 ) ( 855370 * )
-      NEW met1 ( 855370 3212490 ) ( 995670 * )
-      NEW met2 ( 855370 3212490 ) ( * 3501150 )
+      + ROUTED met1 ( 851690 3501150 ) ( 855370 * )
+      NEW met1 ( 855370 2605250 ) ( 1032470 * )
+      NEW met2 ( 855370 2605250 ) ( * 3501150 )
       NEW met2 ( 851690 3501150 ) ( * 3517980 0 )
-      NEW met1 ( 995670 3212490 ) M1M2_PR
-      NEW met1 ( 855370 3212490 ) M1M2_PR
+      NEW met2 ( 1032600 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 1032470 2591140 ) ( 1032600 * )
+      NEW met2 ( 1032470 2591140 ) ( * 2605250 )
+      NEW met1 ( 855370 2605250 ) M1M2_PR
       NEW met1 ( 851690 3501150 ) M1M2_PR
-      NEW met1 ( 855370 3501150 ) M1M2_PR ;
+      NEW met1 ( 855370 3501150 ) M1M2_PR
+      NEW met1 ( 1032470 2605250 ) M1M2_PR ;
     - io_in[22] ( PIN io_in[22] ) ( mprj io_in[22] ) + USE SIGNAL
-      + ROUTED met1 ( 527390 3501830 ) ( 531070 * )
-      NEW met2 ( 741290 3196340 ) ( * 3212490 )
-      NEW met2 ( 741290 3196340 ) ( 741500 * )
-      NEW met2 ( 741500 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 531070 3212490 ) ( 741290 * )
-      NEW met2 ( 531070 3212490 ) ( * 3501830 )
+      + ROUTED met2 ( 763600 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 763370 2591140 ) ( 763600 * )
+      NEW met2 ( 763370 2591140 ) ( * 2605250 )
+      NEW met1 ( 527390 3501830 ) ( 531070 * )
+      NEW met1 ( 531070 2605250 ) ( 763370 * )
+      NEW met2 ( 531070 2605250 ) ( * 3501830 )
       NEW met2 ( 527390 3501830 ) ( * 3517980 0 )
-      NEW met1 ( 531070 3212490 ) M1M2_PR
+      NEW met1 ( 763370 2605250 ) M1M2_PR
+      NEW met1 ( 531070 2605250 ) M1M2_PR
       NEW met1 ( 527390 3501830 ) M1M2_PR
-      NEW met1 ( 531070 3501830 ) M1M2_PR
-      NEW met1 ( 741290 3212490 ) M1M2_PR ;
+      NEW met1 ( 531070 3501830 ) M1M2_PR ;
     - io_in[23] ( PIN io_in[23] ) ( mprj io_in[23] ) + USE SIGNAL
       + ROUTED met1 ( 202630 3501830 ) ( 206770 * )
-      NEW met2 ( 486910 3196340 ) ( * 3212490 )
-      NEW met2 ( 486910 3196340 ) ( 487100 * )
-      NEW met2 ( 487100 3194980 0 ) ( * 3196340 )
-      NEW met2 ( 206770 3212490 ) ( * 3501830 )
+      NEW met2 ( 206770 2605250 ) ( * 3501830 )
       NEW met2 ( 202630 3501830 ) ( * 3517980 0 )
-      NEW met1 ( 206770 3212490 ) ( 486910 * )
-      NEW met1 ( 206770 3212490 ) M1M2_PR
+      NEW met2 ( 494400 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 494270 2591140 ) ( 494400 * )
+      NEW met2 ( 494270 2591140 ) ( * 2605250 )
+      NEW met1 ( 206770 2605250 ) ( 494270 * )
+      NEW met1 ( 206770 2605250 ) M1M2_PR
       NEW met1 ( 202630 3501830 ) M1M2_PR
       NEW met1 ( 206770 3501830 ) M1M2_PR
-      NEW met1 ( 486910 3212490 ) M1M2_PR ;
+      NEW met1 ( 494270 2605250 ) M1M2_PR ;
     - io_in[24] ( PIN io_in[24] ) ( mprj io_in[24] ) + USE SIGNAL
       + ROUTED met3 ( 2300 3421420 0 ) ( 17710 * )
-      NEW met2 ( 17710 3132590 ) ( * 3421420 )
-      NEW met2 ( 345230 3131740 ) ( * 3132590 )
-      NEW met3 ( 345230 3131740 ) ( 357420 * )
-      NEW met3 ( 357420 3131610 ) ( * 3131740 )
-      NEW met3 ( 357420 3131610 ) ( 360180 * 0 )
-      NEW met1 ( 17710 3132590 ) ( 345230 * )
-      NEW met1 ( 17710 3132590 ) M1M2_PR
+      NEW met2 ( 17710 2490670 ) ( * 3421420 )
+      NEW met2 ( 345230 2486420 ) ( * 2490670 )
+      NEW met3 ( 345230 2486420 ) ( 357420 * )
+      NEW met3 ( 357420 2486330 ) ( * 2486420 )
+      NEW met3 ( 357420 2486330 ) ( 360180 * 0 )
+      NEW met1 ( 17710 2490670 ) ( 345230 * )
+      NEW met1 ( 17710 2490670 ) M1M2_PR
       NEW met2 ( 17710 3421420 ) M2M3_PR_M
-      NEW met1 ( 345230 3132590 ) M1M2_PR
-      NEW met2 ( 345230 3131740 ) M2M3_PR_M ;
+      NEW met1 ( 345230 2490670 ) M1M2_PR
+      NEW met2 ( 345230 2486420 ) M2M3_PR_M ;
     - io_in[25] ( PIN io_in[25] ) ( mprj io_in[25] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 3160300 0 ) ( 17250 * )
-      NEW met2 ( 17250 2918390 ) ( * 3160300 )
-      NEW met2 ( 345230 2914140 ) ( * 2918390 )
-      NEW met3 ( 345230 2914140 ) ( 357420 * )
-      NEW met3 ( 357420 2913855 ) ( * 2914140 )
-      NEW met3 ( 357420 2913855 ) ( 360180 * 0 )
-      NEW met1 ( 17250 2918390 ) ( 345230 * )
-      NEW met1 ( 17250 2918390 ) M1M2_PR
-      NEW met2 ( 17250 3160300 ) M2M3_PR_M
-      NEW met1 ( 345230 2918390 ) M1M2_PR
-      NEW met2 ( 345230 2914140 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 3160300 0 ) ( 19550 * )
+      NEW met2 ( 19550 2318290 ) ( * 3160300 )
+      NEW met2 ( 345230 2317780 ) ( * 2318290 )
+      NEW met3 ( 345230 2317780 ) ( 357420 * )
+      NEW met3 ( 357420 2317780 ) ( * 2318180 )
+      NEW met3 ( 357420 2318180 ) ( 360180 * 0 )
+      NEW met1 ( 19550 2318290 ) ( 345230 * )
+      NEW met1 ( 19550 2318290 ) M1M2_PR
+      NEW met2 ( 19550 3160300 ) M2M3_PR_M
+      NEW met1 ( 345230 2318290 ) M1M2_PR
+      NEW met2 ( 345230 2317780 ) M2M3_PR_M ;
     - io_in[26] ( PIN io_in[26] ) ( mprj io_in[26] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2899860 0 ) ( 17250 * )
-      NEW met2 ( 17250 2697730 ) ( * 2899860 )
-      NEW met2 ( 345230 2695860 ) ( * 2697730 )
-      NEW met3 ( 345230 2695860 ) ( 357420 * )
-      NEW met3 ( 357420 2695860 ) ( * 2696000 )
-      NEW met3 ( 357420 2696000 ) ( 360180 * 0 )
-      NEW met1 ( 17250 2697730 ) ( 345230 * )
-      NEW met1 ( 17250 2697730 ) M1M2_PR
-      NEW met2 ( 17250 2899860 ) M2M3_PR_M
-      NEW met1 ( 345230 2697730 ) M1M2_PR
-      NEW met2 ( 345230 2695860 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2899860 0 ) ( 16330 * )
+      NEW met2 ( 16330 2152710 ) ( * 2899860 )
+      NEW met2 ( 345230 2149820 ) ( * 2152710 )
+      NEW met3 ( 345230 2149820 ) ( 357420 * )
+      NEW met3 ( 357420 2149820 ) ( * 2150030 )
+      NEW met3 ( 357420 2150030 ) ( 360180 * 0 )
+      NEW met1 ( 16330 2152710 ) ( 345230 * )
+      NEW met1 ( 16330 2152710 ) M1M2_PR
+      NEW met2 ( 16330 2899860 ) M2M3_PR_M
+      NEW met1 ( 345230 2152710 ) M1M2_PR
+      NEW met2 ( 345230 2149820 ) M2M3_PR_M ;
     - io_in[27] ( PIN io_in[27] ) ( mprj io_in[27] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2639420 0 ) ( 17250 * )
-      NEW met2 ( 17250 2483870 ) ( * 2639420 )
-      NEW met2 ( 345230 2478260 ) ( * 2483870 )
-      NEW met3 ( 345230 2478260 ) ( 357420 * )
-      NEW met3 ( 357420 2478150 ) ( * 2478260 )
-      NEW met3 ( 357420 2478150 ) ( 360180 * 0 )
-      NEW met1 ( 17250 2483870 ) ( 345230 * )
-      NEW met2 ( 17250 2639420 ) M2M3_PR_M
-      NEW met1 ( 17250 2483870 ) M1M2_PR
-      NEW met1 ( 345230 2483870 ) M1M2_PR
-      NEW met2 ( 345230 2478260 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2639420 0 ) ( 14490 * )
+      NEW met2 ( 14490 1987130 ) ( * 2639420 )
+      NEW met2 ( 345230 1981860 ) ( * 1987130 )
+      NEW met3 ( 345230 1981860 ) ( 357420 * )
+      NEW met3 ( 357420 1981690 ) ( * 1981860 )
+      NEW met3 ( 357420 1981690 ) ( 360180 * 0 )
+      NEW met1 ( 14490 1987130 ) ( 345230 * )
+      NEW met2 ( 14490 2639420 ) M2M3_PR_M
+      NEW met1 ( 14490 1987130 ) M1M2_PR
+      NEW met1 ( 345230 1987130 ) M1M2_PR
+      NEW met2 ( 345230 1981860 ) M2M3_PR_M ;
     - io_in[28] ( PIN io_in[28] ) ( mprj io_in[28] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2378300 0 ) ( 17710 * )
-      NEW met2 ( 17710 2262870 ) ( * 2378300 )
-      NEW met2 ( 345230 2260660 ) ( * 2262870 )
-      NEW met3 ( 345230 2260660 ) ( 357420 * )
-      NEW met3 ( 357420 2260390 ) ( * 2260660 )
-      NEW met3 ( 357420 2260390 ) ( 360180 * 0 )
-      NEW met1 ( 17710 2262870 ) ( 345230 * )
-      NEW met1 ( 17710 2262870 ) M1M2_PR
-      NEW met2 ( 17710 2378300 ) M2M3_PR_M
-      NEW met1 ( 345230 2262870 ) M1M2_PR
-      NEW met2 ( 345230 2260660 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2378300 0 ) ( 18170 * )
+      NEW met2 ( 18170 1814410 ) ( * 2378300 )
+      NEW met2 ( 345230 1813900 ) ( * 1814410 )
+      NEW met3 ( 345230 1813900 ) ( 357420 * )
+      NEW met3 ( 357420 1813635 ) ( * 1813900 )
+      NEW met3 ( 357420 1813635 ) ( 360180 * 0 )
+      NEW met1 ( 18170 1814410 ) ( 345230 * )
+      NEW met1 ( 18170 1814410 ) M1M2_PR
+      NEW met2 ( 18170 2378300 ) M2M3_PR_M
+      NEW met1 ( 345230 1814410 ) M1M2_PR
+      NEW met2 ( 345230 1813900 ) M2M3_PR_M ;
     - io_in[29] ( PIN io_in[29] ) ( mprj io_in[29] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2117860 0 ) ( 17250 * )
-      NEW met2 ( 17250 2049010 ) ( * 2117860 )
-      NEW met2 ( 345230 2043060 ) ( * 2049010 )
-      NEW met3 ( 345230 2043060 ) ( 357420 * )
-      NEW met3 ( 357420 2042540 ) ( * 2043060 )
-      NEW met3 ( 357420 2042540 ) ( 360180 * 0 )
-      NEW met1 ( 17250 2049010 ) ( 345230 * )
-      NEW met1 ( 17250 2049010 ) M1M2_PR
-      NEW met2 ( 17250 2117860 ) M2M3_PR_M
-      NEW met1 ( 345230 2049010 ) M1M2_PR
-      NEW met2 ( 345230 2043060 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2117860 0 ) ( 20010 * )
+      NEW met2 ( 20010 1648830 ) ( * 2117860 )
+      NEW met2 ( 345230 1645260 ) ( * 1648830 )
+      NEW met3 ( 345230 1645260 ) ( 357420 * )
+      NEW met3 ( 357420 1645260 ) ( * 1645295 )
+      NEW met3 ( 357420 1645295 ) ( 360180 * 0 )
+      NEW met1 ( 20010 1648830 ) ( 345230 * )
+      NEW met1 ( 20010 1648830 ) M1M2_PR
+      NEW met2 ( 20010 2117860 ) M2M3_PR_M
+      NEW met1 ( 345230 1648830 ) M1M2_PR
+      NEW met2 ( 345230 1645260 ) M2M3_PR_M ;
     - io_in[2] ( PIN io_in[2] ) ( mprj io_in[2] ) + USE SIGNAL
-      + ROUTED met2 ( 2598310 434690 ) ( * 632740 )
+      + ROUTED met3 ( 2731940 556580 ) ( * 556880 )
+      NEW met3 ( 2729180 556880 0 ) ( 2731940 * )
       NEW met2 ( 2900990 430780 ) ( * 434690 )
       NEW met3 ( 2900990 430780 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 632740 ) ( * 633005 )
-      NEW met3 ( 2585660 633005 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 632740 ) ( 2598310 * )
-      NEW met1 ( 2598310 434690 ) ( 2900990 * )
-      NEW met1 ( 2598310 434690 ) M1M2_PR
-      NEW met2 ( 2598310 632740 ) M2M3_PR_M
+      NEW met3 ( 2731940 556580 ) ( 2743210 * )
+      NEW met2 ( 2743210 434690 ) ( * 556580 )
+      NEW met1 ( 2743210 434690 ) ( 2900990 * )
       NEW met1 ( 2900990 434690 ) M1M2_PR
-      NEW met2 ( 2900990 430780 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 430780 ) M2M3_PR_M
+      NEW met1 ( 2743210 434690 ) M1M2_PR
+      NEW met2 ( 2743210 556580 ) M2M3_PR_M ;
     - io_in[30] ( PIN io_in[30] ) ( mprj io_in[30] ) + USE SIGNAL
       + ROUTED met3 ( 2300 1856740 0 ) ( 17250 * )
-      NEW met2 ( 17250 1828350 ) ( * 1856740 )
-      NEW met2 ( 345230 1824780 ) ( * 1828350 )
-      NEW met3 ( 345230 1824780 ) ( 357420 * )
-      NEW met3 ( 357420 1824685 ) ( * 1824780 )
-      NEW met3 ( 357420 1824685 ) ( 360180 * 0 )
-      NEW met1 ( 17250 1828350 ) ( 345230 * )
+      NEW met2 ( 17250 1483250 ) ( * 1856740 )
+      NEW met2 ( 345230 1477300 ) ( * 1483250 )
+      NEW met3 ( 345230 1477300 ) ( 357420 * )
+      NEW met3 ( 357420 1477050 ) ( * 1477300 )
+      NEW met3 ( 357420 1477050 ) ( 360180 * 0 )
+      NEW met1 ( 17250 1483250 ) ( 345230 * )
+      NEW met1 ( 17250 1483250 ) M1M2_PR
       NEW met2 ( 17250 1856740 ) M2M3_PR_M
-      NEW met1 ( 17250 1828350 ) M1M2_PR
-      NEW met1 ( 345230 1828350 ) M1M2_PR
-      NEW met2 ( 345230 1824780 ) M2M3_PR_M ;
+      NEW met1 ( 345230 1483250 ) M1M2_PR
+      NEW met2 ( 345230 1477300 ) M2M3_PR_M ;
     - io_in[31] ( PIN io_in[31] ) ( mprj io_in[31] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1596300 0 ) ( 17250 * )
-      NEW met2 ( 17250 1596300 ) ( * 1600890 )
-      NEW met2 ( 345230 1600890 ) ( * 1606500 )
-      NEW met3 ( 345230 1606500 ) ( 357420 * )
-      NEW met3 ( 357420 1606500 ) ( * 1606930 )
-      NEW met3 ( 357420 1606930 ) ( 360180 * 0 )
-      NEW met1 ( 17250 1600890 ) ( 345230 * )
-      NEW met2 ( 17250 1596300 ) M2M3_PR_M
-      NEW met1 ( 17250 1600890 ) M1M2_PR
-      NEW met1 ( 345230 1600890 ) M1M2_PR
-      NEW met2 ( 345230 1606500 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1596300 0 ) ( 19090 * )
+      NEW met2 ( 19090 1310870 ) ( * 1596300 )
+      NEW met2 ( 345230 1309340 ) ( * 1310870 )
+      NEW met3 ( 345230 1309340 ) ( 357420 * )
+      NEW met3 ( 357420 1308995 ) ( * 1309340 )
+      NEW met3 ( 357420 1308995 ) ( 360180 * 0 )
+      NEW met1 ( 19090 1310870 ) ( 345230 * )
+      NEW met1 ( 19090 1310870 ) M1M2_PR
+      NEW met2 ( 19090 1596300 ) M2M3_PR_M
+      NEW met1 ( 345230 1310870 ) M1M2_PR
+      NEW met2 ( 345230 1309340 ) M2M3_PR_M ;
     - io_in[32] ( PIN io_in[32] ) ( mprj io_in[32] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1335860 0 ) ( 17710 * )
-      NEW met2 ( 17710 1335860 ) ( * 1387030 )
-      NEW met2 ( 345230 1387030 ) ( * 1388900 )
-      NEW met3 ( 345230 1388900 ) ( 357420 * )
-      NEW met3 ( 357420 1388900 ) ( * 1389075 )
-      NEW met3 ( 357420 1389075 ) ( 360180 * 0 )
-      NEW met1 ( 17710 1387030 ) ( 345230 * )
-      NEW met2 ( 17710 1335860 ) M2M3_PR_M
-      NEW met1 ( 17710 1387030 ) M1M2_PR
-      NEW met1 ( 345230 1387030 ) M1M2_PR
-      NEW met2 ( 345230 1388900 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1335860 0 ) ( 18170 * )
+      NEW met2 ( 18170 1145290 ) ( * 1335860 )
+      NEW met2 ( 345230 1140700 ) ( * 1145290 )
+      NEW met3 ( 345230 1140700 ) ( 357420 * )
+      NEW met3 ( 357420 1140700 ) ( * 1140750 )
+      NEW met3 ( 357420 1140750 ) ( 360180 * 0 )
+      NEW met1 ( 18170 1145290 ) ( 345230 * )
+      NEW met1 ( 18170 1145290 ) M1M2_PR
+      NEW met2 ( 18170 1335860 ) M2M3_PR_M
+      NEW met1 ( 345230 1145290 ) M1M2_PR
+      NEW met2 ( 345230 1140700 ) M2M3_PR_M ;
     - io_in[33] ( PIN io_in[33] ) ( mprj io_in[33] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1074740 0 ) ( 17710 * )
-      NEW met2 ( 17710 1074740 ) ( * 1166370 )
-      NEW met2 ( 345230 1166370 ) ( * 1171300 )
-      NEW met3 ( 345230 1171300 ) ( 357420 * )
-      NEW met3 ( 357420 1171220 ) ( * 1171300 )
-      NEW met3 ( 357420 1171220 ) ( 360180 * 0 )
-      NEW met1 ( 17710 1166370 ) ( 345230 * )
-      NEW met2 ( 17710 1074740 ) M2M3_PR_M
-      NEW met1 ( 17710 1166370 ) M1M2_PR
-      NEW met1 ( 345230 1166370 ) M1M2_PR
-      NEW met2 ( 345230 1171300 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1074740 0 ) ( 18170 * )
+      NEW met2 ( 18170 972570 ) ( * 1074740 )
+      NEW met2 ( 345230 972570 ) ( * 972740 )
+      NEW met3 ( 345230 972740 ) ( 357420 * )
+      NEW met3 ( 357420 972505 ) ( * 972740 )
+      NEW met3 ( 357420 972505 ) ( 360180 * 0 )
+      NEW met1 ( 18170 972570 ) ( 345230 * )
+      NEW met1 ( 18170 972570 ) M1M2_PR
+      NEW met2 ( 18170 1074740 ) M2M3_PR_M
+      NEW met1 ( 345230 972570 ) M1M2_PR
+      NEW met2 ( 345230 972740 ) M2M3_PR_M ;
     - io_in[34] ( PIN io_in[34] ) ( mprj io_in[34] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 814300 0 ) ( 17250 * )
-      NEW met2 ( 17250 814300 ) ( * 952510 )
-      NEW met2 ( 345230 952510 ) ( * 953020 )
-      NEW met3 ( 345230 953020 ) ( 357420 * )
-      NEW met3 ( 357420 953020 ) ( * 953465 )
-      NEW met3 ( 357420 953465 ) ( 360180 * 0 )
-      NEW met1 ( 17250 952510 ) ( 345230 * )
-      NEW met1 ( 17250 952510 ) M1M2_PR
-      NEW met2 ( 17250 814300 ) M2M3_PR_M
-      NEW met1 ( 345230 952510 ) M1M2_PR
-      NEW met2 ( 345230 953020 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 814300 0 ) ( 14490 * )
+      NEW met2 ( 14490 806990 ) ( * 814300 )
+      NEW met2 ( 345230 804780 ) ( * 806990 )
+      NEW met3 ( 345230 804780 ) ( 357420 * )
+      NEW met3 ( 357420 804450 ) ( * 804780 )
+      NEW met3 ( 357420 804450 ) ( 360180 * 0 )
+      NEW met1 ( 14490 806990 ) ( 345230 * )
+      NEW met1 ( 14490 806990 ) M1M2_PR
+      NEW met2 ( 14490 814300 ) M2M3_PR_M
+      NEW met1 ( 345230 806990 ) M1M2_PR
+      NEW met2 ( 345230 804780 ) M2M3_PR_M ;
     - io_in[35] ( PIN io_in[35] ) ( mprj io_in[35] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 553180 0 ) ( 18630 * )
-      NEW met2 ( 18630 553180 ) ( * 731510 )
-      NEW met2 ( 345230 731510 ) ( * 735420 )
-      NEW met3 ( 345230 735420 ) ( 357420 * )
-      NEW met3 ( 357420 735420 ) ( * 735610 )
-      NEW met3 ( 357420 735610 ) ( 360180 * 0 )
-      NEW met1 ( 18630 731510 ) ( 345230 * )
-      NEW met2 ( 18630 553180 ) M2M3_PR_M
-      NEW met1 ( 18630 731510 ) M1M2_PR
-      NEW met1 ( 345230 731510 ) M1M2_PR
-      NEW met2 ( 345230 735420 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 553180 0 ) ( 17250 * )
+      NEW met2 ( 17250 553180 ) ( * 634950 )
+      NEW met2 ( 345230 634950 ) ( * 636140 )
+      NEW met3 ( 345230 636140 ) ( 357420 * )
+      NEW met3 ( 357420 636015 ) ( * 636140 )
+      NEW met3 ( 357420 636015 ) ( 360180 * 0 )
+      NEW met1 ( 17250 634950 ) ( 345230 * )
+      NEW met2 ( 17250 553180 ) M2M3_PR_M
+      NEW met1 ( 17250 634950 ) M1M2_PR
+      NEW met1 ( 345230 634950 ) M1M2_PR
+      NEW met2 ( 345230 636140 ) M2M3_PR_M ;
     - io_in[36] ( PIN io_in[36] ) ( mprj io_in[36] ) + USE SIGNAL
       + ROUTED met3 ( 2300 358020 0 ) ( 17250 * )
-      NEW met2 ( 17250 358020 ) ( * 565930 )
-      NEW met2 ( 345230 565930 ) ( * 572220 )
-      NEW met3 ( 345230 572220 ) ( 357420 * )
-      NEW met3 ( 357420 572220 ) ( * 572245 )
-      NEW met3 ( 357420 572245 ) ( 360180 * 0 )
-      NEW met1 ( 17250 565930 ) ( 345230 * )
-      NEW met1 ( 17250 565930 ) M1M2_PR
+      NEW met2 ( 17250 358020 ) ( * 503710 )
+      NEW met2 ( 345230 503710 ) ( * 509660 )
+      NEW met3 ( 345230 509660 ) ( 357420 * )
+      NEW met3 ( 357420 509660 ) ( * 509950 )
+      NEW met3 ( 357420 509950 ) ( 360180 * 0 )
+      NEW met1 ( 17250 503710 ) ( 345230 * )
+      NEW met1 ( 17250 503710 ) M1M2_PR
       NEW met2 ( 17250 358020 ) M2M3_PR_M
-      NEW met1 ( 345230 565930 ) M1M2_PR
-      NEW met2 ( 345230 572220 ) M2M3_PR_M ;
+      NEW met1 ( 345230 503710 ) M1M2_PR
+      NEW met2 ( 345230 509660 ) M2M3_PR_M ;
     - io_in[37] ( PIN io_in[37] ) ( mprj io_in[37] ) + USE SIGNAL
       + ROUTED met3 ( 2300 162180 0 ) ( 18170 * )
-      NEW met2 ( 18170 162180 ) ( * 407150 )
-      NEW met2 ( 345230 407150 ) ( * 409020 )
-      NEW met3 ( 345230 409020 ) ( 357420 * )
-      NEW met3 ( 357420 408880 ) ( * 409020 )
-      NEW met3 ( 357420 408880 ) ( 360180 * 0 )
-      NEW met1 ( 18170 407150 ) ( 345230 * )
-      NEW met1 ( 18170 407150 ) M1M2_PR
+      NEW met2 ( 18170 162180 ) ( * 379610 )
+      NEW met2 ( 345230 379610 ) ( * 383860 )
+      NEW met3 ( 345230 383860 ) ( 357420 * )
+      NEW met3 ( 357420 383860 ) ( * 383885 )
+      NEW met3 ( 357420 383885 ) ( 360180 * 0 )
+      NEW met1 ( 18170 379610 ) ( 345230 * )
+      NEW met1 ( 18170 379610 ) M1M2_PR
       NEW met2 ( 18170 162180 ) M2M3_PR_M
-      NEW met1 ( 345230 407150 ) M1M2_PR
-      NEW met2 ( 345230 409020 ) M2M3_PR_M ;
+      NEW met1 ( 345230 379610 ) M1M2_PR
+      NEW met2 ( 345230 383860 ) M2M3_PR_M ;
     - io_in[3] ( PIN io_in[3] ) ( mprj io_in[3] ) + USE SIGNAL
-      + ROUTED met2 ( 2597850 634610 ) ( * 799340 )
+      + ROUTED met3 ( 2731940 685100 ) ( * 685510 )
+      NEW met3 ( 2729180 685510 0 ) ( 2731940 * )
       NEW met2 ( 2900990 630020 ) ( * 634610 )
       NEW met3 ( 2900990 630020 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 799340 ) ( * 799505 )
-      NEW met3 ( 2585660 799505 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 799340 ) ( 2597850 * )
-      NEW met1 ( 2597850 634610 ) ( 2900990 * )
-      NEW met2 ( 2597850 799340 ) M2M3_PR_M
-      NEW met1 ( 2597850 634610 ) M1M2_PR
+      NEW met3 ( 2731940 685100 ) ( 2743210 * )
+      NEW met2 ( 2743210 634610 ) ( * 685100 )
+      NEW met1 ( 2743210 634610 ) ( 2900990 * )
       NEW met1 ( 2900990 634610 ) M1M2_PR
-      NEW met2 ( 2900990 630020 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 630020 ) M2M3_PR_M
+      NEW met2 ( 2743210 685100 ) M2M3_PR_M
+      NEW met1 ( 2743210 634610 ) M1M2_PR ;
     - io_in[4] ( PIN io_in[4] ) ( mprj io_in[4] ) + USE SIGNAL
-      + ROUTED met2 ( 2597850 834870 ) ( * 965940 )
-      NEW met2 ( 2900990 829260 ) ( * 834870 )
+      + ROUTED met3 ( 2731940 813620 ) ( * 814045 )
+      NEW met3 ( 2729180 814045 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 828070 ) ( * 829260 )
       NEW met3 ( 2900990 829260 ) ( 2917780 * 0 )
-      NEW met3 ( 2586580 965940 ) ( * 966010 )
-      NEW met3 ( 2585660 966010 0 ) ( 2586580 * )
-      NEW met3 ( 2586580 965940 ) ( 2597850 * )
-      NEW met1 ( 2597850 834870 ) ( 2900990 * )
-      NEW met2 ( 2597850 965940 ) M2M3_PR_M
-      NEW met1 ( 2597850 834870 ) M1M2_PR
-      NEW met1 ( 2900990 834870 ) M1M2_PR
-      NEW met2 ( 2900990 829260 ) M2M3_PR_M ;
+      NEW met2 ( 2745970 813620 ) ( * 828070 )
+      NEW met3 ( 2731940 813620 ) ( 2745970 * )
+      NEW met1 ( 2745970 828070 ) ( 2900990 * )
+      NEW met1 ( 2900990 828070 ) M1M2_PR
+      NEW met2 ( 2900990 829260 ) M2M3_PR_M
+      NEW met2 ( 2745970 813620 ) M2M3_PR_M
+      NEW met1 ( 2745970 828070 ) M1M2_PR ;
     - io_in[5] ( PIN io_in[5] ) ( mprj io_in[5] ) + USE SIGNAL
-      + ROUTED met2 ( 2597850 1034790 ) ( * 1132540 )
-      NEW met2 ( 2899150 1028500 ) ( * 1034790 )
-      NEW met3 ( 2899150 1028500 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 1132510 ) ( * 1132540 )
-      NEW met3 ( 2585660 1132510 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1132540 ) ( 2597850 * )
-      NEW met1 ( 2597850 1034790 ) ( 2899150 * )
-      NEW met1 ( 2597850 1034790 ) M1M2_PR
-      NEW met2 ( 2597850 1132540 ) M2M3_PR_M
-      NEW met1 ( 2899150 1034790 ) M1M2_PR
-      NEW met2 ( 2899150 1028500 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2731940 942580 ) ( * 942820 )
+      NEW met3 ( 2729180 942580 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 1028330 ) ( * 1028500 )
+      NEW met3 ( 2900990 1028500 ) ( 2917780 * 0 )
+      NEW met3 ( 2731940 942820 ) ( 2743670 * )
+      NEW met2 ( 2743670 942820 ) ( * 1028330 )
+      NEW met1 ( 2743670 1028330 ) ( 2900990 * )
+      NEW met1 ( 2900990 1028330 ) M1M2_PR
+      NEW met2 ( 2900990 1028500 ) M2M3_PR_M
+      NEW met2 ( 2743670 942820 ) M2M3_PR_M
+      NEW met1 ( 2743670 1028330 ) M1M2_PR ;
     - io_in[6] ( PIN io_in[6] ) ( mprj io_in[6] ) + USE SIGNAL
-      + ROUTED met2 ( 2598310 1227910 ) ( * 1299140 )
-      NEW met2 ( 2900990 1227740 ) ( * 1227910 )
-      NEW met3 ( 2900990 1227740 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 1299010 ) ( * 1299140 )
-      NEW met3 ( 2585660 1299010 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1299140 ) ( 2598310 * )
-      NEW met1 ( 2598310 1227910 ) ( 2900990 * )
-      NEW met1 ( 2598310 1227910 ) M1M2_PR
-      NEW met2 ( 2598310 1299140 ) M2M3_PR_M
-      NEW met1 ( 2900990 1227910 ) M1M2_PR
-      NEW met2 ( 2900990 1227740 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2731940 1071115 ) ( * 1071340 )
+      NEW met3 ( 2729180 1071115 0 ) ( 2731940 * )
+      NEW met2 ( 2899150 1221450 ) ( * 1227740 )
+      NEW met3 ( 2899150 1227740 ) ( 2917780 * 0 )
+      NEW met3 ( 2731940 1071340 ) ( 2744130 * )
+      NEW met2 ( 2744130 1071340 ) ( * 1221450 )
+      NEW met1 ( 2744130 1221450 ) ( 2899150 * )
+      NEW met1 ( 2899150 1221450 ) M1M2_PR
+      NEW met2 ( 2899150 1227740 ) M2M3_PR_M
+      NEW met2 ( 2744130 1071340 ) M2M3_PR_M
+      NEW met1 ( 2744130 1221450 ) M1M2_PR ;
     - io_in[7] ( PIN io_in[7] ) ( mprj io_in[7] ) + USE SIGNAL
-      + ROUTED met2 ( 2596930 1497190 ) ( * 1520820 )
-      NEW met2 ( 2900990 1493620 ) ( * 1497190 )
+      + ROUTED met3 ( 2731940 1242590 ) ( * 1242700 )
+      NEW met3 ( 2729180 1242590 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 1490730 ) ( * 1493620 )
       NEW met3 ( 2900990 1493620 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 1520820 ) ( * 1520980 )
-      NEW met3 ( 2585660 1520980 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1520820 ) ( 2596930 * )
-      NEW met1 ( 2596930 1497190 ) ( 2900990 * )
-      NEW met2 ( 2596930 1520820 ) M2M3_PR_M
-      NEW met1 ( 2596930 1497190 ) M1M2_PR
-      NEW met1 ( 2900990 1497190 ) M1M2_PR
-      NEW met2 ( 2900990 1493620 ) M2M3_PR_M ;
+      NEW met3 ( 2731940 1242700 ) ( 2745050 * )
+      NEW met2 ( 2745050 1242700 ) ( * 1490730 )
+      NEW met1 ( 2745050 1490730 ) ( 2900990 * )
+      NEW met1 ( 2900990 1490730 ) M1M2_PR
+      NEW met2 ( 2900990 1493620 ) M2M3_PR_M
+      NEW met2 ( 2745050 1242700 ) M2M3_PR_M
+      NEW met1 ( 2745050 1490730 ) M1M2_PR ;
     - io_in[8] ( PIN io_in[8] ) ( mprj io_in[8] ) + USE SIGNAL
-      + ROUTED met2 ( 2599230 1743180 ) ( * 1759670 )
-      NEW met2 ( 2900990 1759500 ) ( * 1759670 )
+      + ROUTED met2 ( 2900990 1759500 ) ( * 1759670 )
       NEW met3 ( 2900990 1759500 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 1743050 ) ( * 1743180 )
-      NEW met3 ( 2585660 1743050 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1743180 ) ( 2599230 * )
-      NEW met1 ( 2599230 1759670 ) ( 2900990 * )
-      NEW met2 ( 2599230 1743180 ) M2M3_PR_M
-      NEW met1 ( 2599230 1759670 ) M1M2_PR
+      NEW met3 ( 2731940 1414060 ) ( * 1414065 )
+      NEW met3 ( 2729180 1414065 0 ) ( 2731940 * )
+      NEW met1 ( 2743210 1759670 ) ( 2900990 * )
+      NEW met3 ( 2731940 1414060 ) ( 2743210 * )
+      NEW met2 ( 2743210 1414060 ) ( * 1759670 )
       NEW met1 ( 2900990 1759670 ) M1M2_PR
-      NEW met2 ( 2900990 1759500 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 1759500 ) M2M3_PR_M
+      NEW met1 ( 2743210 1759670 ) M1M2_PR
+      NEW met2 ( 2743210 1414060 ) M2M3_PR_M ;
     - io_in[9] ( PIN io_in[9] ) ( mprj io_in[9] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 2021810 ) ( * 2024700 )
       NEW met3 ( 2900990 2024700 ) ( 2917780 * 0 )
-      NEW met2 ( 2597850 1964860 ) ( * 2021810 )
-      NEW met3 ( 2588420 1964860 ) ( * 1965020 )
-      NEW met3 ( 2585660 1965020 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1964860 ) ( 2597850 * )
-      NEW met1 ( 2597850 2021810 ) ( 2900990 * )
-      NEW met2 ( 2597850 1964860 ) M2M3_PR_M
-      NEW met1 ( 2597850 2021810 ) M1M2_PR
+      NEW met3 ( 2731940 1585420 ) ( * 1585540 )
+      NEW met3 ( 2729180 1585540 0 ) ( 2731940 * )
+      NEW met1 ( 2745970 2021810 ) ( 2900990 * )
+      NEW met3 ( 2731940 1585420 ) ( 2745970 * )
+      NEW met2 ( 2745970 1585420 ) ( * 2021810 )
       NEW met1 ( 2900990 2021810 ) M1M2_PR
-      NEW met2 ( 2900990 2024700 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 2024700 ) M2M3_PR_M
+      NEW met1 ( 2745970 2021810 ) M1M2_PR
+      NEW met2 ( 2745970 1585420 ) M2M3_PR_M ;
     - io_oeb[0] ( PIN io_oeb[0] ) ( mprj io_oeb[0] ) + USE SIGNAL
-      + ROUTED met2 ( 2598770 165410 ) ( * 411060 )
+      + ROUTED met3 ( 2731940 385220 ) ( * 385405 )
+      NEW met3 ( 2729180 385405 0 ) ( 2731940 * )
       NEW met2 ( 2900990 165410 ) ( * 165580 )
       NEW met3 ( 2900990 165580 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 410935 ) ( * 411060 )
-      NEW met3 ( 2585660 410935 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 411060 ) ( 2598770 * )
-      NEW met1 ( 2598770 165410 ) ( 2900990 * )
-      NEW met2 ( 2598770 411060 ) M2M3_PR_M
-      NEW met1 ( 2598770 165410 ) M1M2_PR
+      NEW met3 ( 2731940 385220 ) ( 2743670 * )
+      NEW met2 ( 2743670 165410 ) ( * 385220 )
+      NEW met1 ( 2743670 165410 ) ( 2900990 * )
       NEW met1 ( 2900990 165410 ) M1M2_PR
-      NEW met2 ( 2900990 165580 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 165580 ) M2M3_PR_M
+      NEW met2 ( 2743670 385220 ) M2M3_PR_M
+      NEW met1 ( 2743670 165410 ) M1M2_PR ;
     - io_oeb[10] ( PIN io_oeb[10] ) ( mprj io_oeb[10] ) + USE SIGNAL
-      + ROUTED met2 ( 2900990 2421990 ) ( * 2423180 )
+      + ROUTED met3 ( 2731940 1842460 ) ( * 1842610 )
+      NEW met3 ( 2729180 1842610 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 2421990 ) ( * 2423180 )
       NEW met3 ( 2900990 2423180 ) ( 2917780 * 0 )
-      NEW met2 ( 2597850 2298060 ) ( * 2421990 )
-      NEW met1 ( 2597850 2421990 ) ( 2900990 * )
-      NEW met3 ( 2588420 2298025 ) ( * 2298060 )
-      NEW met3 ( 2585660 2298025 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2298060 ) ( 2597850 * )
-      NEW met1 ( 2597850 2421990 ) M1M2_PR
+      NEW met3 ( 2731940 1842460 ) ( 2743210 * )
+      NEW met1 ( 2743210 2421990 ) ( 2900990 * )
+      NEW met2 ( 2743210 1842460 ) ( * 2421990 )
       NEW met1 ( 2900990 2421990 ) M1M2_PR
       NEW met2 ( 2900990 2423180 ) M2M3_PR_M
-      NEW met2 ( 2597850 2298060 ) M2M3_PR_M ;
+      NEW met2 ( 2743210 1842460 ) M2M3_PR_M
+      NEW met1 ( 2743210 2421990 ) M1M2_PR ;
     - io_oeb[11] ( PIN io_oeb[11] ) ( mprj io_oeb[11] ) + USE SIGNAL
-      + ROUTED met2 ( 2599230 2520420 ) ( * 2684130 )
+      + ROUTED met3 ( 2731940 2014085 ) ( * 2014500 )
+      NEW met3 ( 2729180 2014085 0 ) ( 2731940 * )
       NEW met2 ( 2900990 2684130 ) ( * 2689060 )
       NEW met3 ( 2900990 2689060 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 2520090 ) ( * 2520420 )
-      NEW met3 ( 2585660 2520090 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2520420 ) ( 2599230 * )
-      NEW met1 ( 2599230 2684130 ) ( 2900990 * )
-      NEW met2 ( 2599230 2520420 ) M2M3_PR_M
-      NEW met1 ( 2599230 2684130 ) M1M2_PR
+      NEW met2 ( 2741830 2014500 ) ( * 2014670 )
+      NEW met1 ( 2741830 2014670 ) ( 2756550 * )
+      NEW met3 ( 2731940 2014500 ) ( 2741830 * )
+      NEW met2 ( 2756550 2014670 ) ( * 2684130 )
+      NEW met1 ( 2756550 2684130 ) ( 2900990 * )
       NEW met1 ( 2900990 2684130 ) M1M2_PR
-      NEW met2 ( 2900990 2689060 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 2689060 ) M2M3_PR_M
+      NEW met2 ( 2741830 2014500 ) M2M3_PR_M
+      NEW met1 ( 2741830 2014670 ) M1M2_PR
+      NEW met1 ( 2756550 2014670 ) M1M2_PR
+      NEW met1 ( 2756550 2684130 ) M1M2_PR ;
     - io_oeb[12] ( PIN io_oeb[12] ) ( mprj io_oeb[12] ) + USE SIGNAL
-      + ROUTED met2 ( 2599230 2742100 ) ( * 2953410 )
+      + ROUTED met3 ( 2731940 2185465 ) ( * 2185860 )
+      NEW met3 ( 2729180 2185465 0 ) ( 2731940 * )
       NEW met2 ( 2899150 2953410 ) ( * 2954940 )
       NEW met3 ( 2899150 2954940 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 2742060 ) ( * 2742100 )
-      NEW met3 ( 2585660 2742060 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2742100 ) ( 2599230 * )
-      NEW met1 ( 2599230 2953410 ) ( 2899150 * )
-      NEW met2 ( 2599230 2742100 ) M2M3_PR_M
-      NEW met1 ( 2599230 2953410 ) M1M2_PR
+      NEW met2 ( 2745050 2185860 ) ( * 2186030 )
+      NEW met1 ( 2745050 2186030 ) ( 2777250 * )
+      NEW met3 ( 2731940 2185860 ) ( 2745050 * )
+      NEW met2 ( 2777250 2186030 ) ( * 2953410 )
+      NEW met1 ( 2777250 2953410 ) ( 2899150 * )
       NEW met1 ( 2899150 2953410 ) M1M2_PR
-      NEW met2 ( 2899150 2954940 ) M2M3_PR_M ;
+      NEW met2 ( 2899150 2954940 ) M2M3_PR_M
+      NEW met2 ( 2745050 2185860 ) M2M3_PR_M
+      NEW met1 ( 2745050 2186030 ) M1M2_PR
+      NEW met1 ( 2777250 2186030 ) M1M2_PR
+      NEW met1 ( 2777250 2953410 ) M1M2_PR ;
     - io_oeb[13] ( PIN io_oeb[13] ) ( mprj io_oeb[13] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 3215550 ) ( * 3220140 )
       NEW met3 ( 2900990 3220140 ) ( 2917780 * 0 )
-      NEW met2 ( 2599690 2964460 ) ( * 3215550 )
-      NEW met1 ( 2599690 3215550 ) ( 2900990 * )
-      NEW met3 ( 2588420 2964130 ) ( * 2964460 )
-      NEW met3 ( 2585660 2964130 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2964460 ) ( 2599690 * )
-      NEW met1 ( 2599690 3215550 ) M1M2_PR
+      NEW met3 ( 2731940 2357035 ) ( * 2357220 )
+      NEW met3 ( 2729180 2357035 0 ) ( 2731940 * )
+      NEW met1 ( 2744130 3215550 ) ( 2900990 * )
+      NEW met3 ( 2731940 2357220 ) ( 2744130 * )
+      NEW met2 ( 2744130 2357220 ) ( * 3215550 )
       NEW met1 ( 2900990 3215550 ) M1M2_PR
       NEW met2 ( 2900990 3220140 ) M2M3_PR_M
-      NEW met2 ( 2599690 2964460 ) M2M3_PR_M ;
+      NEW met1 ( 2744130 3215550 ) M1M2_PR
+      NEW met2 ( 2744130 2357220 ) M2M3_PR_M ;
     - io_oeb[14] ( PIN io_oeb[14] ) ( mprj io_oeb[14] ) + USE SIGNAL
-      + ROUTED met2 ( 2900990 3484830 ) ( * 3486020 )
+      + ROUTED met3 ( 2731940 2525180 ) ( * 2525375 )
+      NEW met3 ( 2729180 2525375 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 3484830 ) ( * 3486020 )
       NEW met3 ( 2900990 3486020 ) ( 2917780 * 0 )
-      NEW met2 ( 2597850 3184100 ) ( * 3484830 )
-      NEW met3 ( 2588420 3183845 ) ( * 3184100 )
-      NEW met3 ( 2585660 3183845 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 3184100 ) ( 2597850 * )
-      NEW met1 ( 2597850 3484830 ) ( 2900990 * )
-      NEW met2 ( 2597850 3184100 ) M2M3_PR_M
-      NEW met1 ( 2597850 3484830 ) M1M2_PR
+      NEW met3 ( 2731940 2525180 ) ( 2742750 * )
+      NEW met1 ( 2742750 3484830 ) ( 2900990 * )
+      NEW met2 ( 2742750 2525180 ) ( * 3484830 )
       NEW met1 ( 2900990 3484830 ) M1M2_PR
-      NEW met2 ( 2900990 3486020 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 3486020 ) M2M3_PR_M
+      NEW met2 ( 2742750 2525180 ) M2M3_PR_M
+      NEW met1 ( 2742750 3484830 ) M1M2_PR ;
     - io_oeb[15] ( PIN io_oeb[15] ) ( mprj io_oeb[15] ) + USE SIGNAL
-      + ROUTED met2 ( 2636030 3502510 ) ( * 3517980 0 )
-      NEW met1 ( 2394990 3208750 ) ( 2400970 * )
-      NEW met2 ( 2394990 3196340 ) ( * 3208750 )
-      NEW met2 ( 2394990 3196340 ) ( 2395100 * )
-      NEW met2 ( 2395100 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 2400970 3502510 ) ( 2636030 * )
-      NEW met2 ( 2400970 3208750 ) ( * 3502510 )
-      NEW met1 ( 2636030 3502510 ) M1M2_PR
-      NEW met1 ( 2400970 3208750 ) M1M2_PR
-      NEW met1 ( 2394990 3208750 ) M1M2_PR
-      NEW met1 ( 2400970 3502510 ) M1M2_PR ;
+      + ROUTED met1 ( 2512750 2607970 ) ( 2518270 * )
+      NEW met2 ( 2512900 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 2512750 2591140 ) ( 2512900 * )
+      NEW met2 ( 2512750 2591140 ) ( * 2607970 )
+      NEW met2 ( 2518270 2607970 ) ( * 3501490 )
+      NEW met2 ( 2636030 3501490 ) ( * 3517980 0 )
+      NEW met1 ( 2518270 3501490 ) ( 2636030 * )
+      NEW met1 ( 2512750 2607970 ) M1M2_PR
+      NEW met1 ( 2518270 2607970 ) M1M2_PR
+      NEW met1 ( 2518270 3501490 ) M1M2_PR
+      NEW met1 ( 2636030 3501490 ) M1M2_PR ;
     - io_oeb[16] ( PIN io_oeb[16] ) ( mprj io_oeb[16] ) + USE SIGNAL
-      + ROUTED met1 ( 2140610 3208750 ) ( 2145670 * )
-      NEW met2 ( 2140610 3196340 ) ( * 3208750 )
-      NEW met2 ( 2140610 3196340 ) ( 2140700 * )
-      NEW met2 ( 2140700 3194980 0 ) ( * 3196340 )
-      NEW met2 ( 2145670 3208750 ) ( * 3501490 )
+      + ROUTED met1 ( 2243650 2605590 ) ( 2249170 * )
+      NEW met2 ( 2243800 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 2243650 2591140 ) ( 2243800 * )
+      NEW met2 ( 2243650 2591140 ) ( * 2605590 )
+      NEW met2 ( 2249170 2605590 ) ( * 3501490 )
       NEW met2 ( 2311730 3501490 ) ( * 3517980 0 )
-      NEW met1 ( 2145670 3501490 ) ( 2311730 * )
-      NEW met1 ( 2145670 3208750 ) M1M2_PR
-      NEW met1 ( 2140610 3208750 ) M1M2_PR
-      NEW met1 ( 2145670 3501490 ) M1M2_PR
+      NEW met1 ( 2249170 3501490 ) ( 2311730 * )
+      NEW met1 ( 2243650 2605590 ) M1M2_PR
+      NEW met1 ( 2249170 2605590 ) M1M2_PR
+      NEW met1 ( 2249170 3501490 ) M1M2_PR
       NEW met1 ( 2311730 3501490 ) M1M2_PR ;
     - io_oeb[17] ( PIN io_oeb[17] ) ( mprj io_oeb[17] ) + USE SIGNAL
-      + ROUTED met1 ( 1886230 3208750 ) ( 1890370 * )
-      NEW met2 ( 1886230 3196340 ) ( * 3208750 )
-      NEW met2 ( 1886230 3196340 ) ( 1886300 * )
-      NEW met2 ( 1886300 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 1890370 3501830 ) ( 1987430 * )
-      NEW met2 ( 1890370 3208750 ) ( * 3501830 )
-      NEW met2 ( 1987430 3501830 ) ( * 3517980 0 )
-      NEW met1 ( 1890370 3208750 ) M1M2_PR
-      NEW met1 ( 1886230 3208750 ) M1M2_PR
-      NEW met1 ( 1890370 3501830 ) M1M2_PR
-      NEW met1 ( 1987430 3501830 ) M1M2_PR ;
+      + ROUTED met1 ( 1974550 2607970 ) ( 1980070 * )
+      NEW met1 ( 1980070 3498430 ) ( 1987430 * )
+      NEW met2 ( 1974700 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 1974550 2591140 ) ( 1974700 * )
+      NEW met2 ( 1974550 2591140 ) ( * 2607970 )
+      NEW met2 ( 1980070 2607970 ) ( * 3498430 )
+      NEW met2 ( 1987430 3498430 ) ( * 3517980 0 )
+      NEW met1 ( 1974550 2607970 ) M1M2_PR
+      NEW met1 ( 1980070 2607970 ) M1M2_PR
+      NEW met1 ( 1980070 3498430 ) M1M2_PR
+      NEW met1 ( 1987430 3498430 ) M1M2_PR ;
     - io_oeb[18] ( PIN io_oeb[18] ) ( mprj io_oeb[18] ) + USE SIGNAL
-      + ROUTED met1 ( 1631850 3208750 ) ( 1638750 * )
-      NEW met2 ( 1631850 3196340 ) ( * 3208750 )
-      NEW met2 ( 1631850 3196340 ) ( 1631900 * )
-      NEW met2 ( 1631900 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 1638750 3498430 ) ( 1662670 * )
-      NEW met2 ( 1638750 3208750 ) ( * 3498430 )
-      NEW met2 ( 1662670 3498430 ) ( * 3517980 0 )
-      NEW met1 ( 1638750 3208750 ) M1M2_PR
-      NEW met1 ( 1631850 3208750 ) M1M2_PR
-      NEW met1 ( 1638750 3498430 ) M1M2_PR
-      NEW met1 ( 1662670 3498430 ) M1M2_PR ;
+      + ROUTED met2 ( 1662670 2604570 ) ( * 3517980 0 )
+      NEW met1 ( 1662670 2604570 ) ( 1705450 * )
+      NEW met2 ( 1705500 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 1705450 2591140 ) ( 1705500 * )
+      NEW met2 ( 1705450 2591140 ) ( * 2604570 )
+      NEW met1 ( 1662670 2604570 ) M1M2_PR
+      NEW met1 ( 1705450 2604570 ) M1M2_PR ;
     - io_oeb[19] ( PIN io_oeb[19] ) ( mprj io_oeb[19] ) + USE SIGNAL
-      + ROUTED met2 ( 1377470 3196340 ) ( * 3211810 )
-      NEW met2 ( 1377470 3196340 ) ( 1377500 * )
-      NEW met2 ( 1377500 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 1338370 3211810 ) ( 1377470 * )
-      NEW met2 ( 1338370 3211810 ) ( * 3517980 0 )
-      NEW met1 ( 1377470 3211810 ) M1M2_PR
-      NEW met1 ( 1338370 3211810 ) M1M2_PR ;
+      + ROUTED met2 ( 1436400 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 1436350 2591140 ) ( 1436400 * )
+      NEW met2 ( 1436350 2591140 ) ( * 2604570 )
+      NEW met1 ( 1338370 2604570 ) ( 1436350 * )
+      NEW met2 ( 1338370 2604570 ) ( * 3517980 0 )
+      NEW met1 ( 1436350 2604570 ) M1M2_PR
+      NEW met1 ( 1338370 2604570 ) M1M2_PR ;
     - io_oeb[1] ( PIN io_oeb[1] ) ( mprj io_oeb[1] ) + USE SIGNAL
-      + ROUTED met2 ( 2597850 365670 ) ( * 577660 )
+      + ROUTED met3 ( 2731940 513740 ) ( * 514035 )
+      NEW met3 ( 2729180 514035 0 ) ( 2731940 * )
       NEW met2 ( 2900990 364820 ) ( * 365670 )
       NEW met3 ( 2900990 364820 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 577440 ) ( * 577660 )
-      NEW met3 ( 2585660 577440 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 577660 ) ( 2597850 * )
-      NEW met1 ( 2597850 365670 ) ( 2900990 * )
-      NEW met2 ( 2597850 577660 ) M2M3_PR_M
-      NEW met1 ( 2597850 365670 ) M1M2_PR
+      NEW met3 ( 2731940 513740 ) ( 2742750 * )
+      NEW met2 ( 2742750 365670 ) ( * 513740 )
+      NEW met1 ( 2742750 365670 ) ( 2900990 * )
       NEW met1 ( 2900990 365670 ) M1M2_PR
-      NEW met2 ( 2900990 364820 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 364820 ) M2M3_PR_M
+      NEW met2 ( 2742750 513740 ) M2M3_PR_M
+      NEW met1 ( 2742750 365670 ) M1M2_PR ;
     - io_oeb[20] ( PIN io_oeb[20] ) ( mprj io_oeb[20] ) + USE SIGNAL
-      + ROUTED met2 ( 1123090 3196340 ) ( * 3212150 )
-      NEW met2 ( 1123090 3196340 ) ( 1123100 * )
-      NEW met2 ( 1123100 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 1014070 3212150 ) ( 1123090 * )
-      NEW met2 ( 1014070 3212150 ) ( * 3517980 0 )
-      NEW met1 ( 1014070 3212150 ) M1M2_PR
-      NEW met1 ( 1123090 3212150 ) M1M2_PR ;
+      + ROUTED met2 ( 1167300 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 1167250 2591140 ) ( 1167300 * )
+      NEW met2 ( 1167250 2591140 ) ( * 2604910 )
+      NEW met1 ( 1014070 2604910 ) ( 1167250 * )
+      NEW met2 ( 1014070 2604910 ) ( * 3517980 0 )
+      NEW met1 ( 1167250 2604910 ) M1M2_PR
+      NEW met1 ( 1014070 2604910 ) M1M2_PR ;
     - io_oeb[21] ( PIN io_oeb[21] ) ( mprj io_oeb[21] ) + USE SIGNAL
-      + ROUTED met2 ( 868710 3196340 ) ( * 3211810 )
-      NEW met2 ( 868700 3196340 ) ( 868710 * )
-      NEW met2 ( 868700 3194980 0 ) ( * 3196340 )
-      NEW met2 ( 689770 3211810 ) ( * 3512100 )
+      + ROUTED met2 ( 689770 2604570 ) ( * 3512100 )
       NEW met2 ( 689310 3512100 ) ( 689770 * )
       NEW met2 ( 689310 3512100 ) ( * 3517980 0 )
-      NEW met1 ( 689770 3211810 ) ( 868710 * )
-      NEW met1 ( 689770 3211810 ) M1M2_PR
-      NEW met1 ( 868710 3211810 ) M1M2_PR ;
+      NEW met2 ( 898200 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 898150 2591140 ) ( 898200 * )
+      NEW met2 ( 898150 2591140 ) ( * 2604570 )
+      NEW met1 ( 689770 2604570 ) ( 898150 * )
+      NEW met1 ( 689770 2604570 ) M1M2_PR
+      NEW met1 ( 898150 2604570 ) M1M2_PR ;
     - io_oeb[22] ( PIN io_oeb[22] ) ( mprj io_oeb[22] ) + USE SIGNAL
-      + ROUTED met2 ( 614330 3196340 ) ( * 3211810 )
-      NEW met2 ( 614300 3196340 ) ( 614330 * )
-      NEW met2 ( 614300 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 365470 3211810 ) ( 614330 * )
-      NEW met2 ( 365470 3211810 ) ( * 3512100 )
+      + ROUTED met1 ( 365470 2604570 ) ( 629050 * )
+      NEW met2 ( 365470 2604570 ) ( * 3512100 )
       NEW met2 ( 365010 3512100 ) ( 365470 * )
       NEW met2 ( 365010 3512100 ) ( * 3517980 0 )
-      NEW met1 ( 365470 3211810 ) M1M2_PR
-      NEW met1 ( 614330 3211810 ) M1M2_PR ;
+      NEW met2 ( 629000 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 629000 2591140 ) ( 629050 * )
+      NEW met2 ( 629050 2591140 ) ( * 2604570 )
+      NEW met1 ( 365470 2604570 ) M1M2_PR
+      NEW met1 ( 629050 2604570 ) M1M2_PR ;
     - io_oeb[23] ( PIN io_oeb[23] ) ( mprj io_oeb[23] ) + USE SIGNAL
-      + ROUTED met2 ( 364090 3196340 ) ( * 3211810 )
-      NEW met2 ( 364090 3196340 ) ( 364300 * )
-      NEW met2 ( 364300 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 41170 3211810 ) ( 364090 * )
-      NEW met2 ( 41170 3211810 ) ( * 3512100 )
+      + ROUTED met1 ( 41170 2604570 ) ( 364550 * )
+      NEW met2 ( 41170 2604570 ) ( * 3512100 )
       NEW met2 ( 40710 3512100 ) ( 41170 * )
       NEW met2 ( 40710 3512100 ) ( * 3517980 0 )
-      NEW met1 ( 41170 3211810 ) M1M2_PR
-      NEW met1 ( 364090 3211810 ) M1M2_PR ;
+      NEW met2 ( 364800 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 364550 2591140 ) ( 364800 * )
+      NEW met2 ( 364550 2591140 ) ( * 2604570 )
+      NEW met1 ( 41170 2604570 ) M1M2_PR
+      NEW met1 ( 364550 2604570 ) M1M2_PR ;
     - io_oeb[24] ( PIN io_oeb[24] ) ( mprj io_oeb[24] ) + USE SIGNAL
       + ROUTED met3 ( 2300 3290860 0 ) ( 18630 * )
-      NEW met2 ( 18630 3028890 ) ( * 3290860 )
-      NEW met2 ( 345230 3022940 ) ( * 3028890 )
-      NEW met3 ( 345230 3022940 ) ( 357420 * )
-      NEW met3 ( 357420 3022735 ) ( * 3022940 )
-      NEW met3 ( 357420 3022735 ) ( 360180 * 0 )
-      NEW met1 ( 18630 3028890 ) ( 345230 * )
-      NEW met1 ( 18630 3028890 ) M1M2_PR
+      NEW met2 ( 18630 2408050 ) ( * 3290860 )
+      NEW met2 ( 345230 2402100 ) ( * 2408050 )
+      NEW met3 ( 345230 2402100 ) ( 357420 * )
+      NEW met3 ( 357420 2402100 ) ( * 2402255 )
+      NEW met3 ( 357420 2402255 ) ( 360180 * 0 )
+      NEW met1 ( 18630 2408050 ) ( 345230 * )
+      NEW met1 ( 18630 2408050 ) M1M2_PR
       NEW met2 ( 18630 3290860 ) M2M3_PR_M
-      NEW met1 ( 345230 3028890 ) M1M2_PR
-      NEW met2 ( 345230 3022940 ) M2M3_PR_M ;
+      NEW met1 ( 345230 2408050 ) M1M2_PR
+      NEW met2 ( 345230 2402100 ) M2M3_PR_M ;
     - io_oeb[25] ( PIN io_oeb[25] ) ( mprj io_oeb[25] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 3030420 0 ) ( 18170 * )
-      NEW met2 ( 18170 2808230 ) ( * 3030420 )
-      NEW met2 ( 345230 2804660 ) ( * 2808230 )
-      NEW met3 ( 345230 2804660 ) ( 357420 * )
-      NEW met3 ( 357420 2804660 ) ( * 2804880 )
-      NEW met3 ( 357420 2804880 ) ( 360180 * 0 )
-      NEW met1 ( 18170 2808230 ) ( 345230 * )
-      NEW met1 ( 18170 2808230 ) M1M2_PR
-      NEW met2 ( 18170 3030420 ) M2M3_PR_M
-      NEW met1 ( 345230 2808230 ) M1M2_PR
-      NEW met2 ( 345230 2804660 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 3030420 0 ) ( 20470 * )
+      NEW met2 ( 20470 2235330 ) ( * 3030420 )
+      NEW met2 ( 345230 2234140 ) ( * 2235330 )
+      NEW met3 ( 345230 2234140 ) ( 357420 * )
+      NEW met3 ( 357420 2234010 ) ( * 2234140 )
+      NEW met3 ( 357420 2234010 ) ( 360180 * 0 )
+      NEW met1 ( 20470 2235330 ) ( 345230 * )
+      NEW met1 ( 20470 2235330 ) M1M2_PR
+      NEW met2 ( 20470 3030420 ) M2M3_PR_M
+      NEW met1 ( 345230 2235330 ) M1M2_PR
+      NEW met2 ( 345230 2234140 ) M2M3_PR_M ;
     - io_oeb[26] ( PIN io_oeb[26] ) ( mprj io_oeb[26] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2769300 0 ) ( 18170 * )
-      NEW met2 ( 18170 2587230 ) ( * 2769300 )
-      NEW met2 ( 345230 2587060 ) ( * 2587230 )
-      NEW met3 ( 345230 2587060 ) ( 357420 * )
-      NEW met3 ( 357420 2587060 ) ( * 2587125 )
-      NEW met3 ( 357420 2587125 ) ( 360180 * 0 )
-      NEW met1 ( 18170 2587230 ) ( 345230 * )
-      NEW met1 ( 18170 2587230 ) M1M2_PR
-      NEW met2 ( 18170 2769300 ) M2M3_PR_M
-      NEW met1 ( 345230 2587230 ) M1M2_PR
-      NEW met2 ( 345230 2587060 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2769300 0 ) ( 15410 * )
+      NEW met2 ( 15410 2069750 ) ( * 2769300 )
+      NEW met2 ( 345230 2066180 ) ( * 2069750 )
+      NEW met3 ( 345230 2066180 ) ( 357420 * )
+      NEW met3 ( 357420 2065860 ) ( * 2066180 )
+      NEW met3 ( 357420 2065860 ) ( 360180 * 0 )
+      NEW met1 ( 15410 2069750 ) ( 345230 * )
+      NEW met1 ( 15410 2069750 ) M1M2_PR
+      NEW met2 ( 15410 2769300 ) M2M3_PR_M
+      NEW met1 ( 345230 2069750 ) M1M2_PR
+      NEW met2 ( 345230 2066180 ) M2M3_PR_M ;
     - io_oeb[27] ( PIN io_oeb[27] ) ( mprj io_oeb[27] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2508860 0 ) ( 18170 * )
-      NEW met2 ( 18170 2373370 ) ( * 2508860 )
-      NEW met2 ( 345230 2369460 ) ( * 2373370 )
-      NEW met3 ( 345230 2369460 ) ( 357420 * )
-      NEW met3 ( 357420 2369270 ) ( * 2369460 )
-      NEW met3 ( 357420 2369270 ) ( 360180 * 0 )
-      NEW met1 ( 18170 2373370 ) ( 345230 * )
-      NEW met2 ( 18170 2508860 ) M2M3_PR_M
-      NEW met1 ( 18170 2373370 ) M1M2_PR
-      NEW met1 ( 345230 2373370 ) M1M2_PR
-      NEW met2 ( 345230 2369460 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2508860 0 ) ( 17250 * )
+      NEW met2 ( 17250 1904170 ) ( * 2508860 )
+      NEW met2 ( 345230 1897540 ) ( * 1904170 )
+      NEW met3 ( 345230 1897540 ) ( 357420 * )
+      NEW met3 ( 357420 1897540 ) ( * 1897615 )
+      NEW met3 ( 357420 1897615 ) ( 360180 * 0 )
+      NEW met1 ( 17250 1904170 ) ( 345230 * )
+      NEW met2 ( 17250 2508860 ) M2M3_PR_M
+      NEW met1 ( 17250 1904170 ) M1M2_PR
+      NEW met1 ( 345230 1904170 ) M1M2_PR
+      NEW met2 ( 345230 1897540 ) M2M3_PR_M ;
     - io_oeb[28] ( PIN io_oeb[28] ) ( mprj io_oeb[28] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2247740 0 ) ( 17250 * )
-      NEW met2 ( 17250 2152710 ) ( * 2247740 )
-      NEW met2 ( 345230 2151860 ) ( * 2152710 )
-      NEW met3 ( 345230 2151860 ) ( 357420 * )
-      NEW met3 ( 357420 2151415 ) ( * 2151860 )
-      NEW met3 ( 357420 2151415 ) ( 360180 * 0 )
-      NEW met1 ( 17250 2152710 ) ( 345230 * )
-      NEW met1 ( 17250 2152710 ) M1M2_PR
-      NEW met2 ( 17250 2247740 ) M2M3_PR_M
-      NEW met1 ( 345230 2152710 ) M1M2_PR
-      NEW met2 ( 345230 2151860 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2247740 0 ) ( 19090 * )
+      NEW met2 ( 19090 1731790 ) ( * 2247740 )
+      NEW met2 ( 345230 1729580 ) ( * 1731790 )
+      NEW met3 ( 345230 1729580 ) ( 357420 * )
+      NEW met3 ( 357420 1729560 ) ( * 1729580 )
+      NEW met3 ( 357420 1729560 ) ( 360180 * 0 )
+      NEW met1 ( 19090 1731790 ) ( 345230 * )
+      NEW met1 ( 19090 1731790 ) M1M2_PR
+      NEW met2 ( 19090 2247740 ) M2M3_PR_M
+      NEW met1 ( 345230 1731790 ) M1M2_PR
+      NEW met2 ( 345230 1729580 ) M2M3_PR_M ;
     - io_oeb[29] ( PIN io_oeb[29] ) ( mprj io_oeb[29] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1987300 0 ) ( 17250 * )
-      NEW met2 ( 17250 1938850 ) ( * 1987300 )
-      NEW met2 ( 345230 1933580 ) ( * 1938850 )
-      NEW met3 ( 345230 1933580 ) ( 357420 * )
-      NEW met3 ( 357420 1933580 ) ( * 1933660 )
-      NEW met3 ( 357420 1933660 ) ( 360180 * 0 )
-      NEW met1 ( 17250 1938850 ) ( 345230 * )
-      NEW met1 ( 17250 1938850 ) M1M2_PR
-      NEW met2 ( 17250 1987300 ) M2M3_PR_M
-      NEW met1 ( 345230 1938850 ) M1M2_PR
-      NEW met2 ( 345230 1933580 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1987300 0 ) ( 16790 * )
+      NEW met2 ( 16790 1566210 ) ( * 1987300 )
+      NEW met2 ( 345230 1561620 ) ( * 1566210 )
+      NEW met3 ( 345230 1561620 ) ( 357420 * )
+      NEW met3 ( 357420 1561220 ) ( * 1561620 )
+      NEW met3 ( 357420 1561220 ) ( 360180 * 0 )
+      NEW met1 ( 16790 1566210 ) ( 345230 * )
+      NEW met1 ( 16790 1566210 ) M1M2_PR
+      NEW met2 ( 16790 1987300 ) M2M3_PR_M
+      NEW met1 ( 345230 1566210 ) M1M2_PR
+      NEW met2 ( 345230 1561620 ) M2M3_PR_M ;
     - io_oeb[2] ( PIN io_oeb[2] ) ( mprj io_oeb[2] ) + USE SIGNAL
-      + ROUTED met2 ( 2599230 565590 ) ( * 743580 )
+      + ROUTED met3 ( 2731940 642260 ) ( * 642665 )
+      NEW met3 ( 2729180 642665 0 ) ( 2731940 * )
       NEW met2 ( 2899150 564060 ) ( * 565590 )
       NEW met3 ( 2899150 564060 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 743580 ) ( * 743940 )
-      NEW met3 ( 2585660 743940 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 743580 ) ( 2599230 * )
-      NEW met1 ( 2599230 565590 ) ( 2899150 * )
-      NEW met1 ( 2599230 565590 ) M1M2_PR
-      NEW met2 ( 2599230 743580 ) M2M3_PR_M
+      NEW met3 ( 2731940 642260 ) ( 2742750 * )
+      NEW met2 ( 2742750 565590 ) ( * 642260 )
+      NEW met1 ( 2742750 565590 ) ( 2899150 * )
       NEW met1 ( 2899150 565590 ) M1M2_PR
-      NEW met2 ( 2899150 564060 ) M2M3_PR_M ;
+      NEW met2 ( 2899150 564060 ) M2M3_PR_M
+      NEW met1 ( 2742750 565590 ) M1M2_PR
+      NEW met2 ( 2742750 642260 ) M2M3_PR_M ;
     - io_oeb[30] ( PIN io_oeb[30] ) ( mprj io_oeb[30] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1726860 0 ) ( 15870 * )
-      NEW met2 ( 15870 1717850 ) ( * 1726860 )
-      NEW met2 ( 345230 1715980 ) ( * 1717850 )
-      NEW met3 ( 345230 1715980 ) ( 357420 * )
-      NEW met3 ( 357420 1715805 ) ( * 1715980 )
-      NEW met3 ( 357420 1715805 ) ( 360180 * 0 )
-      NEW met1 ( 15870 1717850 ) ( 345230 * )
-      NEW met2 ( 15870 1726860 ) M2M3_PR_M
-      NEW met1 ( 15870 1717850 ) M1M2_PR
-      NEW met1 ( 345230 1717850 ) M1M2_PR
-      NEW met2 ( 345230 1715980 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1726860 0 ) ( 18170 * )
+      NEW met2 ( 18170 1393490 ) ( * 1726860 )
+      NEW met2 ( 345230 1392980 ) ( * 1393490 )
+      NEW met3 ( 345230 1392980 ) ( 357420 * )
+      NEW met3 ( 357420 1392980 ) ( * 1393070 )
+      NEW met3 ( 357420 1393070 ) ( 360180 * 0 )
+      NEW met1 ( 18170 1393490 ) ( 345230 * )
+      NEW met2 ( 18170 1726860 ) M2M3_PR_M
+      NEW met1 ( 18170 1393490 ) M1M2_PR
+      NEW met1 ( 345230 1393490 ) M1M2_PR
+      NEW met2 ( 345230 1392980 ) M2M3_PR_M ;
     - io_oeb[31] ( PIN io_oeb[31] ) ( mprj io_oeb[31] ) + USE SIGNAL
       + ROUTED met3 ( 2300 1465740 0 ) ( 17250 * )
-      NEW met2 ( 17250 1465740 ) ( * 1497530 )
-      NEW met2 ( 345230 1497530 ) ( * 1497700 )
-      NEW met3 ( 345230 1497700 ) ( 357420 * )
-      NEW met3 ( 357420 1497700 ) ( * 1497950 )
-      NEW met3 ( 357420 1497950 ) ( 360180 * 0 )
-      NEW met1 ( 17250 1497530 ) ( 345230 * )
+      NEW met2 ( 17250 1227910 ) ( * 1465740 )
+      NEW met2 ( 345230 1225020 ) ( * 1227910 )
+      NEW met3 ( 345230 1225020 ) ( 357420 * )
+      NEW met3 ( 357420 1224825 ) ( * 1225020 )
+      NEW met3 ( 357420 1224825 ) ( 360180 * 0 )
+      NEW met1 ( 17250 1227910 ) ( 345230 * )
       NEW met2 ( 17250 1465740 ) M2M3_PR_M
-      NEW met1 ( 17250 1497530 ) M1M2_PR
-      NEW met1 ( 345230 1497530 ) M1M2_PR
-      NEW met2 ( 345230 1497700 ) M2M3_PR_M ;
+      NEW met1 ( 17250 1227910 ) M1M2_PR
+      NEW met1 ( 345230 1227910 ) M1M2_PR
+      NEW met2 ( 345230 1225020 ) M2M3_PR_M ;
     - io_oeb[32] ( PIN io_oeb[32] ) ( mprj io_oeb[32] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1205300 0 ) ( 17710 * )
-      NEW met2 ( 17710 1205300 ) ( * 1276530 )
-      NEW met2 ( 345230 1276530 ) ( * 1280100 )
-      NEW met3 ( 345230 1280100 ) ( 357420 * )
-      NEW met3 ( 357420 1280100 ) ( * 1280195 )
-      NEW met3 ( 357420 1280195 ) ( 360180 * 0 )
-      NEW met1 ( 17710 1276530 ) ( 345230 * )
-      NEW met1 ( 17710 1276530 ) M1M2_PR
-      NEW met2 ( 17710 1205300 ) M2M3_PR_M
-      NEW met1 ( 345230 1276530 ) M1M2_PR
-      NEW met2 ( 345230 1280100 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1205300 0 ) ( 17250 * )
+      NEW met2 ( 17250 1062330 ) ( * 1205300 )
+      NEW met2 ( 345230 1056380 ) ( * 1062330 )
+      NEW met3 ( 345230 1056380 ) ( 357420 * )
+      NEW met3 ( 357420 1056380 ) ( * 1056580 )
+      NEW met3 ( 357420 1056580 ) ( 360180 * 0 )
+      NEW met1 ( 17250 1062330 ) ( 345230 * )
+      NEW met1 ( 17250 1062330 ) M1M2_PR
+      NEW met2 ( 17250 1205300 ) M2M3_PR_M
+      NEW met1 ( 345230 1062330 ) M1M2_PR
+      NEW met2 ( 345230 1056380 ) M2M3_PR_M ;
     - io_oeb[33] ( PIN io_oeb[33] ) ( mprj io_oeb[33] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 944180 0 ) ( 18170 * )
-      NEW met2 ( 18170 944180 ) ( * 1055870 )
-      NEW met2 ( 345230 1055870 ) ( * 1062500 )
-      NEW met3 ( 345230 1062500 ) ( 357420 * )
-      NEW met3 ( 357420 1062340 ) ( * 1062500 )
-      NEW met3 ( 357420 1062340 ) ( 360180 * 0 )
-      NEW met1 ( 18170 1055870 ) ( 345230 * )
-      NEW met1 ( 18170 1055870 ) M1M2_PR
-      NEW met2 ( 18170 944180 ) M2M3_PR_M
-      NEW met1 ( 345230 1055870 ) M1M2_PR
-      NEW met2 ( 345230 1062500 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 944180 0 ) ( 17710 * )
+      NEW met2 ( 17710 889950 ) ( * 944180 )
+      NEW met2 ( 345230 888420 ) ( * 889950 )
+      NEW met3 ( 345230 888420 ) ( 357420 * )
+      NEW met3 ( 357420 888420 ) ( * 888430 )
+      NEW met3 ( 357420 888430 ) ( 360180 * 0 )
+      NEW met1 ( 17710 889950 ) ( 345230 * )
+      NEW met1 ( 17710 889950 ) M1M2_PR
+      NEW met2 ( 17710 944180 ) M2M3_PR_M
+      NEW met1 ( 345230 889950 ) M1M2_PR
+      NEW met2 ( 345230 888420 ) M2M3_PR_M ;
     - io_oeb[34] ( PIN io_oeb[34] ) ( mprj io_oeb[34] ) + USE SIGNAL
       + ROUTED met3 ( 2300 683740 0 ) ( 17710 * )
-      NEW met2 ( 17710 683740 ) ( * 842010 )
-      NEW met2 ( 345230 842010 ) ( * 844220 )
-      NEW met3 ( 345230 844220 ) ( 357420 * )
-      NEW met3 ( 357420 844220 ) ( * 844490 )
-      NEW met3 ( 357420 844490 ) ( 360180 * 0 )
-      NEW met1 ( 17710 842010 ) ( 345230 * )
+      NEW met2 ( 17710 683740 ) ( * 717910 )
+      NEW met2 ( 345230 717910 ) ( * 720460 )
+      NEW met3 ( 345230 720460 ) ( 357420 * )
+      NEW met3 ( 357420 720280 ) ( * 720460 )
+      NEW met3 ( 357420 720280 ) ( 360180 * 0 )
+      NEW met1 ( 17710 717910 ) ( 345230 * )
       NEW met2 ( 17710 683740 ) M2M3_PR_M
-      NEW met1 ( 17710 842010 ) M1M2_PR
-      NEW met1 ( 345230 842010 ) M1M2_PR
-      NEW met2 ( 345230 844220 ) M2M3_PR_M ;
+      NEW met1 ( 17710 717910 ) M1M2_PR
+      NEW met1 ( 345230 717910 ) M1M2_PR
+      NEW met2 ( 345230 720460 ) M2M3_PR_M ;
     - io_oeb[35] ( PIN io_oeb[35] ) ( mprj io_oeb[35] ) + USE SIGNAL
       + ROUTED met3 ( 2300 423300 0 ) ( 17710 * )
-      NEW met2 ( 17710 423300 ) ( * 621010 )
-      NEW met2 ( 345230 621010 ) ( * 626620 )
-      NEW met3 ( 345230 626620 ) ( 357420 * )
-      NEW met3 ( 357420 626620 ) ( * 626730 )
-      NEW met3 ( 357420 626730 ) ( 360180 * 0 )
-      NEW met1 ( 17710 621010 ) ( 345230 * )
+      NEW met2 ( 17710 423300 ) ( * 552330 )
+      NEW met2 ( 345230 552330 ) ( * 552500 )
+      NEW met3 ( 345230 552500 ) ( 357420 * )
+      NEW met3 ( 357420 552130 ) ( * 552500 )
+      NEW met3 ( 357420 552130 ) ( 360180 * 0 )
+      NEW met1 ( 17710 552330 ) ( 345230 * )
       NEW met2 ( 17710 423300 ) M2M3_PR_M
-      NEW met1 ( 17710 621010 ) M1M2_PR
-      NEW met1 ( 345230 621010 ) M1M2_PR
-      NEW met2 ( 345230 626620 ) M2M3_PR_M ;
+      NEW met1 ( 17710 552330 ) M1M2_PR
+      NEW met1 ( 345230 552330 ) M1M2_PR
+      NEW met2 ( 345230 552500 ) M2M3_PR_M ;
     - io_oeb[36] ( PIN io_oeb[36] ) ( mprj io_oeb[36] ) + USE SIGNAL
       + ROUTED met3 ( 2300 227460 0 ) ( 18630 * )
-      NEW met2 ( 18630 227460 ) ( * 462570 )
-      NEW met2 ( 345230 462570 ) ( * 463420 )
-      NEW met3 ( 345230 463420 ) ( 357420 * )
-      NEW met3 ( 357420 463365 ) ( * 463420 )
-      NEW met3 ( 357420 463365 ) ( 360180 * 0 )
-      NEW met1 ( 18630 462570 ) ( 345230 * )
+      NEW met2 ( 18630 227460 ) ( * 421090 )
+      NEW met2 ( 345230 421090 ) ( * 426020 )
+      NEW met3 ( 345230 426020 ) ( 357420 * )
+      NEW met3 ( 357420 425970 ) ( * 426020 )
+      NEW met3 ( 357420 425970 ) ( 360180 * 0 )
+      NEW met1 ( 18630 421090 ) ( 345230 * )
       NEW met2 ( 18630 227460 ) M2M3_PR_M
-      NEW met1 ( 18630 462570 ) M1M2_PR
-      NEW met1 ( 345230 462570 ) M1M2_PR
-      NEW met2 ( 345230 463420 ) M2M3_PR_M ;
+      NEW met1 ( 18630 421090 ) M1M2_PR
+      NEW met1 ( 345230 421090 ) M1M2_PR
+      NEW met2 ( 345230 426020 ) M2M3_PR_M ;
     - io_oeb[37] ( PIN io_oeb[37] ) ( mprj io_oeb[37] ) + USE SIGNAL
       + ROUTED met3 ( 2300 32300 0 ) ( 17250 * )
       NEW met2 ( 17250 32300 ) ( * 296990 )
-      NEW met2 ( 345230 296990 ) ( * 300900 )
-      NEW met3 ( 345230 300900 ) ( 357420 * )
-      NEW met3 ( 357420 300900 ) ( * 301275 )
-      NEW met3 ( 357420 301275 ) ( 360180 * 0 )
+      NEW met2 ( 345230 296990 ) ( * 301580 )
+      NEW met3 ( 345230 301580 ) ( 357420 * )
+      NEW met3 ( 357420 301580 ) ( * 301805 )
+      NEW met3 ( 357420 301805 ) ( 360180 * 0 )
       NEW met1 ( 17250 296990 ) ( 345230 * )
       NEW met2 ( 17250 32300 ) M2M3_PR_M
       NEW met1 ( 17250 296990 ) M1M2_PR
       NEW met1 ( 345230 296990 ) M1M2_PR
-      NEW met2 ( 345230 300900 ) M2M3_PR_M ;
+      NEW met2 ( 345230 301580 ) M2M3_PR_M ;
     - io_oeb[3] ( PIN io_oeb[3] ) ( mprj io_oeb[3] ) + USE SIGNAL
-      + ROUTED met2 ( 2900990 763300 ) ( * 765850 )
+      + ROUTED met3 ( 2731940 770780 ) ( * 771200 )
+      NEW met3 ( 2729180 771200 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 763300 ) ( * 765850 )
       NEW met3 ( 2900990 763300 ) ( 2917780 * 0 )
-      NEW met2 ( 2598770 765850 ) ( * 910180 )
-      NEW met1 ( 2598770 765850 ) ( 2900990 * )
-      NEW met3 ( 2588420 910180 ) ( * 910440 )
-      NEW met3 ( 2585660 910440 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 910180 ) ( 2598770 * )
-      NEW met1 ( 2598770 765850 ) M1M2_PR
+      NEW met2 ( 2745970 765850 ) ( * 770780 )
+      NEW met3 ( 2731940 770780 ) ( 2745970 * )
+      NEW met1 ( 2745970 765850 ) ( 2900990 * )
       NEW met1 ( 2900990 765850 ) M1M2_PR
       NEW met2 ( 2900990 763300 ) M2M3_PR_M
-      NEW met2 ( 2598770 910180 ) M2M3_PR_M ;
+      NEW met2 ( 2745970 770780 ) M2M3_PR_M
+      NEW met1 ( 2745970 765850 ) M1M2_PR ;
     - io_oeb[4] ( PIN io_oeb[4] ) ( mprj io_oeb[4] ) + USE SIGNAL
-      + ROUTED met2 ( 2900990 962540 ) ( * 965770 )
+      + ROUTED met3 ( 2731940 899735 ) ( * 899980 )
+      NEW met3 ( 2729180 899735 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 959310 ) ( * 962540 )
       NEW met3 ( 2900990 962540 ) ( 2917780 * 0 )
-      NEW met2 ( 2598770 965770 ) ( * 1076780 )
-      NEW met3 ( 2588420 1076780 ) ( * 1077040 )
-      NEW met3 ( 2585660 1077040 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1076780 ) ( 2598770 * )
-      NEW met1 ( 2598770 965770 ) ( 2900990 * )
-      NEW met1 ( 2598770 965770 ) M1M2_PR
-      NEW met2 ( 2598770 1076780 ) M2M3_PR_M
-      NEW met1 ( 2900990 965770 ) M1M2_PR
-      NEW met2 ( 2900990 962540 ) M2M3_PR_M ;
+      NEW met3 ( 2731940 899980 ) ( 2742750 * )
+      NEW met1 ( 2742750 959310 ) ( 2900990 * )
+      NEW met2 ( 2742750 899980 ) ( * 959310 )
+      NEW met1 ( 2900990 959310 ) M1M2_PR
+      NEW met2 ( 2900990 962540 ) M2M3_PR_M
+      NEW met2 ( 2742750 899980 ) M2M3_PR_M
+      NEW met1 ( 2742750 959310 ) M1M2_PR ;
     - io_oeb[5] ( PIN io_oeb[5] ) ( mprj io_oeb[5] ) + USE SIGNAL
-      + ROUTED met2 ( 2900070 1161780 ) ( * 1166030 )
-      NEW met3 ( 2900070 1161780 ) ( 2917780 * 0 )
-      NEW met2 ( 2597850 1166030 ) ( * 1243380 )
-      NEW met3 ( 2588420 1243380 ) ( * 1243545 )
-      NEW met3 ( 2585660 1243545 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1243380 ) ( 2597850 * )
-      NEW met1 ( 2597850 1166030 ) ( 2900070 * )
-      NEW met1 ( 2597850 1166030 ) M1M2_PR
-      NEW met2 ( 2597850 1243380 ) M2M3_PR_M
-      NEW met1 ( 2900070 1166030 ) M1M2_PR
-      NEW met2 ( 2900070 1161780 ) M2M3_PR_M ;
+      + ROUTED met2 ( 2900990 1159230 ) ( * 1161780 )
+      NEW met3 ( 2900990 1161780 ) ( 2917780 * 0 )
+      NEW met3 ( 2731940 1028365 ) ( * 1028500 )
+      NEW met3 ( 2729180 1028365 0 ) ( 2731940 * )
+      NEW met1 ( 2742750 1159230 ) ( 2900990 * )
+      NEW met3 ( 2731940 1028500 ) ( 2742750 * )
+      NEW met2 ( 2742750 1028500 ) ( * 1159230 )
+      NEW met1 ( 2900990 1159230 ) M1M2_PR
+      NEW met2 ( 2900990 1161780 ) M2M3_PR_M
+      NEW met1 ( 2742750 1159230 ) M1M2_PR
+      NEW met2 ( 2742750 1028500 ) M2M3_PR_M ;
     - io_oeb[6] ( PIN io_oeb[6] ) ( mprj io_oeb[6] ) + USE SIGNAL
-      + ROUTED met2 ( 2900990 1361020 ) ( * 1365950 )
-      NEW met3 ( 2900990 1361020 ) ( 2917780 * 0 )
-      NEW met2 ( 2597850 1365950 ) ( * 1409980 )
-      NEW met1 ( 2597850 1365950 ) ( 2900990 * )
-      NEW met3 ( 2588420 1409980 ) ( * 1410045 )
-      NEW met3 ( 2585660 1410045 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1409980 ) ( 2597850 * )
-      NEW met1 ( 2597850 1365950 ) M1M2_PR
-      NEW met1 ( 2900990 1365950 ) M1M2_PR
-      NEW met2 ( 2900990 1361020 ) M2M3_PR_M
-      NEW met2 ( 2597850 1409980 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2731940 1156995 ) ( * 1157020 )
+      NEW met3 ( 2729180 1156995 0 ) ( 2731940 * )
+      NEW met2 ( 2899150 1359490 ) ( * 1361020 )
+      NEW met3 ( 2899150 1361020 ) ( 2917780 * 0 )
+      NEW met3 ( 2731940 1157020 ) ( 2743210 * )
+      NEW met1 ( 2743210 1359490 ) ( 2899150 * )
+      NEW met2 ( 2743210 1157020 ) ( * 1359490 )
+      NEW met1 ( 2899150 1359490 ) M1M2_PR
+      NEW met2 ( 2899150 1361020 ) M2M3_PR_M
+      NEW met2 ( 2743210 1157020 ) M2M3_PR_M
+      NEW met1 ( 2743210 1359490 ) M1M2_PR ;
     - io_oeb[7] ( PIN io_oeb[7] ) ( mprj io_oeb[7] ) + USE SIGNAL
-      + ROUTED met2 ( 2596930 1628090 ) ( * 1631660 )
-      NEW met2 ( 2900990 1626220 ) ( * 1628090 )
+      + ROUTED met3 ( 2731940 1328380 ) ( * 1328470 )
+      NEW met3 ( 2729180 1328470 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 1621630 ) ( * 1626220 )
       NEW met3 ( 2900990 1626220 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 1631660 ) ( * 1632015 )
-      NEW met3 ( 2585660 1632015 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1631660 ) ( 2596930 * )
-      NEW met1 ( 2596930 1628090 ) ( 2900990 * )
-      NEW met2 ( 2596930 1631660 ) M2M3_PR_M
-      NEW met1 ( 2596930 1628090 ) M1M2_PR
-      NEW met1 ( 2900990 1628090 ) M1M2_PR
-      NEW met2 ( 2900990 1626220 ) M2M3_PR_M ;
+      NEW met3 ( 2731940 1328380 ) ( 2744130 * )
+      NEW met2 ( 2744130 1328380 ) ( * 1621630 )
+      NEW met1 ( 2744130 1621630 ) ( 2900990 * )
+      NEW met1 ( 2900990 1621630 ) M1M2_PR
+      NEW met2 ( 2900990 1626220 ) M2M3_PR_M
+      NEW met2 ( 2744130 1328380 ) M2M3_PR_M
+      NEW met1 ( 2744130 1621630 ) M1M2_PR ;
     - io_oeb[8] ( PIN io_oeb[8] ) ( mprj io_oeb[8] ) + USE SIGNAL
-      + ROUTED met2 ( 2597850 1854020 ) ( * 1890910 )
+      + ROUTED met3 ( 2731940 1499740 ) ( * 1499850 )
+      NEW met3 ( 2729180 1499850 0 ) ( 2731940 * )
       NEW met2 ( 2900990 1890910 ) ( * 1892100 )
       NEW met3 ( 2900990 1892100 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 1854020 ) ( * 1854085 )
-      NEW met3 ( 2585660 1854085 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1854020 ) ( 2597850 * )
-      NEW met1 ( 2597850 1890910 ) ( 2900990 * )
-      NEW met2 ( 2597850 1854020 ) M2M3_PR_M
-      NEW met1 ( 2597850 1890910 ) M1M2_PR
+      NEW met3 ( 2731940 1499740 ) ( 2742290 * )
+      NEW met2 ( 2742290 1499740 ) ( * 1890910 )
+      NEW met1 ( 2742290 1890910 ) ( 2900990 * )
       NEW met1 ( 2900990 1890910 ) M1M2_PR
-      NEW met2 ( 2900990 1892100 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 1892100 ) M2M3_PR_M
+      NEW met2 ( 2742290 1499740 ) M2M3_PR_M
+      NEW met1 ( 2742290 1890910 ) M1M2_PR ;
     - io_oeb[9] ( PIN io_oeb[9] ) ( mprj io_oeb[9] ) + USE SIGNAL
-      + ROUTED met2 ( 2900990 2153050 ) ( * 2157980 )
+      + ROUTED met3 ( 2731940 1671100 ) ( * 1671230 )
+      NEW met3 ( 2729180 1671230 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 2153050 ) ( * 2157980 )
       NEW met3 ( 2900990 2157980 ) ( 2917780 * 0 )
-      NEW met2 ( 2597850 2076380 ) ( * 2153050 )
-      NEW met1 ( 2597850 2153050 ) ( 2900990 * )
-      NEW met3 ( 2588420 2076055 ) ( * 2076380 )
-      NEW met3 ( 2585660 2076055 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2076380 ) ( 2597850 * )
-      NEW met1 ( 2597850 2153050 ) M1M2_PR
+      NEW met3 ( 2731940 1671100 ) ( 2745050 * )
+      NEW met1 ( 2745050 2153050 ) ( 2900990 * )
+      NEW met2 ( 2745050 1671100 ) ( * 2153050 )
       NEW met1 ( 2900990 2153050 ) M1M2_PR
       NEW met2 ( 2900990 2157980 ) M2M3_PR_M
-      NEW met2 ( 2597850 2076380 ) M2M3_PR_M ;
+      NEW met2 ( 2745050 1671100 ) M2M3_PR_M
+      NEW met1 ( 2745050 2153050 ) M1M2_PR ;
     - io_out[0] ( PIN io_out[0] ) ( mprj io_out[0] ) + USE SIGNAL
       + ROUTED met2 ( 2900070 98940 ) ( * 103190 )
       NEW met3 ( 2900070 98940 ) ( 2917780 * 0 )
-      NEW met2 ( 2598310 103190 ) ( * 355300 )
-      NEW met1 ( 2598310 103190 ) ( 2900070 * )
-      NEW met3 ( 2588420 355300 ) ( * 355470 )
-      NEW met3 ( 2585660 355470 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 355300 ) ( 2598310 * )
-      NEW met1 ( 2598310 103190 ) M1M2_PR
+      NEW met3 ( 2731940 342380 ) ( * 342655 )
+      NEW met3 ( 2729180 342655 0 ) ( 2731940 * )
+      NEW met1 ( 2743210 103190 ) ( 2900070 * )
+      NEW met3 ( 2731940 342380 ) ( 2743210 * )
+      NEW met2 ( 2743210 103190 ) ( * 342380 )
       NEW met1 ( 2900070 103190 ) M1M2_PR
       NEW met2 ( 2900070 98940 ) M2M3_PR_M
-      NEW met2 ( 2598310 355300 ) M2M3_PR_M ;
+      NEW met1 ( 2743210 103190 ) M1M2_PR
+      NEW met2 ( 2743210 342380 ) M2M3_PR_M ;
     - io_out[10] ( PIN io_out[10] ) ( mprj io_out[10] ) + USE SIGNAL
-      + ROUTED met2 ( 2598310 2242980 ) ( * 2352970 )
+      + ROUTED met3 ( 2731940 1799620 ) ( * 1799765 )
+      NEW met3 ( 2729180 1799765 0 ) ( 2731940 * )
       NEW met2 ( 2900070 2352970 ) ( * 2357220 )
       NEW met3 ( 2900070 2357220 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 2242555 ) ( * 2242980 )
-      NEW met3 ( 2585660 2242555 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2242980 ) ( 2598310 * )
-      NEW met1 ( 2598310 2352970 ) ( 2900070 * )
-      NEW met2 ( 2598310 2242980 ) M2M3_PR_M
-      NEW met1 ( 2598310 2352970 ) M1M2_PR
+      NEW met3 ( 2731940 1799620 ) ( 2743670 * )
+      NEW met2 ( 2743670 1799620 ) ( * 2352970 )
+      NEW met1 ( 2743670 2352970 ) ( 2900070 * )
       NEW met1 ( 2900070 2352970 ) M1M2_PR
-      NEW met2 ( 2900070 2357220 ) M2M3_PR_M ;
+      NEW met2 ( 2900070 2357220 ) M2M3_PR_M
+      NEW met2 ( 2743670 1799620 ) M2M3_PR_M
+      NEW met1 ( 2743670 2352970 ) M1M2_PR ;
     - io_out[11] ( PIN io_out[11] ) ( mprj io_out[11] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 2622250 ) ( * 2622420 )
       NEW met3 ( 2900990 2622420 ) ( 2917780 * 0 )
-      NEW met2 ( 2597850 2463980 ) ( * 2622250 )
-      NEW met1 ( 2597850 2622250 ) ( 2900990 * )
-      NEW met3 ( 2588420 2463980 ) ( * 2464625 )
-      NEW met3 ( 2585660 2464625 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2463980 ) ( 2597850 * )
-      NEW met1 ( 2597850 2622250 ) M1M2_PR
+      NEW met3 ( 2731940 1971240 ) ( * 1971660 )
+      NEW met3 ( 2729180 1971240 0 ) ( 2731940 * )
+      NEW met1 ( 2784150 2622250 ) ( 2900990 * )
+      NEW met2 ( 2741830 1971660 ) ( * 1971830 )
+      NEW met1 ( 2741830 1971830 ) ( 2784150 * )
+      NEW met3 ( 2731940 1971660 ) ( 2741830 * )
+      NEW met2 ( 2784150 1971830 ) ( * 2622250 )
       NEW met1 ( 2900990 2622250 ) M1M2_PR
       NEW met2 ( 2900990 2622420 ) M2M3_PR_M
-      NEW met2 ( 2597850 2463980 ) M2M3_PR_M ;
+      NEW met1 ( 2784150 2622250 ) M1M2_PR
+      NEW met2 ( 2741830 1971660 ) M2M3_PR_M
+      NEW met1 ( 2741830 1971830 ) M1M2_PR
+      NEW met1 ( 2784150 1971830 ) M1M2_PR ;
     - io_out[12] ( PIN io_out[12] ) ( mprj io_out[12] ) + USE SIGNAL
-      + ROUTED met2 ( 2900990 2884390 ) ( * 2888300 )
+      + ROUTED met3 ( 2731940 2142715 ) ( * 2143020 )
+      NEW met3 ( 2729180 2142715 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 2884390 ) ( * 2888300 )
       NEW met3 ( 2900990 2888300 ) ( 2917780 * 0 )
-      NEW met2 ( 2597850 2687020 ) ( * 2884390 )
-      NEW met1 ( 2597850 2884390 ) ( 2900990 * )
-      NEW met3 ( 2588420 2686595 ) ( * 2687020 )
-      NEW met3 ( 2585660 2686595 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2687020 ) ( 2597850 * )
-      NEW met1 ( 2597850 2884390 ) M1M2_PR
+      NEW met2 ( 2791050 2145570 ) ( * 2884390 )
+      NEW met2 ( 2741370 2143020 ) ( * 2145570 )
+      NEW met3 ( 2731940 2143020 ) ( 2741370 * )
+      NEW met1 ( 2741370 2145570 ) ( 2791050 * )
+      NEW met1 ( 2791050 2884390 ) ( 2900990 * )
+      NEW met1 ( 2791050 2145570 ) M1M2_PR
+      NEW met1 ( 2791050 2884390 ) M1M2_PR
       NEW met1 ( 2900990 2884390 ) M1M2_PR
       NEW met2 ( 2900990 2888300 ) M2M3_PR_M
-      NEW met2 ( 2597850 2687020 ) M2M3_PR_M ;
+      NEW met2 ( 2741370 2143020 ) M2M3_PR_M
+      NEW met1 ( 2741370 2145570 ) M1M2_PR ;
     - io_out[13] ( PIN io_out[13] ) ( mprj io_out[13] ) + USE SIGNAL
-      + ROUTED met2 ( 2597850 2908700 ) ( * 3153330 )
+      + ROUTED met3 ( 2731940 2314095 ) ( * 2314380 )
+      NEW met3 ( 2729180 2314095 0 ) ( 2731940 * )
+      NEW met2 ( 2804850 2318290 ) ( * 3153330 )
       NEW met2 ( 2900990 3153330 ) ( * 3154180 )
       NEW met3 ( 2900990 3154180 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 2908565 ) ( * 2908700 )
-      NEW met3 ( 2585660 2908565 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2908700 ) ( 2597850 * )
-      NEW met1 ( 2597850 3153330 ) ( 2900990 * )
-      NEW met2 ( 2597850 2908700 ) M2M3_PR_M
-      NEW met1 ( 2597850 3153330 ) M1M2_PR
+      NEW met2 ( 2741830 2314380 ) ( * 2318290 )
+      NEW met3 ( 2731940 2314380 ) ( 2741830 * )
+      NEW met1 ( 2741830 2318290 ) ( 2804850 * )
+      NEW met1 ( 2804850 3153330 ) ( 2900990 * )
+      NEW met1 ( 2804850 2318290 ) M1M2_PR
+      NEW met1 ( 2804850 3153330 ) M1M2_PR
       NEW met1 ( 2900990 3153330 ) M1M2_PR
-      NEW met2 ( 2900990 3154180 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 3154180 ) M2M3_PR_M
+      NEW met2 ( 2741830 2314380 ) M2M3_PR_M
+      NEW met1 ( 2741830 2318290 ) M1M2_PR ;
     - io_out[14] ( PIN io_out[14] ) ( mprj io_out[14] ) + USE SIGNAL
-      + ROUTED met2 ( 2598310 3131060 ) ( * 3415810 )
+      + ROUTED met3 ( 2731940 2485570 ) ( * 2485740 )
+      NEW met3 ( 2729180 2485570 0 ) ( 2731940 * )
+      NEW met2 ( 2811750 2490670 ) ( * 3415810 )
       NEW met2 ( 2900990 3415810 ) ( * 3419380 )
       NEW met3 ( 2900990 3419380 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 3130630 ) ( * 3131060 )
-      NEW met3 ( 2585660 3130630 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 3131060 ) ( 2598310 * )
-      NEW met1 ( 2598310 3415810 ) ( 2900990 * )
-      NEW met2 ( 2598310 3131060 ) M2M3_PR_M
-      NEW met1 ( 2598310 3415810 ) M1M2_PR
+      NEW met2 ( 2742750 2485740 ) ( * 2490670 )
+      NEW met3 ( 2731940 2485740 ) ( 2742750 * )
+      NEW met1 ( 2742750 2490670 ) ( 2811750 * )
+      NEW met1 ( 2811750 3415810 ) ( 2900990 * )
+      NEW met1 ( 2811750 2490670 ) M1M2_PR
+      NEW met1 ( 2811750 3415810 ) M1M2_PR
       NEW met1 ( 2900990 3415810 ) M1M2_PR
-      NEW met2 ( 2900990 3419380 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 3419380 ) M2M3_PR_M
+      NEW met2 ( 2742750 2485740 ) M2M3_PR_M
+      NEW met1 ( 2742750 2490670 ) M1M2_PR ;
     - io_out[15] ( PIN io_out[15] ) ( mprj io_out[15] ) + USE SIGNAL
       + ROUTED met2 ( 2717450 3502170 ) ( * 3517980 0 )
-      NEW met1 ( 2458930 3208750 ) ( 2463070 * )
-      NEW met2 ( 2458930 3196340 ) ( * 3208750 )
-      NEW met2 ( 2458700 3196340 ) ( 2458930 * )
-      NEW met2 ( 2458700 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 2463070 3502170 ) ( 2717450 * )
-      NEW met2 ( 2463070 3208750 ) ( * 3502170 )
+      NEW met1 ( 2580370 3502170 ) ( 2717450 * )
+      NEW met2 ( 2580100 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 2580100 2591140 ) ( 2580370 * )
+      NEW met2 ( 2580370 2591140 ) ( * 3502170 )
       NEW met1 ( 2717450 3502170 ) M1M2_PR
-      NEW met1 ( 2463070 3208750 ) M1M2_PR
-      NEW met1 ( 2458930 3208750 ) M1M2_PR
-      NEW met1 ( 2463070 3502170 ) M1M2_PR ;
+      NEW met1 ( 2580370 3502170 ) M1M2_PR ;
     - io_out[16] ( PIN io_out[16] ) ( mprj io_out[16] ) + USE SIGNAL
-      + ROUTED met1 ( 2204550 3212830 ) ( 2207770 * )
-      NEW met2 ( 2204550 3196340 ) ( * 3212830 )
-      NEW met2 ( 2204300 3196340 ) ( 2204550 * )
-      NEW met2 ( 2204300 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 2207770 3502170 ) ( 2392690 * )
-      NEW met2 ( 2207770 3212830 ) ( * 3502170 )
-      NEW met2 ( 2392690 3502170 ) ( * 3517980 0 )
-      NEW met1 ( 2207770 3212830 ) M1M2_PR
-      NEW met1 ( 2204550 3212830 ) M1M2_PR
-      NEW met1 ( 2207770 3502170 ) M1M2_PR
-      NEW met1 ( 2392690 3502170 ) M1M2_PR ;
+      + ROUTED met2 ( 2311000 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 2311000 2591140 ) ( 2311270 * )
+      NEW met2 ( 2311270 2591140 ) ( * 3501830 )
+      NEW met1 ( 2311270 3501830 ) ( 2392690 * )
+      NEW met2 ( 2392690 3501830 ) ( * 3517980 0 )
+      NEW met1 ( 2311270 3501830 ) M1M2_PR
+      NEW met1 ( 2392690 3501830 ) M1M2_PR ;
     - io_out[17] ( PIN io_out[17] ) ( mprj io_out[17] ) + USE SIGNAL
-      + ROUTED met1 ( 1950170 3208750 ) ( 1952470 * )
-      NEW met2 ( 1950170 3196340 ) ( * 3208750 )
-      NEW met2 ( 1949900 3196340 ) ( 1950170 * )
-      NEW met2 ( 1949900 3194980 0 ) ( * 3196340 )
-      NEW met2 ( 1952470 3208750 ) ( * 3501490 )
-      NEW met1 ( 1952470 3501490 ) ( 2068390 * )
-      NEW met2 ( 2068390 3501490 ) ( * 3517980 0 )
-      NEW met1 ( 1952470 3208750 ) M1M2_PR
-      NEW met1 ( 1950170 3208750 ) M1M2_PR
-      NEW met1 ( 1952470 3501490 ) M1M2_PR
-      NEW met1 ( 2068390 3501490 ) M1M2_PR ;
+      + ROUTED met2 ( 2041900 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 2041900 2591140 ) ( 2042170 * )
+      NEW met2 ( 2042170 2591140 ) ( * 3498430 )
+      NEW met1 ( 2042170 3498430 ) ( 2068390 * )
+      NEW met2 ( 2068390 3498430 ) ( * 3517980 0 )
+      NEW met1 ( 2042170 3498430 ) M1M2_PR
+      NEW met1 ( 2068390 3498430 ) M1M2_PR ;
     - io_out[18] ( PIN io_out[18] ) ( mprj io_out[18] ) + USE SIGNAL
-      + ROUTED met2 ( 1744090 3501490 ) ( * 3517980 0 )
-      NEW met2 ( 1695500 3196340 ) ( 1697170 * )
-      NEW met2 ( 1695500 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 1697170 3501490 ) ( 1744090 * )
-      NEW met2 ( 1697170 3196340 ) ( * 3501490 )
-      NEW met1 ( 1744090 3501490 ) M1M2_PR
-      NEW met1 ( 1697170 3501490 ) M1M2_PR ;
+      + ROUTED met1 ( 1749150 2607970 ) ( 1772610 * )
+      NEW met1 ( 1744090 3500470 ) ( 1749150 * )
+      NEW met2 ( 1772800 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 1772610 2591140 ) ( 1772800 * )
+      NEW met2 ( 1772610 2591140 ) ( * 2607970 )
+      NEW met2 ( 1749150 2607970 ) ( * 3500470 )
+      NEW met2 ( 1744090 3500470 ) ( * 3517980 0 )
+      NEW met1 ( 1749150 2607970 ) M1M2_PR
+      NEW met1 ( 1772610 2607970 ) M1M2_PR
+      NEW met1 ( 1744090 3500470 ) M1M2_PR
+      NEW met1 ( 1749150 3500470 ) M1M2_PR ;
     - io_out[19] ( PIN io_out[19] ) ( mprj io_out[19] ) + USE SIGNAL
-      + ROUTED met2 ( 1440950 3196340 ) ( * 3215210 )
-      NEW met2 ( 1440950 3196340 ) ( 1441100 * )
-      NEW met2 ( 1441100 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 1424850 3215210 ) ( 1440950 * )
-      NEW met1 ( 1419330 3500470 ) ( 1424850 * )
-      NEW met2 ( 1424850 3215210 ) ( * 3500470 )
+      + ROUTED met1 ( 1419330 3500470 ) ( 1424850 * )
+      NEW met1 ( 1424850 2604910 ) ( 1503510 * )
+      NEW met2 ( 1424850 2604910 ) ( * 3500470 )
       NEW met2 ( 1419330 3500470 ) ( * 3517980 0 )
-      NEW met1 ( 1440950 3215210 ) M1M2_PR
-      NEW met1 ( 1424850 3215210 ) M1M2_PR
+      NEW met2 ( 1503600 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 1503510 2591140 ) ( 1503600 * )
+      NEW met2 ( 1503510 2591140 ) ( * 2604910 )
+      NEW met1 ( 1424850 2604910 ) M1M2_PR
       NEW met1 ( 1419330 3500470 ) M1M2_PR
-      NEW met1 ( 1424850 3500470 ) M1M2_PR ;
+      NEW met1 ( 1424850 3500470 ) M1M2_PR
+      NEW met1 ( 1503510 2604910 ) M1M2_PR ;
     - io_out[1] ( PIN io_out[1] ) ( mprj io_out[1] ) + USE SIGNAL
-      + ROUTED met2 ( 2900990 298180 ) ( * 303450 )
+      + ROUTED met3 ( 2731940 470900 ) ( * 471095 )
+      NEW met3 ( 2729180 471095 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 298180 ) ( * 303450 )
       NEW met3 ( 2900990 298180 ) ( 2917780 * 0 )
-      NEW met2 ( 2599690 303450 ) ( * 521900 )
-      NEW met1 ( 2599690 303450 ) ( 2900990 * )
-      NEW met3 ( 2588420 521900 ) ( * 521970 )
-      NEW met3 ( 2585660 521970 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 521900 ) ( 2599690 * )
-      NEW met1 ( 2599690 303450 ) M1M2_PR
+      NEW met3 ( 2731940 470900 ) ( 2744590 * )
+      NEW met1 ( 2744590 303450 ) ( 2900990 * )
+      NEW met2 ( 2744590 303450 ) ( * 470900 )
       NEW met1 ( 2900990 303450 ) M1M2_PR
       NEW met2 ( 2900990 298180 ) M2M3_PR_M
-      NEW met2 ( 2599690 521900 ) M2M3_PR_M ;
+      NEW met1 ( 2744590 303450 ) M1M2_PR
+      NEW met2 ( 2744590 470900 ) M2M3_PR_M ;
     - io_out[20] ( PIN io_out[20] ) ( mprj io_out[20] ) + USE SIGNAL
-      + ROUTED met2 ( 1186570 3196340 ) ( * 3211810 )
-      NEW met2 ( 1186570 3196340 ) ( 1186700 * )
-      NEW met2 ( 1186700 3194980 0 ) ( * 3196340 )
-      NEW met2 ( 1096870 3211810 ) ( * 3512100 )
+      + ROUTED met2 ( 1096870 2605250 ) ( * 3512100 )
       NEW met2 ( 1095030 3512100 ) ( 1096870 * )
       NEW met2 ( 1095030 3512100 ) ( * 3517980 0 )
-      NEW met1 ( 1096870 3211810 ) ( 1186570 * )
-      NEW met1 ( 1096870 3211810 ) M1M2_PR
-      NEW met1 ( 1186570 3211810 ) M1M2_PR ;
+      NEW met1 ( 1096870 2605250 ) ( 1234410 * )
+      NEW met2 ( 1234500 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 1234410 2591140 ) ( 1234500 * )
+      NEW met2 ( 1234410 2591140 ) ( * 2605250 )
+      NEW met1 ( 1096870 2605250 ) M1M2_PR
+      NEW met1 ( 1234410 2605250 ) M1M2_PR ;
     - io_out[21] ( PIN io_out[21] ) ( mprj io_out[21] ) + USE SIGNAL
       + ROUTED met2 ( 771650 3517980 ) ( 772570 * )
       NEW met2 ( 771650 3517300 ) ( * 3517980 )
       NEW met2 ( 770730 3517300 ) ( 771650 * )
       NEW met2 ( 770730 3517300 ) ( * 3517980 0 )
-      NEW met2 ( 772570 3212150 ) ( * 3517980 )
-      NEW met2 ( 932190 3196340 ) ( * 3212150 )
-      NEW met2 ( 932190 3196340 ) ( 932300 * )
-      NEW met2 ( 932300 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 772570 3212150 ) ( 932190 * )
-      NEW met1 ( 772570 3212150 ) M1M2_PR
-      NEW met1 ( 932190 3212150 ) M1M2_PR ;
+      NEW met2 ( 772570 2604910 ) ( * 3517980 )
+      NEW met2 ( 965400 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 965310 2591140 ) ( 965400 * )
+      NEW met2 ( 965310 2591140 ) ( * 2604910 )
+      NEW met1 ( 772570 2604910 ) ( 965310 * )
+      NEW met1 ( 772570 2604910 ) M1M2_PR
+      NEW met1 ( 965310 2604910 ) M1M2_PR ;
     - io_out[22] ( PIN io_out[22] ) ( mprj io_out[22] ) + USE SIGNAL
-      + ROUTED met2 ( 677810 3196340 ) ( * 3212150 )
-      NEW met2 ( 677810 3196340 ) ( 677900 * )
-      NEW met2 ( 677900 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 448270 3212150 ) ( 677810 * )
+      + ROUTED met2 ( 696300 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 696210 2591140 ) ( 696300 * )
+      NEW met2 ( 696210 2591140 ) ( * 2604910 )
+      NEW met1 ( 448270 2604910 ) ( 696210 * )
       NEW met2 ( 446890 3517980 ) ( 448270 * )
       NEW met2 ( 446890 3517300 ) ( * 3517980 )
       NEW met2 ( 445970 3517300 ) ( 446890 * )
       NEW met2 ( 445970 3517300 ) ( * 3517980 0 )
-      NEW met2 ( 448270 3212150 ) ( * 3517980 )
-      NEW met1 ( 677810 3212150 ) M1M2_PR
-      NEW met1 ( 448270 3212150 ) M1M2_PR ;
+      NEW met2 ( 448270 2604910 ) ( * 3517980 )
+      NEW met1 ( 696210 2604910 ) M1M2_PR
+      NEW met1 ( 448270 2604910 ) M1M2_PR ;
     - io_out[23] ( PIN io_out[23] ) ( mprj io_out[23] ) + USE SIGNAL
       + ROUTED met1 ( 121670 3498430 ) ( 123970 * )
-      NEW met2 ( 123970 3212150 ) ( * 3498430 )
+      NEW met2 ( 123970 2604910 ) ( * 3498430 )
       NEW met2 ( 121670 3498430 ) ( * 3517980 0 )
-      NEW met2 ( 423430 3196340 ) ( * 3212150 )
-      NEW met2 ( 423430 3196340 ) ( 423600 * )
-      NEW met2 ( 423600 3194980 0 ) ( * 3196340 )
-      NEW met1 ( 123970 3212150 ) ( 423430 * )
-      NEW met1 ( 123970 3212150 ) M1M2_PR
+      NEW met1 ( 123970 2604910 ) ( 427110 * )
+      NEW met2 ( 427100 2590120 0 ) ( * 2591140 )
+      NEW met2 ( 427100 2591140 ) ( 427110 * )
+      NEW met2 ( 427110 2591140 ) ( * 2604910 )
+      NEW met1 ( 123970 2604910 ) M1M2_PR
       NEW met1 ( 121670 3498430 ) M1M2_PR
       NEW met1 ( 123970 3498430 ) M1M2_PR
-      NEW met1 ( 423430 3212150 ) M1M2_PR ;
+      NEW met1 ( 427110 2604910 ) M1M2_PR ;
     - io_out[24] ( PIN io_out[24] ) ( mprj io_out[24] ) + USE SIGNAL
       + ROUTED met3 ( 2300 3356140 0 ) ( 18170 * )
-      NEW met2 ( 18170 3077170 ) ( * 3356140 )
-      NEW met2 ( 345230 3077170 ) ( * 3077340 )
-      NEW met3 ( 345230 3077340 ) ( 357420 * )
-      NEW met3 ( 357420 3077220 ) ( * 3077340 )
-      NEW met3 ( 357420 3077220 ) ( 360180 * 0 )
-      NEW met1 ( 18170 3077170 ) ( 345230 * )
-      NEW met1 ( 18170 3077170 ) M1M2_PR
+      NEW met2 ( 18170 2449190 ) ( * 3356140 )
+      NEW met2 ( 345230 2444260 ) ( * 2449190 )
+      NEW met3 ( 345230 2444260 ) ( 357420 * )
+      NEW met3 ( 357420 2444260 ) ( * 2444340 )
+      NEW met3 ( 357420 2444340 ) ( 360180 * 0 )
+      NEW met1 ( 18170 2449190 ) ( 345230 * )
+      NEW met1 ( 18170 2449190 ) M1M2_PR
       NEW met2 ( 18170 3356140 ) M2M3_PR_M
-      NEW met1 ( 345230 3077170 ) M1M2_PR
-      NEW met2 ( 345230 3077340 ) M2M3_PR_M ;
+      NEW met1 ( 345230 2449190 ) M1M2_PR
+      NEW met2 ( 345230 2444260 ) M2M3_PR_M ;
     - io_out[25] ( PIN io_out[25] ) ( mprj io_out[25] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 3095700 0 ) ( 17710 * )
-      NEW met2 ( 17710 2863310 ) ( * 3095700 )
-      NEW met2 ( 345230 2859740 ) ( * 2863310 )
-      NEW met3 ( 345230 2859740 ) ( 357420 * )
-      NEW met3 ( 357420 2859370 ) ( * 2859740 )
-      NEW met3 ( 357420 2859370 ) ( 360180 * 0 )
-      NEW met1 ( 17710 2863310 ) ( 345230 * )
-      NEW met2 ( 17710 3095700 ) M2M3_PR_M
-      NEW met1 ( 17710 2863310 ) M1M2_PR
-      NEW met1 ( 345230 2863310 ) M1M2_PR
-      NEW met2 ( 345230 2859740 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 3095700 0 ) ( 20010 * )
+      NEW met2 ( 20010 2276810 ) ( * 3095700 )
+      NEW met2 ( 345230 2276300 ) ( * 2276810 )
+      NEW met3 ( 345230 2276300 ) ( 357420 * )
+      NEW met3 ( 357420 2276190 ) ( * 2276300 )
+      NEW met3 ( 357420 2276190 ) ( 360180 * 0 )
+      NEW met1 ( 20010 2276810 ) ( 345230 * )
+      NEW met2 ( 20010 3095700 ) M2M3_PR_M
+      NEW met1 ( 20010 2276810 ) M1M2_PR
+      NEW met1 ( 345230 2276810 ) M1M2_PR
+      NEW met2 ( 345230 2276300 ) M2M3_PR_M ;
     - io_out[26] ( PIN io_out[26] ) ( mprj io_out[26] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2834580 0 ) ( 17710 * )
-      NEW met2 ( 17710 2642650 ) ( * 2834580 )
-      NEW met2 ( 345230 2641460 ) ( * 2642650 )
-      NEW met3 ( 345230 2641460 ) ( 357420 * )
-      NEW met3 ( 357420 2641460 ) ( * 2641515 )
-      NEW met3 ( 357420 2641515 ) ( 360180 * 0 )
-      NEW met1 ( 17710 2642650 ) ( 345230 * )
-      NEW met1 ( 17710 2642650 ) M1M2_PR
-      NEW met2 ( 17710 2834580 ) M2M3_PR_M
-      NEW met1 ( 345230 2642650 ) M1M2_PR
-      NEW met2 ( 345230 2641460 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2834580 0 ) ( 15870 * )
+      NEW met2 ( 15870 2111230 ) ( * 2834580 )
+      NEW met2 ( 345230 2108340 ) ( * 2111230 )
+      NEW met3 ( 345230 2108340 ) ( 357420 * )
+      NEW met3 ( 357420 2107945 ) ( * 2108340 )
+      NEW met3 ( 357420 2107945 ) ( 360180 * 0 )
+      NEW met1 ( 15870 2111230 ) ( 345230 * )
+      NEW met2 ( 15870 2834580 ) M2M3_PR_M
+      NEW met1 ( 15870 2111230 ) M1M2_PR
+      NEW met1 ( 345230 2111230 ) M1M2_PR
+      NEW met2 ( 345230 2108340 ) M2M3_PR_M ;
     - io_out[27] ( PIN io_out[27] ) ( mprj io_out[27] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2574140 0 ) ( 17710 * )
-      NEW met2 ( 17710 2428790 ) ( * 2574140 )
-      NEW met2 ( 345230 2423860 ) ( * 2428790 )
-      NEW met3 ( 345230 2423860 ) ( 357420 * )
-      NEW met3 ( 357420 2423760 ) ( * 2423860 )
-      NEW met3 ( 357420 2423760 ) ( 360180 * 0 )
-      NEW met1 ( 17710 2428790 ) ( 345230 * )
-      NEW met1 ( 17710 2428790 ) M1M2_PR
-      NEW met2 ( 17710 2574140 ) M2M3_PR_M
-      NEW met1 ( 345230 2428790 ) M1M2_PR
-      NEW met2 ( 345230 2423860 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2574140 0 ) ( 14030 * )
+      NEW met2 ( 14030 1945650 ) ( * 2574140 )
+      NEW met2 ( 345230 1939700 ) ( * 1945650 )
+      NEW met3 ( 345230 1939700 ) ( 357420 * )
+      NEW met3 ( 357420 1939605 ) ( * 1939700 )
+      NEW met3 ( 357420 1939605 ) ( 360180 * 0 )
+      NEW met1 ( 14030 1945650 ) ( 345230 * )
+      NEW met1 ( 14030 1945650 ) M1M2_PR
+      NEW met2 ( 14030 2574140 ) M2M3_PR_M
+      NEW met1 ( 345230 1945650 ) M1M2_PR
+      NEW met2 ( 345230 1939700 ) M2M3_PR_M ;
     - io_out[28] ( PIN io_out[28] ) ( mprj io_out[28] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2313020 0 ) ( 18170 * )
-      NEW met2 ( 18170 2207790 ) ( * 2313020 )
-      NEW met2 ( 345230 2206260 ) ( * 2207790 )
-      NEW met3 ( 345230 2206260 ) ( 357420 * )
-      NEW met3 ( 357420 2205905 ) ( * 2206260 )
-      NEW met3 ( 357420 2205905 ) ( 360180 * 0 )
-      NEW met1 ( 18170 2207790 ) ( 345230 * )
-      NEW met2 ( 18170 2313020 ) M2M3_PR_M
-      NEW met1 ( 18170 2207790 ) M1M2_PR
-      NEW met1 ( 345230 2207790 ) M1M2_PR
-      NEW met2 ( 345230 2206260 ) M2M3_PR_M ;
-    - io_out[29] ( PIN io_out[29] ) ( mprj io_out[29] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2052580 0 ) ( 17710 * )
-      NEW met2 ( 17710 1993930 ) ( * 2052580 )
-      NEW met2 ( 345230 1987980 ) ( * 1993930 )
-      NEW met3 ( 345230 1987980 ) ( 357420 * )
-      NEW met3 ( 357420 1987980 ) ( * 1988050 )
-      NEW met3 ( 357420 1988050 ) ( 360180 * 0 )
-      NEW met1 ( 17710 1993930 ) ( 345230 * )
-      NEW met2 ( 17710 2052580 ) M2M3_PR_M
-      NEW met1 ( 17710 1993930 ) M1M2_PR
-      NEW met1 ( 345230 1993930 ) M1M2_PR
-      NEW met2 ( 345230 1987980 ) M2M3_PR_M ;
-    - io_out[2] ( PIN io_out[2] ) ( mprj io_out[2] ) + USE SIGNAL
-      + ROUTED met2 ( 2900990 497420 ) ( * 503370 )
-      NEW met3 ( 2900990 497420 ) ( 2917780 * 0 )
-      NEW met2 ( 2598770 503370 ) ( * 688500 )
-      NEW met3 ( 2588420 688470 ) ( * 688500 )
-      NEW met3 ( 2585660 688470 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 688500 ) ( 2598770 * )
-      NEW met1 ( 2598770 503370 ) ( 2900990 * )
-      NEW met1 ( 2598770 503370 ) M1M2_PR
-      NEW met2 ( 2598770 688500 ) M2M3_PR_M
-      NEW met1 ( 2900990 503370 ) M1M2_PR
-      NEW met2 ( 2900990 497420 ) M2M3_PR_M ;
-    - io_out[30] ( PIN io_out[30] ) ( mprj io_out[30] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1792140 0 ) ( 17250 * )
-      NEW met2 ( 17250 1773270 ) ( * 1792140 )
-      NEW met2 ( 345230 1770380 ) ( * 1773270 )
-      NEW met3 ( 345230 1770380 ) ( 357420 * )
-      NEW met3 ( 357420 1770295 ) ( * 1770380 )
-      NEW met3 ( 357420 1770295 ) ( 360180 * 0 )
-      NEW met1 ( 17250 1773270 ) ( 345230 * )
-      NEW met1 ( 17250 1773270 ) M1M2_PR
-      NEW met2 ( 17250 1792140 ) M2M3_PR_M
+      + ROUTED met3 ( 2300 2313020 0 ) ( 18630 * )
+      NEW met2 ( 18630 1773270 ) ( * 2313020 )
+      NEW met2 ( 345230 1771740 ) ( * 1773270 )
+      NEW met3 ( 345230 1771740 ) ( 357420 * )
+      NEW met3 ( 357420 1771455 ) ( * 1771740 )
+      NEW met3 ( 357420 1771455 ) ( 360180 * 0 )
+      NEW met1 ( 18630 1773270 ) ( 345230 * )
+      NEW met1 ( 18630 1773270 ) M1M2_PR
+      NEW met2 ( 18630 2313020 ) M2M3_PR_M
       NEW met1 ( 345230 1773270 ) M1M2_PR
-      NEW met2 ( 345230 1770380 ) M2M3_PR_M ;
+      NEW met2 ( 345230 1771740 ) M2M3_PR_M ;
+    - io_out[29] ( PIN io_out[29] ) ( mprj io_out[29] ) + USE SIGNAL
+      + ROUTED met3 ( 2300 2052580 0 ) ( 20470 * )
+      NEW met2 ( 20470 1607690 ) ( * 2052580 )
+      NEW met2 ( 345230 1603100 ) ( * 1607690 )
+      NEW met3 ( 345230 1603100 ) ( 357420 * )
+      NEW met3 ( 357420 1603100 ) ( * 1603305 )
+      NEW met3 ( 357420 1603305 ) ( 360180 * 0 )
+      NEW met1 ( 20470 1607690 ) ( 345230 * )
+      NEW met2 ( 20470 2052580 ) M2M3_PR_M
+      NEW met1 ( 20470 1607690 ) M1M2_PR
+      NEW met1 ( 345230 1607690 ) M1M2_PR
+      NEW met2 ( 345230 1603100 ) M2M3_PR_M ;
+    - io_out[2] ( PIN io_out[2] ) ( mprj io_out[2] ) + USE SIGNAL
+      + ROUTED met3 ( 2731940 599420 ) ( * 599725 )
+      NEW met3 ( 2729180 599725 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 497420 ) ( * 503370 )
+      NEW met3 ( 2900990 497420 ) ( 2917780 * 0 )
+      NEW met3 ( 2731940 599420 ) ( 2743670 * )
+      NEW met1 ( 2743670 503370 ) ( 2900990 * )
+      NEW met2 ( 2743670 503370 ) ( * 599420 )
+      NEW met1 ( 2900990 503370 ) M1M2_PR
+      NEW met2 ( 2900990 497420 ) M2M3_PR_M
+      NEW met1 ( 2743670 503370 ) M1M2_PR
+      NEW met2 ( 2743670 599420 ) M2M3_PR_M ;
+    - io_out[30] ( PIN io_out[30] ) ( mprj io_out[30] ) + USE SIGNAL
+      + ROUTED met3 ( 2300 1792140 0 ) ( 17710 * )
+      NEW met2 ( 17710 1434970 ) ( * 1792140 )
+      NEW met2 ( 345230 1434970 ) ( * 1435140 )
+      NEW met3 ( 345230 1435140 ) ( 357420 * )
+      NEW met3 ( 357420 1435060 ) ( * 1435140 )
+      NEW met3 ( 357420 1435060 ) ( 360180 * 0 )
+      NEW met1 ( 17710 1434970 ) ( 345230 * )
+      NEW met1 ( 17710 1434970 ) M1M2_PR
+      NEW met2 ( 17710 1792140 ) M2M3_PR_M
+      NEW met1 ( 345230 1434970 ) M1M2_PR
+      NEW met2 ( 345230 1435140 ) M2M3_PR_M ;
     - io_out[31] ( PIN io_out[31] ) ( mprj io_out[31] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1531020 0 ) ( 17250 * )
-      NEW met2 ( 17250 1531020 ) ( * 1545810 )
-      NEW met2 ( 345230 1545810 ) ( * 1552100 )
-      NEW met3 ( 345230 1552100 ) ( 357420 * )
-      NEW met3 ( 357420 1552100 ) ( * 1552440 )
-      NEW met3 ( 357420 1552440 ) ( 360180 * 0 )
-      NEW met1 ( 17250 1545810 ) ( 345230 * )
-      NEW met1 ( 17250 1545810 ) M1M2_PR
-      NEW met2 ( 17250 1531020 ) M2M3_PR_M
-      NEW met1 ( 345230 1545810 ) M1M2_PR
-      NEW met2 ( 345230 1552100 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1531020 0 ) ( 19550 * )
+      NEW met2 ( 19550 1269390 ) ( * 1531020 )
+      NEW met2 ( 345230 1267180 ) ( * 1269390 )
+      NEW met3 ( 345230 1267180 ) ( 357420 * )
+      NEW met3 ( 357420 1266910 ) ( * 1267180 )
+      NEW met3 ( 357420 1266910 ) ( 360180 * 0 )
+      NEW met1 ( 19550 1269390 ) ( 345230 * )
+      NEW met1 ( 19550 1269390 ) M1M2_PR
+      NEW met2 ( 19550 1531020 ) M2M3_PR_M
+      NEW met1 ( 345230 1269390 ) M1M2_PR
+      NEW met2 ( 345230 1267180 ) M2M3_PR_M ;
     - io_out[32] ( PIN io_out[32] ) ( mprj io_out[32] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1270580 0 ) ( 17250 * )
-      NEW met2 ( 17250 1270580 ) ( * 1331950 )
-      NEW met2 ( 345230 1331950 ) ( * 1334500 )
-      NEW met3 ( 345230 1334500 ) ( 357420 * )
-      NEW met3 ( 357420 1334500 ) ( * 1334585 )
-      NEW met3 ( 357420 1334585 ) ( 360180 * 0 )
-      NEW met1 ( 17250 1331950 ) ( 345230 * )
-      NEW met2 ( 17250 1270580 ) M2M3_PR_M
-      NEW met1 ( 17250 1331950 ) M1M2_PR
-      NEW met1 ( 345230 1331950 ) M1M2_PR
-      NEW met2 ( 345230 1334500 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1270580 0 ) ( 18630 * )
+      NEW met2 ( 18630 1103810 ) ( * 1270580 )
+      NEW met2 ( 345230 1098540 ) ( * 1103810 )
+      NEW met3 ( 345230 1098540 ) ( 357420 * )
+      NEW met3 ( 357420 1098540 ) ( * 1098665 )
+      NEW met3 ( 357420 1098665 ) ( 360180 * 0 )
+      NEW met1 ( 18630 1103810 ) ( 345230 * )
+      NEW met2 ( 18630 1270580 ) M2M3_PR_M
+      NEW met1 ( 18630 1103810 ) M1M2_PR
+      NEW met1 ( 345230 1103810 ) M1M2_PR
+      NEW met2 ( 345230 1098540 ) M2M3_PR_M ;
     - io_out[33] ( PIN io_out[33] ) ( mprj io_out[33] ) + USE SIGNAL
       + ROUTED met3 ( 2300 1009460 0 ) ( 17250 * )
-      NEW met2 ( 17250 1009460 ) ( * 1110950 )
-      NEW met2 ( 345230 1110950 ) ( * 1116900 )
-      NEW met3 ( 345230 1116900 ) ( 357420 * )
-      NEW met3 ( 357420 1116830 ) ( * 1116900 )
-      NEW met3 ( 357420 1116830 ) ( 360180 * 0 )
-      NEW met1 ( 17250 1110950 ) ( 345230 * )
+      NEW met2 ( 17250 931430 ) ( * 1009460 )
+      NEW met2 ( 345230 930580 ) ( * 931430 )
+      NEW met3 ( 345230 930580 ) ( 357420 * )
+      NEW met3 ( 357420 930420 ) ( * 930580 )
+      NEW met3 ( 357420 930420 ) ( 360180 * 0 )
+      NEW met1 ( 17250 931430 ) ( 345230 * )
+      NEW met1 ( 17250 931430 ) M1M2_PR
       NEW met2 ( 17250 1009460 ) M2M3_PR_M
-      NEW met1 ( 17250 1110950 ) M1M2_PR
-      NEW met1 ( 345230 1110950 ) M1M2_PR
-      NEW met2 ( 345230 1116900 ) M2M3_PR_M ;
+      NEW met1 ( 345230 931430 ) M1M2_PR
+      NEW met2 ( 345230 930580 ) M2M3_PR_M ;
     - io_out[34] ( PIN io_out[34] ) ( mprj io_out[34] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 749020 0 ) ( 18170 * )
-      NEW met2 ( 18170 749020 ) ( * 897090 )
-      NEW met2 ( 345230 897090 ) ( * 898620 )
-      NEW met3 ( 345230 898620 ) ( 357420 * )
-      NEW met3 ( 357420 898620 ) ( * 898975 )
-      NEW met3 ( 357420 898975 ) ( 360180 * 0 )
-      NEW met1 ( 18170 897090 ) ( 345230 * )
-      NEW met1 ( 18170 897090 ) M1M2_PR
-      NEW met2 ( 18170 749020 ) M2M3_PR_M
-      NEW met1 ( 345230 897090 ) M1M2_PR
-      NEW met2 ( 345230 898620 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 749020 0 ) ( 16330 * )
+      NEW met2 ( 16330 749020 ) ( * 759050 )
+      NEW met2 ( 345230 759050 ) ( * 761940 )
+      NEW met3 ( 345230 761940 ) ( 357420 * )
+      NEW met3 ( 357420 761940 ) ( * 762270 )
+      NEW met3 ( 357420 762270 ) ( 360180 * 0 )
+      NEW met1 ( 16330 759050 ) ( 345230 * )
+      NEW met1 ( 16330 759050 ) M1M2_PR
+      NEW met2 ( 16330 749020 ) M2M3_PR_M
+      NEW met1 ( 345230 759050 ) M1M2_PR
+      NEW met2 ( 345230 761940 ) M2M3_PR_M ;
     - io_out[35] ( PIN io_out[35] ) ( mprj io_out[35] ) + USE SIGNAL
       + ROUTED met3 ( 2300 487900 0 ) ( 18170 * )
-      NEW met2 ( 18170 487900 ) ( * 676430 )
-      NEW met2 ( 345230 676430 ) ( * 681020 )
-      NEW met3 ( 345230 681020 ) ( 357420 * )
-      NEW met3 ( 357420 681020 ) ( * 681120 )
-      NEW met3 ( 357420 681120 ) ( 360180 * 0 )
-      NEW met1 ( 18170 676430 ) ( 345230 * )
+      NEW met2 ( 18170 487900 ) ( * 593470 )
+      NEW met2 ( 345230 593470 ) ( * 593980 )
+      NEW met3 ( 345230 593980 ) ( 357420 * )
+      NEW met3 ( 357420 593980 ) ( * 594120 )
+      NEW met3 ( 357420 594120 ) ( 360180 * 0 )
+      NEW met1 ( 18170 593470 ) ( 345230 * )
       NEW met2 ( 18170 487900 ) M2M3_PR_M
-      NEW met1 ( 18170 676430 ) M1M2_PR
-      NEW met1 ( 345230 676430 ) M1M2_PR
-      NEW met2 ( 345230 681020 ) M2M3_PR_M ;
+      NEW met1 ( 18170 593470 ) M1M2_PR
+      NEW met1 ( 345230 593470 ) M1M2_PR
+      NEW met2 ( 345230 593980 ) M2M3_PR_M ;
     - io_out[36] ( PIN io_out[36] ) ( mprj io_out[36] ) + USE SIGNAL
       + ROUTED met3 ( 2300 292740 0 ) ( 19090 * )
-      NEW met2 ( 19090 292740 ) ( * 517650 )
-      NEW met2 ( 345230 517650 ) ( * 517820 )
-      NEW met3 ( 345230 517820 ) ( 357420 * )
-      NEW met3 ( 357420 517755 ) ( * 517820 )
-      NEW met3 ( 357420 517755 ) ( 360180 * 0 )
-      NEW met1 ( 19090 517650 ) ( 345230 * )
+      NEW met2 ( 19090 292740 ) ( * 462570 )
+      NEW met2 ( 345230 462570 ) ( * 467500 )
+      NEW met3 ( 345230 467500 ) ( 357420 * )
+      NEW met3 ( 357420 467500 ) ( * 467865 )
+      NEW met3 ( 357420 467865 ) ( 360180 * 0 )
+      NEW met1 ( 19090 462570 ) ( 345230 * )
       NEW met2 ( 19090 292740 ) M2M3_PR_M
-      NEW met1 ( 19090 517650 ) M1M2_PR
-      NEW met1 ( 345230 517650 ) M1M2_PR
-      NEW met2 ( 345230 517820 ) M2M3_PR_M ;
+      NEW met1 ( 19090 462570 ) M1M2_PR
+      NEW met1 ( 345230 462570 ) M1M2_PR
+      NEW met2 ( 345230 467500 ) M2M3_PR_M ;
     - io_out[37] ( PIN io_out[37] ) ( mprj io_out[37] ) + USE SIGNAL
       + ROUTED met3 ( 2300 96900 0 ) ( 17710 * )
-      NEW met2 ( 17710 96900 ) ( * 352070 )
-      NEW met2 ( 345230 352070 ) ( * 353940 )
-      NEW met3 ( 345230 353940 ) ( 357420 * )
-      NEW met3 ( 357420 353940 ) ( * 354390 )
-      NEW met3 ( 357420 354390 ) ( 360180 * 0 )
-      NEW met1 ( 17710 352070 ) ( 345230 * )
+      NEW met2 ( 17710 96900 ) ( * 338130 )
+      NEW met2 ( 345230 338130 ) ( * 341700 )
+      NEW met3 ( 345230 341700 ) ( 357420 * )
+      NEW met3 ( 357420 341700 ) ( * 341800 )
+      NEW met3 ( 357420 341800 ) ( 360180 * 0 )
+      NEW met1 ( 17710 338130 ) ( 345230 * )
       NEW met2 ( 17710 96900 ) M2M3_PR_M
-      NEW met1 ( 17710 352070 ) M1M2_PR
-      NEW met1 ( 345230 352070 ) M1M2_PR
-      NEW met2 ( 345230 353940 ) M2M3_PR_M ;
+      NEW met1 ( 17710 338130 ) M1M2_PR
+      NEW met1 ( 345230 338130 ) M1M2_PR
+      NEW met2 ( 345230 341700 ) M2M3_PR_M ;
     - io_out[3] ( PIN io_out[3] ) ( mprj io_out[3] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 696660 ) ( * 696830 )
       NEW met3 ( 2900990 696660 ) ( 2917780 * 0 )
-      NEW met2 ( 2598310 696830 ) ( * 855100 )
-      NEW met1 ( 2598310 696830 ) ( 2900990 * )
-      NEW met3 ( 2588420 854975 ) ( * 855100 )
-      NEW met3 ( 2585660 854975 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 855100 ) ( 2598310 * )
-      NEW met1 ( 2598310 696830 ) M1M2_PR
+      NEW met3 ( 2731940 727940 ) ( * 728355 )
+      NEW met3 ( 2729180 728355 0 ) ( 2731940 * )
+      NEW met1 ( 2742750 696830 ) ( 2900990 * )
+      NEW met3 ( 2731940 727940 ) ( 2742750 * )
+      NEW met2 ( 2742750 696830 ) ( * 727940 )
       NEW met1 ( 2900990 696830 ) M1M2_PR
       NEW met2 ( 2900990 696660 ) M2M3_PR_M
-      NEW met2 ( 2598310 855100 ) M2M3_PR_M ;
+      NEW met1 ( 2742750 696830 ) M1M2_PR
+      NEW met2 ( 2742750 727940 ) M2M3_PR_M ;
     - io_out[4] ( PIN io_out[4] ) ( mprj io_out[4] ) + USE SIGNAL
-      + ROUTED met2 ( 2900990 895900 ) ( * 896750 )
+      + ROUTED met3 ( 2731940 856795 ) ( * 857140 )
+      NEW met3 ( 2729180 856795 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 890290 ) ( * 895900 )
       NEW met3 ( 2900990 895900 ) ( 2917780 * 0 )
-      NEW met2 ( 2598310 896750 ) ( * 1021700 )
-      NEW met1 ( 2598310 896750 ) ( 2900990 * )
-      NEW met3 ( 2588420 1021475 ) ( * 1021700 )
-      NEW met3 ( 2585660 1021475 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1021700 ) ( 2598310 * )
-      NEW met1 ( 2598310 896750 ) M1M2_PR
-      NEW met1 ( 2900990 896750 ) M1M2_PR
+      NEW met2 ( 2743210 857140 ) ( * 890290 )
+      NEW met3 ( 2731940 857140 ) ( 2743210 * )
+      NEW met1 ( 2743210 890290 ) ( 2900990 * )
+      NEW met1 ( 2900990 890290 ) M1M2_PR
       NEW met2 ( 2900990 895900 ) M2M3_PR_M
-      NEW met2 ( 2598310 1021700 ) M2M3_PR_M ;
+      NEW met2 ( 2743210 857140 ) M2M3_PR_M
+      NEW met1 ( 2743210 890290 ) M1M2_PR ;
     - io_out[5] ( PIN io_out[5] ) ( mprj io_out[5] ) + USE SIGNAL
-      + ROUTED met2 ( 2900990 1095140 ) ( * 1097010 )
+      + ROUTED met3 ( 2731940 985520 ) ( * 985660 )
+      NEW met3 ( 2729180 985520 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 1090210 ) ( * 1095140 )
       NEW met3 ( 2900990 1095140 ) ( 2917780 * 0 )
-      NEW met2 ( 2598310 1097010 ) ( * 1187620 )
-      NEW met3 ( 2588420 1187620 ) ( * 1187980 )
-      NEW met3 ( 2585660 1187980 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1187620 ) ( 2598310 * )
-      NEW met1 ( 2598310 1097010 ) ( 2900990 * )
-      NEW met1 ( 2598310 1097010 ) M1M2_PR
-      NEW met2 ( 2598310 1187620 ) M2M3_PR_M
-      NEW met1 ( 2900990 1097010 ) M1M2_PR
-      NEW met2 ( 2900990 1095140 ) M2M3_PR_M ;
+      NEW met3 ( 2731940 985660 ) ( 2743210 * )
+      NEW met1 ( 2743210 1090210 ) ( 2900990 * )
+      NEW met2 ( 2743210 985660 ) ( * 1090210 )
+      NEW met1 ( 2900990 1090210 ) M1M2_PR
+      NEW met2 ( 2900990 1095140 ) M2M3_PR_M
+      NEW met2 ( 2743210 985660 ) M2M3_PR_M
+      NEW met1 ( 2743210 1090210 ) M1M2_PR ;
     - io_out[6] ( PIN io_out[6] ) ( mprj io_out[6] ) + USE SIGNAL
-      + ROUTED met2 ( 2597850 1296930 ) ( * 1354220 )
-      NEW met2 ( 2900990 1294380 ) ( * 1296930 )
+      + ROUTED met3 ( 2731940 1114150 ) ( * 1114180 )
+      NEW met3 ( 2729180 1114150 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 1290470 ) ( * 1294380 )
       NEW met3 ( 2900990 1294380 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 1354220 ) ( * 1354480 )
-      NEW met3 ( 2585660 1354480 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1354220 ) ( 2597850 * )
-      NEW met1 ( 2597850 1296930 ) ( 2900990 * )
-      NEW met2 ( 2597850 1354220 ) M2M3_PR_M
-      NEW met1 ( 2597850 1296930 ) M1M2_PR
-      NEW met1 ( 2900990 1296930 ) M1M2_PR
-      NEW met2 ( 2900990 1294380 ) M2M3_PR_M ;
+      NEW met3 ( 2731940 1114180 ) ( 2743670 * )
+      NEW met2 ( 2743670 1114180 ) ( * 1290470 )
+      NEW met1 ( 2743670 1290470 ) ( 2900990 * )
+      NEW met1 ( 2900990 1290470 ) M1M2_PR
+      NEW met2 ( 2900990 1294380 ) M2M3_PR_M
+      NEW met2 ( 2743670 1114180 ) M2M3_PR_M
+      NEW met1 ( 2743670 1290470 ) M1M2_PR ;
     - io_out[7] ( PIN io_out[7] ) ( mprj io_out[7] ) + USE SIGNAL
-      + ROUTED met2 ( 2601070 1566210 ) ( * 1576580 )
-      NEW met2 ( 2900990 1560260 ) ( * 1566210 )
+      + ROUTED met3 ( 2731940 1285530 ) ( * 1285540 )
+      NEW met3 ( 2729180 1285530 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 1559410 ) ( * 1560260 )
       NEW met3 ( 2900990 1560260 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 1576550 ) ( * 1576580 )
-      NEW met3 ( 2585660 1576550 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1576580 ) ( 2601070 * )
-      NEW met1 ( 2601070 1566210 ) ( 2900990 * )
-      NEW met2 ( 2601070 1576580 ) M2M3_PR_M
-      NEW met1 ( 2601070 1566210 ) M1M2_PR
-      NEW met1 ( 2900990 1566210 ) M1M2_PR
-      NEW met2 ( 2900990 1560260 ) M2M3_PR_M ;
+      NEW met3 ( 2731940 1285540 ) ( 2744590 * )
+      NEW met1 ( 2744590 1559410 ) ( 2900990 * )
+      NEW met2 ( 2744590 1285540 ) ( * 1559410 )
+      NEW met1 ( 2900990 1559410 ) M1M2_PR
+      NEW met2 ( 2900990 1560260 ) M2M3_PR_M
+      NEW met2 ( 2744590 1285540 ) M2M3_PR_M
+      NEW met1 ( 2744590 1559410 ) M1M2_PR ;
     - io_out[8] ( PIN io_out[8] ) ( mprj io_out[8] ) + USE SIGNAL
-      + ROUTED met2 ( 2900990 1821890 ) ( * 1825460 )
+      + ROUTED met3 ( 2731940 1456900 ) ( * 1457005 )
+      NEW met3 ( 2729180 1457005 0 ) ( 2731940 * )
+      NEW met2 ( 2900990 1821890 ) ( * 1825460 )
       NEW met3 ( 2900990 1825460 ) ( 2917780 * 0 )
-      NEW met2 ( 2596930 1798940 ) ( * 1821890 )
-      NEW met1 ( 2596930 1821890 ) ( 2900990 * )
-      NEW met3 ( 2588420 1798520 ) ( * 1798940 )
-      NEW met3 ( 2585660 1798520 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 1798940 ) ( 2596930 * )
-      NEW met1 ( 2596930 1821890 ) M1M2_PR
+      NEW met3 ( 2731940 1456900 ) ( 2742750 * )
+      NEW met1 ( 2742750 1821890 ) ( 2900990 * )
+      NEW met2 ( 2742750 1456900 ) ( * 1821890 )
       NEW met1 ( 2900990 1821890 ) M1M2_PR
       NEW met2 ( 2900990 1825460 ) M2M3_PR_M
-      NEW met2 ( 2596930 1798940 ) M2M3_PR_M ;
+      NEW met2 ( 2742750 1456900 ) M2M3_PR_M
+      NEW met1 ( 2742750 1821890 ) M1M2_PR ;
     - io_out[9] ( PIN io_out[9] ) ( mprj io_out[9] ) + USE SIGNAL
-      + ROUTED met2 ( 2598310 2020620 ) ( * 2090830 )
+      + ROUTED met3 ( 2731940 1628480 ) ( * 1628940 )
+      NEW met3 ( 2729180 1628480 0 ) ( 2731940 * )
       NEW met2 ( 2900990 2090830 ) ( * 2091340 )
       NEW met3 ( 2900990 2091340 ) ( 2917780 * 0 )
-      NEW met3 ( 2588420 2020585 ) ( * 2020620 )
-      NEW met3 ( 2585660 2020585 0 ) ( 2588420 * )
-      NEW met3 ( 2588420 2020620 ) ( 2598310 * )
-      NEW met1 ( 2598310 2090830 ) ( 2900990 * )
-      NEW met2 ( 2598310 2020620 ) M2M3_PR_M
-      NEW met1 ( 2598310 2090830 ) M1M2_PR
+      NEW met3 ( 2731940 1628940 ) ( 2745510 * )
+      NEW met2 ( 2745510 1628940 ) ( * 2090830 )
+      NEW met1 ( 2745510 2090830 ) ( 2900990 * )
       NEW met1 ( 2900990 2090830 ) M1M2_PR
-      NEW met2 ( 2900990 2091340 ) M2M3_PR_M ;
+      NEW met2 ( 2900990 2091340 ) M2M3_PR_M
+      NEW met2 ( 2745510 1628940 ) M2M3_PR_M
+      NEW met1 ( 2745510 2090830 ) M1M2_PR ;
     - la_data_in[0] ( PIN la_data_in[0] ) ( mprj la_data_in[0] ) + USE SIGNAL
-      + ROUTED met2 ( 629510 2380 0 ) ( * 30770 )
+      + ROUTED met2 ( 865950 283050 ) ( * 298860 )
+      NEW met2 ( 865950 298860 ) ( 866100 * )
+      NEW met2 ( 866100 298860 ) ( * 300220 0 )
+      NEW met2 ( 629510 2380 0 ) ( * 30770 )
       NEW met1 ( 629510 30770 ) ( 831450 * )
-      NEW met1 ( 831450 288150 ) ( 838350 * )
-      NEW met2 ( 838350 288150 ) ( * 298860 )
-      NEW met2 ( 838350 298860 ) ( 838500 * )
-      NEW met2 ( 838500 298860 ) ( * 300220 0 )
-      NEW met2 ( 831450 30770 ) ( * 288150 )
+      NEW met1 ( 831450 283050 ) ( 865950 * )
+      NEW met2 ( 831450 30770 ) ( * 283050 )
+      NEW met1 ( 865950 283050 ) M1M2_PR
       NEW met1 ( 629510 30770 ) M1M2_PR
       NEW met1 ( 831450 30770 ) M1M2_PR
-      NEW met1 ( 831450 288150 ) M1M2_PR
-      NEW met1 ( 838350 288150 ) M1M2_PR ;
+      NEW met1 ( 831450 283050 ) M1M2_PR ;
     - la_data_in[100] ( PIN la_data_in[100] ) ( mprj la_data_in[100] ) + USE SIGNAL
-      + ROUTED met2 ( 1290070 286790 ) ( * 298860 )
-      NEW met2 ( 1290000 298860 ) ( 1290070 * )
-      NEW met2 ( 1290000 298860 ) ( * 300220 0 )
-      NEW li1 ( 2230310 285770 ) ( * 286790 )
-      NEW met1 ( 2230310 285770 ) ( 2252850 * )
-      NEW met2 ( 2252850 40630 ) ( * 285770 )
-      NEW met2 ( 2402810 2380 0 ) ( * 40630 )
-      NEW met1 ( 2252850 40630 ) ( 2402810 * )
-      NEW met1 ( 1290070 286790 ) ( 2230310 * )
-      NEW met1 ( 1290070 286790 ) M1M2_PR
-      NEW li1 ( 2230310 286790 ) L1M1_PR_MR
-      NEW li1 ( 2230310 285770 ) L1M1_PR_MR
-      NEW met1 ( 2252850 285770 ) M1M2_PR
-      NEW met1 ( 2252850 40630 ) M1M2_PR
-      NEW met1 ( 2402810 40630 ) M1M2_PR ;
+      + ROUTED met2 ( 1343890 284750 ) ( * 298860 )
+      NEW met2 ( 1343700 298860 ) ( 1343890 * )
+      NEW met2 ( 1343700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2402810 2380 0 ) ( * 60350 )
+      NEW met1 ( 1343890 284750 ) ( 1521910 * )
+      NEW met2 ( 1521910 60350 ) ( * 284750 )
+      NEW met1 ( 1521910 60350 ) ( 2402810 * )
+      NEW met1 ( 1343890 284750 ) M1M2_PR
+      NEW met1 ( 2402810 60350 ) M1M2_PR
+      NEW met1 ( 1521910 284750 ) M1M2_PR
+      NEW met1 ( 1521910 60350 ) M1M2_PR ;
     - la_data_in[101] ( PIN la_data_in[101] ) ( mprj la_data_in[101] ) + USE SIGNAL
-      + ROUTED met2 ( 2420290 2380 0 ) ( * 17340 )
-      NEW met2 ( 2418450 17340 ) ( 2420290 * )
-      NEW met2 ( 2418450 17340 ) ( * 72250 )
-      NEW met1 ( 1294670 286450 ) ( 1296970 * )
-      NEW met2 ( 1294670 286450 ) ( * 298860 )
-      NEW met2 ( 1294600 298860 ) ( 1294670 * )
-      NEW met2 ( 1294600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1296970 72250 ) ( * 286450 )
-      NEW met1 ( 1296970 72250 ) ( 2418450 * )
-      NEW met1 ( 2418450 72250 ) M1M2_PR
-      NEW met1 ( 1296970 286450 ) M1M2_PR
-      NEW met1 ( 1294670 286450 ) M1M2_PR
-      NEW met1 ( 1296970 72250 ) M1M2_PR ;
+      + ROUTED met1 ( 1348490 286790 ) ( 1352170 * )
+      NEW met2 ( 1348490 286790 ) ( * 298860 )
+      NEW met2 ( 1348490 298860 ) ( 1348500 * )
+      NEW met2 ( 1348500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1352170 66130 ) ( * 286790 )
+      NEW met2 ( 2420290 2380 0 ) ( * 66130 )
+      NEW met1 ( 1352170 66130 ) ( 2420290 * )
+      NEW met1 ( 1352170 286790 ) M1M2_PR
+      NEW met1 ( 1348490 286790 ) M1M2_PR
+      NEW met1 ( 1352170 66130 ) M1M2_PR
+      NEW met1 ( 2420290 66130 ) M1M2_PR ;
     - la_data_in[102] ( PIN la_data_in[102] ) ( mprj la_data_in[102] ) + USE SIGNAL
-      + ROUTED met2 ( 2438230 2380 0 ) ( * 15470 )
-      NEW met1 ( 2425350 15470 ) ( 2438230 * )
-      NEW met2 ( 2425350 15470 ) ( * 279310 )
-      NEW met2 ( 1299270 279310 ) ( * 298860 )
-      NEW met2 ( 1299100 298860 ) ( 1299270 * )
-      NEW met2 ( 1299100 298860 ) ( * 300220 0 )
-      NEW met1 ( 1299270 279310 ) ( 2425350 * )
-      NEW met1 ( 2438230 15470 ) M1M2_PR
-      NEW met1 ( 2425350 15470 ) M1M2_PR
-      NEW met1 ( 2425350 279310 ) M1M2_PR
-      NEW met1 ( 1299270 279310 ) M1M2_PR ;
+      + ROUTED met2 ( 1353550 286110 ) ( * 298860 )
+      NEW met2 ( 1353300 298860 ) ( 1353550 * )
+      NEW met2 ( 1353300 298860 ) ( * 300220 0 )
+      NEW met2 ( 2438230 2380 0 ) ( * 20910 )
+      NEW met1 ( 2432250 20910 ) ( 2438230 * )
+      NEW met2 ( 2432250 20910 ) ( * 286110 )
+      NEW met1 ( 1353550 286110 ) ( 2432250 * )
+      NEW met1 ( 1353550 286110 ) M1M2_PR
+      NEW met1 ( 2438230 20910 ) M1M2_PR
+      NEW met1 ( 2432250 20910 ) M1M2_PR
+      NEW met1 ( 2432250 286110 ) M1M2_PR ;
     - la_data_in[103] ( PIN la_data_in[103] ) ( mprj la_data_in[103] ) + USE SIGNAL
-      + ROUTED met2 ( 2446510 20570 ) ( * 59330 )
-      NEW met2 ( 1303410 298860 ) ( 1303600 * )
-      NEW met2 ( 1303600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2455710 2380 0 ) ( * 20570 )
-      NEW met1 ( 2446510 20570 ) ( 2455710 * )
-      NEW met2 ( 1303410 59330 ) ( * 298860 )
-      NEW met1 ( 1303410 59330 ) ( 2446510 * )
-      NEW met1 ( 2446510 20570 ) M1M2_PR
-      NEW met1 ( 2446510 59330 ) M1M2_PR
-      NEW met1 ( 2455710 20570 ) M1M2_PR
-      NEW met1 ( 1303410 59330 ) M1M2_PR ;
+      + ROUTED met2 ( 1358000 298860 ) ( 1359070 * )
+      NEW met2 ( 1358000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1359070 65790 ) ( * 298860 )
+      NEW met2 ( 2455710 2380 0 ) ( * 3060 )
+      NEW met2 ( 2454790 3060 ) ( 2455710 * )
+      NEW met2 ( 2454790 2380 ) ( * 3060 )
+      NEW met2 ( 2453410 2380 ) ( 2454790 * )
+      NEW met2 ( 2453410 2380 ) ( * 3060 )
+      NEW met2 ( 2452490 3060 ) ( 2453410 * )
+      NEW met1 ( 1359070 65790 ) ( 2452490 * )
+      NEW met2 ( 2452490 3060 ) ( * 65790 )
+      NEW met1 ( 1359070 65790 ) M1M2_PR
+      NEW met1 ( 2452490 65790 ) M1M2_PR ;
     - la_data_in[104] ( PIN la_data_in[104] ) ( mprj la_data_in[104] ) + USE SIGNAL
-      + ROUTED met2 ( 2446050 18190 ) ( * 286450 )
-      NEW met1 ( 1325030 286110 ) ( * 286450 )
-      NEW met1 ( 1321810 286110 ) ( 1325030 * )
-      NEW met1 ( 1321810 286110 ) ( * 286450 )
-      NEW met1 ( 1308010 286450 ) ( 1321810 * )
-      NEW met2 ( 1308010 286450 ) ( * 298860 )
-      NEW met2 ( 1308010 298860 ) ( 1308100 * )
-      NEW met2 ( 1308100 298860 ) ( * 300220 0 )
-      NEW met2 ( 2473650 2380 0 ) ( * 18190 )
-      NEW met1 ( 2446050 18190 ) ( 2473650 * )
-      NEW met1 ( 1325030 286450 ) ( 2446050 * )
-      NEW met1 ( 2446050 18190 ) M1M2_PR
-      NEW met1 ( 2446050 286450 ) M1M2_PR
-      NEW met1 ( 1308010 286450 ) M1M2_PR
-      NEW met1 ( 2473650 18190 ) M1M2_PR ;
+      + ROUTED met2 ( 1362750 286790 ) ( * 298860 )
+      NEW met2 ( 1362750 298860 ) ( 1362800 * )
+      NEW met2 ( 1362800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2308050 39610 ) ( * 286790 )
+      NEW met1 ( 1362750 286790 ) ( 2308050 * )
+      NEW met1 ( 2308050 39610 ) ( 2473650 * )
+      NEW met2 ( 2473650 2380 0 ) ( * 39610 )
+      NEW met1 ( 1362750 286790 ) M1M2_PR
+      NEW met1 ( 2308050 286790 ) M1M2_PR
+      NEW met1 ( 2308050 39610 ) M1M2_PR
+      NEW met1 ( 2473650 39610 ) M1M2_PR ;
     - la_data_in[105] ( PIN la_data_in[105] ) ( mprj la_data_in[105] ) + USE SIGNAL
-      + ROUTED met2 ( 2418450 82800 ) ( 2418910 * )
-      NEW met2 ( 2418910 17850 ) ( * 82800 )
-      NEW met2 ( 2418450 82800 ) ( * 279650 )
-      NEW met2 ( 1312610 279650 ) ( * 298860 )
-      NEW met2 ( 1312600 298860 ) ( 1312610 * )
-      NEW met2 ( 1312600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2491130 2380 0 ) ( * 17850 )
-      NEW met1 ( 2418910 17850 ) ( 2491130 * )
-      NEW met1 ( 1312610 279650 ) ( 2418450 * )
-      NEW met1 ( 2418910 17850 ) M1M2_PR
-      NEW met1 ( 2418450 279650 ) M1M2_PR
-      NEW met1 ( 1312610 279650 ) M1M2_PR
-      NEW met1 ( 2491130 17850 ) M1M2_PR ;
+      + ROUTED met2 ( 1367810 286450 ) ( * 298860 )
+      NEW met2 ( 1367600 298860 ) ( 1367810 * )
+      NEW met2 ( 1367600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2342550 38930 ) ( * 286450 )
+      NEW met1 ( 1367810 286450 ) ( 2342550 * )
+      NEW met2 ( 2491130 2380 0 ) ( * 17340 )
+      NEW met2 ( 2491130 17340 ) ( 2491590 * )
+      NEW met1 ( 2342550 38930 ) ( 2491590 * )
+      NEW met2 ( 2491590 17340 ) ( * 38930 )
+      NEW met1 ( 1367810 286450 ) M1M2_PR
+      NEW met1 ( 2342550 286450 ) M1M2_PR
+      NEW met1 ( 2342550 38930 ) M1M2_PR
+      NEW met1 ( 2491590 38930 ) M1M2_PR ;
     - la_data_in[106] ( PIN la_data_in[106] ) ( mprj la_data_in[106] ) + USE SIGNAL
-      + ROUTED met2 ( 2509070 2380 0 ) ( * 3060 )
+      + ROUTED met2 ( 1372300 298860 ) ( 1372870 * )
+      NEW met2 ( 1372300 298860 ) ( * 300220 0 )
+      NEW met2 ( 2509070 2380 0 ) ( * 3060 )
       NEW met2 ( 2508150 3060 ) ( 2509070 * )
       NEW met2 ( 2508150 2380 ) ( * 3060 )
       NEW met2 ( 2506770 2380 ) ( 2508150 * )
-      NEW met2 ( 2506770 2380 ) ( * 58990 )
-      NEW met2 ( 1317100 298860 ) ( 1317210 * )
-      NEW met2 ( 1317100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1317210 58990 ) ( * 298860 )
-      NEW met1 ( 1317210 58990 ) ( 2506770 * )
-      NEW met1 ( 2506770 58990 ) M1M2_PR
-      NEW met1 ( 1317210 58990 ) M1M2_PR ;
+      NEW met2 ( 1372870 65450 ) ( * 298860 )
+      NEW met2 ( 2506770 2380 ) ( * 65450 )
+      NEW met1 ( 1372870 65450 ) ( 2506770 * )
+      NEW met1 ( 1372870 65450 ) M1M2_PR
+      NEW met1 ( 2506770 65450 ) M1M2_PR ;
     - la_data_in[107] ( PIN la_data_in[107] ) ( mprj la_data_in[107] ) + USE SIGNAL
-      + ROUTED met2 ( 2527010 2380 0 ) ( * 17510 )
-      NEW met1 ( 2521950 17510 ) ( 2527010 * )
-      NEW met2 ( 2521950 17510 ) ( * 65450 )
-      NEW met1 ( 1321810 285090 ) ( 1324570 * )
-      NEW met2 ( 1321810 285090 ) ( * 298860 )
-      NEW met2 ( 1321600 298860 ) ( 1321810 * )
-      NEW met2 ( 1321600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1324570 65450 ) ( * 285090 )
-      NEW met1 ( 1324570 65450 ) ( 2521950 * )
-      NEW met1 ( 2527010 17510 ) M1M2_PR
-      NEW met1 ( 2521950 17510 ) M1M2_PR
-      NEW met1 ( 2521950 65450 ) M1M2_PR
-      NEW met1 ( 1324570 285090 ) M1M2_PR
-      NEW met1 ( 1321810 285090 ) M1M2_PR
-      NEW met1 ( 1324570 65450 ) M1M2_PR ;
+      + ROUTED met2 ( 1377010 279650 ) ( * 298860 )
+      NEW met2 ( 1377010 298860 ) ( 1377100 * )
+      NEW met2 ( 1377100 298860 ) ( * 300220 0 )
+      NEW met2 ( 2527010 2380 0 ) ( * 17850 )
+      NEW met1 ( 2515050 17850 ) ( 2527010 * )
+      NEW met2 ( 2515050 17850 ) ( * 279650 )
+      NEW met1 ( 1377010 279650 ) ( 2515050 * )
+      NEW met1 ( 1377010 279650 ) M1M2_PR
+      NEW met1 ( 2527010 17850 ) M1M2_PR
+      NEW met1 ( 2515050 17850 ) M1M2_PR
+      NEW met1 ( 2515050 279650 ) M1M2_PR ;
     - la_data_in[108] ( PIN la_data_in[108] ) ( mprj la_data_in[108] ) + USE SIGNAL
-      + ROUTED met2 ( 2544490 2380 0 ) ( * 17510 )
-      NEW met1 ( 2535750 17510 ) ( 2544490 * )
-      NEW met2 ( 2535750 17510 ) ( * 286110 )
-      NEW met2 ( 1326410 286110 ) ( * 298860 )
-      NEW met2 ( 1326200 298860 ) ( 1326410 * )
-      NEW met2 ( 1326200 298860 ) ( * 300220 0 )
-      NEW met1 ( 1326410 286110 ) ( 2535750 * )
-      NEW met1 ( 2544490 17510 ) M1M2_PR
-      NEW met1 ( 2535750 17510 ) M1M2_PR
-      NEW met1 ( 2535750 286110 ) M1M2_PR
-      NEW met1 ( 1326410 286110 ) M1M2_PR ;
+      + ROUTED met2 ( 1382070 279310 ) ( * 298860 )
+      NEW met2 ( 1381900 298860 ) ( 1382070 * )
+      NEW met2 ( 1381900 298860 ) ( * 300220 0 )
+      NEW met2 ( 2544490 2380 0 ) ( * 17340 )
+      NEW met2 ( 2542650 17340 ) ( 2544490 * )
+      NEW met2 ( 2542650 17340 ) ( * 34500 )
+      NEW met2 ( 2539430 34500 ) ( 2542650 * )
+      NEW met2 ( 2539430 34500 ) ( * 279310 )
+      NEW met1 ( 1382070 279310 ) ( 2539430 * )
+      NEW met1 ( 1382070 279310 ) M1M2_PR
+      NEW met1 ( 2539430 279310 ) M1M2_PR ;
     - la_data_in[109] ( PIN la_data_in[109] ) ( mprj la_data_in[109] ) + USE SIGNAL
-      + ROUTED met2 ( 1330700 298860 ) ( 1331470 * )
-      NEW met2 ( 1330700 298860 ) ( * 300220 0 )
+      + ROUTED met2 ( 1385750 298860 ) ( 1386600 * )
+      NEW met2 ( 1386600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1385750 21250 ) ( * 298860 )
       NEW met2 ( 2562430 2380 0 ) ( * 21250 )
-      NEW met2 ( 1331470 21250 ) ( * 298860 )
-      NEW met1 ( 1331470 21250 ) ( 2562430 * )
-      NEW met1 ( 1331470 21250 ) M1M2_PR
+      NEW met1 ( 1385750 21250 ) ( 2562430 * )
+      NEW met1 ( 1385750 21250 ) M1M2_PR
       NEW met1 ( 2562430 21250 ) M1M2_PR ;
     - la_data_in[10] ( PIN la_data_in[10] ) ( mprj la_data_in[10] ) + USE SIGNAL
-      + ROUTED met2 ( 883430 287130 ) ( * 298860 )
-      NEW met2 ( 883430 298860 ) ( 883700 * )
-      NEW met2 ( 883700 298860 ) ( * 300220 0 )
-      NEW met2 ( 806610 2380 0 ) ( * 34500 )
-      NEW met2 ( 806610 34500 ) ( 807070 * )
-      NEW met2 ( 807070 34500 ) ( * 287130 )
-      NEW met1 ( 807070 287130 ) ( 883430 * )
-      NEW met1 ( 807070 287130 ) M1M2_PR
-      NEW met1 ( 883430 287130 ) M1M2_PR ;
+      + ROUTED met2 ( 806610 2380 0 ) ( * 79730 )
+      NEW met2 ( 911030 298860 ) ( 913900 * )
+      NEW met2 ( 913900 298860 ) ( * 300220 0 )
+      NEW met1 ( 806610 79730 ) ( 911030 * )
+      NEW met2 ( 911030 79730 ) ( * 298860 )
+      NEW met1 ( 806610 79730 ) M1M2_PR
+      NEW met1 ( 911030 79730 ) M1M2_PR ;
     - la_data_in[110] ( PIN la_data_in[110] ) ( mprj la_data_in[110] ) + USE SIGNAL
-      + ROUTED met1 ( 1335150 285090 ) ( 1338370 * )
-      NEW met2 ( 1335150 285090 ) ( * 298860 )
-      NEW met2 ( 1335150 298860 ) ( 1335200 * )
-      NEW met2 ( 1335200 298860 ) ( * 300220 0 )
+      + ROUTED met1 ( 1393570 25330 ) ( 1425310 * )
+      NEW li1 ( 1425310 21590 ) ( * 25330 )
+      NEW met1 ( 1391270 285430 ) ( 1393570 * )
+      NEW met2 ( 1391270 285430 ) ( * 298860 )
+      NEW met2 ( 1391270 298860 ) ( 1391400 * )
+      NEW met2 ( 1391400 298860 ) ( * 300220 0 )
       NEW met2 ( 2579910 2380 0 ) ( * 21590 )
-      NEW met2 ( 1338370 21590 ) ( * 285090 )
-      NEW met1 ( 1338370 21590 ) ( 2579910 * )
-      NEW met1 ( 1338370 21590 ) M1M2_PR
-      NEW met1 ( 1338370 285090 ) M1M2_PR
-      NEW met1 ( 1335150 285090 ) M1M2_PR
+      NEW met1 ( 1425310 21590 ) ( 2579910 * )
+      NEW met2 ( 1393570 25330 ) ( * 285430 )
+      NEW met1 ( 1393570 25330 ) M1M2_PR
+      NEW li1 ( 1425310 25330 ) L1M1_PR_MR
+      NEW li1 ( 1425310 21590 ) L1M1_PR_MR
+      NEW met1 ( 1393570 285430 ) M1M2_PR
+      NEW met1 ( 1391270 285430 ) M1M2_PR
       NEW met1 ( 2579910 21590 ) M1M2_PR ;
     - la_data_in[111] ( PIN la_data_in[111] ) ( mprj la_data_in[111] ) + USE SIGNAL
-      + ROUTED met1 ( 1339750 285090 ) ( 1345270 * )
-      NEW met2 ( 1339750 285090 ) ( * 298860 )
-      NEW met2 ( 1339700 298860 ) ( 1339750 * )
-      NEW met2 ( 1339700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2597850 2380 0 ) ( * 21930 )
-      NEW met2 ( 1345270 21930 ) ( * 285090 )
-      NEW met1 ( 1345270 21930 ) ( 2597850 * )
-      NEW met1 ( 1345270 21930 ) M1M2_PR
-      NEW met1 ( 1345270 285090 ) M1M2_PR
-      NEW met1 ( 1339750 285090 ) M1M2_PR
-      NEW met1 ( 2597850 21930 ) M1M2_PR ;
+      + ROUTED met2 ( 2597850 2380 0 ) ( * 21930 )
+      NEW met1 ( 1396330 285430 ) ( 1400470 * )
+      NEW met2 ( 1396330 285430 ) ( * 298860 )
+      NEW met2 ( 1396200 298860 ) ( 1396330 * )
+      NEW met2 ( 1396200 298860 ) ( * 300220 0 )
+      NEW met1 ( 1400470 21930 ) ( 2597850 * )
+      NEW met2 ( 1400470 21930 ) ( * 285430 )
+      NEW met1 ( 2597850 21930 ) M1M2_PR
+      NEW met1 ( 1400470 21930 ) M1M2_PR
+      NEW met1 ( 1400470 285430 ) M1M2_PR
+      NEW met1 ( 1396330 285430 ) M1M2_PR ;
     - la_data_in[112] ( PIN la_data_in[112] ) ( mprj la_data_in[112] ) + USE SIGNAL
-      + ROUTED met2 ( 1344200 298860 ) ( 1344810 * )
-      NEW met2 ( 1344200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2615330 2380 0 ) ( * 22270 )
-      NEW met2 ( 1344810 22270 ) ( * 298860 )
-      NEW met1 ( 1344810 22270 ) ( 2615330 * )
-      NEW met1 ( 1344810 22270 ) M1M2_PR
-      NEW met1 ( 2615330 22270 ) M1M2_PR ;
+      + ROUTED met2 ( 2615330 2380 0 ) ( * 22270 )
+      NEW met1 ( 1400930 285430 ) ( 1406910 * )
+      NEW met2 ( 1400930 285430 ) ( * 298860 )
+      NEW met2 ( 1400930 298860 ) ( 1401000 * )
+      NEW met2 ( 1401000 298860 ) ( * 300220 0 )
+      NEW met1 ( 1406910 22270 ) ( 2615330 * )
+      NEW met2 ( 1406910 22270 ) ( * 285430 )
+      NEW met1 ( 2615330 22270 ) M1M2_PR
+      NEW met1 ( 1406910 22270 ) M1M2_PR
+      NEW met1 ( 1406910 285430 ) M1M2_PR
+      NEW met1 ( 1400930 285430 ) M1M2_PR ;
     - la_data_in[113] ( PIN la_data_in[113] ) ( mprj la_data_in[113] ) + USE SIGNAL
-      + ROUTED met1 ( 1348950 285090 ) ( 1352170 * )
-      NEW met2 ( 1348950 285090 ) ( * 298860 )
-      NEW met2 ( 1348700 298860 ) ( 1348950 * )
-      NEW met2 ( 1348700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2633270 2380 0 ) ( * 22610 )
-      NEW met2 ( 1352170 22610 ) ( * 285090 )
-      NEW met1 ( 1352170 22610 ) ( 2633270 * )
-      NEW met1 ( 1352170 22610 ) M1M2_PR
-      NEW met1 ( 1352170 285090 ) M1M2_PR
-      NEW met1 ( 1348950 285090 ) M1M2_PR
-      NEW met1 ( 2633270 22610 ) M1M2_PR ;
+      + ROUTED met2 ( 2633270 2380 0 ) ( * 22610 )
+      NEW met2 ( 1405800 298860 ) ( 1407370 * )
+      NEW met2 ( 1405800 298860 ) ( * 300220 0 )
+      NEW met1 ( 1407370 22610 ) ( 2633270 * )
+      NEW met2 ( 1407370 22610 ) ( * 298860 )
+      NEW met1 ( 2633270 22610 ) M1M2_PR
+      NEW met1 ( 1407370 22610 ) M1M2_PR ;
     - la_data_in[114] ( PIN la_data_in[114] ) ( mprj la_data_in[114] ) + USE SIGNAL
-      + ROUTED met1 ( 1353550 285090 ) ( 1358610 * )
-      NEW met2 ( 1353550 285090 ) ( * 298860 )
-      NEW met2 ( 1353300 298860 ) ( 1353550 * )
-      NEW met2 ( 1353300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1358610 24990 ) ( * 285090 )
-      NEW li1 ( 1411050 22950 ) ( * 24990 )
-      NEW met1 ( 1358610 24990 ) ( 1411050 * )
+      + ROUTED met1 ( 1410590 285430 ) ( 1414270 * )
+      NEW met2 ( 1410590 285430 ) ( * 298860 )
+      NEW met2 ( 1410590 298860 ) ( 1410600 * )
+      NEW met2 ( 1410600 298860 ) ( * 300220 0 )
       NEW met2 ( 2650750 2380 0 ) ( * 22950 )
-      NEW met1 ( 1411050 22950 ) ( 2650750 * )
-      NEW met1 ( 1358610 24990 ) M1M2_PR
-      NEW met1 ( 1358610 285090 ) M1M2_PR
-      NEW met1 ( 1353550 285090 ) M1M2_PR
-      NEW li1 ( 1411050 24990 ) L1M1_PR_MR
-      NEW li1 ( 1411050 22950 ) L1M1_PR_MR
+      NEW met1 ( 1414270 22950 ) ( 2650750 * )
+      NEW met2 ( 1414270 22950 ) ( * 285430 )
+      NEW met1 ( 1414270 22950 ) M1M2_PR
+      NEW met1 ( 1414270 285430 ) M1M2_PR
+      NEW met1 ( 1410590 285430 ) M1M2_PR
       NEW met1 ( 2650750 22950 ) M1M2_PR ;
     - la_data_in[115] ( PIN la_data_in[115] ) ( mprj la_data_in[115] ) + USE SIGNAL
-      + ROUTED met2 ( 1357800 298860 ) ( 1359070 * )
-      NEW met2 ( 1357800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1359070 22950 ) ( * 298860 )
-      NEW met1 ( 1394030 22950 ) ( * 23290 )
-      NEW met1 ( 1359070 22950 ) ( 1394030 * )
+      + ROUTED met1 ( 1415190 285430 ) ( 1420710 * )
+      NEW met2 ( 1415190 285430 ) ( * 298860 )
+      NEW met2 ( 1415190 298860 ) ( 1415300 * )
+      NEW met2 ( 1415300 298860 ) ( * 300220 0 )
       NEW met2 ( 2668690 2380 0 ) ( * 23290 )
-      NEW met1 ( 1394030 23290 ) ( 2668690 * )
-      NEW met1 ( 1359070 22950 ) M1M2_PR
+      NEW met1 ( 1420710 23290 ) ( 2668690 * )
+      NEW met2 ( 1420710 23290 ) ( * 285430 )
+      NEW met1 ( 1420710 23290 ) M1M2_PR
+      NEW met1 ( 1420710 285430 ) M1M2_PR
+      NEW met1 ( 1415190 285430 ) M1M2_PR
       NEW met1 ( 2668690 23290 ) M1M2_PR ;
     - la_data_in[116] ( PIN la_data_in[116] ) ( mprj la_data_in[116] ) + USE SIGNAL
-      + ROUTED met1 ( 1362290 285090 ) ( 1365970 * )
-      NEW met2 ( 1362290 285090 ) ( * 298860 )
-      NEW met2 ( 1362290 298860 ) ( 1362300 * )
-      NEW met2 ( 1362300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1365970 23290 ) ( * 285090 )
-      NEW met1 ( 1393570 23290 ) ( * 23630 )
-      NEW met1 ( 1365970 23290 ) ( 1393570 * )
+      + ROUTED met2 ( 1420000 298860 ) ( 1421170 * )
+      NEW met2 ( 1420000 298860 ) ( * 300220 0 )
       NEW met2 ( 2686170 2380 0 ) ( * 23630 )
-      NEW met1 ( 1393570 23630 ) ( 2686170 * )
-      NEW met1 ( 1365970 23290 ) M1M2_PR
-      NEW met1 ( 1365970 285090 ) M1M2_PR
-      NEW met1 ( 1362290 285090 ) M1M2_PR
+      NEW met1 ( 1421170 23630 ) ( 2686170 * )
+      NEW met2 ( 1421170 23630 ) ( * 298860 )
+      NEW met1 ( 1421170 23630 ) M1M2_PR
       NEW met1 ( 2686170 23630 ) M1M2_PR ;
     - la_data_in[117] ( PIN la_data_in[117] ) ( mprj la_data_in[117] ) + USE SIGNAL
       + ROUTED met2 ( 2704110 2380 0 ) ( * 27370 )
-      NEW met1 ( 1366890 283050 ) ( 1372410 * )
-      NEW met2 ( 1366890 283050 ) ( * 298860 )
-      NEW met2 ( 1366800 298860 ) ( 1366890 * )
-      NEW met2 ( 1366800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1372410 27370 ) ( * 283050 )
-      NEW met1 ( 1372410 27370 ) ( 2704110 * )
-      NEW met1 ( 1372410 27370 ) M1M2_PR
+      NEW met1 ( 1424850 285430 ) ( 1428070 * )
+      NEW met2 ( 1424850 285430 ) ( * 298860 )
+      NEW met2 ( 1424800 298860 ) ( 1424850 * )
+      NEW met2 ( 1424800 298860 ) ( * 300220 0 )
+      NEW met1 ( 1428070 27370 ) ( 2704110 * )
+      NEW met2 ( 1428070 27370 ) ( * 285430 )
       NEW met1 ( 2704110 27370 ) M1M2_PR
-      NEW met1 ( 1372410 283050 ) M1M2_PR
-      NEW met1 ( 1366890 283050 ) M1M2_PR ;
+      NEW met1 ( 1428070 27370 ) M1M2_PR
+      NEW met1 ( 1428070 285430 ) M1M2_PR
+      NEW met1 ( 1424850 285430 ) M1M2_PR ;
     - la_data_in[118] ( PIN la_data_in[118] ) ( mprj la_data_in[118] ) + USE SIGNAL
       + ROUTED met2 ( 2722050 2380 0 ) ( * 27030 )
-      NEW met2 ( 1371300 298860 ) ( 1372870 * )
-      NEW met2 ( 1371300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1372870 27030 ) ( * 298860 )
-      NEW met1 ( 1372870 27030 ) ( 2722050 * )
-      NEW met1 ( 1372870 27030 ) M1M2_PR
-      NEW met1 ( 2722050 27030 ) M1M2_PR ;
+      NEW met1 ( 1429450 285090 ) ( 1434970 * )
+      NEW met2 ( 1429450 285090 ) ( * 298860 )
+      NEW met2 ( 1429450 298860 ) ( 1429600 * )
+      NEW met2 ( 1429600 298860 ) ( * 300220 0 )
+      NEW met1 ( 1434970 27030 ) ( 2722050 * )
+      NEW met2 ( 1434970 27030 ) ( * 285090 )
+      NEW met1 ( 2722050 27030 ) M1M2_PR
+      NEW met1 ( 1434970 27030 ) M1M2_PR
+      NEW met1 ( 1434970 285090 ) M1M2_PR
+      NEW met1 ( 1429450 285090 ) M1M2_PR ;
     - la_data_in[119] ( PIN la_data_in[119] ) ( mprj la_data_in[119] ) + USE SIGNAL
-      + ROUTED met2 ( 2739530 2380 0 ) ( * 26690 )
-      NEW met1 ( 1376090 283050 ) ( 1379770 * )
-      NEW met2 ( 1376090 283050 ) ( * 298860 )
-      NEW met2 ( 1375800 298860 ) ( 1376090 * )
-      NEW met2 ( 1375800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1379770 26690 ) ( * 283050 )
-      NEW met1 ( 1379770 26690 ) ( 2739530 * )
-      NEW met1 ( 1379770 26690 ) M1M2_PR
-      NEW met1 ( 2739530 26690 ) M1M2_PR
-      NEW met1 ( 1379770 283050 ) M1M2_PR
-      NEW met1 ( 1376090 283050 ) M1M2_PR ;
+      + ROUTED met2 ( 1434400 298860 ) ( 1434510 * )
+      NEW met2 ( 1434400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2739530 2380 0 ) ( * 26690 )
+      NEW met1 ( 1434510 26690 ) ( 2739530 * )
+      NEW met2 ( 1434510 26690 ) ( * 298860 )
+      NEW met1 ( 1434510 26690 ) M1M2_PR
+      NEW met1 ( 2739530 26690 ) M1M2_PR ;
     - la_data_in[11] ( PIN la_data_in[11] ) ( mprj la_data_in[11] ) + USE SIGNAL
-      + ROUTED met2 ( 888030 286450 ) ( * 298860 )
-      NEW met2 ( 888030 298860 ) ( 888200 * )
-      NEW met2 ( 888200 298860 ) ( * 300220 0 )
-      NEW met2 ( 824550 2380 0 ) ( * 16830 )
-      NEW met1 ( 824550 16830 ) ( 827770 * )
-      NEW met1 ( 827770 286450 ) ( 888030 * )
-      NEW met2 ( 827770 16830 ) ( * 286450 )
-      NEW met1 ( 888030 286450 ) M1M2_PR
-      NEW met1 ( 824550 16830 ) M1M2_PR
-      NEW met1 ( 827770 16830 ) M1M2_PR
-      NEW met1 ( 827770 286450 ) M1M2_PR ;
+      + ROUTED met2 ( 824550 2380 0 ) ( * 25330 )
+      NEW met1 ( 824550 25330 ) ( 918390 * )
+      NEW met2 ( 918390 298860 ) ( 918600 * )
+      NEW met2 ( 918600 298860 ) ( * 300220 0 )
+      NEW met2 ( 918390 25330 ) ( * 298860 )
+      NEW met1 ( 824550 25330 ) M1M2_PR
+      NEW met1 ( 918390 25330 ) M1M2_PR ;
     - la_data_in[120] ( PIN la_data_in[120] ) ( mprj la_data_in[120] ) + USE SIGNAL
-      + ROUTED met2 ( 2757470 2380 0 ) ( * 26350 )
-      NEW met1 ( 1380230 283050 ) ( 1386670 * )
-      NEW met2 ( 1380230 283050 ) ( * 298860 )
-      NEW met2 ( 1380230 298860 ) ( 1380300 * )
-      NEW met2 ( 1380300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1386670 26350 ) ( * 283050 )
-      NEW met1 ( 1386670 26350 ) ( 2757470 * )
-      NEW met1 ( 1386670 26350 ) M1M2_PR
-      NEW met1 ( 2757470 26350 ) M1M2_PR
-      NEW met1 ( 1386670 283050 ) M1M2_PR
-      NEW met1 ( 1380230 283050 ) M1M2_PR ;
+      + ROUTED met1 ( 1439110 285090 ) ( 1441870 * )
+      NEW met2 ( 1439110 285090 ) ( * 298860 )
+      NEW met2 ( 1439110 298860 ) ( 1439200 * )
+      NEW met2 ( 1439200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1441870 26350 ) ( * 285090 )
+      NEW met2 ( 2757470 2380 0 ) ( * 26350 )
+      NEW met1 ( 1441870 26350 ) ( 2757470 * )
+      NEW met1 ( 1441870 26350 ) M1M2_PR
+      NEW met1 ( 1441870 285090 ) M1M2_PR
+      NEW met1 ( 1439110 285090 ) M1M2_PR
+      NEW met1 ( 2757470 26350 ) M1M2_PR ;
     - la_data_in[121] ( PIN la_data_in[121] ) ( mprj la_data_in[121] ) + USE SIGNAL
-      + ROUTED met2 ( 2774950 2380 0 ) ( * 26010 )
-      NEW met2 ( 1384900 298860 ) ( 1386210 * )
-      NEW met2 ( 1384900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1386210 26010 ) ( * 298860 )
-      NEW met1 ( 1386210 26010 ) ( 2774950 * )
-      NEW met1 ( 1386210 26010 ) M1M2_PR
+      + ROUTED met1 ( 1444170 285090 ) ( 1448770 * )
+      NEW met2 ( 1444170 285090 ) ( * 298860 )
+      NEW met2 ( 1443900 298860 ) ( 1444170 * )
+      NEW met2 ( 1443900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1448770 26010 ) ( * 285090 )
+      NEW met2 ( 2774950 2380 0 ) ( * 26010 )
+      NEW met1 ( 1448770 26010 ) ( 2774950 * )
+      NEW met1 ( 1448770 26010 ) M1M2_PR
+      NEW met1 ( 1448770 285090 ) M1M2_PR
+      NEW met1 ( 1444170 285090 ) M1M2_PR
       NEW met1 ( 2774950 26010 ) M1M2_PR ;
     - la_data_in[122] ( PIN la_data_in[122] ) ( mprj la_data_in[122] ) + USE SIGNAL
-      + ROUTED met2 ( 2792890 2380 0 ) ( * 25670 )
-      NEW met1 ( 1393570 20910 ) ( 1411970 * )
-      NEW li1 ( 1411970 20910 ) ( * 25670 )
-      NEW met1 ( 1389430 283050 ) ( 1393570 * )
-      NEW met2 ( 1389430 283050 ) ( * 298860 )
-      NEW met2 ( 1389400 298860 ) ( 1389430 * )
-      NEW met2 ( 1389400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1393570 20910 ) ( * 283050 )
-      NEW met1 ( 1411970 25670 ) ( 2792890 * )
-      NEW met1 ( 2792890 25670 ) M1M2_PR
-      NEW met1 ( 1393570 20910 ) M1M2_PR
-      NEW li1 ( 1411970 20910 ) L1M1_PR_MR
-      NEW li1 ( 1411970 25670 ) L1M1_PR_MR
-      NEW met1 ( 1393570 283050 ) M1M2_PR
-      NEW met1 ( 1389430 283050 ) M1M2_PR ;
+      + ROUTED met2 ( 1448310 298860 ) ( 1448700 * )
+      NEW met2 ( 1448700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2792890 2380 0 ) ( * 25670 )
+      NEW met2 ( 1448310 25670 ) ( * 298860 )
+      NEW met1 ( 1448310 25670 ) ( 2792890 * )
+      NEW met1 ( 1448310 25670 ) M1M2_PR
+      NEW met1 ( 2792890 25670 ) M1M2_PR ;
     - la_data_in[123] ( PIN la_data_in[123] ) ( mprj la_data_in[123] ) + USE SIGNAL
-      + ROUTED met2 ( 2810370 2380 0 ) ( * 25330 )
-      NEW met1 ( 1394030 283050 ) ( 1400470 * )
-      NEW met2 ( 1394030 283050 ) ( * 298860 )
-      NEW met2 ( 1393900 298860 ) ( 1394030 * )
-      NEW met2 ( 1393900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1400470 25330 ) ( * 283050 )
-      NEW met1 ( 1400470 25330 ) ( 2810370 * )
-      NEW met1 ( 2810370 25330 ) M1M2_PR
-      NEW met1 ( 1400470 25330 ) M1M2_PR
-      NEW met1 ( 1400470 283050 ) M1M2_PR
-      NEW met1 ( 1394030 283050 ) M1M2_PR ;
+      + ROUTED met1 ( 1453370 285090 ) ( 1455670 * )
+      NEW met2 ( 1453370 285090 ) ( * 298860 )
+      NEW met2 ( 1453370 298860 ) ( 1453500 * )
+      NEW met2 ( 1453500 298860 ) ( * 300220 0 )
+      NEW met2 ( 2810370 2380 0 ) ( * 25330 )
+      NEW met2 ( 1455670 25330 ) ( * 285090 )
+      NEW met1 ( 1455670 25330 ) ( 2810370 * )
+      NEW met1 ( 1455670 25330 ) M1M2_PR
+      NEW met1 ( 1455670 285090 ) M1M2_PR
+      NEW met1 ( 1453370 285090 ) M1M2_PR
+      NEW met1 ( 2810370 25330 ) M1M2_PR ;
     - la_data_in[124] ( PIN la_data_in[124] ) ( mprj la_data_in[124] ) + USE SIGNAL
-      + ROUTED met2 ( 2828310 2380 0 ) ( * 24990 )
-      NEW met1 ( 1400010 25670 ) ( 1411510 * )
-      NEW li1 ( 1411510 24990 ) ( * 25670 )
-      NEW met2 ( 1398400 298860 ) ( 1400010 * )
-      NEW met2 ( 1398400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1400010 25670 ) ( * 298860 )
-      NEW met1 ( 1411510 24990 ) ( 2828310 * )
-      NEW met1 ( 2828310 24990 ) M1M2_PR
-      NEW met1 ( 1400010 25670 ) M1M2_PR
-      NEW li1 ( 1411510 25670 ) L1M1_PR_MR
-      NEW li1 ( 1411510 24990 ) L1M1_PR_MR ;
+      + ROUTED met1 ( 1458430 285090 ) ( 1462570 * )
+      NEW met2 ( 1458430 285090 ) ( * 298860 )
+      NEW met2 ( 1458300 298860 ) ( 1458430 * )
+      NEW met2 ( 1458300 298860 ) ( * 300220 0 )
+      NEW met2 ( 2828310 2380 0 ) ( * 24990 )
+      NEW met2 ( 1462570 24990 ) ( * 285090 )
+      NEW met1 ( 1462570 24990 ) ( 2828310 * )
+      NEW met1 ( 1462570 24990 ) M1M2_PR
+      NEW met1 ( 1462570 285090 ) M1M2_PR
+      NEW met1 ( 1458430 285090 ) M1M2_PR
+      NEW met1 ( 2828310 24990 ) M1M2_PR ;
     - la_data_in[125] ( PIN la_data_in[125] ) ( mprj la_data_in[125] ) + USE SIGNAL
-      + ROUTED met2 ( 2845790 2380 0 ) ( * 24650 )
-      NEW met1 ( 1402770 283050 ) ( 1406910 * )
-      NEW met2 ( 1402770 283050 ) ( * 298860 )
-      NEW met2 ( 1402770 298860 ) ( 1402900 * )
-      NEW met2 ( 1402900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1406910 24650 ) ( * 283050 )
-      NEW met1 ( 1406910 24650 ) ( 2845790 * )
-      NEW met1 ( 1406910 24650 ) M1M2_PR
-      NEW met1 ( 2845790 24650 ) M1M2_PR
-      NEW met1 ( 1406910 283050 ) M1M2_PR
-      NEW met1 ( 1402770 283050 ) M1M2_PR ;
+      + ROUTED met1 ( 1463030 285090 ) ( 1469470 * )
+      NEW met2 ( 1463030 285090 ) ( * 298860 )
+      NEW met2 ( 1463030 298860 ) ( 1463100 * )
+      NEW met2 ( 1463100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1469470 24650 ) ( * 285090 )
+      NEW met2 ( 2845790 2380 0 ) ( * 24650 )
+      NEW met1 ( 1469470 24650 ) ( 2845790 * )
+      NEW met1 ( 1469470 24650 ) M1M2_PR
+      NEW met1 ( 1469470 285090 ) M1M2_PR
+      NEW met1 ( 1463030 285090 ) M1M2_PR
+      NEW met1 ( 2845790 24650 ) M1M2_PR ;
     - la_data_in[126] ( PIN la_data_in[126] ) ( mprj la_data_in[126] ) + USE SIGNAL
-      + ROUTED met2 ( 2863730 2380 0 ) ( * 24310 )
-      NEW met2 ( 1407370 298860 ) ( 1407400 * )
-      NEW met2 ( 1407400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1407370 24310 ) ( * 298860 )
-      NEW met1 ( 1407370 24310 ) ( 2863730 * )
-      NEW met1 ( 1407370 24310 ) M1M2_PR
+      + ROUTED met2 ( 1467800 298860 ) ( 1469010 * )
+      NEW met2 ( 1467800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1469010 24310 ) ( * 298860 )
+      NEW met2 ( 2863730 2380 0 ) ( * 24310 )
+      NEW met1 ( 1469010 24310 ) ( 2863730 * )
+      NEW met1 ( 1469010 24310 ) M1M2_PR
       NEW met1 ( 2863730 24310 ) M1M2_PR ;
     - la_data_in[127] ( PIN la_data_in[127] ) ( mprj la_data_in[127] ) + USE SIGNAL
-      + ROUTED met2 ( 2881670 2380 0 ) ( * 23970 )
-      NEW met1 ( 1411970 283050 ) ( 1414270 * )
-      NEW met2 ( 1411970 283050 ) ( * 298860 )
-      NEW met2 ( 1411900 298860 ) ( 1411970 * )
-      NEW met2 ( 1411900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1414270 23970 ) ( * 283050 )
-      NEW met1 ( 1414270 23970 ) ( 2881670 * )
-      NEW met1 ( 1414270 23970 ) M1M2_PR
-      NEW met1 ( 2881670 23970 ) M1M2_PR
-      NEW met1 ( 1414270 283050 ) M1M2_PR
-      NEW met1 ( 1411970 283050 ) M1M2_PR ;
+      + ROUTED met1 ( 1472690 285090 ) ( 1476370 * )
+      NEW met2 ( 1472690 285090 ) ( * 298860 )
+      NEW met2 ( 1472500 298860 ) ( 1472690 * )
+      NEW met2 ( 1472500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1476370 23970 ) ( * 285090 )
+      NEW met2 ( 2881670 2380 0 ) ( * 23970 )
+      NEW met1 ( 1476370 23970 ) ( 2881670 * )
+      NEW met1 ( 1476370 23970 ) M1M2_PR
+      NEW met1 ( 1476370 285090 ) M1M2_PR
+      NEW met1 ( 1472690 285090 ) M1M2_PR
+      NEW met1 ( 2881670 23970 ) M1M2_PR ;
     - la_data_in[12] ( PIN la_data_in[12] ) ( mprj la_data_in[12] ) + USE SIGNAL
-      + ROUTED met2 ( 890330 298860 ) ( 892700 * )
-      NEW met2 ( 892700 298860 ) ( * 300220 0 )
-      NEW met2 ( 890330 19890 ) ( * 298860 )
-      NEW met2 ( 842030 2380 0 ) ( * 19890 )
-      NEW met1 ( 842030 19890 ) ( 890330 * )
-      NEW met1 ( 890330 19890 ) M1M2_PR
-      NEW met1 ( 842030 19890 ) M1M2_PR ;
+      + ROUTED met2 ( 842030 2380 0 ) ( * 16830 )
+      NEW met1 ( 842030 16830 ) ( 848470 * )
+      NEW met2 ( 923450 286450 ) ( * 298860 )
+      NEW met2 ( 923400 298860 ) ( 923450 * )
+      NEW met2 ( 923400 298860 ) ( * 300220 0 )
+      NEW met1 ( 848470 286450 ) ( 923450 * )
+      NEW met2 ( 848470 16830 ) ( * 286450 )
+      NEW met1 ( 842030 16830 ) M1M2_PR
+      NEW met1 ( 848470 16830 ) M1M2_PR
+      NEW met1 ( 848470 286450 ) M1M2_PR
+      NEW met1 ( 923450 286450 ) M1M2_PR ;
     - la_data_in[13] ( PIN la_data_in[13] ) ( mprj la_data_in[13] ) + USE SIGNAL
-      + ROUTED met2 ( 859970 2380 0 ) ( * 16150 )
-      NEW met1 ( 859970 16150 ) ( 886650 * )
-      NEW met1 ( 886650 287130 ) ( 897230 * )
-      NEW met2 ( 897230 287130 ) ( * 298860 )
-      NEW met2 ( 897200 298860 ) ( 897230 * )
-      NEW met2 ( 897200 298860 ) ( * 300220 0 )
-      NEW met2 ( 886650 16150 ) ( * 287130 )
-      NEW met1 ( 859970 16150 ) M1M2_PR
-      NEW met1 ( 886650 16150 ) M1M2_PR
-      NEW met1 ( 886650 287130 ) M1M2_PR
-      NEW met1 ( 897230 287130 ) M1M2_PR ;
+      + ROUTED met2 ( 859970 2380 0 ) ( * 16830 )
+      NEW met1 ( 859970 16830 ) ( 865950 * )
+      NEW met2 ( 865490 276000 ) ( * 286110 )
+      NEW met2 ( 865490 276000 ) ( 865950 * )
+      NEW met2 ( 865950 16830 ) ( * 276000 )
+      NEW met2 ( 928050 286110 ) ( * 298860 )
+      NEW met2 ( 928050 298860 ) ( 928200 * )
+      NEW met2 ( 928200 298860 ) ( * 300220 0 )
+      NEW met1 ( 865490 286110 ) ( 928050 * )
+      NEW met1 ( 859970 16830 ) M1M2_PR
+      NEW met1 ( 865950 16830 ) M1M2_PR
+      NEW met1 ( 865490 286110 ) M1M2_PR
+      NEW met1 ( 928050 286110 ) M1M2_PR ;
     - la_data_in[14] ( PIN la_data_in[14] ) ( mprj la_data_in[14] ) + USE SIGNAL
-      + ROUTED met2 ( 877450 2380 0 ) ( * 16490 )
-      NEW met1 ( 877450 16490 ) ( 897690 * )
-      NEW met2 ( 897690 298860 ) ( 901800 * )
-      NEW met2 ( 901800 298860 ) ( * 300220 0 )
-      NEW met2 ( 897690 16490 ) ( * 298860 )
-      NEW met1 ( 877450 16490 ) M1M2_PR
-      NEW met1 ( 897690 16490 ) M1M2_PR ;
+      + ROUTED met2 ( 877450 2380 0 ) ( * 16830 )
+      NEW met1 ( 877450 16830 ) ( 882970 * )
+      NEW met2 ( 882970 16830 ) ( * 287130 )
+      NEW met2 ( 933110 287130 ) ( * 298860 )
+      NEW met2 ( 933000 298860 ) ( 933110 * )
+      NEW met2 ( 933000 298860 ) ( * 300220 0 )
+      NEW met1 ( 882970 287130 ) ( 933110 * )
+      NEW met1 ( 877450 16830 ) M1M2_PR
+      NEW met1 ( 882970 16830 ) M1M2_PR
+      NEW met1 ( 882970 287130 ) M1M2_PR
+      NEW met1 ( 933110 287130 ) M1M2_PR ;
     - la_data_in[15] ( PIN la_data_in[15] ) ( mprj la_data_in[15] ) + USE SIGNAL
       + ROUTED met2 ( 895390 2380 0 ) ( * 34500 )
       NEW met2 ( 895390 34500 ) ( 896770 * )
-      NEW met2 ( 896770 34500 ) ( * 283050 )
-      NEW met2 ( 906430 283050 ) ( * 298860 )
-      NEW met2 ( 906300 298860 ) ( 906430 * )
-      NEW met2 ( 906300 298860 ) ( * 300220 0 )
-      NEW met1 ( 896770 283050 ) ( 906430 * )
-      NEW met1 ( 896770 283050 ) M1M2_PR
-      NEW met1 ( 906430 283050 ) M1M2_PR ;
+      NEW met2 ( 896770 34500 ) ( * 287810 )
+      NEW met2 ( 937710 287810 ) ( * 298860 )
+      NEW met2 ( 937700 298860 ) ( 937710 * )
+      NEW met2 ( 937700 298860 ) ( * 300220 0 )
+      NEW met1 ( 896770 287810 ) ( 937710 * )
+      NEW met1 ( 896770 287810 ) M1M2_PR
+      NEW met1 ( 937710 287810 ) M1M2_PR ;
     - la_data_in[16] ( PIN la_data_in[16] ) ( mprj la_data_in[16] ) + USE SIGNAL
-      + ROUTED met2 ( 912870 2380 0 ) ( * 3060 )
-      NEW met2 ( 911950 3060 ) ( 912870 * )
-      NEW met2 ( 911950 2380 ) ( * 3060 )
-      NEW met2 ( 911030 2380 ) ( 911950 * )
-      NEW met2 ( 910800 298860 ) ( 911030 * )
-      NEW met2 ( 910800 298860 ) ( * 300220 0 )
-      NEW met2 ( 911030 2380 ) ( * 298860 ) ;
+      + ROUTED met2 ( 912870 2380 0 ) ( * 18530 )
+      NEW met1 ( 912870 18530 ) ( 934950 * )
+      NEW met1 ( 934950 288490 ) ( 942310 * )
+      NEW met2 ( 942310 288490 ) ( * 298860 )
+      NEW met2 ( 942310 298860 ) ( 942500 * )
+      NEW met2 ( 942500 298860 ) ( * 300220 0 )
+      NEW met2 ( 934950 18530 ) ( * 288490 )
+      NEW met1 ( 912870 18530 ) M1M2_PR
+      NEW met1 ( 934950 18530 ) M1M2_PR
+      NEW met1 ( 934950 288490 ) M1M2_PR
+      NEW met1 ( 942310 288490 ) M1M2_PR ;
     - la_data_in[17] ( PIN la_data_in[17] ) ( mprj la_data_in[17] ) + USE SIGNAL
       + ROUTED met2 ( 930810 2380 0 ) ( * 16490 )
-      NEW met1 ( 921150 16490 ) ( 930810 * )
-      NEW met1 ( 915170 287470 ) ( 921150 * )
-      NEW met2 ( 915170 287470 ) ( * 298860 )
-      NEW met2 ( 915170 298860 ) ( 915300 * )
-      NEW met2 ( 915300 298860 ) ( * 300220 0 )
-      NEW met2 ( 921150 16490 ) ( * 287470 )
+      NEW met1 ( 930810 16490 ) ( 941850 * )
+      NEW met1 ( 941850 287810 ) ( 946910 * )
+      NEW met2 ( 946910 287810 ) ( * 298860 )
+      NEW met2 ( 946910 298860 ) ( 947200 * )
+      NEW met2 ( 947200 298860 ) ( * 300220 0 )
+      NEW met2 ( 941850 16490 ) ( * 287810 )
       NEW met1 ( 930810 16490 ) M1M2_PR
-      NEW met1 ( 921150 16490 ) M1M2_PR
-      NEW met1 ( 921150 287470 ) M1M2_PR
-      NEW met1 ( 915170 287470 ) M1M2_PR ;
+      NEW met1 ( 941850 16490 ) M1M2_PR
+      NEW met1 ( 941850 287810 ) M1M2_PR
+      NEW met1 ( 946910 287810 ) M1M2_PR ;
     - la_data_in[18] ( PIN la_data_in[18] ) ( mprj la_data_in[18] ) + USE SIGNAL
-      + ROUTED met2 ( 948750 2380 0 ) ( * 20910 )
-      NEW met1 ( 942310 20910 ) ( 948750 * )
-      NEW met1 ( 919770 286110 ) ( 942310 * )
-      NEW met2 ( 919770 286110 ) ( * 298860 )
-      NEW met2 ( 919770 298860 ) ( 919800 * )
-      NEW met2 ( 919800 298860 ) ( * 300220 0 )
-      NEW met2 ( 942310 20910 ) ( * 286110 )
-      NEW met1 ( 948750 20910 ) M1M2_PR
-      NEW met1 ( 942310 20910 ) M1M2_PR
-      NEW met1 ( 942310 286110 ) M1M2_PR
-      NEW met1 ( 919770 286110 ) M1M2_PR ;
+      + ROUTED met2 ( 948750 2380 0 ) ( * 16830 )
+      NEW met1 ( 945990 16830 ) ( 948750 * )
+      NEW met1 ( 945990 299030 ) ( 952000 * )
+      NEW met2 ( 952000 299030 ) ( * 300220 0 )
+      NEW met2 ( 945990 16830 ) ( * 299030 )
+      NEW met1 ( 948750 16830 ) M1M2_PR
+      NEW met1 ( 945990 16830 ) M1M2_PR
+      NEW met1 ( 945990 299030 ) M1M2_PR
+      NEW met1 ( 952000 299030 ) M1M2_PR ;
     - la_data_in[19] ( PIN la_data_in[19] ) ( mprj la_data_in[19] ) + USE SIGNAL
-      + ROUTED met2 ( 966230 2380 0 ) ( * 24650 )
-      NEW met1 ( 924370 24650 ) ( 966230 * )
-      NEW met2 ( 924300 298860 ) ( 924370 * )
-      NEW met2 ( 924300 298860 ) ( * 300220 0 )
-      NEW met2 ( 924370 24650 ) ( * 298860 )
-      NEW met1 ( 966230 24650 ) M1M2_PR
-      NEW met1 ( 924370 24650 ) M1M2_PR ;
+      + ROUTED met2 ( 966230 2380 0 ) ( * 15810 )
+      NEW met1 ( 958870 15810 ) ( 966230 * )
+      NEW met2 ( 956800 298860 ) ( 958870 * )
+      NEW met2 ( 956800 298860 ) ( * 300220 0 )
+      NEW met2 ( 958870 15810 ) ( * 298860 )
+      NEW met1 ( 966230 15810 ) M1M2_PR
+      NEW met1 ( 958870 15810 ) M1M2_PR ;
     - la_data_in[1] ( PIN la_data_in[1] ) ( mprj la_data_in[1] ) + USE SIGNAL
-      + ROUTED met2 ( 646990 2380 0 ) ( * 23970 )
-      NEW met1 ( 646990 23970 ) ( 842490 * )
-      NEW met2 ( 842490 298860 ) ( 843100 * )
-      NEW met2 ( 843100 298860 ) ( * 300220 0 )
-      NEW met2 ( 842490 23970 ) ( * 298860 )
-      NEW met1 ( 646990 23970 ) M1M2_PR
-      NEW met1 ( 842490 23970 ) M1M2_PR ;
+      + ROUTED met1 ( 866410 287810 ) ( 871010 * )
+      NEW met2 ( 871010 287810 ) ( * 298860 )
+      NEW met2 ( 870900 298860 ) ( 871010 * )
+      NEW met2 ( 870900 298860 ) ( * 300220 0 )
+      NEW met2 ( 866410 82800 ) ( 866870 * )
+      NEW met2 ( 866870 23970 ) ( * 82800 )
+      NEW met2 ( 866410 82800 ) ( * 287810 )
+      NEW met2 ( 646990 2380 0 ) ( * 23970 )
+      NEW met1 ( 646990 23970 ) ( 866870 * )
+      NEW met1 ( 866870 23970 ) M1M2_PR
+      NEW met1 ( 866410 287810 ) M1M2_PR
+      NEW met1 ( 871010 287810 ) M1M2_PR
+      NEW met1 ( 646990 23970 ) M1M2_PR ;
     - la_data_in[20] ( PIN la_data_in[20] ) ( mprj la_data_in[20] ) + USE SIGNAL
-      + ROUTED met2 ( 984170 2380 0 ) ( * 30770 )
-      NEW met1 ( 934950 30770 ) ( 984170 * )
-      NEW met1 ( 928970 288150 ) ( 934950 * )
-      NEW met2 ( 928970 288150 ) ( * 298860 )
-      NEW met2 ( 928800 298860 ) ( 928970 * )
-      NEW met2 ( 928800 298860 ) ( * 300220 0 )
-      NEW met2 ( 934950 30770 ) ( * 288150 )
-      NEW met1 ( 984170 30770 ) M1M2_PR
-      NEW met1 ( 934950 30770 ) M1M2_PR
-      NEW met1 ( 934950 288150 ) M1M2_PR
-      NEW met1 ( 928970 288150 ) M1M2_PR ;
+      + ROUTED met1 ( 961630 286790 ) ( 980950 * )
+      NEW met2 ( 961630 286790 ) ( * 298860 )
+      NEW met2 ( 961600 298860 ) ( 961630 * )
+      NEW met2 ( 961600 298860 ) ( * 300220 0 )
+      NEW met2 ( 980950 82800 ) ( 984170 * )
+      NEW met2 ( 984170 2380 0 ) ( * 82800 )
+      NEW met2 ( 980950 82800 ) ( * 286790 )
+      NEW met1 ( 980950 286790 ) M1M2_PR
+      NEW met1 ( 961630 286790 ) M1M2_PR ;
     - la_data_in[21] ( PIN la_data_in[21] ) ( mprj la_data_in[21] ) + USE SIGNAL
-      + ROUTED met2 ( 955650 24310 ) ( * 283730 )
-      NEW met2 ( 933570 283730 ) ( * 298860 )
-      NEW met2 ( 933400 298860 ) ( 933570 * )
-      NEW met2 ( 933400 298860 ) ( * 300220 0 )
-      NEW met1 ( 933570 283730 ) ( 955650 * )
-      NEW met2 ( 1001650 2380 0 ) ( * 24310 )
-      NEW met1 ( 955650 24310 ) ( 1001650 * )
-      NEW met1 ( 955650 24310 ) M1M2_PR
-      NEW met1 ( 955650 283730 ) M1M2_PR
-      NEW met1 ( 933570 283730 ) M1M2_PR
-      NEW met1 ( 1001650 24310 ) M1M2_PR ;
+      + ROUTED met1 ( 966690 286450 ) ( 990150 * )
+      NEW met2 ( 966690 286450 ) ( * 298860 )
+      NEW met2 ( 966400 298860 ) ( 966690 * )
+      NEW met2 ( 966400 298860 ) ( * 300220 0 )
+      NEW met2 ( 990150 22950 ) ( * 286450 )
+      NEW met2 ( 1001650 2380 0 ) ( * 22950 )
+      NEW met1 ( 990150 22950 ) ( 1001650 * )
+      NEW met1 ( 990150 22950 ) M1M2_PR
+      NEW met1 ( 990150 286450 ) M1M2_PR
+      NEW met1 ( 966690 286450 ) M1M2_PR
+      NEW met1 ( 1001650 22950 ) M1M2_PR ;
     - la_data_in[22] ( PIN la_data_in[22] ) ( mprj la_data_in[22] ) + USE SIGNAL
-      + ROUTED met2 ( 937900 298860 ) ( 938170 * )
-      NEW met2 ( 937900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1019590 2380 0 ) ( * 23970 )
-      NEW met1 ( 938170 23970 ) ( 1019590 * )
-      NEW met2 ( 938170 23970 ) ( * 298860 )
-      NEW met1 ( 938170 23970 ) M1M2_PR
-      NEW met1 ( 1019590 23970 ) M1M2_PR ;
+      + ROUTED met1 ( 971290 287810 ) ( 976350 * )
+      NEW met2 ( 971290 287810 ) ( * 298860 )
+      NEW met2 ( 971200 298860 ) ( 971290 * )
+      NEW met2 ( 971200 298860 ) ( * 300220 0 )
+      NEW met2 ( 976350 24650 ) ( * 287810 )
+      NEW met2 ( 1019590 2380 0 ) ( * 24650 )
+      NEW met1 ( 976350 24650 ) ( 1019590 * )
+      NEW met1 ( 976350 24650 ) M1M2_PR
+      NEW met1 ( 976350 287810 ) M1M2_PR
+      NEW met1 ( 971290 287810 ) M1M2_PR
+      NEW met1 ( 1019590 24650 ) M1M2_PR ;
     - la_data_in[23] ( PIN la_data_in[23] ) ( mprj la_data_in[23] ) + USE SIGNAL
-      + ROUTED met2 ( 983250 31110 ) ( * 286790 )
-      NEW met2 ( 942310 286790 ) ( * 298860 )
-      NEW met2 ( 942310 298860 ) ( 942400 * )
-      NEW met2 ( 942400 298860 ) ( * 300220 0 )
-      NEW met1 ( 942310 286790 ) ( 983250 * )
-      NEW met2 ( 1037070 2380 0 ) ( * 31110 )
-      NEW met1 ( 983250 31110 ) ( 1037070 * )
-      NEW met1 ( 983250 31110 ) M1M2_PR
-      NEW met1 ( 983250 286790 ) M1M2_PR
-      NEW met1 ( 942310 286790 ) M1M2_PR
-      NEW met1 ( 1037070 31110 ) M1M2_PR ;
+      + ROUTED met2 ( 975890 287130 ) ( * 298860 )
+      NEW met2 ( 975890 298860 ) ( 975900 * )
+      NEW met2 ( 975900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1037070 2380 0 ) ( * 20910 )
+      NEW met1 ( 1024650 20910 ) ( 1037070 * )
+      NEW met1 ( 975890 287130 ) ( 1024650 * )
+      NEW met2 ( 1024650 20910 ) ( * 287130 )
+      NEW met1 ( 975890 287130 ) M1M2_PR
+      NEW met1 ( 1037070 20910 ) M1M2_PR
+      NEW met1 ( 1024650 20910 ) M1M2_PR
+      NEW met1 ( 1024650 287130 ) M1M2_PR ;
     - la_data_in[24] ( PIN la_data_in[24] ) ( mprj la_data_in[24] ) + USE SIGNAL
-      + ROUTED met2 ( 1055010 2380 0 ) ( * 27710 )
-      NEW met2 ( 946910 286110 ) ( * 298860 )
-      NEW met2 ( 946900 298860 ) ( 946910 * )
-      NEW met2 ( 946900 298860 ) ( * 300220 0 )
-      NEW met1 ( 1024650 27710 ) ( 1055010 * )
-      NEW met1 ( 946910 286110 ) ( 1024650 * )
-      NEW met2 ( 1024650 27710 ) ( * 286110 )
-      NEW met1 ( 1055010 27710 ) M1M2_PR
-      NEW met1 ( 946910 286110 ) M1M2_PR
-      NEW met1 ( 1024650 27710 ) M1M2_PR
-      NEW met1 ( 1024650 286110 ) M1M2_PR ;
+      + ROUTED met1 ( 980950 287810 ) ( 986470 * )
+      NEW met2 ( 980950 287810 ) ( * 298860 )
+      NEW met2 ( 980700 298860 ) ( 980950 * )
+      NEW met2 ( 980700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1055010 2380 0 ) ( * 12580 )
+      NEW met2 ( 1054550 12580 ) ( 1055010 * )
+      NEW met2 ( 1054550 12580 ) ( * 24310 )
+      NEW met2 ( 986470 24310 ) ( * 287810 )
+      NEW met1 ( 986470 24310 ) ( 1054550 * )
+      NEW met1 ( 986470 24310 ) M1M2_PR
+      NEW met1 ( 986470 287810 ) M1M2_PR
+      NEW met1 ( 980950 287810 ) M1M2_PR
+      NEW met1 ( 1054550 24310 ) M1M2_PR ;
     - la_data_in[25] ( PIN la_data_in[25] ) ( mprj la_data_in[25] ) + USE SIGNAL
-      + ROUTED met2 ( 1072490 2380 0 ) ( * 65450 )
-      NEW met2 ( 951510 286450 ) ( * 298860 )
-      NEW met2 ( 951400 298860 ) ( 951510 * )
-      NEW met2 ( 951400 298860 ) ( * 300220 0 )
-      NEW met1 ( 951510 286450 ) ( 1010850 * )
-      NEW met1 ( 1010850 65450 ) ( 1072490 * )
-      NEW met2 ( 1010850 65450 ) ( * 286450 )
-      NEW met1 ( 1072490 65450 ) M1M2_PR
-      NEW met1 ( 951510 286450 ) M1M2_PR
-      NEW met1 ( 1010850 286450 ) M1M2_PR
-      NEW met1 ( 1010850 65450 ) M1M2_PR ;
+      + ROUTED met2 ( 985400 298860 ) ( 986010 * )
+      NEW met2 ( 985400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1072490 2380 0 ) ( * 23970 )
+      NEW met2 ( 986010 23970 ) ( * 298860 )
+      NEW met1 ( 986010 23970 ) ( 1072490 * )
+      NEW met1 ( 986010 23970 ) M1M2_PR
+      NEW met1 ( 1072490 23970 ) M1M2_PR ;
     - la_data_in[26] ( PIN la_data_in[26] ) ( mprj la_data_in[26] ) + USE SIGNAL
-      + ROUTED met1 ( 956110 288150 ) ( 958870 * )
-      NEW met2 ( 956110 288150 ) ( * 298860 )
-      NEW met2 ( 955900 298860 ) ( 956110 * )
-      NEW met2 ( 955900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1090430 2380 0 ) ( * 21250 )
-      NEW met2 ( 958870 21250 ) ( * 288150 )
-      NEW met1 ( 958870 21250 ) ( 1090430 * )
-      NEW met1 ( 958870 21250 ) M1M2_PR
-      NEW met1 ( 958870 288150 ) M1M2_PR
-      NEW met1 ( 956110 288150 ) M1M2_PR
-      NEW met1 ( 1090430 21250 ) M1M2_PR ;
+      + ROUTED met1 ( 990150 287810 ) ( 993370 * )
+      NEW met2 ( 990150 287810 ) ( * 298860 )
+      NEW met2 ( 990150 298860 ) ( 990200 * )
+      NEW met2 ( 990200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1090430 2380 0 ) ( * 18530 )
+      NEW met2 ( 993370 18530 ) ( * 287810 )
+      NEW met1 ( 993370 18530 ) ( 1090430 * )
+      NEW met1 ( 993370 18530 ) M1M2_PR
+      NEW met1 ( 993370 287810 ) M1M2_PR
+      NEW met1 ( 990150 287810 ) M1M2_PR
+      NEW met1 ( 1090430 18530 ) M1M2_PR ;
     - la_data_in[27] ( PIN la_data_in[27] ) ( mprj la_data_in[27] ) + USE SIGNAL
-      + ROUTED met1 ( 960250 288150 ) ( 965310 * )
-      NEW met2 ( 960250 288150 ) ( * 298860 )
-      NEW met2 ( 960250 298860 ) ( 960400 * )
-      NEW met2 ( 960400 298860 ) ( * 300220 0 )
-      NEW met2 ( 965310 21590 ) ( * 288150 )
-      NEW met2 ( 1107910 2380 0 ) ( * 21590 )
-      NEW met1 ( 965310 21590 ) ( 1107910 * )
-      NEW met1 ( 965310 21590 ) M1M2_PR
-      NEW met1 ( 965310 288150 ) M1M2_PR
-      NEW met1 ( 960250 288150 ) M1M2_PR
-      NEW met1 ( 1107910 21590 ) M1M2_PR ;
+      + ROUTED met2 ( 995210 286450 ) ( * 298860 )
+      NEW met2 ( 995000 298860 ) ( 995210 * )
+      NEW met2 ( 995000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1079850 27710 ) ( * 286450 )
+      NEW met1 ( 995210 286450 ) ( 1079850 * )
+      NEW met2 ( 1107910 2380 0 ) ( * 27710 )
+      NEW met1 ( 1079850 27710 ) ( 1107910 * )
+      NEW met1 ( 995210 286450 ) M1M2_PR
+      NEW met1 ( 1079850 27710 ) M1M2_PR
+      NEW met1 ( 1079850 286450 ) M1M2_PR
+      NEW met1 ( 1107910 27710 ) M1M2_PR ;
     - la_data_in[28] ( PIN la_data_in[28] ) ( mprj la_data_in[28] ) + USE SIGNAL
-      + ROUTED met2 ( 965000 298860 ) ( 965770 * )
-      NEW met2 ( 965000 298860 ) ( * 300220 0 )
-      NEW met2 ( 965770 21930 ) ( * 298860 )
-      NEW met2 ( 1125850 2380 0 ) ( * 21930 )
-      NEW met1 ( 965770 21930 ) ( 1125850 * )
-      NEW met1 ( 965770 21930 ) M1M2_PR
-      NEW met1 ( 1125850 21930 ) M1M2_PR ;
+      + ROUTED met2 ( 999810 286110 ) ( * 298860 )
+      NEW met2 ( 999800 298860 ) ( 999810 * )
+      NEW met2 ( 999800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1125850 2380 0 ) ( * 27710 )
+      NEW met1 ( 1114350 27710 ) ( 1125850 * )
+      NEW met1 ( 999810 286110 ) ( 1114350 * )
+      NEW met2 ( 1114350 27710 ) ( * 286110 )
+      NEW met1 ( 999810 286110 ) M1M2_PR
+      NEW met1 ( 1125850 27710 ) M1M2_PR
+      NEW met1 ( 1114350 27710 ) M1M2_PR
+      NEW met1 ( 1114350 286110 ) M1M2_PR ;
     - la_data_in[29] ( PIN la_data_in[29] ) ( mprj la_data_in[29] ) + USE SIGNAL
-      + ROUTED met1 ( 969450 288150 ) ( 972670 * )
-      NEW met2 ( 969450 288150 ) ( * 298860 )
-      NEW met2 ( 969450 298860 ) ( 969500 * )
-      NEW met2 ( 969500 298860 ) ( * 300220 0 )
-      NEW met2 ( 972670 22270 ) ( * 288150 )
-      NEW met2 ( 1143790 2380 0 ) ( * 22270 )
-      NEW met1 ( 972670 22270 ) ( 1143790 * )
-      NEW met1 ( 972670 22270 ) M1M2_PR
-      NEW met1 ( 972670 288150 ) M1M2_PR
-      NEW met1 ( 969450 288150 ) M1M2_PR
-      NEW met1 ( 1143790 22270 ) M1M2_PR ;
+      + ROUTED met1 ( 1004410 287810 ) ( 1007170 * )
+      NEW met2 ( 1004410 287810 ) ( * 298860 )
+      NEW met2 ( 1004410 298860 ) ( 1004500 * )
+      NEW met2 ( 1004500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1143790 2380 0 ) ( * 21250 )
+      NEW met1 ( 1007170 21250 ) ( 1143790 * )
+      NEW met2 ( 1007170 21250 ) ( * 287810 )
+      NEW met1 ( 1007170 21250 ) M1M2_PR
+      NEW met1 ( 1007170 287810 ) M1M2_PR
+      NEW met1 ( 1004410 287810 ) M1M2_PR
+      NEW met1 ( 1143790 21250 ) M1M2_PR ;
     - la_data_in[2] ( PIN la_data_in[2] ) ( mprj la_data_in[2] ) + USE SIGNAL
-      + ROUTED met2 ( 664930 2380 0 ) ( * 24310 )
-      NEW met1 ( 664930 24310 ) ( 842030 * )
-      NEW met1 ( 842030 299030 ) ( 847600 * )
-      NEW met2 ( 847600 299030 ) ( * 300220 0 )
-      NEW met2 ( 842030 24310 ) ( * 299030 )
-      NEW met1 ( 664930 24310 ) M1M2_PR
-      NEW met1 ( 842030 24310 ) M1M2_PR
-      NEW met1 ( 842030 299030 ) M1M2_PR
-      NEW met1 ( 847600 299030 ) M1M2_PR ;
+      + ROUTED met2 ( 664930 2380 0 ) ( * 3060 )
+      NEW met2 ( 664930 3060 ) ( 665850 * )
+      NEW met2 ( 665850 2380 ) ( * 3060 )
+      NEW met2 ( 665850 2380 ) ( 667230 * )
+      NEW met1 ( 870090 299030 ) ( 875700 * )
+      NEW met2 ( 875700 299030 ) ( * 300220 0 )
+      NEW met2 ( 667230 2380 ) ( * 34500 )
+      NEW met2 ( 667230 34500 ) ( 669070 * )
+      NEW met2 ( 669070 34500 ) ( * 224230 )
+      NEW met2 ( 870090 224230 ) ( * 299030 )
+      NEW met1 ( 669070 224230 ) ( 870090 * )
+      NEW met1 ( 669070 224230 ) M1M2_PR
+      NEW met1 ( 870090 224230 ) M1M2_PR
+      NEW met1 ( 870090 299030 ) M1M2_PR
+      NEW met1 ( 875700 299030 ) M1M2_PR ;
     - la_data_in[30] ( PIN la_data_in[30] ) ( mprj la_data_in[30] ) + USE SIGNAL
-      + ROUTED met1 ( 974050 288150 ) ( 979110 * )
-      NEW met2 ( 974050 288150 ) ( * 298860 )
-      NEW met2 ( 974000 298860 ) ( 974050 * )
-      NEW met2 ( 974000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1161270 2380 0 ) ( * 22610 )
-      NEW met2 ( 979110 22610 ) ( * 288150 )
-      NEW met1 ( 979110 22610 ) ( 1161270 * )
-      NEW met1 ( 979110 22610 ) M1M2_PR
-      NEW met1 ( 979110 288150 ) M1M2_PR
-      NEW met1 ( 974050 288150 ) M1M2_PR
-      NEW met1 ( 1161270 22610 ) M1M2_PR ;
+      + ROUTED met2 ( 1161270 2380 0 ) ( * 21590 )
+      NEW met1 ( 1009470 287810 ) ( 1013610 * )
+      NEW met2 ( 1009470 287810 ) ( * 298860 )
+      NEW met2 ( 1009300 298860 ) ( 1009470 * )
+      NEW met2 ( 1009300 298860 ) ( * 300220 0 )
+      NEW met1 ( 1013610 21590 ) ( 1161270 * )
+      NEW met2 ( 1013610 21590 ) ( * 287810 )
+      NEW met1 ( 1161270 21590 ) M1M2_PR
+      NEW met1 ( 1013610 21590 ) M1M2_PR
+      NEW met1 ( 1013610 287810 ) M1M2_PR
+      NEW met1 ( 1009470 287810 ) M1M2_PR ;
     - la_data_in[31] ( PIN la_data_in[31] ) ( mprj la_data_in[31] ) + USE SIGNAL
-      + ROUTED met2 ( 978500 298860 ) ( 979570 * )
-      NEW met2 ( 978500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1179210 2380 0 ) ( * 22950 )
-      NEW met2 ( 979570 22950 ) ( * 298860 )
-      NEW met1 ( 979570 22950 ) ( 1179210 * )
-      NEW met1 ( 979570 22950 ) M1M2_PR
-      NEW met1 ( 1179210 22950 ) M1M2_PR ;
+      + ROUTED met2 ( 1179210 2380 0 ) ( * 21930 )
+      NEW met2 ( 1014070 298860 ) ( 1014100 * )
+      NEW met2 ( 1014100 298860 ) ( * 300220 0 )
+      NEW met1 ( 1014070 21930 ) ( 1179210 * )
+      NEW met2 ( 1014070 21930 ) ( * 298860 )
+      NEW met1 ( 1179210 21930 ) M1M2_PR
+      NEW met1 ( 1014070 21930 ) M1M2_PR ;
     - la_data_in[32] ( PIN la_data_in[32] ) ( mprj la_data_in[32] ) + USE SIGNAL
-      + ROUTED met1 ( 983250 288150 ) ( 986470 * )
-      NEW met2 ( 983250 288150 ) ( * 298860 )
-      NEW met2 ( 983000 298860 ) ( 983250 * )
-      NEW met2 ( 983000 298860 ) ( * 300220 0 )
-      NEW met2 ( 986470 23290 ) ( * 288150 )
-      NEW met2 ( 1196690 2380 0 ) ( * 23290 )
-      NEW met1 ( 986470 23290 ) ( 1196690 * )
-      NEW met1 ( 986470 23290 ) M1M2_PR
-      NEW met1 ( 986470 288150 ) M1M2_PR
-      NEW met1 ( 983250 288150 ) M1M2_PR
-      NEW met1 ( 1196690 23290 ) M1M2_PR ;
+      + ROUTED met2 ( 1018900 298860 ) ( 1020970 * )
+      NEW met2 ( 1018900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1196690 2380 0 ) ( * 22270 )
+      NEW met1 ( 1020970 22270 ) ( 1196690 * )
+      NEW met2 ( 1020970 22270 ) ( * 298860 )
+      NEW met1 ( 1020970 22270 ) M1M2_PR
+      NEW met1 ( 1196690 22270 ) M1M2_PR ;
     - la_data_in[33] ( PIN la_data_in[33] ) ( mprj la_data_in[33] ) + USE SIGNAL
-      + ROUTED met1 ( 987390 288150 ) ( 993370 * )
-      NEW met2 ( 987390 288150 ) ( * 298860 )
-      NEW met2 ( 987390 298860 ) ( 987500 * )
-      NEW met2 ( 987500 298860 ) ( * 300220 0 )
-      NEW met2 ( 993370 23630 ) ( * 288150 )
-      NEW met2 ( 1214630 2380 0 ) ( * 23630 )
-      NEW met1 ( 993370 23630 ) ( 1214630 * )
-      NEW met1 ( 993370 23630 ) M1M2_PR
-      NEW met1 ( 993370 288150 ) M1M2_PR
-      NEW met1 ( 987390 288150 ) M1M2_PR
-      NEW met1 ( 1214630 23630 ) M1M2_PR ;
+      + ROUTED met1 ( 1023730 287810 ) ( 1027870 * )
+      NEW met2 ( 1023730 287810 ) ( * 298860 )
+      NEW met2 ( 1023700 298860 ) ( 1023730 * )
+      NEW met2 ( 1023700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1214630 2380 0 ) ( * 22610 )
+      NEW met1 ( 1027870 22610 ) ( 1214630 * )
+      NEW met2 ( 1027870 22610 ) ( * 287810 )
+      NEW met1 ( 1027870 22610 ) M1M2_PR
+      NEW met1 ( 1027870 287810 ) M1M2_PR
+      NEW met1 ( 1023730 287810 ) M1M2_PR
+      NEW met1 ( 1214630 22610 ) M1M2_PR ;
     - la_data_in[34] ( PIN la_data_in[34] ) ( mprj la_data_in[34] ) + USE SIGNAL
-      + ROUTED met2 ( 992100 298860 ) ( 992910 * )
-      NEW met2 ( 992100 298860 ) ( * 300220 0 )
-      NEW met2 ( 992910 27370 ) ( * 298860 )
-      NEW met2 ( 1232110 2380 0 ) ( * 13940 )
-      NEW met2 ( 1231650 13940 ) ( 1232110 * )
-      NEW met2 ( 1231650 13940 ) ( * 27370 )
-      NEW met1 ( 992910 27370 ) ( 1231650 * )
-      NEW met1 ( 992910 27370 ) M1M2_PR
-      NEW met1 ( 1231650 27370 ) M1M2_PR ;
+      + ROUTED met1 ( 1028790 287810 ) ( 1034310 * )
+      NEW met2 ( 1028790 287810 ) ( * 298860 )
+      NEW met2 ( 1028500 298860 ) ( 1028790 * )
+      NEW met2 ( 1028500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1232110 2380 0 ) ( * 22950 )
+      NEW met1 ( 1034310 22950 ) ( 1232110 * )
+      NEW met2 ( 1034310 22950 ) ( * 287810 )
+      NEW met1 ( 1034310 22950 ) M1M2_PR
+      NEW met1 ( 1034310 287810 ) M1M2_PR
+      NEW met1 ( 1028790 287810 ) M1M2_PR
+      NEW met1 ( 1232110 22950 ) M1M2_PR ;
     - la_data_in[35] ( PIN la_data_in[35] ) ( mprj la_data_in[35] ) + USE SIGNAL
-      + ROUTED met1 ( 996590 288150 ) ( 1000270 * )
-      NEW met2 ( 996590 288150 ) ( * 298860 )
-      NEW met2 ( 996590 298860 ) ( 996600 * )
-      NEW met2 ( 996600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1250050 2380 0 ) ( * 27030 )
-      NEW met2 ( 1000270 27030 ) ( * 288150 )
-      NEW met1 ( 1000270 27030 ) ( 1250050 * )
-      NEW met1 ( 1000270 27030 ) M1M2_PR
-      NEW met1 ( 1000270 288150 ) M1M2_PR
-      NEW met1 ( 996590 288150 ) M1M2_PR
-      NEW met1 ( 1250050 27030 ) M1M2_PR ;
+      + ROUTED met2 ( 1250050 2380 0 ) ( * 23290 )
+      NEW met2 ( 1033100 298860 ) ( 1034770 * )
+      NEW met2 ( 1033100 298860 ) ( * 300220 0 )
+      NEW met1 ( 1034770 23290 ) ( 1250050 * )
+      NEW met2 ( 1034770 23290 ) ( * 298860 )
+      NEW met1 ( 1250050 23290 ) M1M2_PR
+      NEW met1 ( 1034770 23290 ) M1M2_PR ;
     - la_data_in[36] ( PIN la_data_in[36] ) ( mprj la_data_in[36] ) + USE SIGNAL
-      + ROUTED met2 ( 1267530 2380 0 ) ( * 26690 )
-      NEW met1 ( 1001190 288150 ) ( 1007170 * )
-      NEW met2 ( 1001190 288150 ) ( * 298860 )
-      NEW met2 ( 1001100 298860 ) ( 1001190 * )
-      NEW met2 ( 1001100 298860 ) ( * 300220 0 )
-      NEW met1 ( 1007170 26690 ) ( 1267530 * )
-      NEW met2 ( 1007170 26690 ) ( * 288150 )
-      NEW met1 ( 1267530 26690 ) M1M2_PR
-      NEW met1 ( 1007170 26690 ) M1M2_PR
-      NEW met1 ( 1007170 288150 ) M1M2_PR
-      NEW met1 ( 1001190 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 1267530 2380 0 ) ( * 23630 )
+      NEW met1 ( 1037990 287810 ) ( 1041670 * )
+      NEW met2 ( 1037990 287810 ) ( * 298860 )
+      NEW met2 ( 1037900 298860 ) ( 1037990 * )
+      NEW met2 ( 1037900 298860 ) ( * 300220 0 )
+      NEW met1 ( 1041670 23630 ) ( 1267530 * )
+      NEW met2 ( 1041670 23630 ) ( * 287810 )
+      NEW met1 ( 1267530 23630 ) M1M2_PR
+      NEW met1 ( 1041670 23630 ) M1M2_PR
+      NEW met1 ( 1041670 287810 ) M1M2_PR
+      NEW met1 ( 1037990 287810 ) M1M2_PR ;
     - la_data_in[37] ( PIN la_data_in[37] ) ( mprj la_data_in[37] ) + USE SIGNAL
-      + ROUTED met2 ( 1285470 2380 0 ) ( * 26350 )
-      NEW met2 ( 1005600 298860 ) ( 1006710 * )
-      NEW met2 ( 1005600 298860 ) ( * 300220 0 )
-      NEW met1 ( 1006710 26350 ) ( 1285470 * )
-      NEW met2 ( 1006710 26350 ) ( * 298860 )
-      NEW met1 ( 1285470 26350 ) M1M2_PR
-      NEW met1 ( 1006710 26350 ) M1M2_PR ;
+      + ROUTED met2 ( 1285470 2380 0 ) ( * 27370 )
+      NEW met1 ( 1042590 287810 ) ( 1048110 * )
+      NEW met2 ( 1042590 287810 ) ( * 298860 )
+      NEW met2 ( 1042590 298860 ) ( 1042700 * )
+      NEW met2 ( 1042700 298860 ) ( * 300220 0 )
+      NEW met1 ( 1048110 27370 ) ( 1285470 * )
+      NEW met2 ( 1048110 27370 ) ( * 287810 )
+      NEW met1 ( 1285470 27370 ) M1M2_PR
+      NEW met1 ( 1048110 27370 ) M1M2_PR
+      NEW met1 ( 1048110 287810 ) M1M2_PR
+      NEW met1 ( 1042590 287810 ) M1M2_PR ;
     - la_data_in[38] ( PIN la_data_in[38] ) ( mprj la_data_in[38] ) + USE SIGNAL
-      + ROUTED met1 ( 1010390 288150 ) ( 1014070 * )
-      NEW met2 ( 1010390 288150 ) ( * 298860 )
-      NEW met2 ( 1010100 298860 ) ( 1010390 * )
-      NEW met2 ( 1010100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1303410 2380 0 ) ( * 26010 )
-      NEW met1 ( 1014070 26010 ) ( 1303410 * )
-      NEW met2 ( 1014070 26010 ) ( * 288150 )
-      NEW met1 ( 1014070 26010 ) M1M2_PR
-      NEW met1 ( 1014070 288150 ) M1M2_PR
-      NEW met1 ( 1010390 288150 ) M1M2_PR
-      NEW met1 ( 1303410 26010 ) M1M2_PR ;
+      + ROUTED met2 ( 1047500 298860 ) ( 1048570 * )
+      NEW met2 ( 1047500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1303410 2380 0 ) ( * 27030 )
+      NEW met1 ( 1048570 27030 ) ( 1303410 * )
+      NEW met2 ( 1048570 27030 ) ( * 298860 )
+      NEW met1 ( 1048570 27030 ) M1M2_PR
+      NEW met1 ( 1303410 27030 ) M1M2_PR ;
     - la_data_in[39] ( PIN la_data_in[39] ) ( mprj la_data_in[39] ) + USE SIGNAL
-      + ROUTED met1 ( 1014530 288150 ) ( 1020970 * )
-      NEW met2 ( 1014530 288150 ) ( * 298860 )
-      NEW met2 ( 1014530 298860 ) ( 1014600 * )
-      NEW met2 ( 1014600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1320890 2380 0 ) ( * 25670 )
-      NEW met1 ( 1020970 25670 ) ( 1320890 * )
-      NEW met2 ( 1020970 25670 ) ( * 288150 )
-      NEW met1 ( 1020970 25670 ) M1M2_PR
-      NEW met1 ( 1020970 288150 ) M1M2_PR
-      NEW met1 ( 1014530 288150 ) M1M2_PR
-      NEW met1 ( 1320890 25670 ) M1M2_PR ;
+      + ROUTED met1 ( 1052250 287810 ) ( 1055470 * )
+      NEW met2 ( 1052250 287810 ) ( * 298860 )
+      NEW met2 ( 1052250 298860 ) ( 1052300 * )
+      NEW met2 ( 1052300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1055470 26690 ) ( * 287810 )
+      NEW met2 ( 1320890 2380 0 ) ( * 26690 )
+      NEW met1 ( 1055470 26690 ) ( 1320890 * )
+      NEW met1 ( 1055470 26690 ) M1M2_PR
+      NEW met1 ( 1055470 287810 ) M1M2_PR
+      NEW met1 ( 1052250 287810 ) M1M2_PR
+      NEW met1 ( 1320890 26690 ) M1M2_PR ;
     - la_data_in[3] ( PIN la_data_in[3] ) ( mprj la_data_in[3] ) + USE SIGNAL
       + ROUTED met2 ( 682410 2380 0 ) ( * 12580 )
       NEW met2 ( 682410 12580 ) ( 682870 * )
-      NEW met2 ( 682870 12580 ) ( * 24650 )
-      NEW met1 ( 682870 24650 ) ( 848930 * )
-      NEW met2 ( 848930 298860 ) ( 852100 * )
-      NEW met2 ( 852100 298860 ) ( * 300220 0 )
-      NEW met2 ( 848930 24650 ) ( * 298860 )
-      NEW met1 ( 682870 24650 ) M1M2_PR
-      NEW met1 ( 848930 24650 ) M1M2_PR ;
+      NEW met2 ( 682870 12580 ) ( * 25330 )
+      NEW met2 ( 880210 287130 ) ( * 298860 )
+      NEW met2 ( 880210 298860 ) ( 880500 * )
+      NEW met2 ( 880500 298860 ) ( * 300220 0 )
+      NEW met2 ( 790050 25330 ) ( * 287130 )
+      NEW met1 ( 682870 25330 ) ( 790050 * )
+      NEW met1 ( 790050 287130 ) ( 880210 * )
+      NEW met1 ( 682870 25330 ) M1M2_PR
+      NEW met1 ( 790050 25330 ) M1M2_PR
+      NEW met1 ( 790050 287130 ) M1M2_PR
+      NEW met1 ( 880210 287130 ) M1M2_PR ;
     - la_data_in[40] ( PIN la_data_in[40] ) ( mprj la_data_in[40] ) + USE SIGNAL
-      + ROUTED met2 ( 1338830 2380 0 ) ( * 25330 )
-      NEW met2 ( 1019100 298860 ) ( 1020510 * )
-      NEW met2 ( 1019100 298860 ) ( * 300220 0 )
-      NEW met1 ( 1020510 25330 ) ( 1338830 * )
-      NEW met2 ( 1020510 25330 ) ( * 298860 )
-      NEW met1 ( 1338830 25330 ) M1M2_PR
-      NEW met1 ( 1020510 25330 ) M1M2_PR ;
+      + ROUTED met1 ( 1057310 288490 ) ( 1062370 * )
+      NEW met2 ( 1057310 288490 ) ( * 298860 )
+      NEW met2 ( 1057100 298860 ) ( 1057310 * )
+      NEW met2 ( 1057100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1338830 2380 0 ) ( * 26350 )
+      NEW met2 ( 1062370 26350 ) ( * 288490 )
+      NEW met1 ( 1062370 26350 ) ( 1338830 * )
+      NEW met1 ( 1062370 26350 ) M1M2_PR
+      NEW met1 ( 1062370 288490 ) M1M2_PR
+      NEW met1 ( 1057310 288490 ) M1M2_PR
+      NEW met1 ( 1338830 26350 ) M1M2_PR ;
     - la_data_in[41] ( PIN la_data_in[41] ) ( mprj la_data_in[41] ) + USE SIGNAL
-      + ROUTED met2 ( 1356310 2380 0 ) ( * 24990 )
-      NEW met1 ( 1023730 288150 ) ( 1027870 * )
-      NEW met2 ( 1023730 288150 ) ( * 298860 )
-      NEW met2 ( 1023700 298860 ) ( 1023730 * )
-      NEW met2 ( 1023700 298860 ) ( * 300220 0 )
-      NEW met1 ( 1027870 24990 ) ( 1356310 * )
-      NEW met2 ( 1027870 24990 ) ( * 288150 )
-      NEW met1 ( 1356310 24990 ) M1M2_PR
-      NEW met1 ( 1027870 24990 ) M1M2_PR
-      NEW met1 ( 1027870 288150 ) M1M2_PR
-      NEW met1 ( 1023730 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 1061800 298860 ) ( 1061910 * )
+      NEW met2 ( 1061800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1356310 2380 0 ) ( * 26010 )
+      NEW met2 ( 1061910 26010 ) ( * 298860 )
+      NEW met1 ( 1061910 26010 ) ( 1356310 * )
+      NEW met1 ( 1061910 26010 ) M1M2_PR
+      NEW met1 ( 1356310 26010 ) M1M2_PR ;
     - la_data_in[42] ( PIN la_data_in[42] ) ( mprj la_data_in[42] ) + USE SIGNAL
-      + ROUTED met2 ( 1374250 2380 0 ) ( * 24650 )
-      NEW met1 ( 1028330 288150 ) ( 1034310 * )
-      NEW met2 ( 1028330 288150 ) ( * 298860 )
-      NEW met2 ( 1028200 298860 ) ( 1028330 * )
-      NEW met2 ( 1028200 298860 ) ( * 300220 0 )
-      NEW met1 ( 1034310 24650 ) ( 1374250 * )
-      NEW met2 ( 1034310 24650 ) ( * 288150 )
-      NEW met1 ( 1374250 24650 ) M1M2_PR
-      NEW met1 ( 1034310 24650 ) M1M2_PR
-      NEW met1 ( 1034310 288150 ) M1M2_PR
-      NEW met1 ( 1028330 288150 ) M1M2_PR ;
+      + ROUTED met1 ( 1066510 287810 ) ( 1069270 * )
+      NEW met2 ( 1066510 287810 ) ( * 298860 )
+      NEW met2 ( 1066510 298860 ) ( 1066600 * )
+      NEW met2 ( 1066600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1374250 2380 0 ) ( * 25670 )
+      NEW met2 ( 1069270 25670 ) ( * 287810 )
+      NEW met1 ( 1069270 25670 ) ( 1374250 * )
+      NEW met1 ( 1069270 25670 ) M1M2_PR
+      NEW met1 ( 1069270 287810 ) M1M2_PR
+      NEW met1 ( 1066510 287810 ) M1M2_PR
+      NEW met1 ( 1374250 25670 ) M1M2_PR ;
     - la_data_in[43] ( PIN la_data_in[43] ) ( mprj la_data_in[43] ) + USE SIGNAL
-      + ROUTED li1 ( 1362750 23630 ) ( * 24310 )
-      NEW met2 ( 1032700 298860 ) ( 1034770 * )
-      NEW met2 ( 1032700 298860 ) ( * 300220 0 )
-      NEW met1 ( 1034770 24310 ) ( 1362750 * )
-      NEW met2 ( 1391730 2380 0 ) ( * 23630 )
-      NEW met1 ( 1362750 23630 ) ( 1391730 * )
-      NEW met2 ( 1034770 24310 ) ( * 298860 )
-      NEW li1 ( 1362750 24310 ) L1M1_PR_MR
-      NEW li1 ( 1362750 23630 ) L1M1_PR_MR
-      NEW met1 ( 1034770 24310 ) M1M2_PR
-      NEW met1 ( 1391730 23630 ) M1M2_PR ;
+      + ROUTED met1 ( 1071570 285090 ) ( 1075710 * )
+      NEW met2 ( 1071570 285090 ) ( * 298860 )
+      NEW met2 ( 1071500 298860 ) ( 1071570 * )
+      NEW met2 ( 1071500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1075710 25330 ) ( * 285090 )
+      NEW met2 ( 1391730 2380 0 ) ( * 25330 )
+      NEW met1 ( 1075710 25330 ) ( 1391730 * )
+      NEW met1 ( 1075710 25330 ) M1M2_PR
+      NEW met1 ( 1075710 285090 ) M1M2_PR
+      NEW met1 ( 1071570 285090 ) M1M2_PR
+      NEW met1 ( 1391730 25330 ) M1M2_PR ;
     - la_data_in[44] ( PIN la_data_in[44] ) ( mprj la_data_in[44] ) + USE SIGNAL
-      + ROUTED met1 ( 1037070 288150 ) ( 1041670 * )
-      NEW met2 ( 1037070 288150 ) ( * 298860 )
-      NEW met2 ( 1037070 298860 ) ( 1037200 * )
-      NEW met2 ( 1037200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1409670 2380 0 ) ( * 23970 )
-      NEW met1 ( 1041670 23970 ) ( 1409670 * )
-      NEW met2 ( 1041670 23970 ) ( * 288150 )
-      NEW met1 ( 1041670 23970 ) M1M2_PR
-      NEW met1 ( 1041670 288150 ) M1M2_PR
-      NEW met1 ( 1037070 288150 ) M1M2_PR
-      NEW met1 ( 1409670 23970 ) M1M2_PR ;
+      + ROUTED met2 ( 1076170 298860 ) ( 1076300 * )
+      NEW met2 ( 1076300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1076170 24990 ) ( * 298860 )
+      NEW met2 ( 1409670 2380 0 ) ( * 24990 )
+      NEW met1 ( 1076170 24990 ) ( 1409670 * )
+      NEW met1 ( 1076170 24990 ) M1M2_PR
+      NEW met1 ( 1409670 24990 ) M1M2_PR ;
     - la_data_in[45] ( PIN la_data_in[45] ) ( mprj la_data_in[45] ) + USE SIGNAL
-      + ROUTED met2 ( 1041210 286450 ) ( * 298860 )
-      NEW met2 ( 1041210 298860 ) ( 1041700 * )
-      NEW met2 ( 1041700 298860 ) ( * 300220 0 )
-      NEW met1 ( 1041210 286450 ) ( 1293750 * )
-      NEW met2 ( 1427150 2380 0 ) ( * 30430 )
-      NEW met1 ( 1293750 30430 ) ( 1427150 * )
-      NEW met2 ( 1293750 30430 ) ( * 286450 )
-      NEW met1 ( 1041210 286450 ) M1M2_PR
-      NEW met1 ( 1293750 30430 ) M1M2_PR
-      NEW met1 ( 1293750 286450 ) M1M2_PR
-      NEW met1 ( 1427150 30430 ) M1M2_PR ;
+      + ROUTED met2 ( 1081000 298860 ) ( 1083070 * )
+      NEW met2 ( 1081000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1083070 24650 ) ( * 298860 )
+      NEW met2 ( 1427150 2380 0 ) ( * 24650 )
+      NEW met1 ( 1083070 24650 ) ( 1427150 * )
+      NEW met1 ( 1083070 24650 ) M1M2_PR
+      NEW met1 ( 1427150 24650 ) M1M2_PR ;
     - la_data_in[46] ( PIN la_data_in[46] ) ( mprj la_data_in[46] ) + USE SIGNAL
-      + ROUTED met2 ( 1445090 2380 0 ) ( * 62050 )
-      NEW met1 ( 1046270 288150 ) ( 1048570 * )
-      NEW met2 ( 1046270 288150 ) ( * 298860 )
-      NEW met2 ( 1046200 298860 ) ( 1046270 * )
-      NEW met2 ( 1046200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1048570 62050 ) ( * 288150 )
-      NEW met1 ( 1048570 62050 ) ( 1445090 * )
-      NEW met1 ( 1445090 62050 ) M1M2_PR
-      NEW met1 ( 1048570 288150 ) M1M2_PR
-      NEW met1 ( 1046270 288150 ) M1M2_PR
-      NEW met1 ( 1048570 62050 ) M1M2_PR ;
+      + ROUTED met1 ( 1085830 284750 ) ( 1089970 * )
+      NEW met2 ( 1085830 284750 ) ( * 298860 )
+      NEW met2 ( 1085800 298860 ) ( 1085830 * )
+      NEW met2 ( 1085800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1445090 2380 0 ) ( * 24310 )
+      NEW met2 ( 1089970 24310 ) ( * 284750 )
+      NEW met1 ( 1089970 24310 ) ( 1445090 * )
+      NEW met1 ( 1089970 24310 ) M1M2_PR
+      NEW met1 ( 1089970 284750 ) M1M2_PR
+      NEW met1 ( 1085830 284750 ) M1M2_PR
+      NEW met1 ( 1445090 24310 ) M1M2_PR ;
     - la_data_in[47] ( PIN la_data_in[47] ) ( mprj la_data_in[47] ) + USE SIGNAL
-      + ROUTED met2 ( 1050870 286110 ) ( * 298860 )
-      NEW met2 ( 1050700 298860 ) ( 1050870 * )
-      NEW met2 ( 1050700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1463030 2380 0 ) ( * 30090 )
-      NEW met1 ( 1050870 286110 ) ( 1321350 * )
-      NEW met1 ( 1321350 30090 ) ( 1463030 * )
-      NEW met2 ( 1321350 30090 ) ( * 286110 )
-      NEW met1 ( 1050870 286110 ) M1M2_PR
-      NEW met1 ( 1463030 30090 ) M1M2_PR
-      NEW met1 ( 1321350 30090 ) M1M2_PR
-      NEW met1 ( 1321350 286110 ) M1M2_PR ;
+      + ROUTED met1 ( 1090890 287810 ) ( 1096870 * )
+      NEW met2 ( 1090890 287810 ) ( * 298860 )
+      NEW met2 ( 1090600 298860 ) ( 1090890 * )
+      NEW met2 ( 1090600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1463030 2380 0 ) ( * 23970 )
+      NEW met2 ( 1096870 23970 ) ( * 287810 )
+      NEW met1 ( 1096870 23970 ) ( 1463030 * )
+      NEW met1 ( 1096870 23970 ) M1M2_PR
+      NEW met1 ( 1096870 287810 ) M1M2_PR
+      NEW met1 ( 1090890 287810 ) M1M2_PR
+      NEW met1 ( 1463030 23970 ) M1M2_PR ;
     - la_data_in[48] ( PIN la_data_in[48] ) ( mprj la_data_in[48] ) + USE SIGNAL
-      + ROUTED met2 ( 1055300 298860 ) ( 1055470 * )
-      NEW met2 ( 1055300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1480510 2380 0 ) ( * 3060 )
-      NEW met2 ( 1479590 3060 ) ( 1480510 * )
-      NEW met2 ( 1479590 2380 ) ( * 3060 )
-      NEW met2 ( 1478210 2380 ) ( 1479590 * )
-      NEW met2 ( 1055470 81430 ) ( * 298860 )
-      NEW met2 ( 1478210 2380 ) ( * 81430 )
-      NEW met1 ( 1055470 81430 ) ( 1478210 * )
-      NEW met1 ( 1055470 81430 ) M1M2_PR
-      NEW met1 ( 1478210 81430 ) M1M2_PR ;
+      + ROUTED met2 ( 1095490 285430 ) ( * 298860 )
+      NEW met2 ( 1095300 298860 ) ( 1095490 * )
+      NEW met2 ( 1095300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1480510 2380 0 ) ( * 33490 )
+      NEW met2 ( 1369650 33490 ) ( * 285430 )
+      NEW met1 ( 1095490 285430 ) ( 1369650 * )
+      NEW met1 ( 1369650 33490 ) ( 1480510 * )
+      NEW met1 ( 1095490 285430 ) M1M2_PR
+      NEW met1 ( 1369650 33490 ) M1M2_PR
+      NEW met1 ( 1369650 285430 ) M1M2_PR
+      NEW met1 ( 1480510 33490 ) M1M2_PR ;
     - la_data_in[49] ( PIN la_data_in[49] ) ( mprj la_data_in[49] ) + USE SIGNAL
-      + ROUTED met2 ( 1060070 285770 ) ( * 298860 )
-      NEW met2 ( 1059800 298860 ) ( 1060070 * )
-      NEW met2 ( 1059800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1498450 2380 0 ) ( * 29750 )
-      NEW met1 ( 1424850 29750 ) ( 1498450 * )
-      NEW met2 ( 1424850 29750 ) ( * 284410 )
-      NEW li1 ( 1376550 284410 ) ( * 285770 )
-      NEW met1 ( 1060070 285770 ) ( 1376550 * )
-      NEW met1 ( 1376550 284410 ) ( 1424850 * )
-      NEW met1 ( 1060070 285770 ) M1M2_PR
-      NEW met1 ( 1424850 29750 ) M1M2_PR
-      NEW met1 ( 1424850 284410 ) M1M2_PR
-      NEW met1 ( 1498450 29750 ) M1M2_PR
-      NEW li1 ( 1376550 285770 ) L1M1_PR_MR
-      NEW li1 ( 1376550 284410 ) L1M1_PR_MR ;
+      + ROUTED met1 ( 1100090 287810 ) ( 1103770 * )
+      NEW met2 ( 1100090 287810 ) ( * 298860 )
+      NEW met2 ( 1100090 298860 ) ( 1100100 * )
+      NEW met2 ( 1100100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1103770 68170 ) ( * 287810 )
+      NEW met1 ( 1103770 68170 ) ( 1498450 * )
+      NEW met2 ( 1498450 2380 0 ) ( * 68170 )
+      NEW met1 ( 1103770 287810 ) M1M2_PR
+      NEW met1 ( 1100090 287810 ) M1M2_PR
+      NEW met1 ( 1103770 68170 ) M1M2_PR
+      NEW met1 ( 1498450 68170 ) M1M2_PR ;
     - la_data_in[4] ( PIN la_data_in[4] ) ( mprj la_data_in[4] ) + USE SIGNAL
-      + ROUTED met2 ( 700350 2380 0 ) ( * 25330 )
-      NEW met2 ( 856750 287470 ) ( * 298860 )
-      NEW met2 ( 856600 298860 ) ( 856750 * )
-      NEW met2 ( 856600 298860 ) ( * 300220 0 )
-      NEW met1 ( 700350 25330 ) ( 810750 * )
-      NEW met1 ( 810750 287470 ) ( 856750 * )
-      NEW met2 ( 810750 25330 ) ( * 287470 )
-      NEW met1 ( 700350 25330 ) M1M2_PR
-      NEW met1 ( 856750 287470 ) M1M2_PR
-      NEW met1 ( 810750 25330 ) M1M2_PR
-      NEW met1 ( 810750 287470 ) M1M2_PR ;
+      + ROUTED met2 ( 700350 2380 0 ) ( * 25670 )
+      NEW met2 ( 885270 286790 ) ( * 298860 )
+      NEW met2 ( 885270 298860 ) ( 885300 * )
+      NEW met2 ( 885300 298860 ) ( * 300220 0 )
+      NEW met2 ( 776250 25670 ) ( * 286790 )
+      NEW met1 ( 700350 25670 ) ( 776250 * )
+      NEW met1 ( 776250 286790 ) ( 885270 * )
+      NEW met1 ( 700350 25670 ) M1M2_PR
+      NEW met1 ( 776250 25670 ) M1M2_PR
+      NEW met1 ( 776250 286790 ) M1M2_PR
+      NEW met1 ( 885270 286790 ) M1M2_PR ;
     - la_data_in[50] ( PIN la_data_in[50] ) ( mprj la_data_in[50] ) + USE SIGNAL
-      + ROUTED met1 ( 1064210 288150 ) ( 1069270 * )
-      NEW met2 ( 1064210 288150 ) ( * 298860 )
-      NEW met2 ( 1064210 298860 ) ( 1064300 * )
-      NEW met2 ( 1064300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1069270 61710 ) ( * 288150 )
-      NEW met1 ( 1069270 61710 ) ( 1515930 * )
-      NEW met2 ( 1515930 2380 0 ) ( * 61710 )
-      NEW met1 ( 1069270 288150 ) M1M2_PR
-      NEW met1 ( 1064210 288150 ) M1M2_PR
-      NEW met1 ( 1069270 61710 ) M1M2_PR
-      NEW met1 ( 1515930 61710 ) M1M2_PR ;
+      + ROUTED met2 ( 1355850 30430 ) ( * 286450 )
+      NEW met2 ( 1105150 286450 ) ( * 298860 )
+      NEW met2 ( 1104900 298860 ) ( 1105150 * )
+      NEW met2 ( 1104900 298860 ) ( * 300220 0 )
+      NEW met1 ( 1105150 286450 ) ( 1355850 * )
+      NEW met2 ( 1515930 2380 0 ) ( * 30430 )
+      NEW met1 ( 1355850 30430 ) ( 1515930 * )
+      NEW met1 ( 1355850 30430 ) M1M2_PR
+      NEW met1 ( 1355850 286450 ) M1M2_PR
+      NEW met1 ( 1105150 286450 ) M1M2_PR
+      NEW met1 ( 1515930 30430 ) M1M2_PR ;
     - la_data_in[51] ( PIN la_data_in[51] ) ( mprj la_data_in[51] ) + USE SIGNAL
-      + ROUTED met2 ( 1068810 289510 ) ( * 298860 )
-      NEW met2 ( 1068800 298860 ) ( 1068810 * )
-      NEW met2 ( 1068800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1533870 2380 0 ) ( * 41650 )
-      NEW met1 ( 1528350 41650 ) ( 1533870 * )
-      NEW met2 ( 1528350 41650 ) ( * 289510 )
-      NEW met1 ( 1068810 289510 ) ( 1528350 * )
-      NEW met1 ( 1068810 289510 ) M1M2_PR
-      NEW met1 ( 1533870 41650 ) M1M2_PR
-      NEW met1 ( 1528350 289510 ) M1M2_PR
-      NEW met1 ( 1528350 41650 ) M1M2_PR ;
+      + ROUTED met2 ( 1533870 2380 0 ) ( * 28050 )
+      NEW met2 ( 1109750 285770 ) ( * 298860 )
+      NEW met2 ( 1109700 298860 ) ( 1109750 * )
+      NEW met2 ( 1109700 298860 ) ( * 300220 0 )
+      NEW met1 ( 1521450 28050 ) ( 1533870 * )
+      NEW li1 ( 1496150 284410 ) ( * 285770 )
+      NEW met1 ( 1496150 284410 ) ( 1521450 * )
+      NEW met1 ( 1109750 285770 ) ( 1496150 * )
+      NEW met2 ( 1521450 28050 ) ( * 284410 )
+      NEW met1 ( 1533870 28050 ) M1M2_PR
+      NEW met1 ( 1109750 285770 ) M1M2_PR
+      NEW met1 ( 1521450 28050 ) M1M2_PR
+      NEW li1 ( 1496150 285770 ) L1M1_PR_MR
+      NEW li1 ( 1496150 284410 ) L1M1_PR_MR
+      NEW met1 ( 1521450 284410 ) M1M2_PR ;
     - la_data_in[52] ( PIN la_data_in[52] ) ( mprj la_data_in[52] ) + USE SIGNAL
-      + ROUTED met1 ( 1073410 288150 ) ( 1076170 * )
-      NEW met2 ( 1073410 288150 ) ( * 298860 )
-      NEW met2 ( 1073300 298860 ) ( 1073410 * )
-      NEW met2 ( 1073300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1551350 2380 0 ) ( * 3060 )
+      + ROUTED met2 ( 1551350 2380 0 ) ( * 3060 )
       NEW met2 ( 1550430 3060 ) ( 1551350 * )
       NEW met2 ( 1550430 2380 ) ( * 3060 )
       NEW met2 ( 1549050 2380 ) ( 1550430 * )
-      NEW met2 ( 1076170 61370 ) ( * 288150 )
-      NEW met2 ( 1549050 2380 ) ( * 61370 )
-      NEW met1 ( 1076170 61370 ) ( 1549050 * )
-      NEW met1 ( 1076170 288150 ) M1M2_PR
-      NEW met1 ( 1073410 288150 ) M1M2_PR
-      NEW met1 ( 1076170 61370 ) M1M2_PR
-      NEW met1 ( 1549050 61370 ) M1M2_PR ;
+      NEW met2 ( 1549050 2380 ) ( * 74970 )
+      NEW met1 ( 1114350 287810 ) ( 1117570 * )
+      NEW met2 ( 1114350 287810 ) ( * 298860 )
+      NEW met2 ( 1114350 298860 ) ( 1114500 * )
+      NEW met2 ( 1114500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1117570 74970 ) ( * 287810 )
+      NEW met1 ( 1117570 74970 ) ( 1549050 * )
+      NEW met1 ( 1549050 74970 ) M1M2_PR
+      NEW met1 ( 1117570 287810 ) M1M2_PR
+      NEW met1 ( 1114350 287810 ) M1M2_PR
+      NEW met1 ( 1117570 74970 ) M1M2_PR ;
     - la_data_in[53] ( PIN la_data_in[53] ) ( mprj la_data_in[53] ) + USE SIGNAL
-      + ROUTED met1 ( 1078010 288150 ) ( 1082610 * )
-      NEW met2 ( 1078010 288150 ) ( * 298860 )
-      NEW met2 ( 1077800 298860 ) ( 1078010 * )
-      NEW met2 ( 1077800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1082610 87210 ) ( * 288150 )
-      NEW met2 ( 1566990 82800 ) ( * 87210 )
-      NEW met2 ( 1566990 82800 ) ( 1569290 * )
-      NEW met2 ( 1569290 2380 0 ) ( * 82800 )
-      NEW met1 ( 1082610 87210 ) ( 1566990 * )
-      NEW met1 ( 1082610 87210 ) M1M2_PR
-      NEW met1 ( 1082610 288150 ) M1M2_PR
-      NEW met1 ( 1078010 288150 ) M1M2_PR
-      NEW met1 ( 1566990 87210 ) M1M2_PR ;
+      + ROUTED met2 ( 1569290 2380 0 ) ( * 33830 )
+      NEW met2 ( 1348950 33830 ) ( * 286110 )
+      NEW met2 ( 1119410 286110 ) ( * 298860 )
+      NEW met2 ( 1119300 298860 ) ( 1119410 * )
+      NEW met2 ( 1119300 298860 ) ( * 300220 0 )
+      NEW met1 ( 1119410 286110 ) ( 1348950 * )
+      NEW met1 ( 1348950 33830 ) ( 1569290 * )
+      NEW met1 ( 1348950 33830 ) M1M2_PR
+      NEW met1 ( 1348950 286110 ) M1M2_PR
+      NEW met1 ( 1569290 33830 ) M1M2_PR
+      NEW met1 ( 1119410 286110 ) M1M2_PR ;
     - la_data_in[54] ( PIN la_data_in[54] ) ( mprj la_data_in[54] ) + USE SIGNAL
-      + ROUTED met2 ( 1082400 298860 ) ( 1083070 * )
-      NEW met2 ( 1082400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1083070 68170 ) ( * 298860 )
-      NEW met2 ( 1586770 2380 0 ) ( * 16830 )
-      NEW met1 ( 1580330 16830 ) ( 1586770 * )
-      NEW met1 ( 1083070 68170 ) ( 1580330 * )
-      NEW met2 ( 1580330 16830 ) ( * 68170 )
-      NEW met1 ( 1083070 68170 ) M1M2_PR
-      NEW met1 ( 1586770 16830 ) M1M2_PR
-      NEW met1 ( 1580330 16830 ) M1M2_PR
-      NEW met1 ( 1580330 68170 ) M1M2_PR ;
+      + ROUTED met2 ( 1124000 298860 ) ( 1124470 * )
+      NEW met2 ( 1124000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1124470 67150 ) ( * 298860 )
+      NEW met1 ( 1124470 67150 ) ( 1586770 * )
+      NEW met2 ( 1586770 2380 0 ) ( * 67150 )
+      NEW met1 ( 1124470 67150 ) M1M2_PR
+      NEW met1 ( 1586770 67150 ) M1M2_PR ;
     - la_data_in[55] ( PIN la_data_in[55] ) ( mprj la_data_in[55] ) + USE SIGNAL
-      + ROUTED met1 ( 1086750 288150 ) ( 1089970 * )
-      NEW met2 ( 1086750 288150 ) ( * 298860 )
-      NEW met2 ( 1086750 298860 ) ( 1086900 * )
-      NEW met2 ( 1086900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1089970 60690 ) ( * 288150 )
+      + ROUTED met1 ( 1128610 288490 ) ( 1141950 * )
+      NEW met2 ( 1128610 288490 ) ( * 298860 )
+      NEW met2 ( 1128610 298860 ) ( 1128700 * )
+      NEW met2 ( 1128700 298860 ) ( * 300220 0 )
       NEW met2 ( 1604710 2380 0 ) ( * 3060 )
       NEW met2 ( 1603790 3060 ) ( 1604710 * )
       NEW met2 ( 1603790 2380 ) ( * 3060 )
       NEW met2 ( 1602410 2380 ) ( 1603790 * )
-      NEW met1 ( 1089970 60690 ) ( 1602410 * )
-      NEW met2 ( 1602410 2380 ) ( * 60690 )
-      NEW met1 ( 1089970 288150 ) M1M2_PR
-      NEW met1 ( 1086750 288150 ) M1M2_PR
-      NEW met1 ( 1089970 60690 ) M1M2_PR
-      NEW met1 ( 1602410 60690 ) M1M2_PR ;
+      NEW met2 ( 1141950 61370 ) ( * 288490 )
+      NEW met1 ( 1141950 61370 ) ( 1602410 * )
+      NEW met2 ( 1602410 2380 ) ( * 61370 )
+      NEW met1 ( 1141950 288490 ) M1M2_PR
+      NEW met1 ( 1128610 288490 ) M1M2_PR
+      NEW met1 ( 1141950 61370 ) M1M2_PR
+      NEW met1 ( 1602410 61370 ) M1M2_PR ;
     - la_data_in[56] ( PIN la_data_in[56] ) ( mprj la_data_in[56] ) + USE SIGNAL
-      + ROUTED met2 ( 1091350 283050 ) ( * 298860 )
-      NEW met2 ( 1091350 298860 ) ( 1091400 * )
-      NEW met2 ( 1091400 298860 ) ( * 300220 0 )
-      NEW met1 ( 1091350 283050 ) ( 1100550 * )
-      NEW met1 ( 1100550 93330 ) ( 1622190 * )
-      NEW met2 ( 1100550 93330 ) ( * 283050 )
-      NEW met2 ( 1622190 2380 0 ) ( * 93330 )
-      NEW met1 ( 1091350 283050 ) M1M2_PR
-      NEW met1 ( 1100550 93330 ) M1M2_PR
-      NEW met1 ( 1100550 283050 ) M1M2_PR
-      NEW met1 ( 1622190 93330 ) M1M2_PR ;
+      + ROUTED met1 ( 1133670 287810 ) ( 1137810 * )
+      NEW met2 ( 1133670 287810 ) ( * 298860 )
+      NEW met2 ( 1133500 298860 ) ( 1133670 * )
+      NEW met2 ( 1133500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1137810 81770 ) ( * 287810 )
+      NEW met1 ( 1137810 81770 ) ( 1622190 * )
+      NEW met2 ( 1622190 2380 0 ) ( * 81770 )
+      NEW met1 ( 1137810 287810 ) M1M2_PR
+      NEW met1 ( 1133670 287810 ) M1M2_PR
+      NEW met1 ( 1137810 81770 ) M1M2_PR
+      NEW met1 ( 1622190 81770 ) M1M2_PR ;
     - la_data_in[57] ( PIN la_data_in[57] ) ( mprj la_data_in[57] ) + USE SIGNAL
-      + ROUTED met2 ( 1095950 287130 ) ( * 298860 )
-      NEW met2 ( 1095900 298860 ) ( 1095950 * )
-      NEW met2 ( 1095900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1635990 82800 ) ( * 127670 )
-      NEW met2 ( 1635990 82800 ) ( 1640130 * )
-      NEW met2 ( 1640130 2380 0 ) ( * 82800 )
-      NEW met1 ( 1095950 287130 ) ( 1114350 * )
-      NEW met1 ( 1114350 127670 ) ( 1635990 * )
-      NEW met2 ( 1114350 127670 ) ( * 287130 )
-      NEW met1 ( 1095950 287130 ) M1M2_PR
-      NEW met1 ( 1635990 127670 ) M1M2_PR
-      NEW met1 ( 1114350 127670 ) M1M2_PR
-      NEW met1 ( 1114350 287130 ) M1M2_PR ;
+      + ROUTED met2 ( 1640130 2380 0 ) ( * 15980 )
+      NEW met2 ( 1638290 15980 ) ( 1640130 * )
+      NEW met2 ( 1638290 15980 ) ( * 74630 )
+      NEW met2 ( 1138270 298860 ) ( 1138300 * )
+      NEW met2 ( 1138300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1138270 74630 ) ( * 298860 )
+      NEW met1 ( 1138270 74630 ) ( 1638290 * )
+      NEW met1 ( 1638290 74630 ) M1M2_PR
+      NEW met1 ( 1138270 74630 ) M1M2_PR ;
     - la_data_in[58] ( PIN la_data_in[58] ) ( mprj la_data_in[58] ) + USE SIGNAL
-      + ROUTED met2 ( 1658070 2380 0 ) ( * 67830 )
-      NEW met1 ( 1100550 288150 ) ( 1103770 * )
-      NEW met2 ( 1100550 288150 ) ( * 298860 )
-      NEW met2 ( 1100400 298860 ) ( 1100550 * )
-      NEW met2 ( 1100400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1103770 67830 ) ( * 288150 )
-      NEW met1 ( 1103770 67830 ) ( 1658070 * )
-      NEW met1 ( 1658070 67830 ) M1M2_PR
-      NEW met1 ( 1103770 288150 ) M1M2_PR
-      NEW met1 ( 1100550 288150 ) M1M2_PR
-      NEW met1 ( 1103770 67830 ) M1M2_PR ;
+      + ROUTED met2 ( 1656690 82800 ) ( * 87210 )
+      NEW met2 ( 1656690 82800 ) ( 1658070 * )
+      NEW met2 ( 1658070 2380 0 ) ( * 82800 )
+      NEW met2 ( 1143100 298860 ) ( 1145170 * )
+      NEW met2 ( 1143100 298860 ) ( * 300220 0 )
+      NEW met1 ( 1145170 87210 ) ( 1656690 * )
+      NEW met2 ( 1145170 87210 ) ( * 298860 )
+      NEW met1 ( 1656690 87210 ) M1M2_PR
+      NEW met1 ( 1145170 87210 ) M1M2_PR ;
     - la_data_in[59] ( PIN la_data_in[59] ) ( mprj la_data_in[59] ) + USE SIGNAL
-      + ROUTED met2 ( 1675550 2380 0 ) ( * 3060 )
+      + ROUTED met1 ( 1147930 285090 ) ( 1162650 * )
+      NEW met2 ( 1147930 285090 ) ( * 298860 )
+      NEW met2 ( 1147900 298860 ) ( 1147930 * )
+      NEW met2 ( 1147900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1675550 2380 0 ) ( * 3060 )
       NEW met2 ( 1674630 3060 ) ( 1675550 * )
       NEW met2 ( 1674630 2380 ) ( * 3060 )
       NEW met2 ( 1673250 2380 ) ( 1674630 * )
-      NEW met2 ( 1670490 82800 ) ( * 100130 )
+      NEW met2 ( 1162650 92990 ) ( * 285090 )
+      NEW met2 ( 1670490 82800 ) ( * 92990 )
       NEW met2 ( 1670490 82800 ) ( 1673250 * )
       NEW met2 ( 1673250 2380 ) ( * 82800 )
-      NEW met1 ( 1105150 283730 ) ( 1141950 * )
-      NEW met2 ( 1105150 283730 ) ( * 298860 )
-      NEW met2 ( 1104900 298860 ) ( 1105150 * )
-      NEW met2 ( 1104900 298860 ) ( * 300220 0 )
-      NEW met1 ( 1141950 100130 ) ( 1670490 * )
-      NEW met2 ( 1141950 100130 ) ( * 283730 )
-      NEW met1 ( 1670490 100130 ) M1M2_PR
-      NEW met1 ( 1141950 100130 ) M1M2_PR
-      NEW met1 ( 1141950 283730 ) M1M2_PR
-      NEW met1 ( 1105150 283730 ) M1M2_PR ;
+      NEW met1 ( 1162650 92990 ) ( 1670490 * )
+      NEW met1 ( 1162650 92990 ) M1M2_PR
+      NEW met1 ( 1162650 285090 ) M1M2_PR
+      NEW met1 ( 1147930 285090 ) M1M2_PR
+      NEW met1 ( 1670490 92990 ) M1M2_PR ;
     - la_data_in[5] ( PIN la_data_in[5] ) ( mprj la_data_in[5] ) + USE SIGNAL
-      + ROUTED met2 ( 860890 283050 ) ( * 298860 )
-      NEW met2 ( 860890 298860 ) ( 861100 * )
-      NEW met2 ( 861100 298860 ) ( * 300220 0 )
-      NEW met2 ( 717830 2380 0 ) ( * 17510 )
-      NEW met1 ( 717830 17510 ) ( 724270 * )
-      NEW met1 ( 852150 283050 ) ( 860890 * )
-      NEW met2 ( 724270 17510 ) ( * 141270 )
-      NEW met1 ( 724270 141270 ) ( 852150 * )
-      NEW met2 ( 852150 141270 ) ( * 283050 )
-      NEW met1 ( 860890 283050 ) M1M2_PR
-      NEW met1 ( 717830 17510 ) M1M2_PR
-      NEW met1 ( 724270 17510 ) M1M2_PR
-      NEW met1 ( 852150 283050 ) M1M2_PR
-      NEW met1 ( 724270 141270 ) M1M2_PR
-      NEW met1 ( 852150 141270 ) M1M2_PR ;
+      + ROUTED met1 ( 883890 299030 ) ( 889900 * )
+      NEW met2 ( 889900 299030 ) ( * 300220 0 )
+      NEW met2 ( 883890 24310 ) ( * 299030 )
+      NEW met2 ( 717830 2380 0 ) ( * 12580 )
+      NEW met2 ( 717830 12580 ) ( 718290 * )
+      NEW met2 ( 718290 12580 ) ( * 24310 )
+      NEW met1 ( 718290 24310 ) ( 883890 * )
+      NEW met1 ( 883890 24310 ) M1M2_PR
+      NEW met1 ( 883890 299030 ) M1M2_PR
+      NEW met1 ( 889900 299030 ) M1M2_PR
+      NEW met1 ( 718290 24310 ) M1M2_PR ;
     - la_data_in[60] ( PIN la_data_in[60] ) ( mprj la_data_in[60] ) + USE SIGNAL
-      + ROUTED met2 ( 1109290 289170 ) ( * 298860 )
-      NEW met2 ( 1109290 298860 ) ( 1109400 * )
-      NEW met2 ( 1109400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1611150 45050 ) ( * 289170 )
-      NEW met1 ( 1611150 45050 ) ( 1693490 * )
-      NEW met2 ( 1693490 2380 0 ) ( * 45050 )
-      NEW met1 ( 1109290 289170 ) ( 1611150 * )
-      NEW met1 ( 1109290 289170 ) M1M2_PR
-      NEW met1 ( 1611150 289170 ) M1M2_PR
-      NEW met1 ( 1611150 45050 ) M1M2_PR
-      NEW met1 ( 1693490 45050 ) M1M2_PR ;
+      + ROUTED met2 ( 1152530 289510 ) ( * 298860 )
+      NEW met2 ( 1152530 298860 ) ( 1152600 * )
+      NEW met2 ( 1152600 298860 ) ( * 300220 0 )
+      NEW met1 ( 1152530 289510 ) ( 1611150 * )
+      NEW met2 ( 1611150 44710 ) ( * 289510 )
+      NEW met1 ( 1611150 44710 ) ( 1693490 * )
+      NEW met2 ( 1693490 2380 0 ) ( * 44710 )
+      NEW met1 ( 1152530 289510 ) M1M2_PR
+      NEW met1 ( 1611150 289510 ) M1M2_PR
+      NEW met1 ( 1611150 44710 ) M1M2_PR
+      NEW met1 ( 1693490 44710 ) M1M2_PR ;
     - la_data_in[61] ( PIN la_data_in[61] ) ( mprj la_data_in[61] ) + USE SIGNAL
-      + ROUTED met1 ( 1113890 288150 ) ( 1117570 * )
-      NEW met2 ( 1113890 288150 ) ( * 298860 )
-      NEW met2 ( 1113890 298860 ) ( 1114000 * )
-      NEW met2 ( 1114000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1710970 2380 0 ) ( * 9690 )
-      NEW met1 ( 1704530 9690 ) ( 1710970 * )
-      NEW met2 ( 1117570 61030 ) ( * 288150 )
-      NEW met1 ( 1117570 61030 ) ( 1704530 * )
-      NEW met2 ( 1704530 9690 ) ( * 61030 )
-      NEW met1 ( 1117570 288150 ) M1M2_PR
-      NEW met1 ( 1113890 288150 ) M1M2_PR
-      NEW met1 ( 1710970 9690 ) M1M2_PR
-      NEW met1 ( 1704530 9690 ) M1M2_PR
-      NEW met1 ( 1117570 61030 ) M1M2_PR
-      NEW met1 ( 1704530 61030 ) M1M2_PR ;
+      + ROUTED met2 ( 1157400 298860 ) ( 1158970 * )
+      NEW met2 ( 1157400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1158970 67830 ) ( * 298860 )
+      NEW met2 ( 1710970 2380 0 ) ( * 17340 )
+      NEW met2 ( 1707290 17340 ) ( 1710970 * )
+      NEW met1 ( 1158970 67830 ) ( 1707290 * )
+      NEW met2 ( 1707290 17340 ) ( * 67830 )
+      NEW met1 ( 1158970 67830 ) M1M2_PR
+      NEW met1 ( 1707290 67830 ) M1M2_PR ;
     - la_data_in[62] ( PIN la_data_in[62] ) ( mprj la_data_in[62] ) + USE SIGNAL
-      + ROUTED met2 ( 1728910 2380 0 ) ( * 3060 )
+      + ROUTED met1 ( 1162190 287810 ) ( 1165870 * )
+      NEW met2 ( 1162190 287810 ) ( * 298860 )
+      NEW met2 ( 1162190 298860 ) ( 1162200 * )
+      NEW met2 ( 1162200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1728910 2380 0 ) ( * 3060 )
       NEW met2 ( 1727990 3060 ) ( 1728910 * )
       NEW met2 ( 1727990 2380 ) ( * 3060 )
       NEW met2 ( 1726610 2380 ) ( 1727990 * )
-      NEW met2 ( 1725230 82800 ) ( * 107270 )
-      NEW met2 ( 1725230 82800 ) ( 1726610 * )
-      NEW met2 ( 1726610 2380 ) ( * 82800 )
-      NEW met1 ( 1118490 288150 ) ( 1124010 * )
-      NEW met2 ( 1118490 288150 ) ( * 298860 )
-      NEW met2 ( 1118490 298860 ) ( 1118500 * )
-      NEW met2 ( 1118500 298860 ) ( * 300220 0 )
-      NEW met1 ( 1124010 107270 ) ( 1725230 * )
-      NEW met2 ( 1124010 107270 ) ( * 288150 )
-      NEW met1 ( 1725230 107270 ) M1M2_PR
-      NEW met1 ( 1124010 107270 ) M1M2_PR
-      NEW met1 ( 1124010 288150 ) M1M2_PR
-      NEW met1 ( 1118490 288150 ) M1M2_PR ;
+      NEW met2 ( 1726610 2380 ) ( * 3060 )
+      NEW met2 ( 1725230 3060 ) ( 1726610 * )
+      NEW met2 ( 1165870 61030 ) ( * 287810 )
+      NEW met2 ( 1725230 3060 ) ( * 61030 )
+      NEW met1 ( 1165870 61030 ) ( 1725230 * )
+      NEW met1 ( 1165870 287810 ) M1M2_PR
+      NEW met1 ( 1162190 287810 ) M1M2_PR
+      NEW met1 ( 1165870 61030 ) M1M2_PR
+      NEW met1 ( 1725230 61030 ) M1M2_PR ;
     - la_data_in[63] ( PIN la_data_in[63] ) ( mprj la_data_in[63] ) + USE SIGNAL
-      + ROUTED met2 ( 1746390 2380 0 ) ( * 67490 )
-      NEW met2 ( 1123000 298860 ) ( 1124470 * )
-      NEW met2 ( 1123000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1124470 67490 ) ( * 298860 )
-      NEW met1 ( 1124470 67490 ) ( 1746390 * )
-      NEW met1 ( 1746390 67490 ) M1M2_PR
-      NEW met1 ( 1124470 67490 ) M1M2_PR ;
+      + ROUTED met1 ( 1167250 287810 ) ( 1172310 * )
+      NEW met2 ( 1167250 287810 ) ( * 298860 )
+      NEW met2 ( 1167000 298860 ) ( 1167250 * )
+      NEW met2 ( 1167000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1172310 99790 ) ( * 287810 )
+      NEW met2 ( 1746390 2380 0 ) ( * 99790 )
+      NEW met1 ( 1172310 99790 ) ( 1746390 * )
+      NEW met1 ( 1172310 99790 ) M1M2_PR
+      NEW met1 ( 1172310 287810 ) M1M2_PR
+      NEW met1 ( 1167250 287810 ) M1M2_PR
+      NEW met1 ( 1746390 99790 ) M1M2_PR ;
     - la_data_in[64] ( PIN la_data_in[64] ) ( mprj la_data_in[64] ) + USE SIGNAL
-      + ROUTED met2 ( 1764330 2380 0 ) ( * 45050 )
-      NEW met2 ( 1127690 288830 ) ( * 298860 )
-      NEW met2 ( 1127500 298860 ) ( 1127690 * )
-      NEW met2 ( 1127500 298860 ) ( * 300220 0 )
-      NEW met1 ( 1700850 45050 ) ( 1764330 * )
-      NEW met2 ( 1700390 276000 ) ( * 288830 )
-      NEW met2 ( 1700390 276000 ) ( 1700850 * )
-      NEW met2 ( 1700850 45050 ) ( * 276000 )
-      NEW met1 ( 1127690 288830 ) ( 1700390 * )
-      NEW met1 ( 1764330 45050 ) M1M2_PR
-      NEW met1 ( 1127690 288830 ) M1M2_PR
-      NEW met1 ( 1700390 288830 ) M1M2_PR
-      NEW met1 ( 1700850 45050 ) M1M2_PR ;
+      + ROUTED met2 ( 1171800 298860 ) ( 1172770 * )
+      NEW met2 ( 1171800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1764330 2380 0 ) ( * 17340 )
+      NEW met2 ( 1762490 17340 ) ( 1764330 * )
+      NEW met2 ( 1172770 74290 ) ( * 298860 )
+      NEW met2 ( 1762490 17340 ) ( * 74290 )
+      NEW met1 ( 1172770 74290 ) ( 1762490 * )
+      NEW met1 ( 1172770 74290 ) M1M2_PR
+      NEW met1 ( 1762490 74290 ) M1M2_PR ;
     - la_data_in[65] ( PIN la_data_in[65] ) ( mprj la_data_in[65] ) + USE SIGNAL
-      + ROUTED met1 ( 1132290 288150 ) ( 1137810 * )
-      NEW met2 ( 1132290 288150 ) ( * 298860 )
-      NEW met2 ( 1132000 298860 ) ( 1132290 * )
-      NEW met2 ( 1132000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1137810 66810 ) ( * 288150 )
-      NEW met1 ( 1137810 66810 ) ( 1781810 * )
-      NEW met2 ( 1781810 2380 0 ) ( * 66810 )
-      NEW met1 ( 1137810 288150 ) M1M2_PR
-      NEW met1 ( 1132290 288150 ) M1M2_PR
-      NEW met1 ( 1137810 66810 ) M1M2_PR
-      NEW met1 ( 1781810 66810 ) M1M2_PR ;
+      + ROUTED met1 ( 1176450 287810 ) ( 1179670 * )
+      NEW met2 ( 1176450 287810 ) ( * 298860 )
+      NEW met2 ( 1176450 298860 ) ( 1176500 * )
+      NEW met2 ( 1176500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1179670 106930 ) ( * 287810 )
+      NEW met1 ( 1179670 106930 ) ( 1780890 * )
+      NEW met2 ( 1780890 82800 ) ( * 106930 )
+      NEW met2 ( 1780890 82800 ) ( 1781810 * )
+      NEW met2 ( 1781810 2380 0 ) ( * 82800 )
+      NEW met1 ( 1179670 106930 ) M1M2_PR
+      NEW met1 ( 1179670 287810 ) M1M2_PR
+      NEW met1 ( 1176450 287810 ) M1M2_PR
+      NEW met1 ( 1780890 106930 ) M1M2_PR ;
     - la_data_in[66] ( PIN la_data_in[66] ) ( mprj la_data_in[66] ) + USE SIGNAL
-      + ROUTED met2 ( 1136500 298860 ) ( 1138270 * )
-      NEW met2 ( 1136500 298860 ) ( * 300220 0 )
+      + ROUTED met1 ( 1181050 287810 ) ( 1190250 * )
+      NEW met2 ( 1181050 287810 ) ( * 298860 )
+      NEW met2 ( 1181050 298860 ) ( 1181200 * )
+      NEW met2 ( 1181200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1190250 113730 ) ( * 287810 )
       NEW met2 ( 1799750 2380 0 ) ( * 3060 )
       NEW met2 ( 1798830 3060 ) ( 1799750 * )
       NEW met2 ( 1798830 2380 ) ( * 3060 )
       NEW met2 ( 1797450 2380 ) ( 1798830 * )
-      NEW met2 ( 1138270 60350 ) ( * 298860 )
-      NEW met1 ( 1138270 60350 ) ( 1797450 * )
-      NEW met2 ( 1797450 2380 ) ( * 60350 )
-      NEW met1 ( 1138270 60350 ) M1M2_PR
-      NEW met1 ( 1797450 60350 ) M1M2_PR ;
-    - la_data_in[67] ( PIN la_data_in[67] ) ( mprj la_data_in[67] ) + USE SIGNAL
-      + ROUTED met1 ( 1141030 288150 ) ( 1145170 * )
-      NEW met2 ( 1141030 288150 ) ( * 298860 )
-      NEW met2 ( 1141000 298860 ) ( 1141030 * )
-      NEW met2 ( 1141000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1817690 2380 0 ) ( * 32470 )
-      NEW met1 ( 1145170 32470 ) ( 1817690 * )
-      NEW met2 ( 1145170 32470 ) ( * 288150 )
-      NEW met1 ( 1145170 32470 ) M1M2_PR
-      NEW met1 ( 1145170 288150 ) M1M2_PR
-      NEW met1 ( 1141030 288150 ) M1M2_PR
-      NEW met1 ( 1817690 32470 ) M1M2_PR ;
-    - la_data_in[68] ( PIN la_data_in[68] ) ( mprj la_data_in[68] ) + USE SIGNAL
-      + ROUTED met1 ( 1145630 288150 ) ( 1151610 * )
-      NEW met2 ( 1145630 288150 ) ( * 298860 )
-      NEW met2 ( 1145600 298860 ) ( 1145630 * )
-      NEW met2 ( 1145600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1835170 2380 0 ) ( * 32130 )
-      NEW met2 ( 1151610 32130 ) ( * 288150 )
-      NEW met1 ( 1151610 32130 ) ( 1835170 * )
-      NEW met1 ( 1151610 32130 ) M1M2_PR
-      NEW met1 ( 1151610 288150 ) M1M2_PR
-      NEW met1 ( 1145630 288150 ) M1M2_PR
-      NEW met1 ( 1835170 32130 ) M1M2_PR ;
-    - la_data_in[69] ( PIN la_data_in[69] ) ( mprj la_data_in[69] ) + USE SIGNAL
-      + ROUTED met2 ( 1150100 298860 ) ( 1152070 * )
-      NEW met2 ( 1150100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1853110 2380 0 ) ( * 31790 )
-      NEW met2 ( 1152070 31790 ) ( * 298860 )
-      NEW met1 ( 1152070 31790 ) ( 1853110 * )
-      NEW met1 ( 1152070 31790 ) M1M2_PR
-      NEW met1 ( 1853110 31790 ) M1M2_PR ;
-    - la_data_in[6] ( PIN la_data_in[6] ) ( mprj la_data_in[6] ) + USE SIGNAL
-      + ROUTED met2 ( 862730 298860 ) ( 865600 * )
-      NEW met2 ( 865600 298860 ) ( * 300220 0 )
-      NEW met2 ( 862730 24990 ) ( * 298860 )
-      NEW met2 ( 735770 2380 0 ) ( * 24990 )
-      NEW met1 ( 735770 24990 ) ( 862730 * )
-      NEW met1 ( 862730 24990 ) M1M2_PR
-      NEW met1 ( 735770 24990 ) M1M2_PR ;
-    - la_data_in[70] ( PIN la_data_in[70] ) ( mprj la_data_in[70] ) + USE SIGNAL
-      + ROUTED met1 ( 1154830 288150 ) ( 1158510 * )
-      NEW met2 ( 1154830 288150 ) ( * 298860 )
-      NEW met2 ( 1154600 298860 ) ( 1154830 * )
-      NEW met2 ( 1154600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1158510 31450 ) ( * 288150 )
-      NEW met2 ( 1870590 2380 0 ) ( * 31450 )
-      NEW met1 ( 1158510 31450 ) ( 1870590 * )
-      NEW met1 ( 1158510 31450 ) M1M2_PR
-      NEW met1 ( 1158510 288150 ) M1M2_PR
-      NEW met1 ( 1154830 288150 ) M1M2_PR
-      NEW met1 ( 1870590 31450 ) M1M2_PR ;
-    - la_data_in[71] ( PIN la_data_in[71] ) ( mprj la_data_in[71] ) + USE SIGNAL
-      + ROUTED met2 ( 1158970 298860 ) ( 1159100 * )
-      NEW met2 ( 1159100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1158970 31110 ) ( * 298860 )
-      NEW met2 ( 1888530 2380 0 ) ( * 31110 )
-      NEW met1 ( 1158970 31110 ) ( 1888530 * )
-      NEW met1 ( 1158970 31110 ) M1M2_PR
-      NEW met1 ( 1888530 31110 ) M1M2_PR ;
-    - la_data_in[72] ( PIN la_data_in[72] ) ( mprj la_data_in[72] ) + USE SIGNAL
-      + ROUTED met1 ( 1163570 288150 ) ( 1165870 * )
-      NEW met2 ( 1163570 288150 ) ( * 298860 )
-      NEW met2 ( 1163570 298860 ) ( 1163600 * )
-      NEW met2 ( 1163600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1165870 30770 ) ( * 288150 )
-      NEW met2 ( 1906010 2380 0 ) ( * 30770 )
-      NEW met1 ( 1165870 30770 ) ( 1906010 * )
-      NEW met1 ( 1165870 30770 ) M1M2_PR
-      NEW met1 ( 1165870 288150 ) M1M2_PR
-      NEW met1 ( 1163570 288150 ) M1M2_PR
-      NEW met1 ( 1906010 30770 ) M1M2_PR ;
-    - la_data_in[73] ( PIN la_data_in[73] ) ( mprj la_data_in[73] ) + USE SIGNAL
-      + ROUTED li1 ( 1191170 286790 ) ( * 288150 )
-      NEW met1 ( 1168170 286790 ) ( 1191170 * )
-      NEW met2 ( 1168170 286790 ) ( * 298860 )
-      NEW met2 ( 1168100 298860 ) ( 1168170 * )
-      NEW met2 ( 1168100 298860 ) ( * 300220 0 )
-      NEW li1 ( 1822290 285770 ) ( * 288150 )
-      NEW met1 ( 1822290 285770 ) ( 1859550 * )
-      NEW met2 ( 1923950 2380 0 ) ( * 31790 )
-      NEW met2 ( 1859550 31790 ) ( * 285770 )
-      NEW li1 ( 1506270 288150 ) ( * 288490 )
-      NEW li1 ( 1506270 288490 ) ( 1507190 * )
-      NEW met1 ( 1507190 288490 ) ( 1531800 * )
-      NEW met1 ( 1531800 288150 ) ( * 288490 )
-      NEW met1 ( 1531800 288150 ) ( 1822290 * )
-      NEW met1 ( 1859550 31790 ) ( 1923950 * )
-      NEW met1 ( 1191170 288150 ) ( 1506270 * )
-      NEW li1 ( 1191170 288150 ) L1M1_PR_MR
-      NEW li1 ( 1191170 286790 ) L1M1_PR_MR
-      NEW met1 ( 1168170 286790 ) M1M2_PR
-      NEW met1 ( 1859550 31790 ) M1M2_PR
-      NEW li1 ( 1822290 288150 ) L1M1_PR_MR
-      NEW li1 ( 1822290 285770 ) L1M1_PR_MR
-      NEW met1 ( 1859550 285770 ) M1M2_PR
-      NEW met1 ( 1923950 31790 ) M1M2_PR
-      NEW li1 ( 1506270 288150 ) L1M1_PR_MR
-      NEW li1 ( 1507190 288490 ) L1M1_PR_MR ;
-    - la_data_in[74] ( PIN la_data_in[74] ) ( mprj la_data_in[74] ) + USE SIGNAL
-      + ROUTED met2 ( 1172700 298860 ) ( 1172770 * )
-      NEW met2 ( 1172700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1941430 2380 0 ) ( * 3060 )
-      NEW met2 ( 1940510 3060 ) ( 1941430 * )
-      NEW met2 ( 1940510 2380 ) ( * 3060 )
-      NEW met2 ( 1939130 2380 ) ( 1940510 * )
-      NEW met2 ( 1172770 66130 ) ( * 298860 )
-      NEW met2 ( 1939130 2380 ) ( * 66130 )
-      NEW met1 ( 1172770 66130 ) ( 1939130 * )
-      NEW met1 ( 1172770 66130 ) M1M2_PR
-      NEW met1 ( 1939130 66130 ) M1M2_PR ;
-    - la_data_in[75] ( PIN la_data_in[75] ) ( mprj la_data_in[75] ) + USE SIGNAL
-      + ROUTED met2 ( 1177370 287470 ) ( * 298860 )
-      NEW met2 ( 1177200 298860 ) ( 1177370 * )
-      NEW met2 ( 1177200 298860 ) ( * 300220 0 )
-      NEW li1 ( 1921650 285770 ) ( * 287470 )
-      NEW met1 ( 1921650 285770 ) ( 1949250 * )
-      NEW met1 ( 1177370 287470 ) ( 1921650 * )
-      NEW met1 ( 1949250 34170 ) ( 1959370 * )
-      NEW met2 ( 1949250 34170 ) ( * 285770 )
-      NEW met2 ( 1959370 2380 0 ) ( * 34170 )
-      NEW met1 ( 1177370 287470 ) M1M2_PR
-      NEW li1 ( 1921650 287470 ) L1M1_PR_MR
-      NEW li1 ( 1921650 285770 ) L1M1_PR_MR
-      NEW met1 ( 1949250 285770 ) M1M2_PR
-      NEW met1 ( 1949250 34170 ) M1M2_PR
-      NEW met1 ( 1959370 34170 ) M1M2_PR ;
-    - la_data_in[76] ( PIN la_data_in[76] ) ( mprj la_data_in[76] ) + USE SIGNAL
-      + ROUTED met1 ( 1181970 288150 ) ( 1190250 * )
-      NEW met2 ( 1181970 288150 ) ( * 298860 )
-      NEW met2 ( 1181700 298860 ) ( 1181970 * )
-      NEW met2 ( 1181700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1190250 113730 ) ( * 288150 )
-      NEW met1 ( 1190250 113730 ) ( 1973630 * )
-      NEW met2 ( 1973630 82800 ) ( * 113730 )
-      NEW met2 ( 1973630 82800 ) ( 1976850 * )
-      NEW met2 ( 1976850 2380 0 ) ( * 82800 )
+      NEW met1 ( 1190250 113730 ) ( 1794230 * )
+      NEW met2 ( 1794230 82800 ) ( * 113730 )
+      NEW met2 ( 1794230 82800 ) ( 1797450 * )
+      NEW met2 ( 1797450 2380 ) ( * 82800 )
       NEW met1 ( 1190250 113730 ) M1M2_PR
-      NEW met1 ( 1190250 288150 ) M1M2_PR
-      NEW met1 ( 1181970 288150 ) M1M2_PR
-      NEW met1 ( 1973630 113730 ) M1M2_PR ;
+      NEW met1 ( 1190250 287810 ) M1M2_PR
+      NEW met1 ( 1181050 287810 ) M1M2_PR
+      NEW met1 ( 1794230 113730 ) M1M2_PR ;
+    - la_data_in[67] ( PIN la_data_in[67] ) ( mprj la_data_in[67] ) + USE SIGNAL
+      + ROUTED met2 ( 1186110 289170 ) ( * 298860 )
+      NEW met2 ( 1186000 298860 ) ( 1186110 * )
+      NEW met2 ( 1186000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1769850 33150 ) ( * 289170 )
+      NEW met1 ( 1186110 289170 ) ( 1769850 * )
+      NEW met2 ( 1817690 2380 0 ) ( * 33150 )
+      NEW met1 ( 1769850 33150 ) ( 1817690 * )
+      NEW met1 ( 1186110 289170 ) M1M2_PR
+      NEW met1 ( 1769850 33150 ) M1M2_PR
+      NEW met1 ( 1769850 289170 ) M1M2_PR
+      NEW met1 ( 1817690 33150 ) M1M2_PR ;
+    - la_data_in[68] ( PIN la_data_in[68] ) ( mprj la_data_in[68] ) + USE SIGNAL
+      + ROUTED met1 ( 1190710 287810 ) ( 1193470 * )
+      NEW met2 ( 1190710 287810 ) ( * 298860 )
+      NEW met2 ( 1190710 298860 ) ( 1190800 * )
+      NEW met2 ( 1190800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1835170 2380 0 ) ( * 16830 )
+      NEW met1 ( 1828730 16830 ) ( 1835170 * )
+      NEW met2 ( 1193470 67490 ) ( * 287810 )
+      NEW met2 ( 1828730 16830 ) ( * 67490 )
+      NEW met1 ( 1193470 67490 ) ( 1828730 * )
+      NEW met1 ( 1193470 287810 ) M1M2_PR
+      NEW met1 ( 1190710 287810 ) M1M2_PR
+      NEW met1 ( 1835170 16830 ) M1M2_PR
+      NEW met1 ( 1828730 16830 ) M1M2_PR
+      NEW met1 ( 1193470 67490 ) M1M2_PR
+      NEW met1 ( 1828730 67490 ) M1M2_PR ;
+    - la_data_in[69] ( PIN la_data_in[69] ) ( mprj la_data_in[69] ) + USE SIGNAL
+      + ROUTED met2 ( 1853110 2380 0 ) ( * 3060 )
+      NEW met2 ( 1852190 3060 ) ( 1853110 * )
+      NEW met2 ( 1852190 2380 ) ( * 3060 )
+      NEW met2 ( 1850810 2380 ) ( 1852190 * )
+      NEW met2 ( 1850810 2380 ) ( * 73950 )
+      NEW met1 ( 1195770 287810 ) ( 1204050 * )
+      NEW met2 ( 1195770 287810 ) ( * 298860 )
+      NEW met2 ( 1195600 298860 ) ( 1195770 * )
+      NEW met2 ( 1195600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1204050 73950 ) ( * 287810 )
+      NEW met1 ( 1204050 73950 ) ( 1850810 * )
+      NEW met1 ( 1850810 73950 ) M1M2_PR
+      NEW met1 ( 1204050 287810 ) M1M2_PR
+      NEW met1 ( 1195770 287810 ) M1M2_PR
+      NEW met1 ( 1204050 73950 ) M1M2_PR ;
+    - la_data_in[6] ( PIN la_data_in[6] ) ( mprj la_data_in[6] ) + USE SIGNAL
+      + ROUTED met2 ( 890330 298860 ) ( 894700 * )
+      NEW met2 ( 894700 298860 ) ( * 300220 0 )
+      NEW met2 ( 890330 24650 ) ( * 298860 )
+      NEW met2 ( 735770 2380 0 ) ( * 24650 )
+      NEW met1 ( 735770 24650 ) ( 890330 * )
+      NEW met1 ( 890330 24650 ) M1M2_PR
+      NEW met1 ( 735770 24650 ) M1M2_PR ;
+    - la_data_in[70] ( PIN la_data_in[70] ) ( mprj la_data_in[70] ) + USE SIGNAL
+      + ROUTED met2 ( 1200370 298860 ) ( 1200400 * )
+      NEW met2 ( 1200400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1870590 2380 0 ) ( * 27710 )
+      NEW met1 ( 1200370 27710 ) ( 1870590 * )
+      NEW met2 ( 1200370 27710 ) ( * 298860 )
+      NEW met1 ( 1200370 27710 ) M1M2_PR
+      NEW met1 ( 1870590 27710 ) M1M2_PR ;
+    - la_data_in[71] ( PIN la_data_in[71] ) ( mprj la_data_in[71] ) + USE SIGNAL
+      + ROUTED met2 ( 1205200 298860 ) ( 1207270 * )
+      NEW met2 ( 1205200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1888530 2380 0 ) ( * 32130 )
+      NEW met1 ( 1207270 32130 ) ( 1888530 * )
+      NEW met2 ( 1207270 32130 ) ( * 298860 )
+      NEW met1 ( 1207270 32130 ) M1M2_PR
+      NEW met1 ( 1888530 32130 ) M1M2_PR ;
+    - la_data_in[72] ( PIN la_data_in[72] ) ( mprj la_data_in[72] ) + USE SIGNAL
+      + ROUTED met1 ( 1210030 287810 ) ( 1214170 * )
+      NEW met2 ( 1210030 287810 ) ( * 298860 )
+      NEW met2 ( 1209900 298860 ) ( 1210030 * )
+      NEW met2 ( 1209900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1906010 2380 0 ) ( * 31790 )
+      NEW met1 ( 1214170 31790 ) ( 1906010 * )
+      NEW met2 ( 1214170 31790 ) ( * 287810 )
+      NEW met1 ( 1214170 31790 ) M1M2_PR
+      NEW met1 ( 1214170 287810 ) M1M2_PR
+      NEW met1 ( 1210030 287810 ) M1M2_PR
+      NEW met1 ( 1906010 31790 ) M1M2_PR ;
+    - la_data_in[73] ( PIN la_data_in[73] ) ( mprj la_data_in[73] ) + USE SIGNAL
+      + ROUTED met2 ( 1923950 2380 0 ) ( * 31450 )
+      NEW met1 ( 1214630 287810 ) ( 1221070 * )
+      NEW met2 ( 1214630 287810 ) ( * 298860 )
+      NEW met2 ( 1214630 298860 ) ( 1214700 * )
+      NEW met2 ( 1214700 298860 ) ( * 300220 0 )
+      NEW met1 ( 1221070 31450 ) ( 1923950 * )
+      NEW met2 ( 1221070 31450 ) ( * 287810 )
+      NEW met1 ( 1923950 31450 ) M1M2_PR
+      NEW met1 ( 1221070 31450 ) M1M2_PR
+      NEW met1 ( 1221070 287810 ) M1M2_PR
+      NEW met1 ( 1214630 287810 ) M1M2_PR ;
+    - la_data_in[74] ( PIN la_data_in[74] ) ( mprj la_data_in[74] ) + USE SIGNAL
+      + ROUTED met2 ( 1941430 2380 0 ) ( * 31110 )
+      NEW met2 ( 1219500 298860 ) ( 1220610 * )
+      NEW met2 ( 1219500 298860 ) ( * 300220 0 )
+      NEW met1 ( 1220610 31110 ) ( 1941430 * )
+      NEW met2 ( 1220610 31110 ) ( * 298860 )
+      NEW met1 ( 1941430 31110 ) M1M2_PR
+      NEW met1 ( 1220610 31110 ) M1M2_PR ;
+    - la_data_in[75] ( PIN la_data_in[75] ) ( mprj la_data_in[75] ) + USE SIGNAL
+      + ROUTED met2 ( 1959370 2380 0 ) ( * 30770 )
+      NEW met1 ( 1224290 287810 ) ( 1227970 * )
+      NEW met2 ( 1224290 287810 ) ( * 298860 )
+      NEW met2 ( 1224200 298860 ) ( 1224290 * )
+      NEW met2 ( 1224200 298860 ) ( * 300220 0 )
+      NEW met1 ( 1227970 30770 ) ( 1959370 * )
+      NEW met2 ( 1227970 30770 ) ( * 287810 )
+      NEW met1 ( 1959370 30770 ) M1M2_PR
+      NEW met1 ( 1227970 30770 ) M1M2_PR
+      NEW met1 ( 1227970 287810 ) M1M2_PR
+      NEW met1 ( 1224290 287810 ) M1M2_PR ;
+    - la_data_in[76] ( PIN la_data_in[76] ) ( mprj la_data_in[76] ) + USE SIGNAL
+      + ROUTED met2 ( 1949250 28050 ) ( * 288490 )
+      NEW met2 ( 1228890 288490 ) ( * 298860 )
+      NEW met2 ( 1228890 298860 ) ( 1229000 * )
+      NEW met2 ( 1229000 298860 ) ( * 300220 0 )
+      NEW li1 ( 1497070 288490 ) ( 1497990 * )
+      NEW met1 ( 1228890 288490 ) ( 1497070 * )
+      NEW met1 ( 1497990 288490 ) ( 1949250 * )
+      NEW met2 ( 1976850 2380 0 ) ( * 28050 )
+      NEW met1 ( 1949250 28050 ) ( 1976850 * )
+      NEW met1 ( 1949250 28050 ) M1M2_PR
+      NEW met1 ( 1949250 288490 ) M1M2_PR
+      NEW met1 ( 1228890 288490 ) M1M2_PR
+      NEW li1 ( 1497070 288490 ) L1M1_PR_MR
+      NEW li1 ( 1497990 288490 ) L1M1_PR_MR
+      NEW met1 ( 1976850 28050 ) M1M2_PR ;
     - la_data_in[77] ( PIN la_data_in[77] ) ( mprj la_data_in[77] ) + USE SIGNAL
-      + ROUTED met2 ( 1186110 283390 ) ( * 298860 )
-      NEW met2 ( 1186110 298860 ) ( 1186200 * )
-      NEW met2 ( 1186200 298860 ) ( * 300220 0 )
-      NEW met1 ( 1186110 283390 ) ( 1204050 * )
-      NEW met2 ( 1204050 73270 ) ( * 283390 )
-      NEW met2 ( 1994790 2380 0 ) ( * 34500 )
-      NEW met2 ( 1994330 34500 ) ( * 73270 )
-      NEW met2 ( 1994330 34500 ) ( 1994790 * )
-      NEW met1 ( 1204050 73270 ) ( 1994330 * )
-      NEW met1 ( 1186110 283390 ) M1M2_PR
-      NEW met1 ( 1204050 283390 ) M1M2_PR
-      NEW met1 ( 1204050 73270 ) M1M2_PR
-      NEW met1 ( 1994330 73270 ) M1M2_PR ;
+      + ROUTED met2 ( 1233800 298860 ) ( 1234870 * )
+      NEW met2 ( 1233800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1234870 80410 ) ( * 298860 )
+      NEW met1 ( 1234870 80410 ) ( 1994790 * )
+      NEW met2 ( 1994790 2380 0 ) ( * 80410 )
+      NEW met1 ( 1234870 80410 ) M1M2_PR
+      NEW met1 ( 1994790 80410 ) M1M2_PR ;
     - la_data_in[78] ( PIN la_data_in[78] ) ( mprj la_data_in[78] ) + USE SIGNAL
-      + ROUTED met1 ( 1190710 287130 ) ( 1193470 * )
-      NEW met2 ( 1190710 287130 ) ( * 298860 )
-      NEW met2 ( 1190700 298860 ) ( 1190710 * )
-      NEW met2 ( 1190700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1193470 59670 ) ( * 287130 )
-      NEW met2 ( 2012730 2380 0 ) ( * 59670 )
-      NEW met1 ( 1193470 59670 ) ( 2012730 * )
-      NEW met1 ( 1193470 287130 ) M1M2_PR
-      NEW met1 ( 1190710 287130 ) M1M2_PR
-      NEW met1 ( 1193470 59670 ) M1M2_PR
-      NEW met1 ( 2012730 59670 ) M1M2_PR ;
+      + ROUTED met2 ( 1238550 287810 ) ( * 298860 )
+      NEW met2 ( 1238500 298860 ) ( 1238550 * )
+      NEW met2 ( 1238500 298860 ) ( * 300220 0 )
+      NEW met2 ( 2012730 2380 0 ) ( * 28050 )
+      NEW met1 ( 1983750 28050 ) ( 2012730 * )
+      NEW met1 ( 1238550 287810 ) ( 1983290 * )
+      NEW met2 ( 1983290 276000 ) ( * 287810 )
+      NEW met2 ( 1983290 276000 ) ( 1983750 * )
+      NEW met2 ( 1983750 28050 ) ( * 276000 )
+      NEW met1 ( 1238550 287810 ) M1M2_PR
+      NEW met1 ( 2012730 28050 ) M1M2_PR
+      NEW met1 ( 1983750 28050 ) M1M2_PR
+      NEW met1 ( 1983290 287810 ) M1M2_PR ;
     - la_data_in[79] ( PIN la_data_in[79] ) ( mprj la_data_in[79] ) + USE SIGNAL
-      + ROUTED met2 ( 2030210 2380 0 ) ( * 72930 )
-      NEW met1 ( 1195310 285090 ) ( 1231650 * )
-      NEW met2 ( 1195310 285090 ) ( * 298860 )
-      NEW met2 ( 1195200 298860 ) ( 1195310 * )
-      NEW met2 ( 1195200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1231650 72930 ) ( * 285090 )
-      NEW met1 ( 1231650 72930 ) ( 2030210 * )
-      NEW met1 ( 2030210 72930 ) M1M2_PR
-      NEW met1 ( 1231650 285090 ) M1M2_PR
-      NEW met1 ( 1195310 285090 ) M1M2_PR
-      NEW met1 ( 1231650 72930 ) M1M2_PR ;
+      + ROUTED met1 ( 1243150 287470 ) ( 1248670 * )
+      NEW met2 ( 1243150 287470 ) ( * 298860 )
+      NEW met2 ( 1243150 298860 ) ( 1243300 * )
+      NEW met2 ( 1243300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1248670 162010 ) ( * 287470 )
+      NEW met2 ( 2028830 82800 ) ( 2030210 * )
+      NEW met2 ( 2030210 2380 0 ) ( * 82800 )
+      NEW met2 ( 2028830 82800 ) ( * 162010 )
+      NEW met1 ( 1248670 162010 ) ( 2028830 * )
+      NEW met1 ( 1248670 287470 ) M1M2_PR
+      NEW met1 ( 1243150 287470 ) M1M2_PR
+      NEW met1 ( 1248670 162010 ) M1M2_PR
+      NEW met1 ( 2028830 162010 ) M1M2_PR ;
     - la_data_in[7] ( PIN la_data_in[7] ) ( mprj la_data_in[7] ) + USE SIGNAL
-      + ROUTED met2 ( 870090 298860 ) ( 870100 * )
-      NEW met2 ( 870100 298860 ) ( * 300220 0 )
-      NEW met2 ( 870090 18870 ) ( * 298860 )
-      NEW met2 ( 753250 2380 0 ) ( * 18870 )
-      NEW met1 ( 753250 18870 ) ( 870090 * )
-      NEW met1 ( 870090 18870 ) M1M2_PR
-      NEW met1 ( 753250 18870 ) M1M2_PR ;
+      + ROUTED met2 ( 897230 298860 ) ( 899500 * )
+      NEW met2 ( 899500 298860 ) ( * 300220 0 )
+      NEW met2 ( 897230 24990 ) ( * 298860 )
+      NEW met2 ( 753250 2380 0 ) ( * 24990 )
+      NEW met1 ( 753250 24990 ) ( 897230 * )
+      NEW met1 ( 897230 24990 ) M1M2_PR
+      NEW met1 ( 753250 24990 ) M1M2_PR ;
     - la_data_in[80] ( PIN la_data_in[80] ) ( mprj la_data_in[80] ) + USE SIGNAL
-      + ROUTED met2 ( 2048150 2380 0 ) ( * 20910 )
-      NEW met1 ( 2038950 20910 ) ( 2048150 * )
-      NEW met2 ( 2038950 20910 ) ( * 287130 )
-      NEW met1 ( 1235330 286790 ) ( * 287130 )
-      NEW met1 ( 1199910 286790 ) ( 1235330 * )
-      NEW met2 ( 1199910 286790 ) ( * 298860 )
-      NEW met2 ( 1199700 298860 ) ( 1199910 * )
-      NEW met2 ( 1199700 298860 ) ( * 300220 0 )
-      NEW met1 ( 1235330 287130 ) ( 2038950 * )
-      NEW met1 ( 2048150 20910 ) M1M2_PR
-      NEW met1 ( 2038950 20910 ) M1M2_PR
-      NEW met1 ( 2038950 287130 ) M1M2_PR
-      NEW met1 ( 1199910 286790 ) M1M2_PR ;
+      + ROUTED li1 ( 1283170 286790 ) ( * 287470 )
+      NEW met1 ( 1248210 286790 ) ( 1283170 * )
+      NEW met2 ( 1248210 286790 ) ( * 298860 )
+      NEW met2 ( 1248100 298860 ) ( 1248210 * )
+      NEW met2 ( 1248100 298860 ) ( * 300220 0 )
+      NEW met2 ( 2048150 2380 0 ) ( * 29410 )
+      NEW met1 ( 2018250 29410 ) ( 2048150 * )
+      NEW met2 ( 2016410 276000 ) ( * 287470 )
+      NEW met2 ( 2016410 276000 ) ( 2018250 * )
+      NEW met2 ( 2018250 29410 ) ( * 276000 )
+      NEW met1 ( 1283170 287470 ) ( 2016410 * )
+      NEW li1 ( 1283170 287470 ) L1M1_PR_MR
+      NEW li1 ( 1283170 286790 ) L1M1_PR_MR
+      NEW met1 ( 1248210 286790 ) M1M2_PR
+      NEW met1 ( 2048150 29410 ) M1M2_PR
+      NEW met1 ( 2018250 29410 ) M1M2_PR
+      NEW met1 ( 2016410 287470 ) M1M2_PR ;
     - la_data_in[81] ( PIN la_data_in[81] ) ( mprj la_data_in[81] ) + USE SIGNAL
-      + ROUTED met1 ( 1204510 287130 ) ( 1207270 * )
-      NEW met2 ( 1204510 287130 ) ( * 298860 )
-      NEW met2 ( 1204300 298860 ) ( 1204510 * )
-      NEW met2 ( 1204300 298860 ) ( * 300220 0 )
+      + ROUTED met1 ( 1252810 287470 ) ( 1255570 * )
+      NEW met2 ( 1252810 287470 ) ( * 298860 )
+      NEW met2 ( 1252810 298860 ) ( 1252900 * )
+      NEW met2 ( 1252900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1255570 73270 ) ( * 287470 )
       NEW met2 ( 2065630 2380 0 ) ( * 3060 )
       NEW met2 ( 2064710 3060 ) ( 2065630 * )
       NEW met2 ( 2064710 2380 ) ( * 3060 )
-      NEW met2 ( 2063790 2380 ) ( 2064710 * )
-      NEW met1 ( 1207270 120530 ) ( 2063790 * )
-      NEW met2 ( 1207270 120530 ) ( * 287130 )
-      NEW met2 ( 2063790 2380 ) ( * 120530 )
-      NEW met1 ( 1207270 120530 ) M1M2_PR
-      NEW met1 ( 1207270 287130 ) M1M2_PR
-      NEW met1 ( 1204510 287130 ) M1M2_PR
-      NEW met1 ( 2063790 120530 ) M1M2_PR ;
+      NEW met2 ( 2063330 2380 ) ( 2064710 * )
+      NEW met1 ( 1255570 73270 ) ( 2063330 * )
+      NEW met2 ( 2063330 2380 ) ( * 73270 )
+      NEW met1 ( 1255570 287470 ) M1M2_PR
+      NEW met1 ( 1252810 287470 ) M1M2_PR
+      NEW met1 ( 1255570 73270 ) M1M2_PR
+      NEW met1 ( 2063330 73270 ) M1M2_PR ;
     - la_data_in[82] ( PIN la_data_in[82] ) ( mprj la_data_in[82] ) + USE SIGNAL
-      + ROUTED met1 ( 1208650 287130 ) ( 1214170 * )
-      NEW met2 ( 1208650 287130 ) ( * 298860 )
-      NEW met2 ( 1208650 298860 ) ( 1208800 * )
-      NEW met2 ( 1208800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1214170 35530 ) ( * 287130 )
-      NEW met2 ( 2083570 2380 0 ) ( * 35530 )
-      NEW met1 ( 1214170 35530 ) ( 2083570 * )
-      NEW met1 ( 1214170 287130 ) M1M2_PR
-      NEW met1 ( 1208650 287130 ) M1M2_PR
-      NEW met1 ( 1214170 35530 ) M1M2_PR
-      NEW met1 ( 2083570 35530 ) M1M2_PR ;
+      + ROUTED met2 ( 1257870 287130 ) ( * 298860 )
+      NEW met2 ( 1257700 298860 ) ( 1257870 * )
+      NEW met2 ( 1257700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2083570 2380 0 ) ( * 20910 )
+      NEW met1 ( 2073450 20910 ) ( 2083570 * )
+      NEW met1 ( 1257870 287130 ) ( 2073450 * )
+      NEW met2 ( 2073450 20910 ) ( * 287130 )
+      NEW met1 ( 1257870 287130 ) M1M2_PR
+      NEW met1 ( 2083570 20910 ) M1M2_PR
+      NEW met1 ( 2073450 20910 ) M1M2_PR
+      NEW met1 ( 2073450 287130 ) M1M2_PR ;
     - la_data_in[83] ( PIN la_data_in[83] ) ( mprj la_data_in[83] ) + USE SIGNAL
-      + ROUTED met2 ( 1213300 298860 ) ( 1213710 * )
-      NEW met2 ( 1213300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1213710 35870 ) ( * 298860 )
-      NEW met2 ( 2101050 2380 0 ) ( * 35870 )
-      NEW met1 ( 1213710 35870 ) ( 2101050 * )
-      NEW met1 ( 1213710 35870 ) M1M2_PR
-      NEW met1 ( 2101050 35870 ) M1M2_PR ;
+      + ROUTED met2 ( 1262470 298860 ) ( 1262500 * )
+      NEW met2 ( 1262500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1262470 60690 ) ( * 298860 )
+      NEW met1 ( 1262470 60690 ) ( 2101050 * )
+      NEW met2 ( 2101050 2380 0 ) ( * 60690 )
+      NEW met1 ( 1262470 60690 ) M1M2_PR
+      NEW met1 ( 2101050 60690 ) M1M2_PR ;
     - la_data_in[84] ( PIN la_data_in[84] ) ( mprj la_data_in[84] ) + USE SIGNAL
-      + ROUTED met2 ( 2118990 2380 0 ) ( * 36210 )
-      NEW met1 ( 1217850 287130 ) ( 1221070 * )
-      NEW met2 ( 1217850 287130 ) ( * 298860 )
-      NEW met2 ( 1217800 298860 ) ( 1217850 * )
-      NEW met2 ( 1217800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1221070 36210 ) ( * 287130 )
-      NEW met1 ( 1221070 36210 ) ( 2118990 * )
-      NEW met1 ( 2118990 36210 ) M1M2_PR
-      NEW met1 ( 1221070 287130 ) M1M2_PR
-      NEW met1 ( 1217850 287130 ) M1M2_PR
-      NEW met1 ( 1221070 36210 ) M1M2_PR ;
+      + ROUTED met1 ( 1267070 287470 ) ( 1269370 * )
+      NEW met2 ( 1267070 287470 ) ( * 298860 )
+      NEW met2 ( 1267070 298860 ) ( 1267200 * )
+      NEW met2 ( 1267200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1269370 66470 ) ( * 287470 )
+      NEW met2 ( 2118990 2380 0 ) ( * 66470 )
+      NEW met1 ( 1269370 66470 ) ( 2118990 * )
+      NEW met1 ( 1269370 287470 ) M1M2_PR
+      NEW met1 ( 1267070 287470 ) M1M2_PR
+      NEW met1 ( 1269370 66470 ) M1M2_PR
+      NEW met1 ( 2118990 66470 ) M1M2_PR ;
     - la_data_in[85] ( PIN la_data_in[85] ) ( mprj la_data_in[85] ) + USE SIGNAL
-      + ROUTED met2 ( 2136470 2380 0 ) ( * 36550 )
-      NEW met1 ( 1222450 287130 ) ( 1227510 * )
-      NEW met2 ( 1222450 287130 ) ( * 298860 )
-      NEW met2 ( 1222300 298860 ) ( 1222450 * )
-      NEW met2 ( 1222300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1227510 36550 ) ( * 287130 )
-      NEW met1 ( 1227510 36550 ) ( 2136470 * )
-      NEW met1 ( 2136470 36550 ) M1M2_PR
-      NEW met1 ( 1227510 287130 ) M1M2_PR
-      NEW met1 ( 1222450 287130 ) M1M2_PR
-      NEW met1 ( 1227510 36550 ) M1M2_PR ;
+      + ROUTED met1 ( 1272130 287470 ) ( 1276270 * )
+      NEW met2 ( 1272130 287470 ) ( * 298860 )
+      NEW met2 ( 1271900 298860 ) ( 1272130 * )
+      NEW met2 ( 1271900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1276270 36550 ) ( * 287470 )
+      NEW met2 ( 2136470 2380 0 ) ( * 36550 )
+      NEW met1 ( 1276270 36550 ) ( 2136470 * )
+      NEW met1 ( 1276270 287470 ) M1M2_PR
+      NEW met1 ( 1272130 287470 ) M1M2_PR
+      NEW met1 ( 1276270 36550 ) M1M2_PR
+      NEW met1 ( 2136470 36550 ) M1M2_PR ;
     - la_data_in[86] ( PIN la_data_in[86] ) ( mprj la_data_in[86] ) + USE SIGNAL
-      + ROUTED met2 ( 2154410 2380 0 ) ( * 36890 )
-      NEW met2 ( 1226800 298860 ) ( 1227970 * )
-      NEW met2 ( 1226800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1227970 36890 ) ( * 298860 )
-      NEW met1 ( 1227970 36890 ) ( 2154410 * )
-      NEW met1 ( 2154410 36890 ) M1M2_PR
-      NEW met1 ( 1227970 36890 ) M1M2_PR ;
+      + ROUTED met1 ( 1276730 287470 ) ( 1282710 * )
+      NEW met2 ( 1276730 287470 ) ( * 298860 )
+      NEW met2 ( 1276700 298860 ) ( 1276730 * )
+      NEW met2 ( 1276700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1282710 36890 ) ( * 287470 )
+      NEW met2 ( 2154410 2380 0 ) ( * 36890 )
+      NEW met1 ( 1282710 36890 ) ( 2154410 * )
+      NEW met1 ( 1282710 287470 ) M1M2_PR
+      NEW met1 ( 1276730 287470 ) M1M2_PR
+      NEW met1 ( 1282710 36890 ) M1M2_PR
+      NEW met1 ( 2154410 36890 ) M1M2_PR ;
     - la_data_in[87] ( PIN la_data_in[87] ) ( mprj la_data_in[87] ) + USE SIGNAL
-      + ROUTED met1 ( 1231190 287130 ) ( 1234870 * )
-      NEW met2 ( 1231190 287130 ) ( * 298860 )
-      NEW met2 ( 1231190 298860 ) ( 1231300 * )
-      NEW met2 ( 1231300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1234870 37230 ) ( * 287130 )
+      + ROUTED met2 ( 1281500 298860 ) ( 1283170 * )
+      NEW met2 ( 1281500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1283170 37230 ) ( * 298860 )
+      NEW met1 ( 1283170 37230 ) ( 2172350 * )
       NEW met2 ( 2172350 2380 0 ) ( * 37230 )
-      NEW met1 ( 1234870 37230 ) ( 2172350 * )
-      NEW met1 ( 1234870 287130 ) M1M2_PR
-      NEW met1 ( 1231190 287130 ) M1M2_PR
-      NEW met1 ( 1234870 37230 ) M1M2_PR
+      NEW met1 ( 1283170 37230 ) M1M2_PR
       NEW met1 ( 2172350 37230 ) M1M2_PR ;
     - la_data_in[88] ( PIN la_data_in[88] ) ( mprj la_data_in[88] ) + USE SIGNAL
-      + ROUTED met1 ( 1235790 286790 ) ( 1241770 * )
-      NEW met2 ( 1235790 286790 ) ( * 298860 )
-      NEW met2 ( 1235790 298860 ) ( 1235900 * )
-      NEW met2 ( 1235900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1241770 37570 ) ( * 286790 )
+      + ROUTED met1 ( 1286390 286790 ) ( 1290070 * )
+      NEW met2 ( 1286390 286790 ) ( * 298860 )
+      NEW met2 ( 1286300 298860 ) ( 1286390 * )
+      NEW met2 ( 1286300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1290070 37570 ) ( * 286790 )
+      NEW met1 ( 1290070 37570 ) ( 2189830 * )
       NEW met2 ( 2189830 2380 0 ) ( * 37570 )
-      NEW met1 ( 1241770 37570 ) ( 2189830 * )
-      NEW met1 ( 1241770 286790 ) M1M2_PR
-      NEW met1 ( 1235790 286790 ) M1M2_PR
-      NEW met1 ( 1241770 37570 ) M1M2_PR
+      NEW met1 ( 1290070 286790 ) M1M2_PR
+      NEW met1 ( 1286390 286790 ) M1M2_PR
+      NEW met1 ( 1290070 37570 ) M1M2_PR
       NEW met1 ( 2189830 37570 ) M1M2_PR ;
     - la_data_in[89] ( PIN la_data_in[89] ) ( mprj la_data_in[89] ) + USE SIGNAL
-      + ROUTED met2 ( 1240400 298860 ) ( 1241310 * )
-      NEW met2 ( 1240400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1241310 41310 ) ( * 298860 )
-      NEW met2 ( 2206390 13800 ) ( * 41310 )
-      NEW met2 ( 2207770 2380 0 ) ( * 7140 )
-      NEW met2 ( 2207310 7140 ) ( 2207770 * )
-      NEW met2 ( 2207310 7140 ) ( * 13800 )
-      NEW met2 ( 2206390 13800 ) ( 2207310 * )
-      NEW met1 ( 1241310 41310 ) ( 2206390 * )
-      NEW met1 ( 1241310 41310 ) M1M2_PR
-      NEW met1 ( 2206390 41310 ) M1M2_PR ;
+      + ROUTED met1 ( 1290990 286790 ) ( 1296970 * )
+      NEW met2 ( 1290990 286790 ) ( * 298860 )
+      NEW met2 ( 1290990 298860 ) ( 1291100 * )
+      NEW met2 ( 1291100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1296970 41310 ) ( * 286790 )
+      NEW met1 ( 1296970 41310 ) ( 2207770 * )
+      NEW met2 ( 2207770 2380 0 ) ( * 41310 )
+      NEW met1 ( 1296970 286790 ) M1M2_PR
+      NEW met1 ( 1290990 286790 ) M1M2_PR
+      NEW met1 ( 1296970 41310 ) M1M2_PR
+      NEW met1 ( 2207770 41310 ) M1M2_PR ;
     - la_data_in[8] ( PIN la_data_in[8] ) ( mprj la_data_in[8] ) + USE SIGNAL
-      + ROUTED met2 ( 771190 2380 0 ) ( * 19210 )
-      NEW met1 ( 869630 299030 ) ( 874700 * )
-      NEW met2 ( 874700 299030 ) ( * 300220 0 )
-      NEW met2 ( 869630 19210 ) ( * 299030 )
-      NEW met1 ( 771190 19210 ) ( 869630 * )
-      NEW met1 ( 771190 19210 ) M1M2_PR
-      NEW met1 ( 869630 19210 ) M1M2_PR
-      NEW met1 ( 869630 299030 ) M1M2_PR
-      NEW met1 ( 874700 299030 ) M1M2_PR ;
+      + ROUTED met2 ( 771190 2380 0 ) ( * 18530 )
+      NEW met1 ( 771190 18530 ) ( 904130 * )
+      NEW met2 ( 904130 298860 ) ( 904300 * )
+      NEW met2 ( 904300 298860 ) ( * 300220 0 )
+      NEW met2 ( 904130 18530 ) ( * 298860 )
+      NEW met1 ( 771190 18530 ) M1M2_PR
+      NEW met1 ( 904130 18530 ) M1M2_PR ;
     - la_data_in[90] ( PIN la_data_in[90] ) ( mprj la_data_in[90] ) + USE SIGNAL
-      + ROUTED met1 ( 1244990 286790 ) ( 1248670 * )
-      NEW met2 ( 1244990 286790 ) ( * 298860 )
-      NEW met2 ( 1244900 298860 ) ( 1244990 * )
-      NEW met2 ( 1244900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1248670 40970 ) ( * 286790 )
-      NEW met2 ( 2225250 2380 0 ) ( * 40970 )
-      NEW met1 ( 1248670 40970 ) ( 2225250 * )
-      NEW met1 ( 1248670 286790 ) M1M2_PR
-      NEW met1 ( 1244990 286790 ) M1M2_PR
-      NEW met1 ( 1248670 40970 ) M1M2_PR
-      NEW met1 ( 2225250 40970 ) M1M2_PR ;
+      + ROUTED met2 ( 2225250 2380 0 ) ( * 40970 )
+      NEW met2 ( 1295800 298860 ) ( 1296510 * )
+      NEW met2 ( 1295800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1296510 40970 ) ( * 298860 )
+      NEW met1 ( 1296510 40970 ) ( 2225250 * )
+      NEW met1 ( 2225250 40970 ) M1M2_PR
+      NEW met1 ( 1296510 40970 ) M1M2_PR ;
     - la_data_in[91] ( PIN la_data_in[91] ) ( mprj la_data_in[91] ) + USE SIGNAL
-      + ROUTED met1 ( 1249590 286790 ) ( 1255110 * )
-      NEW met2 ( 1249590 286790 ) ( * 298860 )
-      NEW met2 ( 1249400 298860 ) ( 1249590 * )
-      NEW met2 ( 1249400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1255110 40630 ) ( * 286790 )
-      NEW met2 ( 2243190 2380 0 ) ( * 40630 )
-      NEW met1 ( 1255110 40630 ) ( 2243190 * )
-      NEW met1 ( 1255110 286790 ) M1M2_PR
-      NEW met1 ( 1249590 286790 ) M1M2_PR
-      NEW met1 ( 1255110 40630 ) M1M2_PR
-      NEW met1 ( 2243190 40630 ) M1M2_PR ;
+      + ROUTED met2 ( 2243190 2380 0 ) ( * 40630 )
+      NEW met1 ( 1300650 286790 ) ( 1303870 * )
+      NEW met2 ( 1300650 286790 ) ( * 298860 )
+      NEW met2 ( 1300600 298860 ) ( 1300650 * )
+      NEW met2 ( 1300600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1303870 40630 ) ( * 286790 )
+      NEW met1 ( 1303870 40630 ) ( 2243190 * )
+      NEW met1 ( 2243190 40630 ) M1M2_PR
+      NEW met1 ( 1303870 286790 ) M1M2_PR
+      NEW met1 ( 1300650 286790 ) M1M2_PR
+      NEW met1 ( 1303870 40630 ) M1M2_PR ;
     - la_data_in[92] ( PIN la_data_in[92] ) ( mprj la_data_in[92] ) + USE SIGNAL
-      + ROUTED met2 ( 1253900 298860 ) ( 1255570 * )
-      NEW met2 ( 1253900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1255570 40290 ) ( * 298860 )
+      + ROUTED met1 ( 1305250 286790 ) ( 1310310 * )
+      NEW met2 ( 1305250 286790 ) ( * 298860 )
+      NEW met2 ( 1305250 298860 ) ( 1305400 * )
+      NEW met2 ( 1305400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1310310 40290 ) ( * 286790 )
+      NEW met1 ( 1310310 40290 ) ( 2260670 * )
       NEW met2 ( 2260670 2380 0 ) ( * 40290 )
-      NEW met1 ( 1255570 40290 ) ( 2260670 * )
-      NEW met1 ( 1255570 40290 ) M1M2_PR
+      NEW met1 ( 1310310 286790 ) M1M2_PR
+      NEW met1 ( 1305250 286790 ) M1M2_PR
+      NEW met1 ( 1310310 40290 ) M1M2_PR
       NEW met1 ( 2260670 40290 ) M1M2_PR ;
     - la_data_in[93] ( PIN la_data_in[93] ) ( mprj la_data_in[93] ) + USE SIGNAL
-      + ROUTED met1 ( 1258330 286790 ) ( 1262470 * )
-      NEW met2 ( 1258330 286790 ) ( * 298860 )
-      NEW met2 ( 1258330 298860 ) ( 1258400 * )
-      NEW met2 ( 1258400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1262470 39950 ) ( * 286790 )
+      + ROUTED met2 ( 1310300 298860 ) ( 1310770 * )
+      NEW met2 ( 1310300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1310770 39950 ) ( * 298860 )
+      NEW met1 ( 1310770 39950 ) ( 2278610 * )
       NEW met2 ( 2278610 2380 0 ) ( * 39950 )
-      NEW met1 ( 1262470 39950 ) ( 2278610 * )
-      NEW met1 ( 1262470 286790 ) M1M2_PR
-      NEW met1 ( 1258330 286790 ) M1M2_PR
-      NEW met1 ( 1262470 39950 ) M1M2_PR
+      NEW met1 ( 1310770 39950 ) M1M2_PR
       NEW met1 ( 2278610 39950 ) M1M2_PR ;
     - la_data_in[94] ( PIN la_data_in[94] ) ( mprj la_data_in[94] ) + USE SIGNAL
-      + ROUTED met1 ( 1262930 286790 ) ( 1268910 * )
-      NEW met2 ( 1262930 286790 ) ( * 298860 )
-      NEW met2 ( 1262930 298860 ) ( 1263000 * )
-      NEW met2 ( 1263000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1268910 39610 ) ( * 286790 )
+      + ROUTED met1 ( 1315370 286790 ) ( 1317670 * )
+      NEW met2 ( 1315370 286790 ) ( * 298860 )
+      NEW met2 ( 1315100 298860 ) ( 1315370 * )
+      NEW met2 ( 1315100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1317670 39610 ) ( * 286790 )
+      NEW met1 ( 1317670 39610 ) ( 2296090 * )
       NEW met2 ( 2296090 2380 0 ) ( * 39610 )
-      NEW met1 ( 1268910 39610 ) ( 2296090 * )
-      NEW met1 ( 1268910 286790 ) M1M2_PR
-      NEW met1 ( 1262930 286790 ) M1M2_PR
-      NEW met1 ( 1268910 39610 ) M1M2_PR
+      NEW met1 ( 1317670 286790 ) M1M2_PR
+      NEW met1 ( 1315370 286790 ) M1M2_PR
+      NEW met1 ( 1317670 39610 ) M1M2_PR
       NEW met1 ( 2296090 39610 ) M1M2_PR ;
     - la_data_in[95] ( PIN la_data_in[95] ) ( mprj la_data_in[95] ) + USE SIGNAL
-      + ROUTED met2 ( 1267500 298860 ) ( 1269370 * )
-      NEW met2 ( 1267500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1269370 39270 ) ( * 298860 )
-      NEW met2 ( 2314030 2380 0 ) ( * 39270 )
-      NEW met1 ( 1269370 39270 ) ( 2314030 * )
-      NEW met1 ( 1269370 39270 ) M1M2_PR
-      NEW met1 ( 2314030 39270 ) M1M2_PR ;
+      + ROUTED met2 ( 2314030 2380 0 ) ( * 39270 )
+      NEW met1 ( 1319970 286790 ) ( 1324110 * )
+      NEW met2 ( 1319970 286790 ) ( * 298860 )
+      NEW met2 ( 1319900 298860 ) ( 1319970 * )
+      NEW met2 ( 1319900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1324110 39270 ) ( * 286790 )
+      NEW met1 ( 1324110 39270 ) ( 2314030 * )
+      NEW met1 ( 2314030 39270 ) M1M2_PR
+      NEW met1 ( 1324110 286790 ) M1M2_PR
+      NEW met1 ( 1319970 286790 ) M1M2_PR
+      NEW met1 ( 1324110 39270 ) M1M2_PR ;
     - la_data_in[96] ( PIN la_data_in[96] ) ( mprj la_data_in[96] ) + USE SIGNAL
-      + ROUTED met1 ( 1272130 286790 ) ( 1276270 * )
-      NEW met2 ( 1272130 286790 ) ( * 298860 )
-      NEW met2 ( 1272000 298860 ) ( 1272130 * )
-      NEW met2 ( 1272000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1276270 38930 ) ( * 286790 )
-      NEW met2 ( 2331510 2380 0 ) ( * 38930 )
-      NEW met1 ( 1276270 38930 ) ( 2331510 * )
-      NEW met1 ( 1276270 286790 ) M1M2_PR
-      NEW met1 ( 1272130 286790 ) M1M2_PR
-      NEW met1 ( 1276270 38930 ) M1M2_PR
-      NEW met1 ( 2331510 38930 ) M1M2_PR ;
+      + ROUTED met2 ( 2331510 2380 0 ) ( * 38930 )
+      NEW met2 ( 1324570 298860 ) ( 1324600 * )
+      NEW met2 ( 1324600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1324570 38930 ) ( * 298860 )
+      NEW met1 ( 1324570 38930 ) ( 2331510 * )
+      NEW met1 ( 2331510 38930 ) M1M2_PR
+      NEW met1 ( 1324570 38930 ) M1M2_PR ;
     - la_data_in[97] ( PIN la_data_in[97] ) ( mprj la_data_in[97] ) + USE SIGNAL
-      + ROUTED met1 ( 1276730 286790 ) ( 1283170 * )
-      NEW met2 ( 1276730 286790 ) ( * 298860 )
-      NEW met2 ( 1276500 298860 ) ( 1276730 * )
-      NEW met2 ( 1276500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1283170 38590 ) ( * 286790 )
-      NEW met2 ( 2349450 2380 0 ) ( * 38590 )
-      NEW met1 ( 1283170 38590 ) ( 2349450 * )
-      NEW met1 ( 1283170 286790 ) M1M2_PR
-      NEW met1 ( 1276730 286790 ) M1M2_PR
-      NEW met1 ( 1283170 38590 ) M1M2_PR
-      NEW met1 ( 2349450 38590 ) M1M2_PR ;
+      + ROUTED met2 ( 2349450 2380 0 ) ( * 38590 )
+      NEW met1 ( 1329170 286790 ) ( 1331470 * )
+      NEW met2 ( 1329170 286790 ) ( * 298860 )
+      NEW met2 ( 1329170 298860 ) ( 1329300 * )
+      NEW met2 ( 1329300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1331470 38590 ) ( * 286790 )
+      NEW met1 ( 1331470 38590 ) ( 2349450 * )
+      NEW met1 ( 2349450 38590 ) M1M2_PR
+      NEW met1 ( 1331470 286790 ) M1M2_PR
+      NEW met1 ( 1329170 286790 ) M1M2_PR
+      NEW met1 ( 1331470 38590 ) M1M2_PR ;
     - la_data_in[98] ( PIN la_data_in[98] ) ( mprj la_data_in[98] ) + USE SIGNAL
-      + ROUTED met2 ( 1281000 298860 ) ( 1282710 * )
-      NEW met2 ( 1281000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1282710 38250 ) ( * 298860 )
+      + ROUTED met1 ( 1334230 286790 ) ( 1338370 * )
+      NEW met2 ( 1334230 286790 ) ( * 298860 )
+      NEW met2 ( 1334100 298860 ) ( 1334230 * )
+      NEW met2 ( 1334100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1338370 38250 ) ( * 286790 )
+      NEW met1 ( 1338370 38250 ) ( 2367390 * )
       NEW met2 ( 2367390 2380 0 ) ( * 38250 )
-      NEW met1 ( 1282710 38250 ) ( 2367390 * )
-      NEW met1 ( 1282710 38250 ) M1M2_PR
+      NEW met1 ( 1338370 286790 ) M1M2_PR
+      NEW met1 ( 1334230 286790 ) M1M2_PR
+      NEW met1 ( 1338370 38250 ) M1M2_PR
       NEW met1 ( 2367390 38250 ) M1M2_PR ;
     - la_data_in[99] ( PIN la_data_in[99] ) ( mprj la_data_in[99] ) + USE SIGNAL
-      + ROUTED met1 ( 1285470 285430 ) ( 1290070 * )
-      NEW met2 ( 1285470 285430 ) ( * 298860 )
-      NEW met2 ( 1285470 298860 ) ( 1285500 * )
-      NEW met2 ( 1285500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1290070 37910 ) ( * 285430 )
+      + ROUTED met1 ( 1338830 286790 ) ( 1345270 * )
+      NEW met2 ( 1338830 286790 ) ( * 298860 )
+      NEW met2 ( 1338830 298860 ) ( 1338900 * )
+      NEW met2 ( 1338900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1345270 37910 ) ( * 286790 )
+      NEW met1 ( 1345270 37910 ) ( 2384870 * )
       NEW met2 ( 2384870 2380 0 ) ( * 37910 )
-      NEW met1 ( 1290070 37910 ) ( 2384870 * )
-      NEW met1 ( 1290070 285430 ) M1M2_PR
-      NEW met1 ( 1285470 285430 ) M1M2_PR
-      NEW met1 ( 1290070 37910 ) M1M2_PR
+      NEW met1 ( 1345270 286790 ) M1M2_PR
+      NEW met1 ( 1338830 286790 ) M1M2_PR
+      NEW met1 ( 1345270 37910 ) M1M2_PR
       NEW met1 ( 2384870 37910 ) M1M2_PR ;
     - la_data_in[9] ( PIN la_data_in[9] ) ( mprj la_data_in[9] ) + USE SIGNAL
-      + ROUTED met2 ( 789130 2380 0 ) ( * 19550 )
-      NEW met2 ( 876530 298860 ) ( 879200 * )
-      NEW met2 ( 879200 298860 ) ( * 300220 0 )
-      NEW met2 ( 876530 19550 ) ( * 298860 )
-      NEW met1 ( 789130 19550 ) ( 876530 * )
-      NEW met1 ( 789130 19550 ) M1M2_PR
-      NEW met1 ( 876530 19550 ) M1M2_PR ;
+      + ROUTED met2 ( 789130 2380 0 ) ( * 18870 )
+      NEW met1 ( 789130 18870 ) ( 904590 * )
+      NEW met2 ( 904590 298860 ) ( 909100 * )
+      NEW met2 ( 909100 298860 ) ( * 300220 0 )
+      NEW met2 ( 904590 18870 ) ( * 298860 )
+      NEW met1 ( 789130 18870 ) M1M2_PR
+      NEW met1 ( 904590 18870 ) M1M2_PR ;
     - la_data_out[0] ( PIN la_data_out[0] ) ( mprj la_data_out[0] ) + USE SIGNAL
-      + ROUTED met2 ( 1414730 298860 ) ( 1416500 * )
-      NEW met2 ( 1416500 298860 ) ( * 300220 0 )
-      NEW met2 ( 635030 2380 0 ) ( * 35190 )
-      NEW met1 ( 635030 35190 ) ( 1414730 * )
-      NEW met2 ( 1414730 35190 ) ( * 298860 )
-      NEW met1 ( 635030 35190 ) M1M2_PR
-      NEW met1 ( 1414730 35190 ) M1M2_PR ;
+      + ROUTED met2 ( 1476830 298860 ) ( 1477300 * )
+      NEW met2 ( 1477300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1476830 36210 ) ( * 298860 )
+      NEW met2 ( 635030 2380 0 ) ( * 36210 )
+      NEW met1 ( 635030 36210 ) ( 1476830 * )
+      NEW met1 ( 1476830 36210 ) M1M2_PR
+      NEW met1 ( 635030 36210 ) M1M2_PR ;
     - la_data_out[100] ( PIN la_data_out[100] ) ( mprj la_data_out[100] ) + USE SIGNAL
-      + ROUTED met2 ( 1868290 283390 ) ( * 298860 )
-      NEW met2 ( 1868000 298860 ) ( 1868290 * )
-      NEW met2 ( 1868000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2408330 82800 ) ( 2408790 * )
-      NEW met2 ( 2408790 2380 0 ) ( * 82800 )
-      NEW met2 ( 2408330 82800 ) ( * 148070 )
-      NEW met1 ( 1868290 283390 ) ( 1873350 * )
-      NEW met2 ( 1873350 148070 ) ( * 283390 )
-      NEW met1 ( 1873350 148070 ) ( 2408330 * )
-      NEW met1 ( 1868290 283390 ) M1M2_PR
-      NEW met1 ( 2408330 148070 ) M1M2_PR
-      NEW met1 ( 1873350 283390 ) M1M2_PR
-      NEW met1 ( 1873350 148070 ) M1M2_PR ;
+      + ROUTED met2 ( 1954770 289510 ) ( * 298860 )
+      NEW met2 ( 1954770 298860 ) ( 1954900 * )
+      NEW met2 ( 1954900 298860 ) ( * 300220 0 )
+      NEW met2 ( 2408790 2380 0 ) ( * 37570 )
+      NEW met1 ( 1954770 289510 ) ( 2197650 * )
+      NEW met2 ( 2197650 37570 ) ( * 289510 )
+      NEW met1 ( 2197650 37570 ) ( 2408790 * )
+      NEW met1 ( 1954770 289510 ) M1M2_PR
+      NEW met1 ( 2408790 37570 ) M1M2_PR
+      NEW met1 ( 2197650 289510 ) M1M2_PR
+      NEW met1 ( 2197650 37570 ) M1M2_PR ;
     - la_data_out[101] ( PIN la_data_out[101] ) ( mprj la_data_out[101] ) + USE SIGNAL
-      + ROUTED met2 ( 2426270 2380 0 ) ( * 3060 )
-      NEW met2 ( 2425350 3060 ) ( 2426270 * )
-      NEW met2 ( 2425350 2380 ) ( * 3060 )
-      NEW met2 ( 2423970 2380 ) ( 2425350 * )
-      NEW met2 ( 2423970 2380 ) ( * 61030 )
-      NEW met1 ( 1872430 288150 ) ( 1876570 * )
-      NEW met2 ( 1872430 288150 ) ( * 298860 )
-      NEW met2 ( 1872430 298860 ) ( 1872500 * )
-      NEW met2 ( 1872500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1876570 61030 ) ( * 288150 )
-      NEW met1 ( 1876570 61030 ) ( 2423970 * )
-      NEW met1 ( 2423970 61030 ) M1M2_PR
-      NEW met1 ( 1876570 288150 ) M1M2_PR
-      NEW met1 ( 1872430 288150 ) M1M2_PR
-      NEW met1 ( 1876570 61030 ) M1M2_PR ;
+      + ROUTED met2 ( 1959830 288830 ) ( * 298860 )
+      NEW met2 ( 1959700 298860 ) ( 1959830 * )
+      NEW met2 ( 1959700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2426270 2380 0 ) ( * 47090 )
+      NEW met1 ( 1959830 288830 ) ( 2266650 * )
+      NEW met2 ( 2266650 47090 ) ( * 288830 )
+      NEW met1 ( 2266650 47090 ) ( 2426270 * )
+      NEW met1 ( 1959830 288830 ) M1M2_PR
+      NEW met1 ( 2426270 47090 ) M1M2_PR
+      NEW met1 ( 2266650 288830 ) M1M2_PR
+      NEW met1 ( 2266650 47090 ) M1M2_PR ;
     - la_data_out[102] ( PIN la_data_out[102] ) ( mprj la_data_out[102] ) + USE SIGNAL
-      + ROUTED met2 ( 2444210 2380 0 ) ( * 18190 )
-      NEW met1 ( 2432250 18190 ) ( 2444210 * )
-      NEW met2 ( 2432250 18190 ) ( * 127670 )
-      NEW met1 ( 1877030 288150 ) ( 1883010 * )
-      NEW met2 ( 1877030 288150 ) ( * 298860 )
-      NEW met2 ( 1877000 298860 ) ( 1877030 * )
-      NEW met2 ( 1877000 298860 ) ( * 300220 0 )
-      NEW met1 ( 1883010 127670 ) ( 2432250 * )
-      NEW met2 ( 1883010 127670 ) ( * 288150 )
-      NEW met1 ( 2444210 18190 ) M1M2_PR
-      NEW met1 ( 2432250 18190 ) M1M2_PR
-      NEW met1 ( 2432250 127670 ) M1M2_PR
-      NEW met1 ( 1883010 127670 ) M1M2_PR
-      NEW met1 ( 1883010 288150 ) M1M2_PR
-      NEW met1 ( 1877030 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 1964500 298860 ) ( 1966270 * )
+      NEW met2 ( 1964500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1966270 67490 ) ( * 298860 )
+      NEW met2 ( 2444210 2380 0 ) ( * 67490 )
+      NEW met1 ( 1966270 67490 ) ( 2444210 * )
+      NEW met1 ( 1966270 67490 ) M1M2_PR
+      NEW met1 ( 2444210 67490 ) M1M2_PR ;
     - la_data_out[103] ( PIN la_data_out[103] ) ( mprj la_data_out[103] ) + USE SIGNAL
-      + ROUTED met2 ( 1881500 298860 ) ( 1883470 * )
-      NEW met2 ( 1881500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2461690 2380 0 ) ( * 14790 )
-      NEW met1 ( 2452950 14790 ) ( 2461690 * )
-      NEW met2 ( 1883470 60350 ) ( * 298860 )
-      NEW met2 ( 2452950 14790 ) ( * 60350 )
-      NEW met1 ( 1883470 60350 ) ( 2452950 * )
-      NEW met1 ( 2461690 14790 ) M1M2_PR
-      NEW met1 ( 2452950 14790 ) M1M2_PR
-      NEW met1 ( 1883470 60350 ) M1M2_PR
-      NEW met1 ( 2452950 60350 ) M1M2_PR ;
+      + ROUTED li1 ( 2008130 288150 ) ( * 289170 )
+      NEW met1 ( 1969490 289170 ) ( 2008130 * )
+      NEW met2 ( 1969490 289170 ) ( * 298860 )
+      NEW met2 ( 1969200 298860 ) ( 1969490 * )
+      NEW met2 ( 1969200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2461690 2380 0 ) ( * 20910 )
+      NEW met1 ( 2452950 20910 ) ( 2461690 * )
+      NEW met1 ( 2008130 288150 ) ( 2452950 * )
+      NEW met2 ( 2452950 20910 ) ( * 288150 )
+      NEW li1 ( 2008130 288150 ) L1M1_PR_MR
+      NEW li1 ( 2008130 289170 ) L1M1_PR_MR
+      NEW met1 ( 1969490 289170 ) M1M2_PR
+      NEW met1 ( 2461690 20910 ) M1M2_PR
+      NEW met1 ( 2452950 20910 ) M1M2_PR
+      NEW met1 ( 2452950 288150 ) M1M2_PR ;
     - la_data_out[104] ( PIN la_data_out[104] ) ( mprj la_data_out[104] ) + USE SIGNAL
-      + ROUTED met2 ( 2342550 18870 ) ( * 81090 )
-      NEW met1 ( 1886230 288150 ) ( 1889910 * )
-      NEW met2 ( 1886230 288150 ) ( * 298860 )
-      NEW met2 ( 1886000 298860 ) ( 1886230 * )
-      NEW met2 ( 1886000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2479630 2380 0 ) ( * 18870 )
-      NEW met1 ( 2342550 18870 ) ( 2479630 * )
-      NEW met2 ( 1889910 81090 ) ( * 288150 )
-      NEW met1 ( 1889910 81090 ) ( 2342550 * )
-      NEW met1 ( 2342550 18870 ) M1M2_PR
-      NEW met1 ( 2342550 81090 ) M1M2_PR
-      NEW met1 ( 1889910 288150 ) M1M2_PR
-      NEW met1 ( 1886230 288150 ) M1M2_PR
-      NEW met1 ( 2479630 18870 ) M1M2_PR
-      NEW met1 ( 1889910 81090 ) M1M2_PR ;
+      + ROUTED met2 ( 2321850 39270 ) ( * 288490 )
+      NEW met2 ( 1974090 288490 ) ( * 298860 )
+      NEW met2 ( 1974000 298860 ) ( 1974090 * )
+      NEW met2 ( 1974000 298860 ) ( * 300220 0 )
+      NEW met1 ( 1974090 288490 ) ( 2321850 * )
+      NEW met1 ( 2321850 39270 ) ( 2479630 * )
+      NEW met2 ( 2479630 2380 0 ) ( * 39270 )
+      NEW met1 ( 2321850 288490 ) M1M2_PR
+      NEW met1 ( 2321850 39270 ) M1M2_PR
+      NEW met1 ( 1974090 288490 ) M1M2_PR
+      NEW met1 ( 2479630 39270 ) M1M2_PR ;
     - la_data_out[105] ( PIN la_data_out[105] ) ( mprj la_data_out[105] ) + USE SIGNAL
-      + ROUTED met2 ( 1890370 298860 ) ( 1890500 * )
-      NEW met2 ( 1890500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2497110 2380 0 ) ( * 15130 )
-      NEW met1 ( 2487450 15130 ) ( 2497110 * )
-      NEW met2 ( 1890370 66810 ) ( * 298860 )
-      NEW met1 ( 1890370 66810 ) ( 2487450 * )
-      NEW met2 ( 2487450 15130 ) ( * 66810 )
-      NEW met1 ( 2497110 15130 ) M1M2_PR
-      NEW met1 ( 2487450 15130 ) M1M2_PR
-      NEW met1 ( 1890370 66810 ) M1M2_PR
-      NEW met1 ( 2487450 66810 ) M1M2_PR ;
+      + ROUTED met2 ( 1978800 298860 ) ( 1980070 * )
+      NEW met2 ( 1978800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2497110 2380 0 ) ( * 17170 )
+      NEW met1 ( 2487450 17170 ) ( 2497110 * )
+      NEW met2 ( 1980070 61370 ) ( * 298860 )
+      NEW met1 ( 1980070 61370 ) ( 2487450 * )
+      NEW met2 ( 2487450 17170 ) ( * 61370 )
+      NEW met1 ( 2497110 17170 ) M1M2_PR
+      NEW met1 ( 2487450 17170 ) M1M2_PR
+      NEW met1 ( 1980070 61370 ) M1M2_PR
+      NEW met1 ( 2487450 61370 ) M1M2_PR ;
     - la_data_out[106] ( PIN la_data_out[106] ) ( mprj la_data_out[106] ) + USE SIGNAL
-      + ROUTED met2 ( 2515050 2380 0 ) ( * 17170 )
-      NEW met1 ( 1894970 288150 ) ( 1897270 * )
-      NEW met2 ( 1894970 288150 ) ( * 298860 )
-      NEW met2 ( 1894970 298860 ) ( 1895100 * )
-      NEW met2 ( 1895100 298860 ) ( * 300220 0 )
-      NEW met1 ( 2356350 17170 ) ( 2515050 * )
-      NEW met2 ( 1897270 67830 ) ( * 288150 )
-      NEW met1 ( 1897270 67830 ) ( 2356350 * )
-      NEW met2 ( 2356350 17170 ) ( * 67830 )
-      NEW met1 ( 2515050 17170 ) M1M2_PR
-      NEW met1 ( 1897270 288150 ) M1M2_PR
-      NEW met1 ( 1894970 288150 ) M1M2_PR
-      NEW met1 ( 2356350 17170 ) M1M2_PR
-      NEW met1 ( 1897270 67830 ) M1M2_PR
-      NEW met1 ( 2356350 67830 ) M1M2_PR ;
+      + ROUTED met2 ( 2515050 2380 0 ) ( * 17340 )
+      NEW met2 ( 2514590 17340 ) ( 2515050 * )
+      NEW met2 ( 2511830 82800 ) ( * 87210 )
+      NEW met2 ( 2511830 82800 ) ( 2514590 * )
+      NEW met2 ( 2514590 17340 ) ( * 82800 )
+      NEW met1 ( 1983750 288150 ) ( 1986970 * )
+      NEW met2 ( 1983750 288150 ) ( * 298860 )
+      NEW met2 ( 1983600 298860 ) ( 1983750 * )
+      NEW met2 ( 1983600 298860 ) ( * 300220 0 )
+      NEW met1 ( 1986970 87210 ) ( 2511830 * )
+      NEW met2 ( 1986970 87210 ) ( * 288150 )
+      NEW met1 ( 2511830 87210 ) M1M2_PR
+      NEW met1 ( 1986970 87210 ) M1M2_PR
+      NEW met1 ( 1986970 288150 ) M1M2_PR
+      NEW met1 ( 1983750 288150 ) M1M2_PR ;
     - la_data_out[107] ( PIN la_data_out[107] ) ( mprj la_data_out[107] ) + USE SIGNAL
-      + ROUTED met2 ( 2532530 2380 0 ) ( * 51510 )
-      NEW li1 ( 1917970 288150 ) ( * 289510 )
-      NEW met1 ( 1899570 289510 ) ( 1917970 * )
-      NEW met2 ( 1899570 289510 ) ( * 298860 )
-      NEW met2 ( 1899570 298860 ) ( 1899600 * )
-      NEW met2 ( 1899600 298860 ) ( * 300220 0 )
-      NEW met1 ( 1917970 288150 ) ( 2094150 * )
-      NEW met2 ( 2094150 51510 ) ( * 288150 )
-      NEW met1 ( 2094150 51510 ) ( 2532530 * )
+      + ROUTED met2 ( 2156250 51510 ) ( * 287470 )
+      NEW met2 ( 2532530 2380 0 ) ( * 51510 )
+      NEW met2 ( 1988350 287810 ) ( * 298860 )
+      NEW met2 ( 1988350 298860 ) ( 1988400 * )
+      NEW met2 ( 1988400 298860 ) ( * 300220 0 )
+      NEW met1 ( 2111400 287470 ) ( 2156250 * )
+      NEW met1 ( 2111400 287470 ) ( * 287810 )
+      NEW met1 ( 1988350 287810 ) ( 2111400 * )
+      NEW met1 ( 2156250 51510 ) ( 2532530 * )
+      NEW met1 ( 2156250 287470 ) M1M2_PR
+      NEW met1 ( 2156250 51510 ) M1M2_PR
       NEW met1 ( 2532530 51510 ) M1M2_PR
-      NEW li1 ( 1917970 288150 ) L1M1_PR_MR
-      NEW li1 ( 1917970 289510 ) L1M1_PR_MR
-      NEW met1 ( 1899570 289510 ) M1M2_PR
-      NEW met1 ( 2094150 288150 ) M1M2_PR
-      NEW met1 ( 2094150 51510 ) M1M2_PR ;
+      NEW met1 ( 1988350 287810 ) M1M2_PR ;
     - la_data_out[108] ( PIN la_data_out[108] ) ( mprj la_data_out[108] ) + USE SIGNAL
-      + ROUTED met1 ( 2508150 17510 ) ( 2515510 * )
-      NEW met1 ( 2515510 17170 ) ( * 17510 )
-      NEW met2 ( 2508150 17510 ) ( * 281010 )
-      NEW met2 ( 1904170 281010 ) ( * 298860 )
-      NEW met2 ( 1904100 298860 ) ( 1904170 * )
-      NEW met2 ( 1904100 298860 ) ( * 300220 0 )
-      NEW met1 ( 1904170 281010 ) ( 2508150 * )
-      NEW met2 ( 2550470 2380 0 ) ( * 17170 )
-      NEW met1 ( 2515510 17170 ) ( 2550470 * )
-      NEW met1 ( 2508150 17510 ) M1M2_PR
-      NEW met1 ( 2508150 281010 ) M1M2_PR
-      NEW met1 ( 1904170 281010 ) M1M2_PR
-      NEW met1 ( 2550470 17170 ) M1M2_PR ;
+      + ROUTED met2 ( 2542650 82800 ) ( 2543110 * )
+      NEW met2 ( 2543110 20570 ) ( * 82800 )
+      NEW met2 ( 2542650 82800 ) ( * 281010 )
+      NEW met2 ( 1992950 281010 ) ( * 298860 )
+      NEW met2 ( 1992950 298860 ) ( 1993100 * )
+      NEW met2 ( 1993100 298860 ) ( * 300220 0 )
+      NEW met1 ( 1992950 281010 ) ( 2542650 * )
+      NEW met2 ( 2550470 2380 0 ) ( * 20570 )
+      NEW met1 ( 2543110 20570 ) ( 2550470 * )
+      NEW met1 ( 2543110 20570 ) M1M2_PR
+      NEW met1 ( 2542650 281010 ) M1M2_PR
+      NEW met1 ( 1992950 281010 ) M1M2_PR
+      NEW met1 ( 2550470 20570 ) M1M2_PR ;
     - la_data_out[109] ( PIN la_data_out[109] ) ( mprj la_data_out[109] ) + USE SIGNAL
-      + ROUTED met1 ( 1908770 288150 ) ( 1911070 * )
-      NEW met2 ( 1908770 288150 ) ( * 298860 )
-      NEW met2 ( 1908600 298860 ) ( 1908770 * )
-      NEW met2 ( 1908600 298860 ) ( * 300220 0 )
+      + ROUTED met1 ( 2000310 29070 ) ( 2013190 * )
+      NEW li1 ( 2013190 28050 ) ( * 29070 )
+      NEW met1 ( 1998010 285090 ) ( 2000770 * )
+      NEW met2 ( 1998010 285090 ) ( * 298860 )
+      NEW met2 ( 1997800 298860 ) ( 1998010 * )
+      NEW met2 ( 1997800 298860 ) ( * 300220 0 )
       NEW met2 ( 2567950 2380 0 ) ( * 28050 )
-      NEW met2 ( 1911070 28050 ) ( * 288150 )
-      NEW met1 ( 1911070 28050 ) ( 2567950 * )
-      NEW met1 ( 1911070 28050 ) M1M2_PR
-      NEW met1 ( 1911070 288150 ) M1M2_PR
-      NEW met1 ( 1908770 288150 ) M1M2_PR
+      NEW met1 ( 2013190 28050 ) ( 2567950 * )
+      NEW met2 ( 2000310 29070 ) ( * 34500 )
+      NEW met2 ( 2000310 34500 ) ( 2000770 * )
+      NEW met2 ( 2000770 34500 ) ( * 285090 )
+      NEW met1 ( 2000310 29070 ) M1M2_PR
+      NEW li1 ( 2013190 29070 ) L1M1_PR_MR
+      NEW li1 ( 2013190 28050 ) L1M1_PR_MR
+      NEW met1 ( 2000770 285090 ) M1M2_PR
+      NEW met1 ( 1998010 285090 ) M1M2_PR
       NEW met1 ( 2567950 28050 ) M1M2_PR ;
     - la_data_out[10] ( PIN la_data_out[10] ) ( mprj la_data_out[10] ) + USE SIGNAL
-      + ROUTED met1 ( 1456130 299030 ) ( 1461600 * )
-      NEW met2 ( 1461600 299030 ) ( * 300220 0 )
-      NEW met2 ( 1456130 32810 ) ( * 299030 )
-      NEW met2 ( 812590 2380 0 ) ( * 32810 )
-      NEW met1 ( 812590 32810 ) ( 1456130 * )
-      NEW met1 ( 1456130 32810 ) M1M2_PR
-      NEW met1 ( 1456130 299030 ) M1M2_PR
-      NEW met1 ( 1461600 299030 ) M1M2_PR
-      NEW met1 ( 812590 32810 ) M1M2_PR ;
+      + ROUTED met2 ( 1525100 298860 ) ( 1525590 * )
+      NEW met2 ( 1525100 298860 ) ( * 300220 0 )
+      NEW met2 ( 812590 2380 0 ) ( * 35870 )
+      NEW met1 ( 812590 35870 ) ( 1525590 * )
+      NEW met2 ( 1525590 35870 ) ( * 298860 )
+      NEW met1 ( 812590 35870 ) M1M2_PR
+      NEW met1 ( 1525590 35870 ) M1M2_PR ;
     - la_data_out[110] ( PIN la_data_out[110] ) ( mprj la_data_out[110] ) + USE SIGNAL
-      + ROUTED met1 ( 1913370 288150 ) ( 1917510 * )
-      NEW met2 ( 1913370 288150 ) ( * 298860 )
-      NEW met2 ( 1913100 298860 ) ( 1913370 * )
-      NEW met2 ( 1913100 298860 ) ( * 300220 0 )
+      + ROUTED met1 ( 2002610 288150 ) ( 2007670 * )
+      NEW met2 ( 2002610 288150 ) ( * 298860 )
+      NEW met2 ( 2002600 298860 ) ( 2002610 * )
+      NEW met2 ( 2002600 298860 ) ( * 300220 0 )
       NEW met2 ( 2585890 2380 0 ) ( * 28390 )
-      NEW met2 ( 1917510 28390 ) ( * 288150 )
-      NEW met1 ( 1917510 28390 ) ( 2585890 * )
-      NEW met1 ( 1917510 28390 ) M1M2_PR
-      NEW met1 ( 1917510 288150 ) M1M2_PR
-      NEW met1 ( 1913370 288150 ) M1M2_PR
+      NEW met1 ( 2007670 28390 ) ( 2585890 * )
+      NEW met2 ( 2007670 28390 ) ( * 288150 )
+      NEW met1 ( 2007670 28390 ) M1M2_PR
+      NEW met1 ( 2007670 288150 ) M1M2_PR
+      NEW met1 ( 2002610 288150 ) M1M2_PR
       NEW met1 ( 2585890 28390 ) M1M2_PR ;
     - la_data_out[111] ( PIN la_data_out[111] ) ( mprj la_data_out[111] ) + USE SIGNAL
       + ROUTED met2 ( 2603830 2380 0 ) ( * 28730 )
-      NEW met2 ( 1917600 298860 ) ( 1917970 * )
-      NEW met2 ( 1917600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1917970 28730 ) ( * 298860 )
-      NEW met1 ( 1917970 28730 ) ( 2603830 * )
+      NEW met2 ( 2007210 298860 ) ( 2007400 * )
+      NEW met2 ( 2007400 298860 ) ( * 300220 0 )
+      NEW met1 ( 2007210 28730 ) ( 2603830 * )
+      NEW met2 ( 2007210 28730 ) ( * 298860 )
       NEW met1 ( 2603830 28730 ) M1M2_PR
-      NEW met1 ( 1917970 28730 ) M1M2_PR ;
+      NEW met1 ( 2007210 28730 ) M1M2_PR ;
     - la_data_out[112] ( PIN la_data_out[112] ) ( mprj la_data_out[112] ) + USE SIGNAL
-      + ROUTED met1 ( 1922110 287470 ) ( 1924870 * )
-      NEW met2 ( 1922110 287470 ) ( * 298860 )
-      NEW met2 ( 1922100 298860 ) ( 1922110 * )
-      NEW met2 ( 1922100 298860 ) ( * 300220 0 )
-      NEW met2 ( 2621310 2380 0 ) ( * 29070 )
-      NEW met2 ( 1924870 30090 ) ( * 287470 )
-      NEW li1 ( 1946030 29070 ) ( * 30090 )
-      NEW met1 ( 1924870 30090 ) ( 1946030 * )
-      NEW met1 ( 1946030 29070 ) ( 2621310 * )
-      NEW met1 ( 1924870 30090 ) M1M2_PR
-      NEW met1 ( 1924870 287470 ) M1M2_PR
-      NEW met1 ( 1922110 287470 ) M1M2_PR
+      + ROUTED met2 ( 2621310 2380 0 ) ( * 29070 )
+      NEW met1 ( 2012270 285770 ) ( 2014570 * )
+      NEW met2 ( 2012270 285770 ) ( * 298860 )
+      NEW met2 ( 2012200 298860 ) ( 2012270 * )
+      NEW met2 ( 2012200 298860 ) ( * 300220 0 )
+      NEW met1 ( 2014570 29070 ) ( 2621310 * )
+      NEW met2 ( 2014570 29070 ) ( * 285770 )
       NEW met1 ( 2621310 29070 ) M1M2_PR
-      NEW li1 ( 1946030 30090 ) L1M1_PR_MR
-      NEW li1 ( 1946030 29070 ) L1M1_PR_MR ;
+      NEW met1 ( 2014570 29070 ) M1M2_PR
+      NEW met1 ( 2014570 285770 ) M1M2_PR
+      NEW met1 ( 2012270 285770 ) M1M2_PR ;
     - la_data_out[113] ( PIN la_data_out[113] ) ( mprj la_data_out[113] ) + USE SIGNAL
-      + ROUTED met1 ( 1926710 287470 ) ( 1931770 * )
-      NEW met2 ( 1926710 287470 ) ( * 298860 )
-      NEW met2 ( 1926700 298860 ) ( 1926710 * )
-      NEW met2 ( 1926700 298860 ) ( * 300220 0 )
+      + ROUTED met1 ( 2021470 30770 ) ( 2048610 * )
+      NEW li1 ( 2048610 29410 ) ( * 30770 )
+      NEW met1 ( 2016870 287470 ) ( 2021470 * )
+      NEW met2 ( 2016870 287470 ) ( * 298860 )
+      NEW met2 ( 2016870 298860 ) ( 2017000 * )
+      NEW met2 ( 2017000 298860 ) ( * 300220 0 )
       NEW met2 ( 2639250 2380 0 ) ( * 29410 )
-      NEW met2 ( 1931770 29410 ) ( * 287470 )
-      NEW met1 ( 1931770 29410 ) ( 2639250 * )
-      NEW met1 ( 1931770 29410 ) M1M2_PR
-      NEW met1 ( 1931770 287470 ) M1M2_PR
-      NEW met1 ( 1926710 287470 ) M1M2_PR
+      NEW met2 ( 2021470 30770 ) ( * 287470 )
+      NEW met1 ( 2048610 29410 ) ( 2639250 * )
+      NEW met1 ( 2021470 30770 ) M1M2_PR
+      NEW li1 ( 2048610 30770 ) L1M1_PR_MR
+      NEW li1 ( 2048610 29410 ) L1M1_PR_MR
+      NEW met1 ( 2021470 287470 ) M1M2_PR
+      NEW met1 ( 2016870 287470 ) M1M2_PR
       NEW met1 ( 2639250 29410 ) M1M2_PR ;
     - la_data_out[114] ( PIN la_data_out[114] ) ( mprj la_data_out[114] ) + USE SIGNAL
-      + ROUTED met2 ( 1931200 298860 ) ( 1931310 * )
-      NEW met2 ( 1931200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1931310 29750 ) ( * 298860 )
+      + ROUTED met1 ( 2021930 287470 ) ( 2028370 * )
+      NEW met2 ( 2021930 287470 ) ( * 298860 )
+      NEW met2 ( 2021800 298860 ) ( 2021930 * )
+      NEW met2 ( 2021800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2028370 29750 ) ( * 287470 )
       NEW met2 ( 2656730 2380 0 ) ( * 29750 )
-      NEW met1 ( 1931310 29750 ) ( 2656730 * )
-      NEW met1 ( 1931310 29750 ) M1M2_PR
+      NEW met1 ( 2028370 29750 ) ( 2656730 * )
+      NEW met1 ( 2028370 29750 ) M1M2_PR
+      NEW met1 ( 2028370 287470 ) M1M2_PR
+      NEW met1 ( 2021930 287470 ) M1M2_PR
       NEW met1 ( 2656730 29750 ) M1M2_PR ;
     - la_data_out[115] ( PIN la_data_out[115] ) ( mprj la_data_out[115] ) + USE SIGNAL
-      + ROUTED met1 ( 1935910 287470 ) ( 1938670 * )
-      NEW met2 ( 1935910 287470 ) ( * 298860 )
-      NEW met2 ( 1935700 298860 ) ( 1935910 * )
-      NEW met2 ( 1935700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1938670 30770 ) ( * 287470 )
+      + ROUTED met2 ( 2026700 298860 ) ( 2027910 * )
+      NEW met2 ( 2026700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2027910 30090 ) ( * 298860 )
       NEW met2 ( 2674670 2380 0 ) ( * 30090 )
-      NEW met1 ( 1952470 30090 ) ( * 30770 )
-      NEW met1 ( 1938670 30770 ) ( 1952470 * )
-      NEW met1 ( 1952470 30090 ) ( 2674670 * )
-      NEW met1 ( 1938670 30770 ) M1M2_PR
-      NEW met1 ( 1938670 287470 ) M1M2_PR
-      NEW met1 ( 1935910 287470 ) M1M2_PR
+      NEW met1 ( 2027910 30090 ) ( 2674670 * )
+      NEW met1 ( 2027910 30090 ) M1M2_PR
       NEW met1 ( 2674670 30090 ) M1M2_PR ;
     - la_data_out[116] ( PIN la_data_out[116] ) ( mprj la_data_out[116] ) + USE SIGNAL
-      + ROUTED met1 ( 1940050 287470 ) ( 1945570 * )
-      NEW met2 ( 1940050 287470 ) ( * 298860 )
-      NEW met2 ( 1940050 298860 ) ( 1940200 * )
-      NEW met2 ( 1940200 298860 ) ( * 300220 0 )
+      + ROUTED met1 ( 2031590 287470 ) ( 2035270 * )
+      NEW met2 ( 2031590 287470 ) ( * 298860 )
+      NEW met2 ( 2031400 298860 ) ( 2031590 * )
+      NEW met2 ( 2031400 298860 ) ( * 300220 0 )
       NEW met2 ( 2692150 2380 0 ) ( * 30430 )
-      NEW met2 ( 1945570 30430 ) ( * 287470 )
-      NEW li1 ( 1952010 30430 ) ( * 30770 )
-      NEW li1 ( 1952010 30770 ) ( 1952930 * )
-      NEW met1 ( 1952930 30770 ) ( 1970410 * )
-      NEW met1 ( 1970410 30430 ) ( * 30770 )
-      NEW met1 ( 1945570 30430 ) ( 1952010 * )
-      NEW met1 ( 1970410 30430 ) ( 2692150 * )
-      NEW met1 ( 1945570 30430 ) M1M2_PR
-      NEW met1 ( 1945570 287470 ) M1M2_PR
-      NEW met1 ( 1940050 287470 ) M1M2_PR
-      NEW met1 ( 2692150 30430 ) M1M2_PR
-      NEW li1 ( 1952010 30430 ) L1M1_PR_MR
-      NEW li1 ( 1952930 30770 ) L1M1_PR_MR ;
+      NEW met2 ( 2035270 30430 ) ( * 287470 )
+      NEW met1 ( 2035270 30430 ) ( 2692150 * )
+      NEW met1 ( 2035270 30430 ) M1M2_PR
+      NEW met1 ( 2035270 287470 ) M1M2_PR
+      NEW met1 ( 2031590 287470 ) M1M2_PR
+      NEW met1 ( 2692150 30430 ) M1M2_PR ;
     - la_data_out[117] ( PIN la_data_out[117] ) ( mprj la_data_out[117] ) + USE SIGNAL
-      + ROUTED met2 ( 1944700 298860 ) ( 1945110 * )
-      NEW met2 ( 1944700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2710090 2380 0 ) ( * 27710 )
-      NEW met2 ( 1945110 31110 ) ( * 298860 )
-      NEW li1 ( 1969950 27710 ) ( * 31110 )
-      NEW met1 ( 1945110 31110 ) ( 1969950 * )
-      NEW met1 ( 1969950 27710 ) ( 2710090 * )
-      NEW met1 ( 1945110 31110 ) M1M2_PR
-      NEW met1 ( 2710090 27710 ) M1M2_PR
-      NEW li1 ( 1969950 31110 ) L1M1_PR_MR
-      NEW li1 ( 1969950 27710 ) L1M1_PR_MR ;
+      + ROUTED met1 ( 2036190 287470 ) ( 2041710 * )
+      NEW met2 ( 2036190 287470 ) ( * 298860 )
+      NEW met2 ( 2036190 298860 ) ( 2036200 * )
+      NEW met2 ( 2036200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2710090 2380 0 ) ( * 34170 )
+      NEW met2 ( 2041710 34170 ) ( * 287470 )
+      NEW met1 ( 2041710 34170 ) ( 2710090 * )
+      NEW met1 ( 2041710 34170 ) M1M2_PR
+      NEW met1 ( 2041710 287470 ) M1M2_PR
+      NEW met1 ( 2036190 287470 ) M1M2_PR
+      NEW met1 ( 2710090 34170 ) M1M2_PR ;
     - la_data_out[118] ( PIN la_data_out[118] ) ( mprj la_data_out[118] ) + USE SIGNAL
-      + ROUTED met1 ( 1949250 287470 ) ( 1952470 * )
-      NEW met2 ( 1949250 287470 ) ( * 298860 )
-      NEW met2 ( 1949200 298860 ) ( 1949250 * )
-      NEW met2 ( 1949200 298860 ) ( * 300220 0 )
+      + ROUTED met2 ( 2040900 298860 ) ( 2042170 * )
+      NEW met2 ( 2040900 298860 ) ( * 300220 0 )
       NEW met2 ( 2727570 2380 0 ) ( * 33830 )
-      NEW met2 ( 1952470 33830 ) ( * 287470 )
-      NEW met1 ( 1952470 33830 ) ( 2727570 * )
-      NEW met1 ( 1952470 287470 ) M1M2_PR
-      NEW met1 ( 1949250 287470 ) M1M2_PR
-      NEW met1 ( 2727570 33830 ) M1M2_PR
-      NEW met1 ( 1952470 33830 ) M1M2_PR ;
+      NEW met2 ( 2042170 33830 ) ( * 298860 )
+      NEW met1 ( 2042170 33830 ) ( 2727570 * )
+      NEW met1 ( 2042170 33830 ) M1M2_PR
+      NEW met1 ( 2727570 33830 ) M1M2_PR ;
     - la_data_out[119] ( PIN la_data_out[119] ) ( mprj la_data_out[119] ) + USE SIGNAL
-      + ROUTED met1 ( 1953850 287470 ) ( 1959370 * )
-      NEW met2 ( 1953850 287470 ) ( * 298860 )
-      NEW met2 ( 1953700 298860 ) ( 1953850 * )
-      NEW met2 ( 1953700 298860 ) ( * 300220 0 )
+      + ROUTED met1 ( 2045850 287470 ) ( 2049070 * )
+      NEW met2 ( 2045850 287470 ) ( * 298860 )
+      NEW met2 ( 2045700 298860 ) ( 2045850 * )
+      NEW met2 ( 2045700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2049070 33490 ) ( * 287470 )
       NEW met2 ( 2745510 2380 0 ) ( * 33490 )
-      NEW met2 ( 1958910 47940 ) ( 1959370 * )
-      NEW met2 ( 1958910 33490 ) ( * 47940 )
-      NEW met2 ( 1959370 47940 ) ( * 287470 )
-      NEW met1 ( 1958910 33490 ) ( 2745510 * )
-      NEW met1 ( 1959370 287470 ) M1M2_PR
-      NEW met1 ( 1953850 287470 ) M1M2_PR
-      NEW met1 ( 2745510 33490 ) M1M2_PR
-      NEW met1 ( 1958910 33490 ) M1M2_PR ;
+      NEW met1 ( 2049070 33490 ) ( 2745510 * )
+      NEW met1 ( 2049070 33490 ) M1M2_PR
+      NEW met1 ( 2049070 287470 ) M1M2_PR
+      NEW met1 ( 2045850 287470 ) M1M2_PR
+      NEW met1 ( 2745510 33490 ) M1M2_PR ;
     - la_data_out[11] ( PIN la_data_out[11] ) ( mprj la_data_out[11] ) + USE SIGNAL
-      + ROUTED met1 ( 1438650 285770 ) ( 1466250 * )
-      NEW met2 ( 1466250 285770 ) ( * 298860 )
-      NEW met2 ( 1466100 298860 ) ( 1466250 * )
-      NEW met2 ( 1466100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1438650 33150 ) ( * 285770 )
-      NEW met2 ( 830530 2380 0 ) ( * 33150 )
-      NEW met1 ( 830530 33150 ) ( 1438650 * )
-      NEW met1 ( 1438650 33150 ) M1M2_PR
-      NEW met1 ( 1438650 285770 ) M1M2_PR
-      NEW met1 ( 1466250 285770 ) M1M2_PR
-      NEW met1 ( 830530 33150 ) M1M2_PR ;
+      + ROUTED met1 ( 1525130 288150 ) ( 1529730 * )
+      NEW met2 ( 1529730 288150 ) ( * 298860 )
+      NEW met2 ( 1529730 298860 ) ( 1529800 * )
+      NEW met2 ( 1529800 298860 ) ( * 300220 0 )
+      NEW met2 ( 830530 2380 0 ) ( * 35530 )
+      NEW met1 ( 830530 35530 ) ( 1525130 * )
+      NEW met2 ( 1525130 35530 ) ( * 288150 )
+      NEW met1 ( 1525130 288150 ) M1M2_PR
+      NEW met1 ( 1529730 288150 ) M1M2_PR
+      NEW met1 ( 830530 35530 ) M1M2_PR
+      NEW met1 ( 1525130 35530 ) M1M2_PR ;
     - la_data_out[120] ( PIN la_data_out[120] ) ( mprj la_data_out[120] ) + USE SIGNAL
-      + ROUTED met2 ( 1958300 298860 ) ( 1958910 * )
-      NEW met2 ( 1958300 298860 ) ( * 300220 0 )
+      + ROUTED met1 ( 2050450 287470 ) ( 2055510 * )
+      NEW met2 ( 2050450 287470 ) ( * 298860 )
+      NEW met2 ( 2050450 298860 ) ( 2050500 * )
+      NEW met2 ( 2050500 298860 ) ( * 300220 0 )
+      NEW met2 ( 2055510 33150 ) ( * 287470 )
       NEW met2 ( 2763450 2380 0 ) ( * 33150 )
-      NEW met2 ( 1958910 62100 ) ( * 298860 )
-      NEW met2 ( 1958450 62100 ) ( 1958910 * )
-      NEW met2 ( 1958450 33150 ) ( * 62100 )
-      NEW met1 ( 1958450 33150 ) ( 2763450 * )
-      NEW met1 ( 2763450 33150 ) M1M2_PR
-      NEW met1 ( 1958450 33150 ) M1M2_PR ;
+      NEW met1 ( 2055510 33150 ) ( 2763450 * )
+      NEW met1 ( 2055510 33150 ) M1M2_PR
+      NEW met1 ( 2055510 287470 ) M1M2_PR
+      NEW met1 ( 2050450 287470 ) M1M2_PR
+      NEW met1 ( 2763450 33150 ) M1M2_PR ;
     - la_data_out[121] ( PIN la_data_out[121] ) ( mprj la_data_out[121] ) + USE SIGNAL
-      + ROUTED met1 ( 1963050 287470 ) ( 1966270 * )
-      NEW met2 ( 1963050 287470 ) ( * 298860 )
-      NEW met2 ( 1962800 298860 ) ( 1963050 * )
-      NEW met2 ( 1962800 298860 ) ( * 300220 0 )
+      + ROUTED met2 ( 2055300 298860 ) ( 2055970 * )
+      NEW met2 ( 2055300 298860 ) ( * 300220 0 )
+      NEW met2 ( 2055970 32810 ) ( * 298860 )
       NEW met2 ( 2780930 2380 0 ) ( * 32810 )
-      NEW met2 ( 1966270 32810 ) ( * 287470 )
-      NEW met1 ( 1966270 32810 ) ( 2780930 * )
-      NEW met1 ( 1966270 287470 ) M1M2_PR
-      NEW met1 ( 1963050 287470 ) M1M2_PR
-      NEW met1 ( 2780930 32810 ) M1M2_PR
-      NEW met1 ( 1966270 32810 ) M1M2_PR ;
+      NEW met1 ( 2055970 32810 ) ( 2780930 * )
+      NEW met1 ( 2055970 32810 ) M1M2_PR
+      NEW met1 ( 2780930 32810 ) M1M2_PR ;
     - la_data_out[122] ( PIN la_data_out[122] ) ( mprj la_data_out[122] ) + USE SIGNAL
-      + ROUTED met2 ( 2798870 2380 0 ) ( * 32470 )
-      NEW met1 ( 1967190 287470 ) ( 1973170 * )
-      NEW met2 ( 1967190 287470 ) ( * 298860 )
-      NEW met2 ( 1967190 298860 ) ( 1967300 * )
-      NEW met2 ( 1967300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1973170 32470 ) ( * 287470 )
-      NEW met1 ( 1973170 32470 ) ( 2798870 * )
-      NEW met1 ( 2798870 32470 ) M1M2_PR
-      NEW met1 ( 1973170 287470 ) M1M2_PR
-      NEW met1 ( 1967190 287470 ) M1M2_PR
-      NEW met1 ( 1973170 32470 ) M1M2_PR ;
+      + ROUTED met1 ( 2060110 287470 ) ( 2062870 * )
+      NEW met2 ( 2060110 287470 ) ( * 298860 )
+      NEW met2 ( 2060000 298860 ) ( 2060110 * )
+      NEW met2 ( 2060000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2798870 2380 0 ) ( * 32470 )
+      NEW met2 ( 2062870 32470 ) ( * 287470 )
+      NEW met1 ( 2062870 32470 ) ( 2798870 * )
+      NEW met1 ( 2062870 32470 ) M1M2_PR
+      NEW met1 ( 2062870 287470 ) M1M2_PR
+      NEW met1 ( 2060110 287470 ) M1M2_PR
+      NEW met1 ( 2798870 32470 ) M1M2_PR ;
     - la_data_out[123] ( PIN la_data_out[123] ) ( mprj la_data_out[123] ) + USE SIGNAL
       + ROUTED met2 ( 2816350 2380 0 ) ( * 32130 )
-      NEW met2 ( 1971800 298860 ) ( 1972710 * )
-      NEW met2 ( 1971800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1972710 32130 ) ( * 298860 )
-      NEW met1 ( 1972710 32130 ) ( 2816350 * )
+      NEW met1 ( 2064710 287470 ) ( 2069770 * )
+      NEW met2 ( 2064710 287470 ) ( * 298860 )
+      NEW met2 ( 2064710 298860 ) ( 2064800 * )
+      NEW met2 ( 2064800 298860 ) ( * 300220 0 )
+      NEW met1 ( 2069770 32130 ) ( 2816350 * )
+      NEW met2 ( 2069770 32130 ) ( * 287470 )
       NEW met1 ( 2816350 32130 ) M1M2_PR
-      NEW met1 ( 1972710 32130 ) M1M2_PR ;
+      NEW met1 ( 2069770 32130 ) M1M2_PR
+      NEW met1 ( 2069770 287470 ) M1M2_PR
+      NEW met1 ( 2064710 287470 ) M1M2_PR ;
     - la_data_out[124] ( PIN la_data_out[124] ) ( mprj la_data_out[124] ) + USE SIGNAL
       + ROUTED met2 ( 2834290 2380 0 ) ( * 31790 )
-      NEW met1 ( 1976390 287470 ) ( 1980070 * )
-      NEW met2 ( 1976390 287470 ) ( * 298860 )
-      NEW met2 ( 1976300 298860 ) ( 1976390 * )
-      NEW met2 ( 1976300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1980070 31790 ) ( * 287470 )
-      NEW met1 ( 1980070 31790 ) ( 2834290 * )
+      NEW met2 ( 2069310 298860 ) ( 2069600 * )
+      NEW met2 ( 2069600 298860 ) ( * 300220 0 )
+      NEW met1 ( 2069310 31790 ) ( 2834290 * )
+      NEW met2 ( 2069310 31790 ) ( * 298860 )
       NEW met1 ( 2834290 31790 ) M1M2_PR
-      NEW met1 ( 1980070 287470 ) M1M2_PR
-      NEW met1 ( 1976390 287470 ) M1M2_PR
-      NEW met1 ( 1980070 31790 ) M1M2_PR ;
+      NEW met1 ( 2069310 31790 ) M1M2_PR ;
     - la_data_out[125] ( PIN la_data_out[125] ) ( mprj la_data_out[125] ) + USE SIGNAL
-      + ROUTED met1 ( 1980990 287470 ) ( 1986510 * )
-      NEW met2 ( 1980990 287470 ) ( * 298860 )
-      NEW met2 ( 1980800 298860 ) ( 1980990 * )
-      NEW met2 ( 1980800 298860 ) ( * 300220 0 )
+      + ROUTED met1 ( 2074370 287470 ) ( 2076670 * )
+      NEW met2 ( 2074370 287470 ) ( * 298860 )
+      NEW met2 ( 2074370 298860 ) ( 2074400 * )
+      NEW met2 ( 2074400 298860 ) ( * 300220 0 )
       NEW met2 ( 2851770 2380 0 ) ( * 31450 )
-      NEW met2 ( 1986510 31450 ) ( * 287470 )
-      NEW met1 ( 1986510 31450 ) ( 2851770 * )
-      NEW met1 ( 1986510 287470 ) M1M2_PR
-      NEW met1 ( 1980990 287470 ) M1M2_PR
-      NEW met1 ( 2851770 31450 ) M1M2_PR
-      NEW met1 ( 1986510 31450 ) M1M2_PR ;
+      NEW met1 ( 2076670 31450 ) ( 2851770 * )
+      NEW met2 ( 2076670 31450 ) ( * 287470 )
+      NEW met1 ( 2076670 31450 ) M1M2_PR
+      NEW met1 ( 2076670 287470 ) M1M2_PR
+      NEW met1 ( 2074370 287470 ) M1M2_PR
+      NEW met1 ( 2851770 31450 ) M1M2_PR ;
     - la_data_out[126] ( PIN la_data_out[126] ) ( mprj la_data_out[126] ) + USE SIGNAL
-      + ROUTED met2 ( 1985400 298860 ) ( 1986970 * )
-      NEW met2 ( 1985400 298860 ) ( * 300220 0 )
+      + ROUTED met1 ( 2079430 287470 ) ( 2083570 * )
+      NEW met2 ( 2079430 287470 ) ( * 298860 )
+      NEW met2 ( 2079200 298860 ) ( 2079430 * )
+      NEW met2 ( 2079200 298860 ) ( * 300220 0 )
       NEW met2 ( 2869710 2380 0 ) ( * 31110 )
-      NEW met2 ( 1986970 31110 ) ( * 298860 )
-      NEW met1 ( 1986970 31110 ) ( 2869710 * )
-      NEW met1 ( 2869710 31110 ) M1M2_PR
-      NEW met1 ( 1986970 31110 ) M1M2_PR ;
+      NEW met1 ( 2083570 31110 ) ( 2869710 * )
+      NEW met2 ( 2083570 31110 ) ( * 287470 )
+      NEW met1 ( 2083570 31110 ) M1M2_PR
+      NEW met1 ( 2083570 287470 ) M1M2_PR
+      NEW met1 ( 2079430 287470 ) M1M2_PR
+      NEW met1 ( 2869710 31110 ) M1M2_PR ;
     - la_data_out[127] ( PIN la_data_out[127] ) ( mprj la_data_out[127] ) + USE SIGNAL
       + ROUTED met2 ( 2887190 2380 0 ) ( * 30770 )
-      NEW met1 ( 1990190 287470 ) ( 1993870 * )
-      NEW met2 ( 1990190 287470 ) ( * 298860 )
-      NEW met2 ( 1989900 298860 ) ( 1990190 * )
-      NEW met2 ( 1989900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1993870 30770 ) ( * 287470 )
-      NEW met1 ( 1993870 30770 ) ( 2887190 * )
+      NEW met1 ( 2084490 287470 ) ( 2090470 * )
+      NEW met2 ( 2084490 287470 ) ( * 299540 )
+      NEW met2 ( 2084000 299540 ) ( 2084490 * )
+      NEW met2 ( 2084000 299540 ) ( * 300220 0 )
+      NEW met1 ( 2090470 30770 ) ( 2887190 * )
+      NEW met2 ( 2090470 30770 ) ( * 287470 )
       NEW met1 ( 2887190 30770 ) M1M2_PR
-      NEW met1 ( 1993870 287470 ) M1M2_PR
-      NEW met1 ( 1990190 287470 ) M1M2_PR
-      NEW met1 ( 1993870 30770 ) M1M2_PR ;
+      NEW met1 ( 2090470 30770 ) M1M2_PR
+      NEW met1 ( 2090470 287470 ) M1M2_PR
+      NEW met1 ( 2084490 287470 ) M1M2_PR ;
     - la_data_out[12] ( PIN la_data_out[12] ) ( mprj la_data_out[12] ) + USE SIGNAL
-      + ROUTED met1 ( 1445550 287810 ) ( 1469930 * )
-      NEW met2 ( 1469930 287810 ) ( * 299540 )
-      NEW met2 ( 1469930 299540 ) ( 1470600 * )
-      NEW met2 ( 1470600 299540 ) ( * 300220 0 )
-      NEW met2 ( 1445550 74290 ) ( * 287810 )
-      NEW met2 ( 848010 2380 0 ) ( * 74290 )
-      NEW met1 ( 848010 74290 ) ( 1445550 * )
-      NEW met1 ( 1445550 287810 ) M1M2_PR
-      NEW met1 ( 1469930 287810 ) M1M2_PR
-      NEW met1 ( 1445550 74290 ) M1M2_PR
-      NEW met1 ( 848010 74290 ) M1M2_PR ;
+      + ROUTED met2 ( 1532030 298860 ) ( 1534600 * )
+      NEW met2 ( 1534600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1532030 35190 ) ( * 298860 )
+      NEW met2 ( 848010 2380 0 ) ( * 35190 )
+      NEW met1 ( 848010 35190 ) ( 1532030 * )
+      NEW met1 ( 1532030 35190 ) M1M2_PR
+      NEW met1 ( 848010 35190 ) M1M2_PR ;
     - la_data_out[13] ( PIN la_data_out[13] ) ( mprj la_data_out[13] ) + USE SIGNAL
-      + ROUTED met2 ( 865950 2380 0 ) ( * 16830 )
-      NEW met1 ( 865950 16830 ) ( 869170 * )
-      NEW met2 ( 1470390 298860 ) ( 1475200 * )
-      NEW met2 ( 1475200 298860 ) ( * 300220 0 )
-      NEW met2 ( 869170 16830 ) ( * 134470 )
-      NEW met2 ( 1470390 134470 ) ( * 298860 )
-      NEW met1 ( 869170 134470 ) ( 1470390 * )
-      NEW met1 ( 865950 16830 ) M1M2_PR
-      NEW met1 ( 869170 16830 ) M1M2_PR
-      NEW met1 ( 869170 134470 ) M1M2_PR
-      NEW met1 ( 1470390 134470 ) M1M2_PR ;
+      + ROUTED met2 ( 865950 2380 0 ) ( * 15980 )
+      NEW met2 ( 865950 15980 ) ( 866410 * )
+      NEW met2 ( 866410 15980 ) ( * 32810 )
+      NEW met1 ( 1535250 288830 ) ( 1539390 * )
+      NEW met2 ( 1539390 288830 ) ( * 298860 )
+      NEW met2 ( 1539390 298860 ) ( 1539400 * )
+      NEW met2 ( 1539400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1535250 32810 ) ( * 288830 )
+      NEW met1 ( 866410 32810 ) ( 1535250 * )
+      NEW met1 ( 866410 32810 ) M1M2_PR
+      NEW met1 ( 1535250 32810 ) M1M2_PR
+      NEW met1 ( 1535250 288830 ) M1M2_PR
+      NEW met1 ( 1539390 288830 ) M1M2_PR ;
     - la_data_out[14] ( PIN la_data_out[14] ) ( mprj la_data_out[14] ) + USE SIGNAL
-      + ROUTED met2 ( 883430 2380 0 ) ( * 15470 )
-      NEW met1 ( 883430 15470 ) ( 900450 * )
-      NEW met2 ( 1477290 298860 ) ( 1479700 * )
-      NEW met2 ( 1479700 298860 ) ( * 300220 0 )
-      NEW met2 ( 900450 15470 ) ( * 80750 )
-      NEW met2 ( 1477290 80750 ) ( * 298860 )
-      NEW met1 ( 900450 80750 ) ( 1477290 * )
-      NEW met1 ( 883430 15470 ) M1M2_PR
-      NEW met1 ( 900450 15470 ) M1M2_PR
-      NEW met1 ( 900450 80750 ) M1M2_PR
-      NEW met1 ( 1477290 80750 ) M1M2_PR ;
+      + ROUTED met2 ( 883430 2380 0 ) ( * 16830 )
+      NEW met1 ( 883430 16830 ) ( 900450 * )
+      NEW met2 ( 1543990 280670 ) ( * 298860 )
+      NEW met2 ( 1543990 298860 ) ( 1544200 * )
+      NEW met2 ( 1544200 298860 ) ( * 300220 0 )
+      NEW met2 ( 900450 16830 ) ( * 280670 )
+      NEW met1 ( 900450 280670 ) ( 1543990 * )
+      NEW met1 ( 883430 16830 ) M1M2_PR
+      NEW met1 ( 900450 16830 ) M1M2_PR
+      NEW met1 ( 900450 280670 ) M1M2_PR
+      NEW met1 ( 1543990 280670 ) M1M2_PR ;
     - la_data_out[15] ( PIN la_data_out[15] ) ( mprj la_data_out[15] ) + USE SIGNAL
-      + ROUTED met2 ( 901370 2380 0 ) ( * 16830 )
-      NEW met1 ( 901370 16830 ) ( 903670 * )
-      NEW met2 ( 903670 16830 ) ( * 67150 )
-      NEW met2 ( 1480050 67150 ) ( * 283050 )
-      NEW met2 ( 1484190 283050 ) ( * 298860 )
-      NEW met2 ( 1484190 298860 ) ( 1484200 * )
-      NEW met2 ( 1484200 298860 ) ( * 300220 0 )
-      NEW met1 ( 1480050 283050 ) ( 1484190 * )
-      NEW met1 ( 903670 67150 ) ( 1480050 * )
-      NEW met1 ( 901370 16830 ) M1M2_PR
-      NEW met1 ( 903670 16830 ) M1M2_PR
-      NEW met1 ( 1480050 283050 ) M1M2_PR
-      NEW met1 ( 903670 67150 ) M1M2_PR
-      NEW met1 ( 1480050 67150 ) M1M2_PR
-      NEW met1 ( 1484190 283050 ) M1M2_PR ;
+      + ROUTED met2 ( 901370 2380 0 ) ( * 20570 )
+      NEW met2 ( 1545830 298860 ) ( 1549100 * )
+      NEW met2 ( 1549100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1545830 81430 ) ( * 298860 )
+      NEW met1 ( 901370 20570 ) ( 914250 * )
+      NEW met2 ( 914250 20570 ) ( * 81430 )
+      NEW met1 ( 914250 81430 ) ( 1545830 * )
+      NEW met1 ( 901370 20570 ) M1M2_PR
+      NEW met1 ( 1545830 81430 ) M1M2_PR
+      NEW met1 ( 914250 20570 ) M1M2_PR
+      NEW met1 ( 914250 81430 ) M1M2_PR ;
     - la_data_out[16] ( PIN la_data_out[16] ) ( mprj la_data_out[16] ) + USE SIGNAL
-      + ROUTED met2 ( 918850 2380 0 ) ( * 15810 )
-      NEW met1 ( 918850 15810 ) ( 941850 * )
-      NEW met2 ( 1488790 281010 ) ( * 298860 )
-      NEW met2 ( 1488700 298860 ) ( 1488790 * )
-      NEW met2 ( 1488700 298860 ) ( * 300220 0 )
-      NEW met2 ( 941850 15810 ) ( * 281010 )
-      NEW met1 ( 941850 281010 ) ( 1488790 * )
-      NEW met1 ( 918850 15810 ) M1M2_PR
-      NEW met1 ( 941850 15810 ) M1M2_PR
-      NEW met1 ( 941850 281010 ) M1M2_PR
-      NEW met1 ( 1488790 281010 ) M1M2_PR ;
+      + ROUTED met1 ( 1549050 288830 ) ( 1552730 * )
+      NEW met2 ( 1552730 288830 ) ( * 299540 )
+      NEW met2 ( 1552730 299540 ) ( 1553900 * )
+      NEW met2 ( 1553900 299540 ) ( * 300220 0 )
+      NEW met2 ( 1549050 141270 ) ( * 288830 )
+      NEW met2 ( 918850 2380 0 ) ( * 16830 )
+      NEW met1 ( 918850 16830 ) ( 924370 * )
+      NEW met2 ( 924370 16830 ) ( * 141270 )
+      NEW met1 ( 924370 141270 ) ( 1549050 * )
+      NEW met1 ( 1549050 288830 ) M1M2_PR
+      NEW met1 ( 1552730 288830 ) M1M2_PR
+      NEW met1 ( 1549050 141270 ) M1M2_PR
+      NEW met1 ( 918850 16830 ) M1M2_PR
+      NEW met1 ( 924370 16830 ) M1M2_PR
+      NEW met1 ( 924370 141270 ) M1M2_PR ;
     - la_data_out[17] ( PIN la_data_out[17] ) ( mprj la_data_out[17] ) + USE SIGNAL
-      + ROUTED met2 ( 936790 2380 0 ) ( * 33490 )
-      NEW met1 ( 936790 33490 ) ( 1490630 * )
-      NEW met2 ( 1490630 298860 ) ( 1493200 * )
-      NEW met2 ( 1493200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1490630 33490 ) ( * 298860 )
-      NEW met1 ( 936790 33490 ) M1M2_PR
-      NEW met1 ( 1490630 33490 ) M1M2_PR ;
+      + ROUTED met2 ( 1553190 298860 ) ( 1558700 * )
+      NEW met2 ( 1558700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1553190 128010 ) ( * 298860 )
+      NEW met1 ( 938170 128010 ) ( 1553190 * )
+      NEW met2 ( 936790 2380 0 ) ( * 34500 )
+      NEW met2 ( 936790 34500 ) ( 938170 * )
+      NEW met2 ( 938170 34500 ) ( * 128010 )
+      NEW met1 ( 1553190 128010 ) M1M2_PR
+      NEW met1 ( 938170 128010 ) M1M2_PR ;
     - la_data_out[18] ( PIN la_data_out[18] ) ( mprj la_data_out[18] ) + USE SIGNAL
-      + ROUTED met2 ( 954270 2380 0 ) ( * 18870 )
-      NEW met1 ( 954270 18870 ) ( 1045350 * )
-      NEW met2 ( 1497700 298860 ) ( 1497990 * )
-      NEW met2 ( 1497700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1045350 18870 ) ( * 148070 )
-      NEW met1 ( 1045350 148070 ) ( 1497990 * )
-      NEW met2 ( 1497990 148070 ) ( * 298860 )
-      NEW met1 ( 954270 18870 ) M1M2_PR
-      NEW met1 ( 1045350 18870 ) M1M2_PR
-      NEW met1 ( 1045350 148070 ) M1M2_PR
-      NEW met1 ( 1497990 148070 ) M1M2_PR ;
+      + ROUTED met2 ( 954270 2380 0 ) ( * 16830 )
+      NEW met1 ( 954270 16830 ) ( 958410 * )
+      NEW met2 ( 1559630 298860 ) ( 1563300 * )
+      NEW met2 ( 1563300 298860 ) ( * 300220 0 )
+      NEW met2 ( 958410 16830 ) ( * 120530 )
+      NEW met2 ( 1559630 120530 ) ( * 298860 )
+      NEW met1 ( 958410 120530 ) ( 1559630 * )
+      NEW met1 ( 954270 16830 ) M1M2_PR
+      NEW met1 ( 958410 16830 ) M1M2_PR
+      NEW met1 ( 958410 120530 ) M1M2_PR
+      NEW met1 ( 1559630 120530 ) M1M2_PR ;
     - la_data_out[19] ( PIN la_data_out[19] ) ( mprj la_data_out[19] ) + USE SIGNAL
-      + ROUTED met2 ( 972210 2380 0 ) ( * 45390 )
-      NEW met1 ( 1497530 287810 ) ( 1502130 * )
-      NEW met2 ( 1502130 287810 ) ( * 298860 )
-      NEW met2 ( 1502130 298860 ) ( 1502200 * )
-      NEW met2 ( 1502200 298860 ) ( * 300220 0 )
-      NEW met1 ( 972210 45390 ) ( 1497530 * )
-      NEW met2 ( 1497530 45390 ) ( * 287810 )
-      NEW met1 ( 972210 45390 ) M1M2_PR
-      NEW met1 ( 1497530 287810 ) M1M2_PR
-      NEW met1 ( 1502130 287810 ) M1M2_PR
-      NEW met1 ( 1497530 45390 ) M1M2_PR ;
+      + ROUTED met2 ( 972210 2380 0 ) ( * 16830 )
+      NEW met1 ( 972210 16830 ) ( 976810 * )
+      NEW met2 ( 1566530 298860 ) ( 1568100 * )
+      NEW met2 ( 1568100 298860 ) ( * 300220 0 )
+      NEW met2 ( 976810 16830 ) ( * 175950 )
+      NEW met2 ( 1566530 175950 ) ( * 298860 )
+      NEW met1 ( 976810 175950 ) ( 1566530 * )
+      NEW met1 ( 972210 16830 ) M1M2_PR
+      NEW met1 ( 976810 16830 ) M1M2_PR
+      NEW met1 ( 976810 175950 ) M1M2_PR
+      NEW met1 ( 1566530 175950 ) M1M2_PR ;
     - la_data_out[1] ( PIN la_data_out[1] ) ( mprj la_data_out[1] ) + USE SIGNAL
-      + ROUTED met2 ( 1417030 298860 ) ( 1421000 * )
-      NEW met2 ( 1421000 298860 ) ( * 300220 0 )
-      NEW met2 ( 652970 2380 0 ) ( * 44710 )
-      NEW met1 ( 652970 44710 ) ( 1415190 * )
-      NEW met2 ( 1415190 44710 ) ( * 227700 )
-      NEW met2 ( 1415190 227700 ) ( 1417030 * )
-      NEW met2 ( 1417030 227700 ) ( * 298860 )
-      NEW met1 ( 652970 44710 ) M1M2_PR
-      NEW met1 ( 1415190 44710 ) M1M2_PR ;
+      + ROUTED met2 ( 1478670 298860 ) ( 1482100 * )
+      NEW met2 ( 1482100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1477290 134470 ) ( * 227700 )
+      NEW met2 ( 1477290 227700 ) ( 1478670 * )
+      NEW met2 ( 1478670 227700 ) ( * 298860 )
+      NEW met2 ( 652970 2380 0 ) ( * 3060 )
+      NEW met2 ( 652970 3060 ) ( 653890 * )
+      NEW met2 ( 653890 2380 ) ( * 3060 )
+      NEW met2 ( 653890 2380 ) ( 655270 * )
+      NEW met2 ( 655270 2380 ) ( * 134470 )
+      NEW met1 ( 655270 134470 ) ( 1477290 * )
+      NEW met1 ( 1477290 134470 ) M1M2_PR
+      NEW met1 ( 655270 134470 ) M1M2_PR ;
     - la_data_out[20] ( PIN la_data_out[20] ) ( mprj la_data_out[20] ) + USE SIGNAL
-      + ROUTED met2 ( 989690 2380 0 ) ( * 45730 )
-      NEW met2 ( 1504430 298860 ) ( 1506800 * )
-      NEW met2 ( 1506800 298860 ) ( * 300220 0 )
-      NEW met1 ( 989690 45730 ) ( 1504430 * )
-      NEW met2 ( 1504430 45730 ) ( * 298860 )
-      NEW met1 ( 989690 45730 ) M1M2_PR
-      NEW met1 ( 1504430 45730 ) M1M2_PR ;
+      + ROUTED met2 ( 989690 2380 0 ) ( * 33150 )
+      NEW met2 ( 1570670 298860 ) ( 1572900 * )
+      NEW met2 ( 1572900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1567450 33150 ) ( * 227700 )
+      NEW met2 ( 1567450 227700 ) ( 1570670 * )
+      NEW met2 ( 1570670 227700 ) ( * 298860 )
+      NEW met1 ( 989690 33150 ) ( 1567450 * )
+      NEW met1 ( 989690 33150 ) M1M2_PR
+      NEW met1 ( 1567450 33150 ) M1M2_PR ;
     - la_data_out[21] ( PIN la_data_out[21] ) ( mprj la_data_out[21] ) + USE SIGNAL
-      + ROUTED met2 ( 1511300 298860 ) ( 1511790 * )
-      NEW met2 ( 1511300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1007630 2380 0 ) ( * 46070 )
-      NEW met1 ( 1007630 46070 ) ( 1511790 * )
-      NEW met2 ( 1511790 46070 ) ( * 298860 )
-      NEW met1 ( 1007630 46070 ) M1M2_PR
-      NEW met1 ( 1511790 46070 ) M1M2_PR ;
+      + ROUTED met2 ( 1573430 298860 ) ( 1577700 * )
+      NEW met2 ( 1577700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1573430 45050 ) ( * 298860 )
+      NEW met2 ( 1007630 2380 0 ) ( * 45050 )
+      NEW met1 ( 1007630 45050 ) ( 1573430 * )
+      NEW met1 ( 1573430 45050 ) M1M2_PR
+      NEW met1 ( 1007630 45050 ) M1M2_PR ;
     - la_data_out[22] ( PIN la_data_out[22] ) ( mprj la_data_out[22] ) + USE SIGNAL
-      + ROUTED met2 ( 1512250 298860 ) ( 1515800 * )
-      NEW met2 ( 1515800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1025570 2380 0 ) ( * 46410 )
-      NEW met1 ( 1025570 46410 ) ( 1512250 * )
-      NEW met2 ( 1512250 46410 ) ( * 298860 )
-      NEW met1 ( 1025570 46410 ) M1M2_PR
-      NEW met1 ( 1512250 46410 ) M1M2_PR ;
+      + ROUTED met2 ( 1580330 298860 ) ( 1582500 * )
+      NEW met2 ( 1582500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1025570 2380 0 ) ( * 45390 )
+      NEW met1 ( 1025570 45390 ) ( 1580330 * )
+      NEW met2 ( 1580330 45390 ) ( * 298860 )
+      NEW met1 ( 1025570 45390 ) M1M2_PR
+      NEW met1 ( 1580330 45390 ) M1M2_PR ;
     - la_data_out[23] ( PIN la_data_out[23] ) ( mprj la_data_out[23] ) + USE SIGNAL
-      + ROUTED met2 ( 1518690 298860 ) ( 1520300 * )
-      NEW met2 ( 1520300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1043050 2380 0 ) ( * 46750 )
-      NEW met1 ( 1043050 46750 ) ( 1518690 * )
-      NEW met2 ( 1518690 46750 ) ( * 298860 )
-      NEW met1 ( 1043050 46750 ) M1M2_PR
-      NEW met1 ( 1518690 46750 ) M1M2_PR ;
+      + ROUTED met2 ( 1587300 298860 ) ( 1587690 * )
+      NEW met2 ( 1587300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1043050 2380 0 ) ( * 45730 )
+      NEW met1 ( 1043050 45730 ) ( 1587690 * )
+      NEW met2 ( 1587690 45730 ) ( * 298860 )
+      NEW met1 ( 1043050 45730 ) M1M2_PR
+      NEW met1 ( 1587690 45730 ) M1M2_PR ;
     - la_data_out[24] ( PIN la_data_out[24] ) ( mprj la_data_out[24] ) + USE SIGNAL
-      + ROUTED met2 ( 1060990 2380 0 ) ( * 47090 )
-      NEW met1 ( 1518230 299370 ) ( 1524800 * )
-      NEW met2 ( 1524800 299370 ) ( * 300220 0 )
-      NEW met1 ( 1060990 47090 ) ( 1518230 * )
-      NEW met2 ( 1518230 47090 ) ( * 299370 )
-      NEW met1 ( 1060990 47090 ) M1M2_PR
-      NEW met1 ( 1518230 299370 ) M1M2_PR
-      NEW met1 ( 1524800 299370 ) M1M2_PR
-      NEW met1 ( 1518230 47090 ) M1M2_PR ;
+      + ROUTED met2 ( 1060990 2380 0 ) ( * 46070 )
+      NEW met1 ( 1587230 288830 ) ( 1591830 * )
+      NEW met2 ( 1591830 288830 ) ( * 298860 )
+      NEW met2 ( 1591830 298860 ) ( 1592000 * )
+      NEW met2 ( 1592000 298860 ) ( * 300220 0 )
+      NEW met1 ( 1060990 46070 ) ( 1587230 * )
+      NEW met2 ( 1587230 46070 ) ( * 288830 )
+      NEW met1 ( 1060990 46070 ) M1M2_PR
+      NEW met1 ( 1587230 288830 ) M1M2_PR
+      NEW met1 ( 1591830 288830 ) M1M2_PR
+      NEW met1 ( 1587230 46070 ) M1M2_PR ;
     - la_data_out[25] ( PIN la_data_out[25] ) ( mprj la_data_out[25] ) + USE SIGNAL
-      + ROUTED met2 ( 1078470 2380 0 ) ( * 47430 )
-      NEW met2 ( 1525130 298860 ) ( 1529300 * )
-      NEW met2 ( 1529300 298860 ) ( * 300220 0 )
-      NEW met1 ( 1078470 47430 ) ( 1525130 * )
-      NEW met2 ( 1525130 47430 ) ( * 298860 )
-      NEW met1 ( 1078470 47430 ) M1M2_PR
-      NEW met1 ( 1525130 47430 ) M1M2_PR ;
+      + ROUTED met2 ( 1078470 2380 0 ) ( * 46410 )
+      NEW met2 ( 1594130 298860 ) ( 1596800 * )
+      NEW met2 ( 1596800 298860 ) ( * 300220 0 )
+      NEW met1 ( 1078470 46410 ) ( 1594130 * )
+      NEW met2 ( 1594130 46410 ) ( * 298860 )
+      NEW met1 ( 1078470 46410 ) M1M2_PR
+      NEW met1 ( 1594130 46410 ) M1M2_PR ;
     - la_data_out[26] ( PIN la_data_out[26] ) ( mprj la_data_out[26] ) + USE SIGNAL
-      + ROUTED met2 ( 1532030 298860 ) ( 1533900 * )
-      NEW met2 ( 1533900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1096410 2380 0 ) ( * 47770 )
-      NEW met2 ( 1532030 47770 ) ( * 298860 )
-      NEW met1 ( 1096410 47770 ) ( 1532030 * )
-      NEW met1 ( 1096410 47770 ) M1M2_PR
-      NEW met1 ( 1532030 47770 ) M1M2_PR ;
+      + ROUTED met2 ( 1096410 2380 0 ) ( * 46750 )
+      NEW met2 ( 1601490 298860 ) ( 1601600 * )
+      NEW met2 ( 1601600 298860 ) ( * 300220 0 )
+      NEW met1 ( 1096410 46750 ) ( 1601490 * )
+      NEW met2 ( 1601490 46750 ) ( * 298860 )
+      NEW met1 ( 1096410 46750 ) M1M2_PR
+      NEW met1 ( 1601490 46750 ) M1M2_PR ;
     - la_data_out[27] ( PIN la_data_out[27] ) ( mprj la_data_out[27] ) + USE SIGNAL
-      + ROUTED met1 ( 1532490 288490 ) ( 1538470 * )
-      NEW met2 ( 1538470 288490 ) ( * 298860 )
-      NEW met2 ( 1538400 298860 ) ( 1538470 * )
-      NEW met2 ( 1538400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1532490 48110 ) ( * 288490 )
-      NEW met2 ( 1113890 2380 0 ) ( * 48110 )
-      NEW met1 ( 1113890 48110 ) ( 1532490 * )
-      NEW met1 ( 1532490 288490 ) M1M2_PR
-      NEW met1 ( 1538470 288490 ) M1M2_PR
-      NEW met1 ( 1532490 48110 ) M1M2_PR
-      NEW met1 ( 1113890 48110 ) M1M2_PR ;
+      + ROUTED met2 ( 1601950 298860 ) ( 1606400 * )
+      NEW met2 ( 1606400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1113890 2380 0 ) ( * 47090 )
+      NEW met1 ( 1113890 47090 ) ( 1601950 * )
+      NEW met2 ( 1601950 47090 ) ( * 298860 )
+      NEW met1 ( 1113890 47090 ) M1M2_PR
+      NEW met1 ( 1601950 47090 ) M1M2_PR ;
     - la_data_out[28] ( PIN la_data_out[28] ) ( mprj la_data_out[28] ) + USE SIGNAL
-      + ROUTED met2 ( 1538930 298860 ) ( 1542900 * )
-      NEW met2 ( 1542900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1538930 44370 ) ( * 298860 )
-      NEW met2 ( 1131830 2380 0 ) ( * 44370 )
-      NEW met1 ( 1131830 44370 ) ( 1538930 * )
-      NEW met1 ( 1538930 44370 ) M1M2_PR
-      NEW met1 ( 1131830 44370 ) M1M2_PR ;
+      + ROUTED met2 ( 1607930 298860 ) ( 1611100 * )
+      NEW met2 ( 1611100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1131830 2380 0 ) ( * 47430 )
+      NEW met1 ( 1131830 47430 ) ( 1607930 * )
+      NEW met2 ( 1607930 47430 ) ( * 298860 )
+      NEW met1 ( 1131830 47430 ) M1M2_PR
+      NEW met1 ( 1607930 47430 ) M1M2_PR ;
     - la_data_out[29] ( PIN la_data_out[29] ) ( mprj la_data_out[29] ) + USE SIGNAL
-      + ROUTED met2 ( 1546290 298860 ) ( 1547400 * )
-      NEW met2 ( 1547400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1149310 2380 0 ) ( * 44030 )
-      NEW met2 ( 1546290 44030 ) ( * 298860 )
-      NEW met1 ( 1149310 44030 ) ( 1546290 * )
-      NEW met1 ( 1149310 44030 ) M1M2_PR
-      NEW met1 ( 1546290 44030 ) M1M2_PR ;
+      + ROUTED met2 ( 1149310 2380 0 ) ( * 47770 )
+      NEW met2 ( 1615290 298860 ) ( 1615900 * )
+      NEW met2 ( 1615900 298860 ) ( * 300220 0 )
+      NEW met1 ( 1149310 47770 ) ( 1615290 * )
+      NEW met2 ( 1615290 47770 ) ( * 298860 )
+      NEW met1 ( 1149310 47770 ) M1M2_PR
+      NEW met1 ( 1615290 47770 ) M1M2_PR ;
     - la_data_out[2] ( PIN la_data_out[2] ) ( mprj la_data_out[2] ) + USE SIGNAL
-      + ROUTED met2 ( 670910 2380 0 ) ( * 45050 )
-      NEW met2 ( 1421630 298860 ) ( 1425500 * )
-      NEW met2 ( 1425500 298860 ) ( * 300220 0 )
-      NEW met1 ( 670910 45050 ) ( 1421630 * )
-      NEW met2 ( 1421630 45050 ) ( * 298860 )
-      NEW met1 ( 670910 45050 ) M1M2_PR
-      NEW met1 ( 1421630 45050 ) M1M2_PR ;
+      + ROUTED met2 ( 670910 2380 0 ) ( * 44710 )
+      NEW met2 ( 1483730 298860 ) ( 1486900 * )
+      NEW met2 ( 1486900 298860 ) ( * 300220 0 )
+      NEW met1 ( 670910 44710 ) ( 1483730 * )
+      NEW met2 ( 1483730 44710 ) ( * 298860 )
+      NEW met1 ( 670910 44710 ) M1M2_PR
+      NEW met1 ( 1483730 44710 ) M1M2_PR ;
     - la_data_out[30] ( PIN la_data_out[30] ) ( mprj la_data_out[30] ) + USE SIGNAL
-      + ROUTED met2 ( 1548130 298860 ) ( 1551900 * )
-      NEW met2 ( 1551900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1167250 2380 0 ) ( * 43690 )
-      NEW met2 ( 1546750 43690 ) ( * 227700 )
-      NEW met2 ( 1546750 227700 ) ( 1548130 * )
-      NEW met2 ( 1548130 227700 ) ( * 298860 )
-      NEW met1 ( 1167250 43690 ) ( 1546750 * )
-      NEW met1 ( 1167250 43690 ) M1M2_PR
-      NEW met1 ( 1546750 43690 ) M1M2_PR ;
+      + ROUTED met2 ( 1167250 2380 0 ) ( * 48110 )
+      NEW met1 ( 1614830 299030 ) ( 1620600 * )
+      NEW met2 ( 1620600 299030 ) ( * 300220 0 )
+      NEW met1 ( 1167250 48110 ) ( 1614830 * )
+      NEW met2 ( 1614830 48110 ) ( * 299030 )
+      NEW met1 ( 1167250 48110 ) M1M2_PR
+      NEW met1 ( 1614830 299030 ) M1M2_PR
+      NEW met1 ( 1620600 299030 ) M1M2_PR
+      NEW met1 ( 1614830 48110 ) M1M2_PR ;
     - la_data_out[31] ( PIN la_data_out[31] ) ( mprj la_data_out[31] ) + USE SIGNAL
-      + ROUTED met2 ( 1552730 298860 ) ( 1556400 * )
-      NEW met2 ( 1556400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1185190 2380 0 ) ( * 43350 )
-      NEW met2 ( 1552730 43350 ) ( * 298860 )
-      NEW met1 ( 1185190 43350 ) ( 1552730 * )
-      NEW met1 ( 1185190 43350 ) M1M2_PR
-      NEW met1 ( 1552730 43350 ) M1M2_PR ;
+      + ROUTED met2 ( 1185190 2380 0 ) ( * 44370 )
+      NEW met2 ( 1621730 298860 ) ( 1625400 * )
+      NEW met2 ( 1625400 298860 ) ( * 300220 0 )
+      NEW met1 ( 1185190 44370 ) ( 1621730 * )
+      NEW met2 ( 1621730 44370 ) ( * 298860 )
+      NEW met1 ( 1185190 44370 ) M1M2_PR
+      NEW met1 ( 1621730 44370 ) M1M2_PR ;
     - la_data_out[32] ( PIN la_data_out[32] ) ( mprj la_data_out[32] ) + USE SIGNAL
-      + ROUTED met2 ( 1559630 298860 ) ( 1560900 * )
-      NEW met2 ( 1560900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1559630 43010 ) ( * 298860 )
-      NEW met2 ( 1202670 2380 0 ) ( * 43010 )
-      NEW met1 ( 1202670 43010 ) ( 1559630 * )
-      NEW met1 ( 1559630 43010 ) M1M2_PR
-      NEW met1 ( 1202670 43010 ) M1M2_PR ;
+      + ROUTED met2 ( 1629090 298860 ) ( 1630200 * )
+      NEW met2 ( 1630200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1629090 44030 ) ( * 298860 )
+      NEW met2 ( 1202670 2380 0 ) ( * 44030 )
+      NEW met1 ( 1202670 44030 ) ( 1629090 * )
+      NEW met1 ( 1629090 44030 ) M1M2_PR
+      NEW met1 ( 1202670 44030 ) M1M2_PR ;
     - la_data_out[33] ( PIN la_data_out[33] ) ( mprj la_data_out[33] ) + USE SIGNAL
-      + ROUTED met2 ( 1561470 298860 ) ( 1565500 * )
-      NEW met2 ( 1565500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1560090 42670 ) ( * 227700 )
-      NEW met2 ( 1560090 227700 ) ( 1561470 * )
-      NEW met2 ( 1561470 227700 ) ( * 298860 )
-      NEW met2 ( 1220610 2380 0 ) ( * 42670 )
-      NEW met1 ( 1220610 42670 ) ( 1560090 * )
-      NEW met1 ( 1560090 42670 ) M1M2_PR
-      NEW met1 ( 1220610 42670 ) M1M2_PR ;
+      + ROUTED met1 ( 1628630 299030 ) ( 1635000 * )
+      NEW met2 ( 1635000 299030 ) ( * 300220 0 )
+      NEW met2 ( 1628630 43690 ) ( * 299030 )
+      NEW met2 ( 1220610 2380 0 ) ( * 17340 )
+      NEW met2 ( 1220150 17340 ) ( 1220610 * )
+      NEW met2 ( 1220150 17340 ) ( * 43690 )
+      NEW met1 ( 1220150 43690 ) ( 1628630 * )
+      NEW met1 ( 1628630 299030 ) M1M2_PR
+      NEW met1 ( 1635000 299030 ) M1M2_PR
+      NEW met1 ( 1628630 43690 ) M1M2_PR
+      NEW met1 ( 1220150 43690 ) M1M2_PR ;
     - la_data_out[34] ( PIN la_data_out[34] ) ( mprj la_data_out[34] ) + USE SIGNAL
-      + ROUTED met2 ( 1566530 298860 ) ( 1570000 * )
-      NEW met2 ( 1570000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1566530 42330 ) ( * 298860 )
-      NEW met2 ( 1238090 2380 0 ) ( * 42330 )
-      NEW met1 ( 1238090 42330 ) ( 1566530 * )
-      NEW met1 ( 1566530 42330 ) M1M2_PR
-      NEW met1 ( 1238090 42330 ) M1M2_PR ;
+      + ROUTED met2 ( 1635530 298860 ) ( 1639800 * )
+      NEW met2 ( 1639800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1635530 43350 ) ( * 298860 )
+      NEW met2 ( 1238090 2380 0 ) ( * 43350 )
+      NEW met1 ( 1238090 43350 ) ( 1635530 * )
+      NEW met1 ( 1635530 43350 ) M1M2_PR
+      NEW met1 ( 1238090 43350 ) M1M2_PR ;
     - la_data_out[35] ( PIN la_data_out[35] ) ( mprj la_data_out[35] ) + USE SIGNAL
-      + ROUTED met2 ( 1573890 298860 ) ( 1574500 * )
-      NEW met2 ( 1574500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1256030 2380 0 ) ( * 41990 )
-      NEW met2 ( 1573890 41990 ) ( * 298860 )
-      NEW met1 ( 1256030 41990 ) ( 1573890 * )
-      NEW met1 ( 1256030 41990 ) M1M2_PR
-      NEW met1 ( 1573890 41990 ) M1M2_PR ;
+      + ROUTED met2 ( 1642430 298860 ) ( 1644600 * )
+      NEW met2 ( 1644600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1256030 2380 0 ) ( * 43010 )
+      NEW met2 ( 1642430 43010 ) ( * 298860 )
+      NEW met1 ( 1256030 43010 ) ( 1642430 * )
+      NEW met1 ( 1256030 43010 ) M1M2_PR
+      NEW met1 ( 1642430 43010 ) M1M2_PR ;
     - la_data_out[36] ( PIN la_data_out[36] ) ( mprj la_data_out[36] ) + USE SIGNAL
-      + ROUTED met2 ( 1273510 2380 0 ) ( * 26690 )
-      NEW met2 ( 1578950 285430 ) ( * 298860 )
-      NEW met2 ( 1578950 298860 ) ( 1579000 * )
-      NEW met2 ( 1579000 298860 ) ( * 300220 0 )
-      NEW met1 ( 1273510 26690 ) ( 1314450 * )
-      NEW met2 ( 1314450 26690 ) ( * 285430 )
-      NEW met1 ( 1414500 285430 ) ( 1578950 * )
-      NEW met1 ( 1414500 285430 ) ( * 285770 )
-      NEW met1 ( 1377010 285430 ) ( * 285770 )
-      NEW met1 ( 1314450 285430 ) ( 1377010 * )
-      NEW met1 ( 1377010 285770 ) ( 1414500 * )
-      NEW met1 ( 1273510 26690 ) M1M2_PR
-      NEW met1 ( 1578950 285430 ) M1M2_PR
-      NEW met1 ( 1314450 26690 ) M1M2_PR
-      NEW met1 ( 1314450 285430 ) M1M2_PR ;
+      + ROUTED met2 ( 1649300 298860 ) ( 1649790 * )
+      NEW met2 ( 1649300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1273510 2380 0 ) ( * 42670 )
+      NEW met2 ( 1649790 42670 ) ( * 298860 )
+      NEW met1 ( 1273510 42670 ) ( 1649790 * )
+      NEW met1 ( 1273510 42670 ) M1M2_PR
+      NEW met1 ( 1649790 42670 ) M1M2_PR ;
     - la_data_out[37] ( PIN la_data_out[37] ) ( mprj la_data_out[37] ) + USE SIGNAL
-      + ROUTED met2 ( 1291450 2380 0 ) ( * 16830 )
-      NEW met1 ( 1291450 16830 ) ( 1296510 * )
-      NEW met2 ( 1580330 298860 ) ( 1583500 * )
-      NEW met2 ( 1583500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1296510 16830 ) ( * 74630 )
-      NEW met1 ( 1296510 74630 ) ( 1580330 * )
-      NEW met2 ( 1580330 74630 ) ( * 298860 )
-      NEW met1 ( 1291450 16830 ) M1M2_PR
-      NEW met1 ( 1296510 16830 ) M1M2_PR
-      NEW met1 ( 1296510 74630 ) M1M2_PR
-      NEW met1 ( 1580330 74630 ) M1M2_PR ;
+      + ROUTED met1 ( 1649330 288830 ) ( 1653930 * )
+      NEW met2 ( 1653930 288830 ) ( * 298860 )
+      NEW met2 ( 1653930 298860 ) ( 1654100 * )
+      NEW met2 ( 1654100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1649330 42330 ) ( * 288830 )
+      NEW met2 ( 1291450 2380 0 ) ( * 42330 )
+      NEW met1 ( 1291450 42330 ) ( 1649330 * )
+      NEW met1 ( 1649330 288830 ) M1M2_PR
+      NEW met1 ( 1653930 288830 ) M1M2_PR
+      NEW met1 ( 1649330 42330 ) M1M2_PR
+      NEW met1 ( 1291450 42330 ) M1M2_PR ;
     - la_data_out[38] ( PIN la_data_out[38] ) ( mprj la_data_out[38] ) + USE SIGNAL
-      + ROUTED met2 ( 1308930 2380 0 ) ( * 34170 )
-      NEW met1 ( 1308930 34170 ) ( 1493850 * )
-      NEW met1 ( 1493850 288490 ) ( 1506730 * )
-      NEW met1 ( 1506730 287810 ) ( * 288490 )
-      NEW met2 ( 1587230 287810 ) ( * 299540 )
-      NEW met2 ( 1587230 299540 ) ( 1588000 * )
-      NEW met2 ( 1588000 299540 ) ( * 300220 0 )
-      NEW met1 ( 1506730 287810 ) ( 1587230 * )
-      NEW met2 ( 1493850 34170 ) ( * 288490 )
-      NEW met1 ( 1308930 34170 ) M1M2_PR
-      NEW met1 ( 1493850 34170 ) M1M2_PR
-      NEW met1 ( 1493850 288490 ) M1M2_PR
-      NEW met1 ( 1587230 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 1656230 298860 ) ( 1658800 * )
+      NEW met2 ( 1658800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1656230 41990 ) ( * 298860 )
+      NEW met2 ( 1308930 2380 0 ) ( * 41990 )
+      NEW met1 ( 1308930 41990 ) ( 1656230 * )
+      NEW met1 ( 1656230 41990 ) M1M2_PR
+      NEW met1 ( 1308930 41990 ) M1M2_PR ;
     - la_data_out[39] ( PIN la_data_out[39] ) ( mprj la_data_out[39] ) + USE SIGNAL
-      + ROUTED met2 ( 1326870 2380 0 ) ( * 33830 )
-      NEW met1 ( 1326870 33830 ) ( 1587690 * )
-      NEW met2 ( 1587690 298860 ) ( 1592500 * )
-      NEW met2 ( 1592500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1587690 33830 ) ( * 298860 )
-      NEW met1 ( 1326870 33830 ) M1M2_PR
-      NEW met1 ( 1587690 33830 ) M1M2_PR ;
+      + ROUTED met1 ( 1438650 284410 ) ( 1476830 * )
+      NEW li1 ( 1476830 284410 ) ( * 285090 )
+      NEW met2 ( 1663590 285090 ) ( * 298860 )
+      NEW met2 ( 1663590 298860 ) ( 1663600 * )
+      NEW met2 ( 1663600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1438650 20910 ) ( * 284410 )
+      NEW met2 ( 1326870 2380 0 ) ( * 20910 )
+      NEW met1 ( 1326870 20910 ) ( 1438650 * )
+      NEW met1 ( 1476830 285090 ) ( 1663590 * )
+      NEW met1 ( 1438650 20910 ) M1M2_PR
+      NEW met1 ( 1438650 284410 ) M1M2_PR
+      NEW li1 ( 1476830 284410 ) L1M1_PR_MR
+      NEW li1 ( 1476830 285090 ) L1M1_PR_MR
+      NEW met1 ( 1663590 285090 ) M1M2_PR
+      NEW met1 ( 1326870 20910 ) M1M2_PR ;
     - la_data_out[3] ( PIN la_data_out[3] ) ( mprj la_data_out[3] ) + USE SIGNAL
       + ROUTED met2 ( 688390 2380 0 ) ( * 73610 )
-      NEW met2 ( 1428530 298860 ) ( 1430000 * )
-      NEW met2 ( 1430000 298860 ) ( * 300220 0 )
-      NEW met1 ( 688390 73610 ) ( 1428530 * )
-      NEW met2 ( 1428530 73610 ) ( * 298860 )
+      NEW met2 ( 1490630 298860 ) ( 1491700 * )
+      NEW met2 ( 1491700 298860 ) ( * 300220 0 )
+      NEW met1 ( 688390 73610 ) ( 1490630 * )
+      NEW met2 ( 1490630 73610 ) ( * 298860 )
       NEW met1 ( 688390 73610 ) M1M2_PR
-      NEW met1 ( 1428530 73610 ) M1M2_PR ;
+      NEW met1 ( 1490630 73610 ) M1M2_PR ;
     - la_data_out[40] ( PIN la_data_out[40] ) ( mprj la_data_out[40] ) + USE SIGNAL
-      + ROUTED met2 ( 1344350 2380 0 ) ( * 68510 )
-      NEW met1 ( 1583550 284410 ) ( 1596890 * )
-      NEW met2 ( 1596890 284410 ) ( * 298860 )
-      NEW met2 ( 1596890 298860 ) ( 1597100 * )
-      NEW met2 ( 1597100 298860 ) ( * 300220 0 )
-      NEW met1 ( 1344350 68510 ) ( 1583550 * )
-      NEW met2 ( 1583550 68510 ) ( * 284410 )
-      NEW met1 ( 1344350 68510 ) M1M2_PR
-      NEW met1 ( 1583550 284410 ) M1M2_PR
-      NEW met1 ( 1596890 284410 ) M1M2_PR
-      NEW met1 ( 1583550 68510 ) M1M2_PR ;
+      + ROUTED met1 ( 1659450 288830 ) ( 1668190 * )
+      NEW met2 ( 1668190 288830 ) ( * 298860 )
+      NEW met2 ( 1668190 298860 ) ( 1668400 * )
+      NEW met2 ( 1668400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1344350 2380 0 ) ( * 34500 )
+      NEW met2 ( 1344350 34500 ) ( 1344810 * )
+      NEW met2 ( 1344810 34500 ) ( * 148070 )
+      NEW met2 ( 1659450 148070 ) ( * 288830 )
+      NEW met1 ( 1344810 148070 ) ( 1659450 * )
+      NEW met1 ( 1659450 288830 ) M1M2_PR
+      NEW met1 ( 1668190 288830 ) M1M2_PR
+      NEW met1 ( 1344810 148070 ) M1M2_PR
+      NEW met1 ( 1659450 148070 ) M1M2_PR ;
     - la_data_out[41] ( PIN la_data_out[41] ) ( mprj la_data_out[41] ) + USE SIGNAL
-      + ROUTED met2 ( 1362290 2380 0 ) ( * 12580 )
-      NEW met2 ( 1362290 12580 ) ( 1363210 * )
-      NEW met2 ( 1363210 12580 ) ( * 24310 )
-      NEW met1 ( 1363210 24310 ) ( 1390350 * )
-      NEW met1 ( 1435200 284750 ) ( * 285090 )
-      NEW met2 ( 1601030 285090 ) ( * 299540 )
-      NEW met2 ( 1601030 299540 ) ( 1601600 * )
-      NEW met2 ( 1601600 299540 ) ( * 300220 0 )
-      NEW met1 ( 1435200 285090 ) ( 1601030 * )
-      NEW met2 ( 1390350 24310 ) ( * 255300 )
-      NEW met2 ( 1390350 255300 ) ( 1390810 * )
-      NEW met2 ( 1390810 255300 ) ( * 284750 )
-      NEW met1 ( 1390810 284750 ) ( 1435200 * )
-      NEW met1 ( 1363210 24310 ) M1M2_PR
-      NEW met1 ( 1390350 24310 ) M1M2_PR
-      NEW met1 ( 1601030 285090 ) M1M2_PR
-      NEW met1 ( 1390810 284750 ) M1M2_PR ;
+      + ROUTED met2 ( 1362290 2380 0 ) ( * 16830 )
+      NEW met1 ( 1362290 16830 ) ( 1365970 * )
+      NEW met2 ( 1670030 298860 ) ( 1673200 * )
+      NEW met2 ( 1673200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1365970 16830 ) ( * 61710 )
+      NEW met2 ( 1670030 61710 ) ( * 298860 )
+      NEW met1 ( 1365970 61710 ) ( 1670030 * )
+      NEW met1 ( 1362290 16830 ) M1M2_PR
+      NEW met1 ( 1365970 16830 ) M1M2_PR
+      NEW met1 ( 1365970 61710 ) M1M2_PR
+      NEW met1 ( 1670030 61710 ) M1M2_PR ;
     - la_data_out[42] ( PIN la_data_out[42] ) ( mprj la_data_out[42] ) + USE SIGNAL
-      + ROUTED met2 ( 1380230 2380 0 ) ( * 16830 )
-      NEW met1 ( 1380230 16830 ) ( 1385750 * )
-      NEW met2 ( 1385750 16830 ) ( * 49130 )
-      NEW met2 ( 1601490 298860 ) ( 1606100 * )
-      NEW met2 ( 1606100 298860 ) ( * 300220 0 )
-      NEW met1 ( 1385750 49130 ) ( 1601490 * )
-      NEW met2 ( 1601490 49130 ) ( * 298860 )
-      NEW met1 ( 1380230 16830 ) M1M2_PR
-      NEW met1 ( 1385750 16830 ) M1M2_PR
-      NEW met1 ( 1385750 49130 ) M1M2_PR
-      NEW met1 ( 1601490 49130 ) M1M2_PR ;
+      + ROUTED met2 ( 1380230 2380 0 ) ( * 15130 )
+      NEW met1 ( 1380230 15130 ) ( 1386210 * )
+      NEW met2 ( 1386210 15130 ) ( * 155550 )
+      NEW met2 ( 1677390 298860 ) ( 1677900 * )
+      NEW met2 ( 1677900 298860 ) ( * 300220 0 )
+      NEW met1 ( 1386210 155550 ) ( 1677390 * )
+      NEW met2 ( 1677390 155550 ) ( * 298860 )
+      NEW met1 ( 1380230 15130 ) M1M2_PR
+      NEW met1 ( 1386210 15130 ) M1M2_PR
+      NEW met1 ( 1386210 155550 ) M1M2_PR
+      NEW met1 ( 1677390 155550 ) M1M2_PR ;
     - la_data_out[43] ( PIN la_data_out[43] ) ( mprj la_data_out[43] ) + USE SIGNAL
-      + ROUTED met2 ( 1607930 298860 ) ( 1610600 * )
-      NEW met2 ( 1610600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1397710 2380 0 ) ( * 48790 )
-      NEW met1 ( 1397710 48790 ) ( 1607930 * )
-      NEW met2 ( 1607930 48790 ) ( * 298860 )
-      NEW met1 ( 1397710 48790 ) M1M2_PR
-      NEW met1 ( 1607930 48790 ) M1M2_PR ;
+      + ROUTED met2 ( 1397710 2380 0 ) ( * 30090 )
+      NEW met1 ( 1397710 30090 ) ( 1514550 * )
+      NEW met1 ( 1514550 288830 ) ( 1530190 * )
+      NEW li1 ( 1530190 288150 ) ( * 288830 )
+      NEW met2 ( 1682450 288150 ) ( * 298860 )
+      NEW met2 ( 1682450 298860 ) ( 1682700 * )
+      NEW met2 ( 1682700 298860 ) ( * 300220 0 )
+      NEW met1 ( 1530190 288150 ) ( 1682450 * )
+      NEW met2 ( 1514550 30090 ) ( * 288830 )
+      NEW met1 ( 1397710 30090 ) M1M2_PR
+      NEW met1 ( 1514550 30090 ) M1M2_PR
+      NEW met1 ( 1514550 288830 ) M1M2_PR
+      NEW li1 ( 1530190 288830 ) L1M1_PR_MR
+      NEW li1 ( 1530190 288150 ) L1M1_PR_MR
+      NEW met1 ( 1682450 288150 ) M1M2_PR ;
     - la_data_out[44] ( PIN la_data_out[44] ) ( mprj la_data_out[44] ) + USE SIGNAL
-      + ROUTED met2 ( 1615100 298860 ) ( 1615290 * )
-      NEW met2 ( 1615100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1415650 2380 0 ) ( * 35190 )
-      NEW met1 ( 1415650 35190 ) ( 1615290 * )
-      NEW met2 ( 1615290 35190 ) ( * 298860 )
-      NEW met1 ( 1415650 35190 ) M1M2_PR
-      NEW met1 ( 1615290 35190 ) M1M2_PR ;
+      + ROUTED met2 ( 1415650 2380 0 ) ( * 21590 )
+      NEW met1 ( 1415650 21590 ) ( 1424850 * )
+      NEW met1 ( 1425310 285090 ) ( 1428530 * )
+      NEW met1 ( 1428530 285090 ) ( * 285430 )
+      NEW met2 ( 1687510 285430 ) ( * 298860 )
+      NEW met2 ( 1687500 298860 ) ( 1687510 * )
+      NEW met2 ( 1687500 298860 ) ( * 300220 0 )
+      NEW met1 ( 1428530 285430 ) ( 1687510 * )
+      NEW met2 ( 1424850 21590 ) ( * 227700 )
+      NEW met2 ( 1424850 227700 ) ( 1425310 * )
+      NEW met2 ( 1425310 227700 ) ( * 285090 )
+      NEW met1 ( 1415650 21590 ) M1M2_PR
+      NEW met1 ( 1424850 21590 ) M1M2_PR
+      NEW met1 ( 1425310 285090 ) M1M2_PR
+      NEW met1 ( 1687510 285430 ) M1M2_PR ;
     - la_data_out[45] ( PIN la_data_out[45] ) ( mprj la_data_out[45] ) + USE SIGNAL
-      + ROUTED met2 ( 1433130 2380 0 ) ( * 30430 )
-      NEW met1 ( 1433130 30430 ) ( 1614830 * )
-      NEW met1 ( 1614830 287810 ) ( 1619430 * )
-      NEW met2 ( 1619430 287810 ) ( * 298860 )
-      NEW met2 ( 1619430 298860 ) ( 1619600 * )
-      NEW met2 ( 1619600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1614830 30430 ) ( * 287810 )
-      NEW met1 ( 1433130 30430 ) M1M2_PR
-      NEW met1 ( 1614830 30430 ) M1M2_PR
-      NEW met1 ( 1614830 287810 ) M1M2_PR
-      NEW met1 ( 1619430 287810 ) M1M2_PR ;
+      + ROUTED met1 ( 1680150 288830 ) ( 1692110 * )
+      NEW met2 ( 1692110 288830 ) ( * 298860 )
+      NEW met2 ( 1692110 298860 ) ( 1692300 * )
+      NEW met2 ( 1692300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1433130 2380 0 ) ( * 68510 )
+      NEW met1 ( 1433130 68510 ) ( 1680150 * )
+      NEW met2 ( 1680150 68510 ) ( * 288830 )
+      NEW met1 ( 1680150 288830 ) M1M2_PR
+      NEW met1 ( 1692110 288830 ) M1M2_PR
+      NEW met1 ( 1433130 68510 ) M1M2_PR
+      NEW met1 ( 1680150 68510 ) M1M2_PR ;
     - la_data_out[46] ( PIN la_data_out[46] ) ( mprj la_data_out[46] ) + USE SIGNAL
-      + ROUTED met2 ( 1451070 2380 0 ) ( * 33150 )
-      NEW met1 ( 1451070 33150 ) ( 1621730 * )
-      NEW met2 ( 1621730 298860 ) ( 1624200 * )
-      NEW met2 ( 1624200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1621730 33150 ) ( * 298860 )
-      NEW met1 ( 1451070 33150 ) M1M2_PR
-      NEW met1 ( 1621730 33150 ) M1M2_PR ;
+      + ROUTED met2 ( 1451070 2380 0 ) ( * 16830 )
+      NEW met1 ( 1451070 16830 ) ( 1455210 * )
+      NEW met2 ( 1455210 16830 ) ( * 62050 )
+      NEW met1 ( 1691190 299030 ) ( 1697100 * )
+      NEW met2 ( 1697100 299030 ) ( * 300220 0 )
+      NEW met1 ( 1455210 62050 ) ( 1691190 * )
+      NEW met2 ( 1691190 62050 ) ( * 299030 )
+      NEW met1 ( 1451070 16830 ) M1M2_PR
+      NEW met1 ( 1455210 16830 ) M1M2_PR
+      NEW met1 ( 1455210 62050 ) M1M2_PR
+      NEW met1 ( 1691190 299030 ) M1M2_PR
+      NEW met1 ( 1697100 299030 ) M1M2_PR
+      NEW met1 ( 1691190 62050 ) M1M2_PR ;
     - la_data_out[47] ( PIN la_data_out[47] ) ( mprj la_data_out[47] ) + USE SIGNAL
-      + ROUTED met2 ( 1468550 2380 0 ) ( * 32810 )
-      NEW met2 ( 1628700 298860 ) ( 1629090 * )
-      NEW met2 ( 1628700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1629090 32810 ) ( * 298860 )
-      NEW met1 ( 1468550 32810 ) ( 1629090 * )
-      NEW met1 ( 1468550 32810 ) M1M2_PR
-      NEW met1 ( 1629090 32810 ) M1M2_PR ;
+      + ROUTED met2 ( 1468550 2380 0 ) ( * 48790 )
+      NEW met2 ( 1697630 298860 ) ( 1701900 * )
+      NEW met2 ( 1701900 298860 ) ( * 300220 0 )
+      NEW met1 ( 1468550 48790 ) ( 1697630 * )
+      NEW met2 ( 1697630 48790 ) ( * 298860 )
+      NEW met1 ( 1468550 48790 ) M1M2_PR
+      NEW met1 ( 1697630 48790 ) M1M2_PR ;
     - la_data_out[48] ( PIN la_data_out[48] ) ( mprj la_data_out[48] ) + USE SIGNAL
-      + ROUTED met1 ( 1628630 287810 ) ( 1633230 * )
-      NEW met2 ( 1633230 287810 ) ( * 298860 )
-      NEW met2 ( 1633200 298860 ) ( 1633230 * )
-      NEW met2 ( 1633200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1628630 30090 ) ( * 287810 )
-      NEW met2 ( 1486490 2380 0 ) ( * 30090 )
-      NEW met1 ( 1486490 30090 ) ( 1628630 * )
-      NEW met1 ( 1628630 30090 ) M1M2_PR
-      NEW met1 ( 1628630 287810 ) M1M2_PR
-      NEW met1 ( 1633230 287810 ) M1M2_PR
-      NEW met1 ( 1486490 30090 ) M1M2_PR ;
+      + ROUTED met2 ( 1486490 2380 0 ) ( * 33490 )
+      NEW met1 ( 1486490 33490 ) ( 1704530 * )
+      NEW met2 ( 1704530 298860 ) ( 1706500 * )
+      NEW met2 ( 1706500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1704530 33490 ) ( * 298860 )
+      NEW met1 ( 1486490 33490 ) M1M2_PR
+      NEW met1 ( 1704530 33490 ) M1M2_PR ;
     - la_data_out[49] ( PIN la_data_out[49] ) ( mprj la_data_out[49] ) + USE SIGNAL
-      + ROUTED met2 ( 1635530 298860 ) ( 1637700 * )
-      NEW met2 ( 1637700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1635530 33490 ) ( * 298860 )
-      NEW met2 ( 1503970 2380 0 ) ( * 33490 )
-      NEW met1 ( 1503970 33490 ) ( 1635530 * )
-      NEW met1 ( 1635530 33490 ) M1M2_PR
-      NEW met1 ( 1503970 33490 ) M1M2_PR ;
+      + ROUTED met2 ( 1503970 2380 0 ) ( * 34170 )
+      NEW met1 ( 1503970 34170 ) ( 1711890 * )
+      NEW met2 ( 1711300 298860 ) ( 1711890 * )
+      NEW met2 ( 1711300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1711890 34170 ) ( * 298860 )
+      NEW met1 ( 1503970 34170 ) M1M2_PR
+      NEW met1 ( 1711890 34170 ) M1M2_PR ;
     - la_data_out[4] ( PIN la_data_out[4] ) ( mprj la_data_out[4] ) + USE SIGNAL
       + ROUTED met2 ( 706330 2380 0 ) ( * 17510 )
       NEW met1 ( 706330 17510 ) ( 710470 * )
-      NEW met2 ( 710470 17510 ) ( * 182750 )
-      NEW met1 ( 710470 182750 ) ( 1428990 * )
-      NEW met2 ( 1430830 298860 ) ( 1434500 * )
-      NEW met2 ( 1434500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1428990 182750 ) ( * 227700 )
-      NEW met2 ( 1428990 227700 ) ( 1430830 * )
-      NEW met2 ( 1430830 227700 ) ( * 298860 )
+      NEW met2 ( 710470 17510 ) ( * 168810 )
+      NEW met2 ( 1492010 298860 ) ( 1496500 * )
+      NEW met2 ( 1496500 298860 ) ( * 300220 0 )
+      NEW met1 ( 710470 168810 ) ( 1491090 * )
+      NEW met2 ( 1491090 168810 ) ( * 227700 )
+      NEW met2 ( 1491090 227700 ) ( 1492010 * )
+      NEW met2 ( 1492010 227700 ) ( * 298860 )
       NEW met1 ( 706330 17510 ) M1M2_PR
       NEW met1 ( 710470 17510 ) M1M2_PR
-      NEW met1 ( 710470 182750 ) M1M2_PR
-      NEW met1 ( 1428990 182750 ) M1M2_PR ;
+      NEW met1 ( 710470 168810 ) M1M2_PR
+      NEW met1 ( 1491090 168810 ) M1M2_PR ;
     - la_data_out[50] ( PIN la_data_out[50] ) ( mprj la_data_out[50] ) + USE SIGNAL
-      + ROUTED met2 ( 1642200 298860 ) ( 1642430 * )
-      NEW met2 ( 1642200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1642430 34170 ) ( * 298860 )
-      NEW met2 ( 1521910 2380 0 ) ( * 34170 )
-      NEW met1 ( 1521910 34170 ) ( 1642430 * )
-      NEW met1 ( 1642430 34170 ) M1M2_PR
-      NEW met1 ( 1521910 34170 ) M1M2_PR ;
+      + ROUTED met2 ( 1521910 2380 0 ) ( * 30430 )
+      NEW met1 ( 1521910 30430 ) ( 1711430 * )
+      NEW met1 ( 1711430 288150 ) ( 1716030 * )
+      NEW met2 ( 1716030 288150 ) ( * 298860 )
+      NEW met2 ( 1716030 298860 ) ( 1716100 * )
+      NEW met2 ( 1716100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1711430 30430 ) ( * 288150 )
+      NEW met1 ( 1521910 30430 ) M1M2_PR
+      NEW met1 ( 1711430 30430 ) M1M2_PR
+      NEW met1 ( 1711430 288150 ) M1M2_PR
+      NEW met1 ( 1716030 288150 ) M1M2_PR ;
     - la_data_out[51] ( PIN la_data_out[51] ) ( mprj la_data_out[51] ) + USE SIGNAL
-      + ROUTED met2 ( 1539850 2380 0 ) ( * 29750 )
-      NEW met2 ( 1642890 298860 ) ( 1646700 * )
-      NEW met2 ( 1646700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1642890 29750 ) ( * 298860 )
-      NEW met1 ( 1539850 29750 ) ( 1642890 * )
-      NEW met1 ( 1539850 29750 ) M1M2_PR
-      NEW met1 ( 1642890 29750 ) M1M2_PR ;
+      + ROUTED met2 ( 1539850 2380 0 ) ( * 32810 )
+      NEW met1 ( 1539850 32810 ) ( 1718330 * )
+      NEW met2 ( 1718330 298860 ) ( 1720900 * )
+      NEW met2 ( 1720900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1718330 32810 ) ( * 298860 )
+      NEW met1 ( 1539850 32810 ) M1M2_PR
+      NEW met1 ( 1718330 32810 ) M1M2_PR ;
     - la_data_out[52] ( PIN la_data_out[52] ) ( mprj la_data_out[52] ) + USE SIGNAL
-      + ROUTED met2 ( 1557330 2380 0 ) ( * 29410 )
-      NEW met2 ( 1649330 298860 ) ( 1651200 * )
-      NEW met2 ( 1651200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1649330 29410 ) ( * 298860 )
-      NEW met1 ( 1557330 29410 ) ( 1649330 * )
-      NEW met1 ( 1557330 29410 ) M1M2_PR
-      NEW met1 ( 1649330 29410 ) M1M2_PR ;
+      + ROUTED met2 ( 1557330 2380 0 ) ( * 30090 )
+      NEW met2 ( 1725690 298860 ) ( 1725700 * )
+      NEW met2 ( 1725700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1725690 30090 ) ( * 298860 )
+      NEW met1 ( 1557330 30090 ) ( 1725690 * )
+      NEW met1 ( 1557330 30090 ) M1M2_PR
+      NEW met1 ( 1725690 30090 ) M1M2_PR ;
     - la_data_out[53] ( PIN la_data_out[53] ) ( mprj la_data_out[53] ) + USE SIGNAL
-      + ROUTED met2 ( 1575270 2380 0 ) ( * 29070 )
-      NEW met1 ( 1649790 287810 ) ( 1655770 * )
-      NEW met2 ( 1655770 287810 ) ( * 298860 )
-      NEW met2 ( 1655770 298860 ) ( 1655800 * )
-      NEW met2 ( 1655800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1649790 29070 ) ( * 287810 )
-      NEW met1 ( 1575270 29070 ) ( 1649790 * )
-      NEW met1 ( 1575270 29070 ) M1M2_PR
-      NEW met1 ( 1649790 29070 ) M1M2_PR
-      NEW met1 ( 1649790 287810 ) M1M2_PR
-      NEW met1 ( 1655770 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 1575270 2380 0 ) ( * 33150 )
+      NEW met2 ( 1726150 298860 ) ( 1730500 * )
+      NEW met2 ( 1730500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1726150 33150 ) ( * 298860 )
+      NEW met1 ( 1575270 33150 ) ( 1726150 * )
+      NEW met1 ( 1575270 33150 ) M1M2_PR
+      NEW met1 ( 1726150 33150 ) M1M2_PR ;
     - la_data_out[54] ( PIN la_data_out[54] ) ( mprj la_data_out[54] ) + USE SIGNAL
-      + ROUTED met2 ( 1656230 298860 ) ( 1660300 * )
-      NEW met2 ( 1660300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1656230 33830 ) ( * 298860 )
+      + ROUTED met2 ( 1732130 298860 ) ( 1735200 * )
+      NEW met2 ( 1735200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1732130 33830 ) ( * 298860 )
       NEW met2 ( 1592750 2380 0 ) ( * 33830 )
-      NEW met1 ( 1592750 33830 ) ( 1656230 * )
-      NEW met1 ( 1656230 33830 ) M1M2_PR
+      NEW met1 ( 1592750 33830 ) ( 1732130 * )
+      NEW met1 ( 1732130 33830 ) M1M2_PR
       NEW met1 ( 1592750 33830 ) M1M2_PR ;
     - la_data_out[55] ( PIN la_data_out[55] ) ( mprj la_data_out[55] ) + USE SIGNAL
-      + ROUTED met2 ( 1663590 298860 ) ( 1664800 * )
-      NEW met2 ( 1664800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1663590 28730 ) ( * 298860 )
-      NEW met2 ( 1610690 2380 0 ) ( * 28730 )
-      NEW met1 ( 1610690 28730 ) ( 1663590 * )
-      NEW met1 ( 1663590 28730 ) M1M2_PR
-      NEW met1 ( 1610690 28730 ) M1M2_PR ;
+      + ROUTED met2 ( 1739030 298860 ) ( 1740000 * )
+      NEW met2 ( 1740000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1739030 29750 ) ( * 298860 )
+      NEW met2 ( 1610690 2380 0 ) ( * 29750 )
+      NEW met1 ( 1610690 29750 ) ( 1739030 * )
+      NEW met1 ( 1739030 29750 ) M1M2_PR
+      NEW met1 ( 1610690 29750 ) M1M2_PR ;
     - la_data_out[56] ( PIN la_data_out[56] ) ( mprj la_data_out[56] ) + USE SIGNAL
-      + ROUTED met1 ( 1659450 288490 ) ( 1669110 * )
-      NEW met2 ( 1669110 288490 ) ( * 298860 )
-      NEW met2 ( 1669110 298860 ) ( 1669300 * )
-      NEW met2 ( 1669300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1659450 45390 ) ( * 288490 )
-      NEW met2 ( 1628170 2380 0 ) ( * 45390 )
-      NEW met1 ( 1628170 45390 ) ( 1659450 * )
-      NEW met1 ( 1659450 288490 ) M1M2_PR
-      NEW met1 ( 1669110 288490 ) M1M2_PR
-      NEW met1 ( 1659450 45390 ) M1M2_PR
-      NEW met1 ( 1628170 45390 ) M1M2_PR ;
+      + ROUTED met2 ( 1740870 298860 ) ( 1744800 * )
+      NEW met2 ( 1744800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1739490 29410 ) ( * 227700 )
+      NEW met2 ( 1739490 227700 ) ( 1740870 * )
+      NEW met2 ( 1740870 227700 ) ( * 298860 )
+      NEW met2 ( 1628170 2380 0 ) ( * 29410 )
+      NEW met1 ( 1628170 29410 ) ( 1739490 * )
+      NEW met1 ( 1739490 29410 ) M1M2_PR
+      NEW met1 ( 1628170 29410 ) M1M2_PR ;
     - la_data_out[57] ( PIN la_data_out[57] ) ( mprj la_data_out[57] ) + USE SIGNAL
-      + ROUTED met2 ( 1646110 2380 0 ) ( * 27710 )
-      NEW met1 ( 1646110 27710 ) ( 1670030 * )
-      NEW met2 ( 1670030 298860 ) ( 1673800 * )
-      NEW met2 ( 1673800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1670030 27710 ) ( * 298860 )
-      NEW met1 ( 1646110 27710 ) M1M2_PR
-      NEW met1 ( 1670030 27710 ) M1M2_PR ;
+      + ROUTED met2 ( 1646110 2380 0 ) ( * 29070 )
+      NEW met2 ( 1745930 298860 ) ( 1749600 * )
+      NEW met2 ( 1749600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1745930 29070 ) ( * 298860 )
+      NEW met1 ( 1646110 29070 ) ( 1745930 * )
+      NEW met1 ( 1646110 29070 ) M1M2_PR
+      NEW met1 ( 1745930 29070 ) M1M2_PR ;
     - la_data_out[58] ( PIN la_data_out[58] ) ( mprj la_data_out[58] ) + USE SIGNAL
-      + ROUTED met2 ( 1663590 2380 0 ) ( * 28050 )
-      NEW met1 ( 1663590 28050 ) ( 1677390 * )
-      NEW met2 ( 1677390 298860 ) ( 1678300 * )
-      NEW met2 ( 1678300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1677390 28050 ) ( * 298860 )
-      NEW met1 ( 1663590 28050 ) M1M2_PR
-      NEW met1 ( 1677390 28050 ) M1M2_PR ;
+      + ROUTED met2 ( 1663590 2380 0 ) ( * 28730 )
+      NEW met2 ( 1752830 298860 ) ( 1754300 * )
+      NEW met2 ( 1754300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1752830 28730 ) ( * 298860 )
+      NEW met1 ( 1663590 28730 ) ( 1752830 * )
+      NEW met1 ( 1663590 28730 ) M1M2_PR
+      NEW met1 ( 1752830 28730 ) M1M2_PR ;
     - la_data_out[59] ( PIN la_data_out[59] ) ( mprj la_data_out[59] ) + USE SIGNAL
-      + ROUTED met2 ( 1681530 2380 0 ) ( * 17340 )
-      NEW met2 ( 1676930 17340 ) ( 1681530 * )
-      NEW met1 ( 1676930 287810 ) ( 1682910 * )
-      NEW met2 ( 1682910 287810 ) ( * 298860 )
-      NEW met2 ( 1682800 298860 ) ( 1682910 * )
-      NEW met2 ( 1682800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1676930 17340 ) ( * 287810 )
-      NEW met1 ( 1676930 287810 ) M1M2_PR
-      NEW met1 ( 1682910 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 1754670 298860 ) ( 1759100 * )
+      NEW met2 ( 1759100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1753290 28390 ) ( * 227700 )
+      NEW met2 ( 1753290 227700 ) ( 1754670 * )
+      NEW met2 ( 1754670 227700 ) ( * 298860 )
+      NEW met2 ( 1681530 2380 0 ) ( * 28390 )
+      NEW met1 ( 1681530 28390 ) ( 1753290 * )
+      NEW met1 ( 1753290 28390 ) M1M2_PR
+      NEW met1 ( 1681530 28390 ) M1M2_PR ;
     - la_data_out[5] ( PIN la_data_out[5] ) ( mprj la_data_out[5] ) + USE SIGNAL
-      + ROUTED met2 ( 1435430 298860 ) ( 1439000 * )
-      NEW met2 ( 1439000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1435430 73950 ) ( * 298860 )
-      NEW met2 ( 723810 2380 0 ) ( * 73950 )
-      NEW met1 ( 723810 73950 ) ( 1435430 * )
-      NEW met1 ( 1435430 73950 ) M1M2_PR
-      NEW met1 ( 723810 73950 ) M1M2_PR ;
+      + ROUTED met2 ( 1497990 298860 ) ( 1501200 * )
+      NEW met2 ( 1501200 298860 ) ( * 300220 0 )
+      NEW met2 ( 723810 2380 0 ) ( * 66810 )
+      NEW met1 ( 723810 66810 ) ( 1497990 * )
+      NEW met2 ( 1497990 66810 ) ( * 298860 )
+      NEW met1 ( 723810 66810 ) M1M2_PR
+      NEW met1 ( 1497990 66810 ) M1M2_PR ;
     - la_data_out[60] ( PIN la_data_out[60] ) ( mprj la_data_out[60] ) + USE SIGNAL
-      + ROUTED met2 ( 1699470 2380 0 ) ( * 27710 )
-      NEW met1 ( 1690270 27710 ) ( 1699470 * )
-      NEW met1 ( 1687510 287810 ) ( 1690270 * )
-      NEW met2 ( 1687510 287810 ) ( * 298860 )
-      NEW met2 ( 1687400 298860 ) ( 1687510 * )
-      NEW met2 ( 1687400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1690270 27710 ) ( * 287810 )
-      NEW met1 ( 1699470 27710 ) M1M2_PR
-      NEW met1 ( 1690270 27710 ) M1M2_PR
-      NEW met1 ( 1690270 287810 ) M1M2_PR
-      NEW met1 ( 1687510 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 1759730 298860 ) ( 1763800 * )
+      NEW met2 ( 1763800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1759730 28050 ) ( * 298860 )
+      NEW met2 ( 1699470 2380 0 ) ( * 28050 )
+      NEW met1 ( 1699470 28050 ) ( 1759730 * )
+      NEW met1 ( 1759730 28050 ) M1M2_PR
+      NEW met1 ( 1699470 28050 ) M1M2_PR ;
     - la_data_out[61] ( PIN la_data_out[61] ) ( mprj la_data_out[61] ) + USE SIGNAL
-      + ROUTED met2 ( 1716950 2380 0 ) ( * 28050 )
-      NEW met1 ( 1696710 28050 ) ( 1716950 * )
-      NEW met1 ( 1692110 287810 ) ( 1696710 * )
-      NEW met2 ( 1692110 287810 ) ( * 298860 )
-      NEW met2 ( 1691900 298860 ) ( 1692110 * )
-      NEW met2 ( 1691900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1696710 28050 ) ( * 287810 )
-      NEW met1 ( 1716950 28050 ) M1M2_PR
-      NEW met1 ( 1696710 28050 ) M1M2_PR
-      NEW met1 ( 1696710 287810 ) M1M2_PR
-      NEW met1 ( 1692110 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 1766630 298860 ) ( 1768600 * )
+      NEW met2 ( 1768600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1766630 33490 ) ( * 298860 )
+      NEW met2 ( 1716950 2380 0 ) ( * 33490 )
+      NEW met1 ( 1716950 33490 ) ( 1766630 * )
+      NEW met1 ( 1766630 33490 ) M1M2_PR
+      NEW met1 ( 1716950 33490 ) M1M2_PR ;
     - la_data_out[62] ( PIN la_data_out[62] ) ( mprj la_data_out[62] ) + USE SIGNAL
-      + ROUTED met2 ( 1734890 2380 0 ) ( * 28390 )
-      NEW met1 ( 1697170 28390 ) ( 1734890 * )
-      NEW met2 ( 1696400 298860 ) ( 1697170 * )
-      NEW met2 ( 1696400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1697170 28390 ) ( * 298860 )
-      NEW met1 ( 1734890 28390 ) M1M2_PR
-      NEW met1 ( 1697170 28390 ) M1M2_PR ;
+      + ROUTED met2 ( 1734890 2380 0 ) ( * 32810 )
+      NEW met1 ( 1734890 32810 ) ( 1773530 * )
+      NEW met2 ( 1773400 298860 ) ( 1773530 * )
+      NEW met2 ( 1773400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1773530 32810 ) ( * 298860 )
+      NEW met1 ( 1734890 32810 ) M1M2_PR
+      NEW met1 ( 1773530 32810 ) M1M2_PR ;
     - la_data_out[63] ( PIN la_data_out[63] ) ( mprj la_data_out[63] ) + USE SIGNAL
-      + ROUTED met2 ( 1752370 2380 0 ) ( * 3060 )
-      NEW met2 ( 1751910 3060 ) ( 1752370 * )
-      NEW met2 ( 1751910 3060 ) ( * 32810 )
-      NEW met1 ( 1704070 32810 ) ( 1751910 * )
-      NEW met1 ( 1700850 287810 ) ( 1704070 * )
-      NEW met2 ( 1700850 287810 ) ( * 298860 )
-      NEW met2 ( 1700850 298860 ) ( 1700900 * )
-      NEW met2 ( 1700900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1704070 32810 ) ( * 287810 )
-      NEW met1 ( 1751910 32810 ) M1M2_PR
-      NEW met1 ( 1704070 32810 ) M1M2_PR
-      NEW met1 ( 1704070 287810 ) M1M2_PR
-      NEW met1 ( 1700850 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 1752370 2380 0 ) ( * 29070 )
+      NEW met1 ( 1752370 29070 ) ( 1773990 * )
+      NEW met2 ( 1773990 298860 ) ( 1778200 * )
+      NEW met2 ( 1778200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1773990 29070 ) ( * 298860 )
+      NEW met1 ( 1752370 29070 ) M1M2_PR
+      NEW met1 ( 1773990 29070 ) M1M2_PR ;
     - la_data_out[64] ( PIN la_data_out[64] ) ( mprj la_data_out[64] ) + USE SIGNAL
-      + ROUTED met2 ( 1770310 2380 0 ) ( * 28390 )
-      NEW met1 ( 1738110 28390 ) ( 1770310 * )
-      NEW li1 ( 1738110 28390 ) ( * 30430 )
-      NEW met1 ( 1710510 30430 ) ( 1738110 * )
-      NEW met1 ( 1705450 287810 ) ( 1710510 * )
-      NEW met2 ( 1705450 287810 ) ( * 298860 )
-      NEW met2 ( 1705400 298860 ) ( 1705450 * )
-      NEW met2 ( 1705400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1710510 30430 ) ( * 287810 )
-      NEW met1 ( 1770310 28390 ) M1M2_PR
-      NEW li1 ( 1738110 28390 ) L1M1_PR_MR
-      NEW li1 ( 1738110 30430 ) L1M1_PR_MR
-      NEW met1 ( 1710510 30430 ) M1M2_PR
-      NEW met1 ( 1710510 287810 ) M1M2_PR
-      NEW met1 ( 1705450 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 1770310 2380 0 ) ( * 28050 )
+      NEW met1 ( 1770310 28050 ) ( 1780430 * )
+      NEW met2 ( 1780430 298860 ) ( 1783000 * )
+      NEW met2 ( 1783000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1780430 28050 ) ( * 298860 )
+      NEW met1 ( 1770310 28050 ) M1M2_PR
+      NEW met1 ( 1780430 28050 ) M1M2_PR ;
     - la_data_out[65] ( PIN la_data_out[65] ) ( mprj la_data_out[65] ) + USE SIGNAL
-      + ROUTED met2 ( 1709900 298860 ) ( 1710970 * )
-      NEW met2 ( 1709900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1787790 2380 0 ) ( * 28730 )
-      NEW met1 ( 1710970 28730 ) ( 1787790 * )
-      NEW met2 ( 1710970 28730 ) ( * 298860 )
-      NEW met1 ( 1710970 28730 ) M1M2_PR
-      NEW met1 ( 1787790 28730 ) M1M2_PR ;
+      + ROUTED met2 ( 1787330 298860 ) ( 1787900 * )
+      NEW met2 ( 1787900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1787330 82800 ) ( 1787790 * )
+      NEW met2 ( 1787790 2380 0 ) ( * 82800 )
+      NEW met2 ( 1787330 82800 ) ( * 298860 ) ;
     - la_data_out[66] ( PIN la_data_out[66] ) ( mprj la_data_out[66] ) + USE SIGNAL
-      + ROUTED met1 ( 1714650 287810 ) ( 1717870 * )
-      NEW met2 ( 1714650 287810 ) ( * 298860 )
-      NEW met2 ( 1714500 298860 ) ( 1714650 * )
-      NEW met2 ( 1714500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1805730 2380 0 ) ( * 29070 )
-      NEW met1 ( 1717870 29070 ) ( 1805730 * )
-      NEW met2 ( 1717870 29070 ) ( * 287810 )
-      NEW met1 ( 1717870 29070 ) M1M2_PR
-      NEW met1 ( 1717870 287810 ) M1M2_PR
-      NEW met1 ( 1714650 287810 ) M1M2_PR
-      NEW met1 ( 1805730 29070 ) M1M2_PR ;
+      + ROUTED met2 ( 1805730 2380 0 ) ( * 28050 )
+      NEW met1 ( 1793770 28050 ) ( 1805730 * )
+      NEW met2 ( 1792700 298860 ) ( 1793770 * )
+      NEW met2 ( 1792700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1793770 28050 ) ( * 298860 )
+      NEW met1 ( 1805730 28050 ) M1M2_PR
+      NEW met1 ( 1793770 28050 ) M1M2_PR ;
     - la_data_out[67] ( PIN la_data_out[67] ) ( mprj la_data_out[67] ) + USE SIGNAL
-      + ROUTED met2 ( 1823210 2380 0 ) ( * 29410 )
-      NEW met1 ( 1719250 288490 ) ( 1724770 * )
-      NEW met2 ( 1719250 288490 ) ( * 298860 )
-      NEW met2 ( 1719000 298860 ) ( 1719250 * )
-      NEW met2 ( 1719000 298860 ) ( * 300220 0 )
-      NEW met1 ( 1724770 29410 ) ( 1823210 * )
-      NEW met2 ( 1724770 29410 ) ( * 288490 )
-      NEW met1 ( 1823210 29410 ) M1M2_PR
-      NEW met1 ( 1724770 29410 ) M1M2_PR
-      NEW met1 ( 1724770 288490 ) M1M2_PR
-      NEW met1 ( 1719250 288490 ) M1M2_PR ;
+      + ROUTED met2 ( 1823210 2380 0 ) ( * 28390 )
+      NEW met1 ( 1800670 28390 ) ( 1823210 * )
+      NEW met1 ( 1797450 288150 ) ( 1800670 * )
+      NEW met2 ( 1797450 288150 ) ( * 298860 )
+      NEW met2 ( 1797400 298860 ) ( 1797450 * )
+      NEW met2 ( 1797400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1800670 28390 ) ( * 288150 )
+      NEW met1 ( 1823210 28390 ) M1M2_PR
+      NEW met1 ( 1800670 28390 ) M1M2_PR
+      NEW met1 ( 1800670 288150 ) M1M2_PR
+      NEW met1 ( 1797450 288150 ) M1M2_PR ;
     - la_data_out[68] ( PIN la_data_out[68] ) ( mprj la_data_out[68] ) + USE SIGNAL
-      + ROUTED met2 ( 1841150 2380 0 ) ( * 29750 )
-      NEW met2 ( 1723500 298860 ) ( 1724310 * )
-      NEW met2 ( 1723500 298860 ) ( * 300220 0 )
-      NEW met1 ( 1724310 29750 ) ( 1841150 * )
-      NEW met2 ( 1724310 29750 ) ( * 298860 )
-      NEW met1 ( 1841150 29750 ) M1M2_PR
-      NEW met1 ( 1724310 29750 ) M1M2_PR ;
+      + ROUTED met2 ( 1841150 2380 0 ) ( * 33490 )
+      NEW met1 ( 1807110 33490 ) ( 1841150 * )
+      NEW met1 ( 1802050 288150 ) ( 1807110 * )
+      NEW met2 ( 1802050 288150 ) ( * 298860 )
+      NEW met2 ( 1802050 298860 ) ( 1802100 * )
+      NEW met2 ( 1802100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1807110 33490 ) ( * 288150 )
+      NEW met1 ( 1841150 33490 ) M1M2_PR
+      NEW met1 ( 1807110 33490 ) M1M2_PR
+      NEW met1 ( 1807110 288150 ) M1M2_PR
+      NEW met1 ( 1802050 288150 ) M1M2_PR ;
     - la_data_out[69] ( PIN la_data_out[69] ) ( mprj la_data_out[69] ) + USE SIGNAL
-      + ROUTED met1 ( 1727990 288490 ) ( 1731670 * )
-      NEW met2 ( 1727990 288490 ) ( * 298860 )
-      NEW met2 ( 1727990 298860 ) ( 1728000 * )
-      NEW met2 ( 1728000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1858630 2380 0 ) ( * 30090 )
-      NEW met2 ( 1731670 30090 ) ( * 288490 )
-      NEW met1 ( 1731670 30090 ) ( 1858630 * )
-      NEW met1 ( 1731670 30090 ) M1M2_PR
-      NEW met1 ( 1731670 288490 ) M1M2_PR
-      NEW met1 ( 1727990 288490 ) M1M2_PR
-      NEW met1 ( 1858630 30090 ) M1M2_PR ;
+      + ROUTED met2 ( 1858630 2380 0 ) ( * 32810 )
+      NEW met1 ( 1807570 32810 ) ( 1858630 * )
+      NEW met2 ( 1806900 298860 ) ( 1807570 * )
+      NEW met2 ( 1806900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1807570 32810 ) ( * 298860 )
+      NEW met1 ( 1858630 32810 ) M1M2_PR
+      NEW met1 ( 1807570 32810 ) M1M2_PR ;
     - la_data_out[6] ( PIN la_data_out[6] ) ( mprj la_data_out[6] ) + USE SIGNAL
-      + ROUTED met2 ( 1442330 287810 ) ( * 299540 )
-      NEW met2 ( 1442330 299540 ) ( 1443600 * )
-      NEW met2 ( 1443600 299540 ) ( * 300220 0 )
-      NEW met2 ( 741750 2380 0 ) ( * 17510 )
+      + ROUTED met2 ( 741750 2380 0 ) ( * 17510 )
       NEW met1 ( 741750 17510 ) ( 744970 * )
-      NEW met2 ( 744970 17510 ) ( * 287810 )
-      NEW met1 ( 744970 287810 ) ( 1442330 * )
-      NEW met1 ( 1442330 287810 ) M1M2_PR
+      NEW met1 ( 744970 182750 ) ( 1500750 * )
+      NEW met1 ( 1500750 288150 ) ( 1505810 * )
+      NEW met2 ( 1505810 288150 ) ( * 298860 )
+      NEW met2 ( 1505810 298860 ) ( 1506000 * )
+      NEW met2 ( 1506000 298860 ) ( * 300220 0 )
+      NEW met2 ( 744970 17510 ) ( * 182750 )
+      NEW met2 ( 1500750 182750 ) ( * 288150 )
       NEW met1 ( 741750 17510 ) M1M2_PR
       NEW met1 ( 744970 17510 ) M1M2_PR
-      NEW met1 ( 744970 287810 ) M1M2_PR ;
+      NEW met1 ( 744970 182750 ) M1M2_PR
+      NEW met1 ( 1500750 182750 ) M1M2_PR
+      NEW met1 ( 1500750 288150 ) M1M2_PR
+      NEW met1 ( 1505810 288150 ) M1M2_PR ;
     - la_data_out[70] ( PIN la_data_out[70] ) ( mprj la_data_out[70] ) + USE SIGNAL
-      + ROUTED met1 ( 1732590 288490 ) ( 1738570 * )
-      NEW met2 ( 1732590 288490 ) ( * 298860 )
-      NEW met2 ( 1732500 298860 ) ( 1732590 * )
-      NEW met2 ( 1732500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1738570 30430 ) ( * 288490 )
-      NEW met2 ( 1876570 2380 0 ) ( * 30430 )
-      NEW met1 ( 1738570 30430 ) ( 1876570 * )
-      NEW met1 ( 1738570 30430 ) M1M2_PR
-      NEW met1 ( 1738570 288490 ) M1M2_PR
-      NEW met1 ( 1732590 288490 ) M1M2_PR
-      NEW met1 ( 1876570 30430 ) M1M2_PR ;
+      + ROUTED met1 ( 1811710 288150 ) ( 1814470 * )
+      NEW met2 ( 1811710 288150 ) ( * 298860 )
+      NEW met2 ( 1811700 298860 ) ( 1811710 * )
+      NEW met2 ( 1811700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1876570 2380 0 ) ( * 28730 )
+      NEW met1 ( 1814470 28730 ) ( 1876570 * )
+      NEW met2 ( 1814470 28730 ) ( * 288150 )
+      NEW met1 ( 1814470 28730 ) M1M2_PR
+      NEW met1 ( 1814470 288150 ) M1M2_PR
+      NEW met1 ( 1811710 288150 ) M1M2_PR
+      NEW met1 ( 1876570 28730 ) M1M2_PR ;
     - la_data_out[71] ( PIN la_data_out[71] ) ( mprj la_data_out[71] ) + USE SIGNAL
-      + ROUTED met2 ( 1737000 298860 ) ( 1738110 * )
-      NEW met2 ( 1737000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1738110 34170 ) ( * 298860 )
-      NEW met2 ( 1894510 2380 0 ) ( * 34170 )
-      NEW met1 ( 1738110 34170 ) ( 1894510 * )
-      NEW met1 ( 1738110 34170 ) M1M2_PR
-      NEW met1 ( 1894510 34170 ) M1M2_PR ;
+      + ROUTED met1 ( 1816770 288150 ) ( 1820910 * )
+      NEW met2 ( 1816770 288150 ) ( * 298860 )
+      NEW met2 ( 1816500 298860 ) ( 1816770 * )
+      NEW met2 ( 1816500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1894510 2380 0 ) ( * 29070 )
+      NEW met1 ( 1820910 29070 ) ( 1894510 * )
+      NEW met2 ( 1820910 29070 ) ( * 288150 )
+      NEW met1 ( 1820910 29070 ) M1M2_PR
+      NEW met1 ( 1820910 288150 ) M1M2_PR
+      NEW met1 ( 1816770 288150 ) M1M2_PR
+      NEW met1 ( 1894510 29070 ) M1M2_PR ;
     - la_data_out[72] ( PIN la_data_out[72] ) ( mprj la_data_out[72] ) + USE SIGNAL
-      + ROUTED met1 ( 1741790 288490 ) ( 1745470 * )
-      NEW met2 ( 1741790 288490 ) ( * 298860 )
-      NEW met2 ( 1741500 298860 ) ( 1741790 * )
-      NEW met2 ( 1741500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1745470 33830 ) ( * 288490 )
-      NEW met2 ( 1911990 2380 0 ) ( * 33830 )
-      NEW met1 ( 1745470 33830 ) ( 1911990 * )
-      NEW met1 ( 1745470 33830 ) M1M2_PR
-      NEW met1 ( 1745470 288490 ) M1M2_PR
-      NEW met1 ( 1741790 288490 ) M1M2_PR
-      NEW met1 ( 1911990 33830 ) M1M2_PR ;
+      + ROUTED met2 ( 1821300 298860 ) ( 1821370 * )
+      NEW met2 ( 1821300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1911990 2380 0 ) ( * 29410 )
+      NEW met1 ( 1821370 29410 ) ( 1911990 * )
+      NEW met2 ( 1821370 29410 ) ( * 298860 )
+      NEW met1 ( 1821370 29410 ) M1M2_PR
+      NEW met1 ( 1911990 29410 ) M1M2_PR ;
     - la_data_out[73] ( PIN la_data_out[73] ) ( mprj la_data_out[73] ) + USE SIGNAL
-      + ROUTED met1 ( 1746390 288490 ) ( 1751910 * )
-      NEW met2 ( 1746390 288490 ) ( * 298860 )
-      NEW met2 ( 1746100 298860 ) ( 1746390 * )
-      NEW met2 ( 1746100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1929930 2380 0 ) ( * 33490 )
-      NEW met2 ( 1751910 33490 ) ( * 288490 )
-      NEW met1 ( 1751910 33490 ) ( 1929930 * )
-      NEW met1 ( 1751910 33490 ) M1M2_PR
-      NEW met1 ( 1751910 288490 ) M1M2_PR
-      NEW met1 ( 1746390 288490 ) M1M2_PR
-      NEW met1 ( 1929930 33490 ) M1M2_PR ;
+      + ROUTED met1 ( 1825970 288150 ) ( 1828270 * )
+      NEW met2 ( 1825970 288150 ) ( * 298860 )
+      NEW met2 ( 1825970 298860 ) ( 1826000 * )
+      NEW met2 ( 1826000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1929930 2380 0 ) ( * 29750 )
+      NEW met2 ( 1828270 29750 ) ( * 288150 )
+      NEW met1 ( 1828270 29750 ) ( 1929930 * )
+      NEW met1 ( 1828270 29750 ) M1M2_PR
+      NEW met1 ( 1828270 288150 ) M1M2_PR
+      NEW met1 ( 1825970 288150 ) M1M2_PR
+      NEW met1 ( 1929930 29750 ) M1M2_PR ;
     - la_data_out[74] ( PIN la_data_out[74] ) ( mprj la_data_out[74] ) + USE SIGNAL
-      + ROUTED met2 ( 1750600 298860 ) ( 1752370 * )
-      NEW met2 ( 1750600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1752370 33150 ) ( * 298860 )
-      NEW met1 ( 1752370 33150 ) ( 1947410 * )
-      NEW met2 ( 1947410 2380 0 ) ( * 33150 )
-      NEW met1 ( 1752370 33150 ) M1M2_PR
-      NEW met1 ( 1947410 33150 ) M1M2_PR ;
-    - la_data_out[75] ( PIN la_data_out[75] ) ( mprj la_data_out[75] ) + USE SIGNAL
-      + ROUTED met1 ( 1755130 288490 ) ( 1759270 * )
-      NEW met2 ( 1755130 288490 ) ( * 298860 )
-      NEW met2 ( 1755100 298860 ) ( 1755130 * )
-      NEW met2 ( 1755100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1759270 32810 ) ( * 288490 )
-      NEW met1 ( 1759270 32810 ) ( 1965350 * )
-      NEW met2 ( 1965350 2380 0 ) ( * 32810 )
-      NEW met1 ( 1759270 32810 ) M1M2_PR
-      NEW met1 ( 1759270 288490 ) M1M2_PR
-      NEW met1 ( 1755130 288490 ) M1M2_PR
-      NEW met1 ( 1965350 32810 ) M1M2_PR ;
-    - la_data_out[76] ( PIN la_data_out[76] ) ( mprj la_data_out[76] ) + USE SIGNAL
-      + ROUTED met1 ( 1759730 288490 ) ( 1766170 * )
-      NEW met2 ( 1759730 288490 ) ( * 298860 )
-      NEW met2 ( 1759600 298860 ) ( 1759730 * )
-      NEW met2 ( 1759600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1766170 27710 ) ( * 288490 )
-      NEW li1 ( 1964430 27710 ) ( * 31450 )
-      NEW met1 ( 1964430 31450 ) ( 1982830 * )
-      NEW met1 ( 1766170 27710 ) ( 1964430 * )
-      NEW met2 ( 1982830 2380 0 ) ( * 31450 )
-      NEW met1 ( 1766170 27710 ) M1M2_PR
-      NEW met1 ( 1766170 288490 ) M1M2_PR
-      NEW met1 ( 1759730 288490 ) M1M2_PR
-      NEW li1 ( 1964430 27710 ) L1M1_PR_MR
-      NEW li1 ( 1964430 31450 ) L1M1_PR_MR
-      NEW met1 ( 1982830 31450 ) M1M2_PR ;
-    - la_data_out[77] ( PIN la_data_out[77] ) ( mprj la_data_out[77] ) + USE SIGNAL
-      + ROUTED met2 ( 1764100 298860 ) ( 1765710 * )
-      NEW met2 ( 1764100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1765710 35190 ) ( * 298860 )
-      NEW met2 ( 2000770 2380 0 ) ( * 35190 )
-      NEW met1 ( 1765710 35190 ) ( 2000770 * )
-      NEW met1 ( 1765710 35190 ) M1M2_PR
-      NEW met1 ( 2000770 35190 ) M1M2_PR ;
-    - la_data_out[78] ( PIN la_data_out[78] ) ( mprj la_data_out[78] ) + USE SIGNAL
-      + ROUTED met2 ( 1768470 289170 ) ( * 298860 )
-      NEW met2 ( 1768470 298860 ) ( 1768600 * )
-      NEW met2 ( 1768600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2018250 2380 0 ) ( * 61710 )
-      NEW met1 ( 1768470 289170 ) ( 1894050 * )
-      NEW met2 ( 1894050 61710 ) ( * 289170 )
-      NEW met1 ( 1894050 61710 ) ( 2018250 * )
-      NEW met1 ( 1768470 289170 ) M1M2_PR
-      NEW met1 ( 2018250 61710 ) M1M2_PR
-      NEW met1 ( 1894050 289170 ) M1M2_PR
-      NEW met1 ( 1894050 61710 ) M1M2_PR ;
-    - la_data_out[79] ( PIN la_data_out[79] ) ( mprj la_data_out[79] ) + USE SIGNAL
-      + ROUTED met2 ( 1773070 298860 ) ( 1773100 * )
-      NEW met2 ( 1773100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1773070 61370 ) ( * 298860 )
-      NEW met2 ( 2036190 2380 0 ) ( * 34500 )
-      NEW met2 ( 2035730 34500 ) ( * 61370 )
-      NEW met2 ( 2035730 34500 ) ( 2036190 * )
-      NEW met1 ( 1773070 61370 ) ( 2035730 * )
-      NEW met1 ( 1773070 61370 ) M1M2_PR
-      NEW met1 ( 2035730 61370 ) M1M2_PR ;
-    - la_data_out[7] ( PIN la_data_out[7] ) ( mprj la_data_out[7] ) + USE SIGNAL
-      + ROUTED met2 ( 759230 2380 0 ) ( * 17850 )
-      NEW met1 ( 759230 17850 ) ( 765670 * )
-      NEW met2 ( 1442790 298860 ) ( 1448100 * )
-      NEW met2 ( 1448100 298860 ) ( * 300220 0 )
-      NEW met2 ( 765670 17850 ) ( * 155210 )
-      NEW met2 ( 1442790 155210 ) ( * 298860 )
-      NEW met1 ( 765670 155210 ) ( 1442790 * )
-      NEW met1 ( 759230 17850 ) M1M2_PR
-      NEW met1 ( 765670 17850 ) M1M2_PR
-      NEW met1 ( 765670 155210 ) M1M2_PR
-      NEW met1 ( 1442790 155210 ) M1M2_PR ;
-    - la_data_out[80] ( PIN la_data_out[80] ) ( mprj la_data_out[80] ) + USE SIGNAL
-      + ROUTED met2 ( 2049990 82800 ) ( * 87550 )
-      NEW met2 ( 2049990 82800 ) ( 2054130 * )
-      NEW met2 ( 2054130 2380 0 ) ( * 82800 )
-      NEW met1 ( 1777670 289510 ) ( 1790550 * )
-      NEW met2 ( 1777670 289510 ) ( * 298860 )
-      NEW met2 ( 1777670 298860 ) ( 1777700 * )
-      NEW met2 ( 1777700 298860 ) ( * 300220 0 )
-      NEW met1 ( 1790550 87550 ) ( 2049990 * )
-      NEW met2 ( 1790550 87550 ) ( * 289510 )
-      NEW met1 ( 2049990 87550 ) M1M2_PR
-      NEW met1 ( 1790550 87550 ) M1M2_PR
-      NEW met1 ( 1790550 289510 ) M1M2_PR
-      NEW met1 ( 1777670 289510 ) M1M2_PR ;
-    - la_data_out[81] ( PIN la_data_out[81] ) ( mprj la_data_out[81] ) + USE SIGNAL
-      + ROUTED met1 ( 1782270 288830 ) ( 1786410 * )
-      NEW met2 ( 1782270 288830 ) ( * 298860 )
-      NEW met2 ( 1782200 298860 ) ( 1782270 * )
-      NEW met2 ( 1782200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1786410 41990 ) ( * 288830 )
-      NEW met2 ( 2071610 2380 0 ) ( * 41990 )
-      NEW met1 ( 1786410 41990 ) ( 2071610 * )
-      NEW met1 ( 1786410 288830 ) M1M2_PR
-      NEW met1 ( 1782270 288830 ) M1M2_PR
-      NEW met1 ( 1786410 41990 ) M1M2_PR
-      NEW met1 ( 2071610 41990 ) M1M2_PR ;
-    - la_data_out[82] ( PIN la_data_out[82] ) ( mprj la_data_out[82] ) + USE SIGNAL
-      + ROUTED met2 ( 1786700 298860 ) ( 1786870 * )
-      NEW met2 ( 1786700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1786870 42330 ) ( * 298860 )
-      NEW met2 ( 2089550 2380 0 ) ( * 42330 )
-      NEW met1 ( 1786870 42330 ) ( 2089550 * )
-      NEW met1 ( 1786870 42330 ) M1M2_PR
-      NEW met1 ( 2089550 42330 ) M1M2_PR ;
-    - la_data_out[83] ( PIN la_data_out[83] ) ( mprj la_data_out[83] ) + USE SIGNAL
-      + ROUTED met1 ( 1791470 288830 ) ( 1793770 * )
-      NEW met2 ( 1791470 288830 ) ( * 298860 )
-      NEW met2 ( 1791200 298860 ) ( 1791470 * )
-      NEW met2 ( 1791200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1793770 42670 ) ( * 288830 )
-      NEW met2 ( 2107030 2380 0 ) ( * 42670 )
-      NEW met1 ( 1793770 42670 ) ( 2107030 * )
-      NEW met1 ( 1793770 288830 ) M1M2_PR
-      NEW met1 ( 1791470 288830 ) M1M2_PR
-      NEW met1 ( 1793770 42670 ) M1M2_PR
-      NEW met1 ( 2107030 42670 ) M1M2_PR ;
-    - la_data_out[84] ( PIN la_data_out[84] ) ( mprj la_data_out[84] ) + USE SIGNAL
-      + ROUTED met2 ( 2124970 2380 0 ) ( * 43010 )
-      NEW met1 ( 1795610 288830 ) ( 1800670 * )
-      NEW met2 ( 1795610 288830 ) ( * 298860 )
-      NEW met2 ( 1795610 298860 ) ( 1795700 * )
-      NEW met2 ( 1795700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1800670 43010 ) ( * 288830 )
-      NEW met1 ( 1800670 43010 ) ( 2124970 * )
-      NEW met1 ( 2124970 43010 ) M1M2_PR
-      NEW met1 ( 1800670 288830 ) M1M2_PR
-      NEW met1 ( 1795610 288830 ) M1M2_PR
-      NEW met1 ( 1800670 43010 ) M1M2_PR ;
-    - la_data_out[85] ( PIN la_data_out[85] ) ( mprj la_data_out[85] ) + USE SIGNAL
-      + ROUTED met2 ( 2142450 2380 0 ) ( * 43350 )
-      NEW met2 ( 1800200 298860 ) ( 1800210 * )
-      NEW met2 ( 1800200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1800210 43350 ) ( * 298860 )
-      NEW met1 ( 1800210 43350 ) ( 2142450 * )
-      NEW met1 ( 2142450 43350 ) M1M2_PR
-      NEW met1 ( 1800210 43350 ) M1M2_PR ;
-    - la_data_out[86] ( PIN la_data_out[86] ) ( mprj la_data_out[86] ) + USE SIGNAL
-      + ROUTED met1 ( 1804810 288830 ) ( 1807570 * )
-      NEW met2 ( 1804810 288830 ) ( * 298860 )
-      NEW met2 ( 1804800 298860 ) ( 1804810 * )
-      NEW met2 ( 1804800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1807570 43690 ) ( * 288830 )
-      NEW met2 ( 2160390 2380 0 ) ( * 43690 )
-      NEW met1 ( 1807570 43690 ) ( 2160390 * )
-      NEW met1 ( 1807570 288830 ) M1M2_PR
-      NEW met1 ( 1804810 288830 ) M1M2_PR
-      NEW met1 ( 1807570 43690 ) M1M2_PR
-      NEW met1 ( 2160390 43690 ) M1M2_PR ;
-    - la_data_out[87] ( PIN la_data_out[87] ) ( mprj la_data_out[87] ) + USE SIGNAL
-      + ROUTED met1 ( 1809410 288830 ) ( 1814470 * )
-      NEW met2 ( 1809410 288830 ) ( * 298860 )
-      NEW met2 ( 1809300 298860 ) ( 1809410 * )
-      NEW met2 ( 1809300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1814470 44030 ) ( * 288830 )
-      NEW met2 ( 2177870 2380 0 ) ( * 44030 )
-      NEW met1 ( 1814470 44030 ) ( 2177870 * )
-      NEW met1 ( 1814470 288830 ) M1M2_PR
-      NEW met1 ( 1809410 288830 ) M1M2_PR
-      NEW met1 ( 1814470 44030 ) M1M2_PR
-      NEW met1 ( 2177870 44030 ) M1M2_PR ;
-    - la_data_out[88] ( PIN la_data_out[88] ) ( mprj la_data_out[88] ) + USE SIGNAL
-      + ROUTED met2 ( 1813800 298860 ) ( 1814010 * )
-      NEW met2 ( 1813800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1814010 44370 ) ( * 298860 )
-      NEW met2 ( 2195810 2380 0 ) ( * 44370 )
-      NEW met1 ( 1814010 44370 ) ( 2195810 * )
-      NEW met1 ( 1814010 44370 ) M1M2_PR
-      NEW met1 ( 2195810 44370 ) M1M2_PR ;
-    - la_data_out[89] ( PIN la_data_out[89] ) ( mprj la_data_out[89] ) + USE SIGNAL
-      + ROUTED met1 ( 1818150 289510 ) ( 1821370 * )
-      NEW met2 ( 1818150 289510 ) ( * 298860 )
-      NEW met2 ( 1818150 298860 ) ( 1818300 * )
-      NEW met2 ( 1818300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1821370 48110 ) ( * 289510 )
-      NEW met2 ( 2213290 2380 0 ) ( * 48110 )
-      NEW met1 ( 1821370 48110 ) ( 2213290 * )
-      NEW met1 ( 2213290 48110 ) M1M2_PR
-      NEW met1 ( 1821370 289510 ) M1M2_PR
-      NEW met1 ( 1818150 289510 ) M1M2_PR
-      NEW met1 ( 1821370 48110 ) M1M2_PR ;
-    - la_data_out[8] ( PIN la_data_out[8] ) ( mprj la_data_out[8] ) + USE SIGNAL
-      + ROUTED met2 ( 777170 2380 0 ) ( * 17850 )
-      NEW met1 ( 777170 17850 ) ( 779470 * )
-      NEW met2 ( 1452450 288490 ) ( * 298860 )
-      NEW met2 ( 1452450 298860 ) ( 1452600 * )
-      NEW met2 ( 1452600 298860 ) ( * 300220 0 )
-      NEW met2 ( 779470 17850 ) ( * 288490 )
-      NEW met1 ( 779470 288490 ) ( 1452450 * )
-      NEW met1 ( 777170 17850 ) M1M2_PR
-      NEW met1 ( 779470 17850 ) M1M2_PR
-      NEW met1 ( 779470 288490 ) M1M2_PR
-      NEW met1 ( 1452450 288490 ) M1M2_PR ;
-    - la_data_out[90] ( PIN la_data_out[90] ) ( mprj la_data_out[90] ) + USE SIGNAL
-      + ROUTED met1 ( 1822750 288150 ) ( 1827810 * )
-      NEW met2 ( 1822750 288150 ) ( * 298860 )
-      NEW met2 ( 1822750 298860 ) ( 1822800 * )
-      NEW met2 ( 1822800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1827810 47770 ) ( * 288150 )
-      NEW met2 ( 2231230 2380 0 ) ( * 47770 )
-      NEW met1 ( 1827810 47770 ) ( 2231230 * )
-      NEW met1 ( 1827810 288150 ) M1M2_PR
-      NEW met1 ( 1822750 288150 ) M1M2_PR
-      NEW met1 ( 1827810 47770 ) M1M2_PR
-      NEW met1 ( 2231230 47770 ) M1M2_PR ;
-    - la_data_out[91] ( PIN la_data_out[91] ) ( mprj la_data_out[91] ) + USE SIGNAL
-      + ROUTED met2 ( 1827300 298860 ) ( 1828270 * )
-      NEW met2 ( 1827300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1828270 47430 ) ( * 298860 )
-      NEW met2 ( 2249170 2380 0 ) ( * 47430 )
-      NEW met1 ( 1828270 47430 ) ( 2249170 * )
-      NEW met1 ( 1828270 47430 ) M1M2_PR
-      NEW met1 ( 2249170 47430 ) M1M2_PR ;
-    - la_data_out[92] ( PIN la_data_out[92] ) ( mprj la_data_out[92] ) + USE SIGNAL
-      + ROUTED met1 ( 1831950 288150 ) ( 1835170 * )
-      NEW met2 ( 1831950 288150 ) ( * 298860 )
-      NEW met2 ( 1831800 298860 ) ( 1831950 * )
-      NEW met2 ( 1831800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1835170 47090 ) ( * 288150 )
-      NEW met2 ( 2266650 2380 0 ) ( * 47090 )
-      NEW met1 ( 1835170 47090 ) ( 2266650 * )
+      + ROUTED met1 ( 1831030 288150 ) ( 1835170 * )
+      NEW met2 ( 1831030 288150 ) ( * 298860 )
+      NEW met2 ( 1830800 298860 ) ( 1831030 * )
+      NEW met2 ( 1830800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1947410 2380 0 ) ( * 30090 )
+      NEW met2 ( 1835170 30090 ) ( * 288150 )
+      NEW met1 ( 1835170 30090 ) ( 1947410 * )
+      NEW met1 ( 1835170 30090 ) M1M2_PR
       NEW met1 ( 1835170 288150 ) M1M2_PR
-      NEW met1 ( 1831950 288150 ) M1M2_PR
-      NEW met1 ( 1835170 47090 ) M1M2_PR
-      NEW met1 ( 2266650 47090 ) M1M2_PR ;
-    - la_data_out[93] ( PIN la_data_out[93] ) ( mprj la_data_out[93] ) + USE SIGNAL
-      + ROUTED met1 ( 1836550 288150 ) ( 1842070 * )
-      NEW met2 ( 1836550 288150 ) ( * 298860 )
-      NEW met2 ( 1836400 298860 ) ( 1836550 * )
-      NEW met2 ( 1836400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1842070 46750 ) ( * 288150 )
-      NEW met2 ( 2284590 2380 0 ) ( * 46750 )
-      NEW met1 ( 1842070 46750 ) ( 2284590 * )
-      NEW met1 ( 1842070 288150 ) M1M2_PR
-      NEW met1 ( 1836550 288150 ) M1M2_PR
-      NEW met1 ( 1842070 46750 ) M1M2_PR
-      NEW met1 ( 2284590 46750 ) M1M2_PR ;
-    - la_data_out[94] ( PIN la_data_out[94] ) ( mprj la_data_out[94] ) + USE SIGNAL
-      + ROUTED met2 ( 1840900 298860 ) ( 1841610 * )
-      NEW met2 ( 1840900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1841610 46410 ) ( * 298860 )
-      NEW met2 ( 2302070 2380 0 ) ( * 46410 )
-      NEW met1 ( 1841610 46410 ) ( 2302070 * )
-      NEW met1 ( 1841610 46410 ) M1M2_PR
-      NEW met1 ( 2302070 46410 ) M1M2_PR ;
-    - la_data_out[95] ( PIN la_data_out[95] ) ( mprj la_data_out[95] ) + USE SIGNAL
+      NEW met1 ( 1831030 288150 ) M1M2_PR
+      NEW met1 ( 1947410 30090 ) M1M2_PR ;
+    - la_data_out[75] ( PIN la_data_out[75] ) ( mprj la_data_out[75] ) + USE SIGNAL
+      + ROUTED met1 ( 1835630 283390 ) ( 1841610 * )
+      NEW met2 ( 1835630 283390 ) ( * 298860 )
+      NEW met2 ( 1835600 298860 ) ( 1835630 * )
+      NEW met2 ( 1835600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1965350 2380 0 ) ( * 30430 )
+      NEW met2 ( 1841610 30430 ) ( * 283390 )
+      NEW met1 ( 1841610 30430 ) ( 1965350 * )
+      NEW met1 ( 1841610 30430 ) M1M2_PR
+      NEW met1 ( 1841610 283390 ) M1M2_PR
+      NEW met1 ( 1835630 283390 ) M1M2_PR
+      NEW met1 ( 1965350 30430 ) M1M2_PR ;
+    - la_data_out[76] ( PIN la_data_out[76] ) ( mprj la_data_out[76] ) + USE SIGNAL
+      + ROUTED met2 ( 1840400 298860 ) ( 1842070 * )
+      NEW met2 ( 1840400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1842070 34170 ) ( * 298860 )
+      NEW met2 ( 1982830 2380 0 ) ( * 34170 )
+      NEW met1 ( 1842070 34170 ) ( 1982830 * )
+      NEW met1 ( 1842070 34170 ) M1M2_PR
+      NEW met1 ( 1982830 34170 ) M1M2_PR ;
+    - la_data_out[77] ( PIN la_data_out[77] ) ( mprj la_data_out[77] ) + USE SIGNAL
       + ROUTED met1 ( 1845290 288150 ) ( 1848970 * )
       NEW met2 ( 1845290 288150 ) ( * 298860 )
-      NEW met2 ( 1845290 298860 ) ( 1845400 * )
-      NEW met2 ( 1845400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1848970 46070 ) ( * 288150 )
-      NEW met2 ( 2320010 2380 0 ) ( * 46070 )
-      NEW met1 ( 1848970 46070 ) ( 2320010 * )
+      NEW met2 ( 1845200 298860 ) ( 1845290 * )
+      NEW met2 ( 1845200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1848970 33830 ) ( * 288150 )
+      NEW met2 ( 2000770 2380 0 ) ( * 33830 )
+      NEW met1 ( 1848970 33830 ) ( 2000770 * )
+      NEW met1 ( 1848970 33830 ) M1M2_PR
       NEW met1 ( 1848970 288150 ) M1M2_PR
       NEW met1 ( 1845290 288150 ) M1M2_PR
-      NEW met1 ( 1848970 46070 ) M1M2_PR
-      NEW met1 ( 2320010 46070 ) M1M2_PR ;
-    - la_data_out[96] ( PIN la_data_out[96] ) ( mprj la_data_out[96] ) + USE SIGNAL
-      + ROUTED met1 ( 1849890 288150 ) ( 1855870 * )
+      NEW met1 ( 2000770 33830 ) M1M2_PR ;
+    - la_data_out[78] ( PIN la_data_out[78] ) ( mprj la_data_out[78] ) + USE SIGNAL
+      + ROUTED met1 ( 1849890 288150 ) ( 1855410 * )
       NEW met2 ( 1849890 288150 ) ( * 298860 )
       NEW met2 ( 1849890 298860 ) ( 1849900 * )
       NEW met2 ( 1849900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1855870 45730 ) ( * 288150 )
-      NEW met2 ( 2337490 2380 0 ) ( * 45730 )
-      NEW met1 ( 1855870 45730 ) ( 2337490 * )
-      NEW met1 ( 1855870 288150 ) M1M2_PR
+      NEW met2 ( 2018250 2380 0 ) ( * 16660 )
+      NEW met2 ( 2017790 16660 ) ( 2018250 * )
+      NEW met2 ( 2017790 16660 ) ( * 33490 )
+      NEW met2 ( 1855410 33490 ) ( * 288150 )
+      NEW met1 ( 1855410 33490 ) ( 2017790 * )
+      NEW met1 ( 1855410 33490 ) M1M2_PR
+      NEW met1 ( 1855410 288150 ) M1M2_PR
       NEW met1 ( 1849890 288150 ) M1M2_PR
-      NEW met1 ( 1855870 45730 ) M1M2_PR
+      NEW met1 ( 2017790 33490 ) M1M2_PR ;
+    - la_data_out[79] ( PIN la_data_out[79] ) ( mprj la_data_out[79] ) + USE SIGNAL
+      + ROUTED met2 ( 1854600 298860 ) ( 1855870 * )
+      NEW met2 ( 1854600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2036190 2380 0 ) ( * 33150 )
+      NEW met2 ( 1855870 33150 ) ( * 298860 )
+      NEW met1 ( 1855870 33150 ) ( 2036190 * )
+      NEW met1 ( 1855870 33150 ) M1M2_PR
+      NEW met1 ( 2036190 33150 ) M1M2_PR ;
+    - la_data_out[7] ( PIN la_data_out[7] ) ( mprj la_data_out[7] ) + USE SIGNAL
+      + ROUTED met2 ( 759230 2380 0 ) ( * 17510 )
+      NEW met1 ( 759230 17510 ) ( 765670 * )
+      NEW met2 ( 765210 276000 ) ( * 288150 )
+      NEW met2 ( 765210 276000 ) ( 765670 * )
+      NEW met2 ( 765670 17510 ) ( * 276000 )
+      NEW met1 ( 1497530 288150 ) ( * 288830 )
+      NEW met1 ( 1497530 288830 ) ( 1510870 * )
+      NEW met2 ( 1510870 288830 ) ( * 298860 )
+      NEW met2 ( 1510800 298860 ) ( 1510870 * )
+      NEW met2 ( 1510800 298860 ) ( * 300220 0 )
+      NEW met1 ( 765210 288150 ) ( 1497530 * )
+      NEW met1 ( 759230 17510 ) M1M2_PR
+      NEW met1 ( 765670 17510 ) M1M2_PR
+      NEW met1 ( 765210 288150 ) M1M2_PR
+      NEW met1 ( 1510870 288830 ) M1M2_PR ;
+    - la_data_out[80] ( PIN la_data_out[80] ) ( mprj la_data_out[80] ) + USE SIGNAL
+      + ROUTED met1 ( 1859550 288150 ) ( 1862770 * )
+      NEW met2 ( 1859550 288150 ) ( * 298860 )
+      NEW met2 ( 1859400 298860 ) ( 1859550 * )
+      NEW met2 ( 1859400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2054130 2380 0 ) ( * 32810 )
+      NEW met2 ( 1862770 32810 ) ( * 288150 )
+      NEW met1 ( 1862770 32810 ) ( 2054130 * )
+      NEW met1 ( 1862770 32810 ) M1M2_PR
+      NEW met1 ( 1862770 288150 ) M1M2_PR
+      NEW met1 ( 1859550 288150 ) M1M2_PR
+      NEW met1 ( 2054130 32810 ) M1M2_PR ;
+    - la_data_out[81] ( PIN la_data_out[81] ) ( mprj la_data_out[81] ) + USE SIGNAL
+      + ROUTED met1 ( 1864150 288150 ) ( 1869210 * )
+      NEW met2 ( 1864150 288150 ) ( * 298860 )
+      NEW met2 ( 1864150 298860 ) ( 1864200 * )
+      NEW met2 ( 1864200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1869210 32470 ) ( * 288150 )
+      NEW met1 ( 1869210 32470 ) ( 1966500 * )
+      NEW met1 ( 1966500 31450 ) ( * 32470 )
+      NEW met2 ( 2071610 2380 0 ) ( * 31450 )
+      NEW met1 ( 1966500 31450 ) ( 2071610 * )
+      NEW met1 ( 1869210 32470 ) M1M2_PR
+      NEW met1 ( 1869210 288150 ) M1M2_PR
+      NEW met1 ( 1864150 288150 ) M1M2_PR
+      NEW met1 ( 2071610 31450 ) M1M2_PR ;
+    - la_data_out[82] ( PIN la_data_out[82] ) ( mprj la_data_out[82] ) + USE SIGNAL
+      + ROUTED met2 ( 1869000 298860 ) ( 1869670 * )
+      NEW met2 ( 1869000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1869670 28050 ) ( * 298860 )
+      NEW met1 ( 1918200 27710 ) ( * 28050 )
+      NEW met1 ( 1869670 28050 ) ( 1918200 * )
+      NEW met2 ( 2089550 2380 0 ) ( * 27710 )
+      NEW met1 ( 1918200 27710 ) ( 2089550 * )
+      NEW met1 ( 1869670 28050 ) M1M2_PR
+      NEW met1 ( 2089550 27710 ) M1M2_PR ;
+    - la_data_out[83] ( PIN la_data_out[83] ) ( mprj la_data_out[83] ) + USE SIGNAL
+      + ROUTED met1 ( 1873810 288150 ) ( 1876570 * )
+      NEW met2 ( 1873810 288150 ) ( * 298860 )
+      NEW met2 ( 1873800 298860 ) ( 1873810 * )
+      NEW met2 ( 1873800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1876570 35190 ) ( * 288150 )
+      NEW met1 ( 1876570 35190 ) ( 2107030 * )
+      NEW met2 ( 2107030 2380 0 ) ( * 35190 )
+      NEW met1 ( 1876570 288150 ) M1M2_PR
+      NEW met1 ( 1873810 288150 ) M1M2_PR
+      NEW met1 ( 1876570 35190 ) M1M2_PR
+      NEW met1 ( 2107030 35190 ) M1M2_PR ;
+    - la_data_out[84] ( PIN la_data_out[84] ) ( mprj la_data_out[84] ) + USE SIGNAL
+      + ROUTED met2 ( 2124970 2380 0 ) ( * 35530 )
+      NEW met1 ( 1878870 288150 ) ( 1883010 * )
+      NEW met2 ( 1878870 288150 ) ( * 298860 )
+      NEW met2 ( 1878600 298860 ) ( 1878870 * )
+      NEW met2 ( 1878600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1883010 35530 ) ( * 288150 )
+      NEW met1 ( 1883010 35530 ) ( 2124970 * )
+      NEW met1 ( 2124970 35530 ) M1M2_PR
+      NEW met1 ( 1883010 288150 ) M1M2_PR
+      NEW met1 ( 1878870 288150 ) M1M2_PR
+      NEW met1 ( 1883010 35530 ) M1M2_PR ;
+    - la_data_out[85] ( PIN la_data_out[85] ) ( mprj la_data_out[85] ) + USE SIGNAL
+      + ROUTED met2 ( 2142450 2380 0 ) ( * 35870 )
+      NEW met2 ( 1883300 298860 ) ( 1883470 * )
+      NEW met2 ( 1883300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1883470 35870 ) ( * 298860 )
+      NEW met1 ( 1883470 35870 ) ( 2142450 * )
+      NEW met1 ( 2142450 35870 ) M1M2_PR
+      NEW met1 ( 1883470 35870 ) M1M2_PR ;
+    - la_data_out[86] ( PIN la_data_out[86] ) ( mprj la_data_out[86] ) + USE SIGNAL
+      + ROUTED met1 ( 1888070 288150 ) ( 1890370 * )
+      NEW met2 ( 1888070 288150 ) ( * 298860 )
+      NEW met2 ( 1888070 298860 ) ( 1888100 * )
+      NEW met2 ( 1888100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1890370 36210 ) ( * 288150 )
+      NEW met1 ( 1890370 36210 ) ( 2160390 * )
+      NEW met2 ( 2160390 2380 0 ) ( * 36210 )
+      NEW met1 ( 1890370 288150 ) M1M2_PR
+      NEW met1 ( 1888070 288150 ) M1M2_PR
+      NEW met1 ( 1890370 36210 ) M1M2_PR
+      NEW met1 ( 2160390 36210 ) M1M2_PR ;
+    - la_data_out[87] ( PIN la_data_out[87] ) ( mprj la_data_out[87] ) + USE SIGNAL
+      + ROUTED met1 ( 1893130 288150 ) ( 1897270 * )
+      NEW met2 ( 1893130 288150 ) ( * 298860 )
+      NEW met2 ( 1892900 298860 ) ( 1893130 * )
+      NEW met2 ( 1892900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1897270 44030 ) ( * 288150 )
+      NEW met1 ( 1897270 44030 ) ( 2177870 * )
+      NEW met2 ( 2177870 2380 0 ) ( * 44030 )
+      NEW met1 ( 1897270 288150 ) M1M2_PR
+      NEW met1 ( 1893130 288150 ) M1M2_PR
+      NEW met1 ( 1897270 44030 ) M1M2_PR
+      NEW met1 ( 2177870 44030 ) M1M2_PR ;
+    - la_data_out[88] ( PIN la_data_out[88] ) ( mprj la_data_out[88] ) + USE SIGNAL
+      + ROUTED met1 ( 1897730 288150 ) ( 1904170 * )
+      NEW met2 ( 1897730 288150 ) ( * 298860 )
+      NEW met2 ( 1897600 298860 ) ( 1897730 * )
+      NEW met2 ( 1897600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1904170 44370 ) ( * 288150 )
+      NEW met1 ( 1904170 44370 ) ( 2195810 * )
+      NEW met2 ( 2195810 2380 0 ) ( * 44370 )
+      NEW met1 ( 1904170 288150 ) M1M2_PR
+      NEW met1 ( 1897730 288150 ) M1M2_PR
+      NEW met1 ( 1904170 44370 ) M1M2_PR
+      NEW met1 ( 2195810 44370 ) M1M2_PR ;
+    - la_data_out[89] ( PIN la_data_out[89] ) ( mprj la_data_out[89] ) + USE SIGNAL
+      + ROUTED met2 ( 2213290 2380 0 ) ( * 48110 )
+      NEW met2 ( 1902400 298860 ) ( 1903710 * )
+      NEW met2 ( 1902400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1903710 48110 ) ( * 298860 )
+      NEW met1 ( 1903710 48110 ) ( 2213290 * )
+      NEW met1 ( 2213290 48110 ) M1M2_PR
+      NEW met1 ( 1903710 48110 ) M1M2_PR ;
+    - la_data_out[8] ( PIN la_data_out[8] ) ( mprj la_data_out[8] ) + USE SIGNAL
+      + ROUTED met2 ( 777170 2380 0 ) ( * 17510 )
+      NEW met1 ( 777170 17510 ) ( 779470 * )
+      NEW met2 ( 779470 17510 ) ( * 80750 )
+      NEW met2 ( 1511330 298860 ) ( 1515500 * )
+      NEW met2 ( 1515500 298860 ) ( * 300220 0 )
+      NEW met1 ( 779470 80750 ) ( 1511330 * )
+      NEW met2 ( 1511330 80750 ) ( * 298860 )
+      NEW met1 ( 777170 17510 ) M1M2_PR
+      NEW met1 ( 779470 17510 ) M1M2_PR
+      NEW met1 ( 779470 80750 ) M1M2_PR
+      NEW met1 ( 1511330 80750 ) M1M2_PR ;
+    - la_data_out[90] ( PIN la_data_out[90] ) ( mprj la_data_out[90] ) + USE SIGNAL
+      + ROUTED met2 ( 2231230 2380 0 ) ( * 47770 )
+      NEW met1 ( 1907390 288150 ) ( 1911070 * )
+      NEW met2 ( 1907390 288150 ) ( * 298860 )
+      NEW met2 ( 1907200 298860 ) ( 1907390 * )
+      NEW met2 ( 1907200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1911070 47770 ) ( * 288150 )
+      NEW met1 ( 1911070 47770 ) ( 2231230 * )
+      NEW met1 ( 2231230 47770 ) M1M2_PR
+      NEW met1 ( 1911070 288150 ) M1M2_PR
+      NEW met1 ( 1907390 288150 ) M1M2_PR
+      NEW met1 ( 1911070 47770 ) M1M2_PR ;
+    - la_data_out[91] ( PIN la_data_out[91] ) ( mprj la_data_out[91] ) + USE SIGNAL
+      + ROUTED met2 ( 2249170 2380 0 ) ( * 47430 )
+      NEW met1 ( 1911990 288150 ) ( 1917970 * )
+      NEW met2 ( 1911990 288150 ) ( * 298860 )
+      NEW met2 ( 1911900 298860 ) ( 1911990 * )
+      NEW met2 ( 1911900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1917970 47430 ) ( * 288150 )
+      NEW met1 ( 1917970 47430 ) ( 2249170 * )
+      NEW met1 ( 2249170 47430 ) M1M2_PR
+      NEW met1 ( 1917970 288150 ) M1M2_PR
+      NEW met1 ( 1911990 288150 ) M1M2_PR
+      NEW met1 ( 1917970 47430 ) M1M2_PR ;
+    - la_data_out[92] ( PIN la_data_out[92] ) ( mprj la_data_out[92] ) + USE SIGNAL
+      + ROUTED met2 ( 1916700 298860 ) ( 1917510 * )
+      NEW met2 ( 1916700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1917510 47090 ) ( * 298860 )
+      NEW met2 ( 2266650 2380 0 ) ( * 34500 )
+      NEW met2 ( 2266190 34500 ) ( * 47090 )
+      NEW met2 ( 2266190 34500 ) ( 2266650 * )
+      NEW met1 ( 1917510 47090 ) ( 2266190 * )
+      NEW met1 ( 1917510 47090 ) M1M2_PR
+      NEW met1 ( 2266190 47090 ) M1M2_PR ;
+    - la_data_out[93] ( PIN la_data_out[93] ) ( mprj la_data_out[93] ) + USE SIGNAL
+      + ROUTED met1 ( 1921650 288150 ) ( 1924870 * )
+      NEW met2 ( 1921650 288150 ) ( * 298860 )
+      NEW met2 ( 1921500 298860 ) ( 1921650 * )
+      NEW met2 ( 1921500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1924870 46750 ) ( * 288150 )
+      NEW met1 ( 1924870 46750 ) ( 2284590 * )
+      NEW met2 ( 2284590 2380 0 ) ( * 46750 )
+      NEW met1 ( 1924870 288150 ) M1M2_PR
+      NEW met1 ( 1921650 288150 ) M1M2_PR
+      NEW met1 ( 1924870 46750 ) M1M2_PR
+      NEW met1 ( 2284590 46750 ) M1M2_PR ;
+    - la_data_out[94] ( PIN la_data_out[94] ) ( mprj la_data_out[94] ) + USE SIGNAL
+      + ROUTED met1 ( 1926250 288150 ) ( 1931310 * )
+      NEW met2 ( 1926250 288150 ) ( * 298860 )
+      NEW met2 ( 1926250 298860 ) ( 1926300 * )
+      NEW met2 ( 1926300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1931310 46410 ) ( * 288150 )
+      NEW met1 ( 1931310 46410 ) ( 2302070 * )
+      NEW met2 ( 2302070 2380 0 ) ( * 46410 )
+      NEW met1 ( 1931310 288150 ) M1M2_PR
+      NEW met1 ( 1926250 288150 ) M1M2_PR
+      NEW met1 ( 1931310 46410 ) M1M2_PR
+      NEW met1 ( 2302070 46410 ) M1M2_PR ;
+    - la_data_out[95] ( PIN la_data_out[95] ) ( mprj la_data_out[95] ) + USE SIGNAL
+      + ROUTED met2 ( 1931100 298860 ) ( 1931770 * )
+      NEW met2 ( 1931100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1931770 46070 ) ( * 298860 )
+      NEW met2 ( 2320010 2380 0 ) ( * 46070 )
+      NEW met1 ( 1931770 46070 ) ( 2320010 * )
+      NEW met1 ( 1931770 46070 ) M1M2_PR
+      NEW met1 ( 2320010 46070 ) M1M2_PR ;
+    - la_data_out[96] ( PIN la_data_out[96] ) ( mprj la_data_out[96] ) + USE SIGNAL
+      + ROUTED met1 ( 1935910 288150 ) ( 1938670 * )
+      NEW met2 ( 1935910 288150 ) ( * 298860 )
+      NEW met2 ( 1935900 298860 ) ( 1935910 * )
+      NEW met2 ( 1935900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1938670 45730 ) ( * 288150 )
+      NEW met2 ( 2337490 2380 0 ) ( * 45730 )
+      NEW met1 ( 1938670 45730 ) ( 2337490 * )
+      NEW met1 ( 1938670 288150 ) M1M2_PR
+      NEW met1 ( 1935910 288150 ) M1M2_PR
+      NEW met1 ( 1938670 45730 ) M1M2_PR
       NEW met1 ( 2337490 45730 ) M1M2_PR ;
     - la_data_out[97] ( PIN la_data_out[97] ) ( mprj la_data_out[97] ) + USE SIGNAL
-      + ROUTED met2 ( 1854400 298860 ) ( 1855410 * )
-      NEW met2 ( 1854400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1855410 45390 ) ( * 298860 )
+      + ROUTED met1 ( 1940510 288150 ) ( 1945570 * )
+      NEW met2 ( 1940510 288150 ) ( * 298860 )
+      NEW met2 ( 1940510 298860 ) ( 1940600 * )
+      NEW met2 ( 1940600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1945570 45390 ) ( * 288150 )
+      NEW met1 ( 1945570 45390 ) ( 2355430 * )
       NEW met2 ( 2355430 2380 0 ) ( * 45390 )
-      NEW met1 ( 1855410 45390 ) ( 2355430 * )
-      NEW met1 ( 1855410 45390 ) M1M2_PR
+      NEW met1 ( 1945570 288150 ) M1M2_PR
+      NEW met1 ( 1940510 288150 ) M1M2_PR
+      NEW met1 ( 1945570 45390 ) M1M2_PR
       NEW met1 ( 2355430 45390 ) M1M2_PR ;
     - la_data_out[98] ( PIN la_data_out[98] ) ( mprj la_data_out[98] ) + USE SIGNAL
-      + ROUTED met1 ( 1859090 288150 ) ( 1862770 * )
-      NEW met2 ( 1859090 288150 ) ( * 298860 )
-      NEW met2 ( 1858900 298860 ) ( 1859090 * )
-      NEW met2 ( 1858900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1862770 45050 ) ( * 288150 )
+      + ROUTED met2 ( 1945110 298860 ) ( 1945300 * )
+      NEW met2 ( 1945300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1945110 45050 ) ( * 298860 )
+      NEW met1 ( 1945110 45050 ) ( 2372910 * )
       NEW met2 ( 2372910 2380 0 ) ( * 45050 )
-      NEW met1 ( 1862770 45050 ) ( 2372910 * )
-      NEW met1 ( 1862770 288150 ) M1M2_PR
-      NEW met1 ( 1859090 288150 ) M1M2_PR
-      NEW met1 ( 1862770 45050 ) M1M2_PR
+      NEW met1 ( 1945110 45050 ) M1M2_PR
       NEW met1 ( 2372910 45050 ) M1M2_PR ;
     - la_data_out[99] ( PIN la_data_out[99] ) ( mprj la_data_out[99] ) + USE SIGNAL
-      + ROUTED met1 ( 1863690 288150 ) ( 1869670 * )
-      NEW met2 ( 1863690 288150 ) ( * 298860 )
-      NEW met2 ( 1863400 298860 ) ( 1863690 * )
-      NEW met2 ( 1863400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1869670 44710 ) ( * 288150 )
+      + ROUTED met1 ( 1950170 288150 ) ( 1952470 * )
+      NEW met2 ( 1950170 288150 ) ( * 298860 )
+      NEW met2 ( 1950100 298860 ) ( 1950170 * )
+      NEW met2 ( 1950100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1952470 44710 ) ( * 288150 )
+      NEW met1 ( 1952470 44710 ) ( 2390850 * )
       NEW met2 ( 2390850 2380 0 ) ( * 44710 )
-      NEW met1 ( 1869670 44710 ) ( 2390850 * )
-      NEW met1 ( 1869670 288150 ) M1M2_PR
-      NEW met1 ( 1863690 288150 ) M1M2_PR
-      NEW met1 ( 1869670 44710 ) M1M2_PR
+      NEW met1 ( 1952470 288150 ) M1M2_PR
+      NEW met1 ( 1950170 288150 ) M1M2_PR
+      NEW met1 ( 1952470 44710 ) M1M2_PR
       NEW met1 ( 2390850 44710 ) M1M2_PR ;
     - la_data_out[9] ( PIN la_data_out[9] ) ( mprj la_data_out[9] ) + USE SIGNAL
-      + ROUTED met2 ( 794650 2380 0 ) ( * 17850 )
-      NEW met1 ( 794650 17850 ) ( 800170 * )
-      NEW met2 ( 1456590 298860 ) ( 1457100 * )
-      NEW met2 ( 1457100 298860 ) ( * 300220 0 )
-      NEW met2 ( 800170 17850 ) ( * 162010 )
-      NEW met2 ( 1456590 162010 ) ( * 298860 )
-      NEW met1 ( 800170 162010 ) ( 1456590 * )
-      NEW met1 ( 794650 17850 ) M1M2_PR
-      NEW met1 ( 800170 17850 ) M1M2_PR
-      NEW met1 ( 800170 162010 ) M1M2_PR
-      NEW met1 ( 1456590 162010 ) M1M2_PR ;
+      + ROUTED met2 ( 794650 2380 0 ) ( * 17510 )
+      NEW met1 ( 794650 17510 ) ( 800170 * )
+      NEW met2 ( 800170 17510 ) ( * 288830 )
+      NEW li1 ( 1496610 285770 ) ( * 288830 )
+      NEW met1 ( 1496610 285770 ) ( 1520070 * )
+      NEW met2 ( 1520070 285770 ) ( * 298860 )
+      NEW met2 ( 1520070 298860 ) ( 1520300 * )
+      NEW met2 ( 1520300 298860 ) ( * 300220 0 )
+      NEW met1 ( 800170 288830 ) ( 1496610 * )
+      NEW met1 ( 794650 17510 ) M1M2_PR
+      NEW met1 ( 800170 17510 ) M1M2_PR
+      NEW met1 ( 800170 288830 ) M1M2_PR
+      NEW li1 ( 1496610 288830 ) L1M1_PR_MR
+      NEW li1 ( 1496610 285770 ) L1M1_PR_MR
+      NEW met1 ( 1520070 285770 ) M1M2_PR ;
     - la_oenb[0] ( PIN la_oenb[0] ) ( mprj la_oenb[0] ) + USE SIGNAL
-      + ROUTED met2 ( 1994400 298860 ) ( 1994790 * )
-      NEW met2 ( 1994400 298860 ) ( * 300220 0 )
+      + ROUTED met2 ( 2085870 298860 ) ( 2088600 * )
+      NEW met2 ( 2088600 298860 ) ( * 300220 0 )
       NEW met2 ( 641010 2380 0 ) ( * 51510 )
-      NEW met2 ( 1994790 51510 ) ( * 298860 )
-      NEW met1 ( 641010 51510 ) ( 1994790 * )
+      NEW met1 ( 641010 51510 ) ( 2084030 * )
+      NEW met2 ( 2084030 51510 ) ( * 227700 )
+      NEW met2 ( 2084030 227700 ) ( 2085870 * )
+      NEW met2 ( 2085870 227700 ) ( * 298860 )
       NEW met1 ( 641010 51510 ) M1M2_PR
-      NEW met1 ( 1994790 51510 ) M1M2_PR ;
+      NEW met1 ( 2084030 51510 ) M1M2_PR ;
     - la_oenb[100] ( PIN la_oenb[100] ) ( mprj la_oenb[100] ) + USE SIGNAL
-      + ROUTED met1 ( 2414770 287810 ) ( 2446050 * )
-      NEW met2 ( 2446050 287810 ) ( * 298860 )
-      NEW met2 ( 2445900 298860 ) ( 2446050 * )
-      NEW met2 ( 2445900 298860 ) ( * 300220 0 )
-      NEW met2 ( 2414310 2380 0 ) ( * 34500 )
-      NEW met2 ( 2414310 34500 ) ( 2414770 * )
-      NEW met2 ( 2414770 34500 ) ( * 287810 )
-      NEW met1 ( 2414770 287810 ) M1M2_PR
-      NEW met1 ( 2446050 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 2414310 2380 0 ) ( * 37910 )
+      NEW met1 ( 2560590 299030 ) ( 2566300 * )
+      NEW met2 ( 2566300 299030 ) ( * 300220 0 )
+      NEW met1 ( 2414310 37910 ) ( 2560590 * )
+      NEW met2 ( 2560590 37910 ) ( * 299030 )
+      NEW met1 ( 2414310 37910 ) M1M2_PR
+      NEW met1 ( 2560590 299030 ) M1M2_PR
+      NEW met1 ( 2566300 299030 ) M1M2_PR
+      NEW met1 ( 2560590 37910 ) M1M2_PR ;
     - la_oenb[101] ( PIN la_oenb[101] ) ( mprj la_oenb[101] ) + USE SIGNAL
-      + ROUTED met2 ( 2432250 2380 0 ) ( * 17510 )
-      NEW met1 ( 2432250 17510 ) ( 2435470 * )
-      NEW met2 ( 2435470 17510 ) ( * 283050 )
-      NEW met2 ( 2450190 283050 ) ( * 299540 )
-      NEW met2 ( 2450190 299540 ) ( 2450400 * )
-      NEW met2 ( 2450400 299540 ) ( * 300220 0 )
-      NEW met1 ( 2435470 283050 ) ( 2450190 * )
-      NEW met1 ( 2432250 17510 ) M1M2_PR
-      NEW met1 ( 2435470 17510 ) M1M2_PR
-      NEW met1 ( 2435470 283050 ) M1M2_PR
-      NEW met1 ( 2450190 283050 ) M1M2_PR ;
+      + ROUTED met2 ( 2432250 2380 0 ) ( * 18530 )
+      NEW met1 ( 2432250 18530 ) ( 2567490 * )
+      NEW met2 ( 2567490 298860 ) ( 2571000 * )
+      NEW met2 ( 2571000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2567490 18530 ) ( * 298860 )
+      NEW met1 ( 2432250 18530 ) M1M2_PR
+      NEW met1 ( 2567490 18530 ) M1M2_PR ;
     - la_oenb[102] ( PIN la_oenb[102] ) ( mprj la_oenb[102] ) + USE SIGNAL
-      + ROUTED met2 ( 2450650 298860 ) ( 2454900 * )
-      NEW met2 ( 2454900 298860 ) ( * 300220 0 )
-      NEW met2 ( 2449730 2380 0 ) ( * 34500 )
-      NEW met2 ( 2449730 34500 ) ( 2450650 * )
-      NEW met2 ( 2450650 34500 ) ( * 298860 ) ;
+      + ROUTED met2 ( 2449730 2380 0 ) ( * 18870 )
+      NEW met1 ( 2449730 18870 ) ( 2574390 * )
+      NEW met2 ( 2574390 298860 ) ( 2575800 * )
+      NEW met2 ( 2575800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2574390 18870 ) ( * 298860 )
+      NEW met1 ( 2449730 18870 ) M1M2_PR
+      NEW met1 ( 2574390 18870 ) M1M2_PR ;
     - la_oenb[103] ( PIN la_oenb[103] ) ( mprj la_oenb[103] ) + USE SIGNAL
-      + ROUTED met2 ( 2467670 2380 0 ) ( * 3060 )
-      NEW met2 ( 2466750 3060 ) ( 2467670 * )
-      NEW met2 ( 2466750 2380 ) ( * 3060 )
-      NEW met2 ( 2465370 2380 ) ( 2466750 * )
-      NEW met1 ( 2459390 288150 ) ( 2464450 * )
-      NEW met2 ( 2459390 288150 ) ( * 298860 )
-      NEW met2 ( 2459390 298860 ) ( 2459400 * )
-      NEW met2 ( 2459400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2464450 82800 ) ( 2465370 * )
-      NEW met2 ( 2465370 2380 ) ( * 82800 )
-      NEW met2 ( 2464450 82800 ) ( * 288150 )
-      NEW met1 ( 2464450 288150 ) M1M2_PR
-      NEW met1 ( 2459390 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 2467670 2380 0 ) ( * 19210 )
+      NEW met1 ( 2467670 19210 ) ( 2581290 * )
+      NEW met2 ( 2580600 298860 ) ( 2581290 * )
+      NEW met2 ( 2580600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2581290 19210 ) ( * 298860 )
+      NEW met1 ( 2467670 19210 ) M1M2_PR
+      NEW met1 ( 2581290 19210 ) M1M2_PR ;
     - la_oenb[104] ( PIN la_oenb[104] ) ( mprj la_oenb[104] ) + USE SIGNAL
-      + ROUTED met2 ( 2485610 2380 0 ) ( * 15810 )
-      NEW met1 ( 2469510 15810 ) ( 2485610 * )
-      NEW met1 ( 2463990 287810 ) ( 2469510 * )
-      NEW met2 ( 2463990 287810 ) ( * 298860 )
-      NEW met2 ( 2463900 298860 ) ( 2463990 * )
-      NEW met2 ( 2463900 298860 ) ( * 300220 0 )
-      NEW met2 ( 2469510 15810 ) ( * 287810 )
-      NEW met1 ( 2485610 15810 ) M1M2_PR
-      NEW met1 ( 2469510 15810 ) M1M2_PR
-      NEW met1 ( 2469510 287810 ) M1M2_PR
-      NEW met1 ( 2463990 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 2485610 2380 0 ) ( * 17510 )
+      NEW met1 ( 2485610 17510 ) ( 2490670 * )
+      NEW met2 ( 2585430 286110 ) ( * 298860 )
+      NEW met2 ( 2585300 298860 ) ( 2585430 * )
+      NEW met2 ( 2585300 298860 ) ( * 300220 0 )
+      NEW met1 ( 2490670 286110 ) ( 2585430 * )
+      NEW met2 ( 2490670 17510 ) ( * 286110 )
+      NEW met1 ( 2485610 17510 ) M1M2_PR
+      NEW met1 ( 2490670 17510 ) M1M2_PR
+      NEW met1 ( 2490670 286110 ) M1M2_PR
+      NEW met1 ( 2585430 286110 ) M1M2_PR ;
     - la_oenb[105] ( PIN la_oenb[105] ) ( mprj la_oenb[105] ) + USE SIGNAL
-      + ROUTED met2 ( 2503090 2380 0 ) ( * 16830 )
-      NEW met1 ( 2469970 16830 ) ( 2503090 * )
-      NEW met2 ( 2468500 298860 ) ( 2469970 * )
-      NEW met2 ( 2468500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2469970 16830 ) ( * 298860 )
-      NEW met1 ( 2503090 16830 ) M1M2_PR
-      NEW met1 ( 2469970 16830 ) M1M2_PR ;
+      + ROUTED met2 ( 2503090 2380 0 ) ( * 34500 )
+      NEW met2 ( 2503090 34500 ) ( 2504470 * )
+      NEW met2 ( 2504470 34500 ) ( * 287130 )
+      NEW met2 ( 2590030 287130 ) ( * 298860 )
+      NEW met2 ( 2590030 298860 ) ( 2590100 * )
+      NEW met2 ( 2590100 298860 ) ( * 300220 0 )
+      NEW met1 ( 2504470 287130 ) ( 2590030 * )
+      NEW met1 ( 2504470 287130 ) M1M2_PR
+      NEW met1 ( 2590030 287130 ) M1M2_PR ;
     - la_oenb[106] ( PIN la_oenb[106] ) ( mprj la_oenb[106] ) + USE SIGNAL
-      + ROUTED met2 ( 2521030 2380 0 ) ( * 19210 )
-      NEW met1 ( 2476870 19210 ) ( 2521030 * )
-      NEW met1 ( 2473190 288150 ) ( 2476870 * )
-      NEW met2 ( 2473190 288150 ) ( * 298860 )
-      NEW met2 ( 2473000 298860 ) ( 2473190 * )
-      NEW met2 ( 2473000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2476870 19210 ) ( * 288150 )
-      NEW met1 ( 2521030 19210 ) M1M2_PR
-      NEW met1 ( 2476870 19210 ) M1M2_PR
-      NEW met1 ( 2476870 288150 ) M1M2_PR
-      NEW met1 ( 2473190 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 2521030 2380 0 ) ( * 17510 )
+      NEW met1 ( 2521030 17510 ) ( 2525170 * )
+      NEW met2 ( 2594630 287470 ) ( * 298860 )
+      NEW met2 ( 2594630 298860 ) ( 2594900 * )
+      NEW met2 ( 2594900 298860 ) ( * 300220 0 )
+      NEW met2 ( 2525170 17510 ) ( * 287470 )
+      NEW met1 ( 2525170 287470 ) ( 2594630 * )
+      NEW met1 ( 2521030 17510 ) M1M2_PR
+      NEW met1 ( 2525170 17510 ) M1M2_PR
+      NEW met1 ( 2525170 287470 ) M1M2_PR
+      NEW met1 ( 2594630 287470 ) M1M2_PR ;
     - la_oenb[107] ( PIN la_oenb[107] ) ( mprj la_oenb[107] ) + USE SIGNAL
-      + ROUTED met2 ( 2538510 2380 0 ) ( * 18530 )
-      NEW met1 ( 2483770 18530 ) ( 2538510 * )
-      NEW met1 ( 2477790 288150 ) ( 2483770 * )
-      NEW met2 ( 2477790 288150 ) ( * 298860 )
-      NEW met2 ( 2477500 298860 ) ( 2477790 * )
-      NEW met2 ( 2477500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2483770 18530 ) ( * 288150 )
-      NEW met1 ( 2538510 18530 ) M1M2_PR
-      NEW met1 ( 2483770 18530 ) M1M2_PR
-      NEW met1 ( 2483770 288150 ) M1M2_PR
-      NEW met1 ( 2477790 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 2599690 288150 ) ( * 298860 )
+      NEW met2 ( 2599690 298860 ) ( 2599700 * )
+      NEW met2 ( 2599700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2538510 2380 0 ) ( * 34500 )
+      NEW met2 ( 2538510 34500 ) ( 2538970 * )
+      NEW met2 ( 2538970 34500 ) ( * 288150 )
+      NEW met1 ( 2538970 288150 ) ( 2599690 * )
+      NEW met1 ( 2538970 288150 ) M1M2_PR
+      NEW met1 ( 2599690 288150 ) M1M2_PR ;
     - la_oenb[108] ( PIN la_oenb[108] ) ( mprj la_oenb[108] ) + USE SIGNAL
-      + ROUTED met1 ( 2483310 18870 ) ( 2492050 * )
-      NEW li1 ( 2492050 18190 ) ( * 18870 )
-      NEW met2 ( 2482000 298860 ) ( 2483310 * )
-      NEW met2 ( 2482000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2556450 2380 0 ) ( * 18190 )
-      NEW met1 ( 2492050 18190 ) ( 2556450 * )
-      NEW met2 ( 2483310 18870 ) ( * 298860 )
-      NEW met1 ( 2483310 18870 ) M1M2_PR
-      NEW li1 ( 2492050 18870 ) L1M1_PR_MR
-      NEW li1 ( 2492050 18190 ) L1M1_PR_MR
-      NEW met1 ( 2556450 18190 ) M1M2_PR ;
+      + ROUTED met2 ( 2604290 286450 ) ( * 298860 )
+      NEW met2 ( 2604290 298860 ) ( 2604500 * )
+      NEW met2 ( 2604500 298860 ) ( * 300220 0 )
+      NEW met2 ( 2556450 2380 0 ) ( * 17510 )
+      NEW met1 ( 2556450 17510 ) ( 2559670 * )
+      NEW met1 ( 2559670 286450 ) ( 2604290 * )
+      NEW met2 ( 2559670 17510 ) ( * 286450 )
+      NEW met1 ( 2604290 286450 ) M1M2_PR
+      NEW met1 ( 2556450 17510 ) M1M2_PR
+      NEW met1 ( 2559670 17510 ) M1M2_PR
+      NEW met1 ( 2559670 286450 ) M1M2_PR ;
     - la_oenb[109] ( PIN la_oenb[109] ) ( mprj la_oenb[109] ) + USE SIGNAL
-      + ROUTED met1 ( 2490670 18190 ) ( 2491590 * )
-      NEW met1 ( 2491590 17850 ) ( * 18190 )
-      NEW met1 ( 2486530 288150 ) ( 2490670 * )
-      NEW met2 ( 2486530 288150 ) ( * 298860 )
-      NEW met2 ( 2486500 298860 ) ( 2486530 * )
-      NEW met2 ( 2486500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2573930 2380 0 ) ( * 17850 )
-      NEW met1 ( 2491590 17850 ) ( 2573930 * )
-      NEW met2 ( 2490670 18190 ) ( * 288150 )
-      NEW met1 ( 2490670 18190 ) M1M2_PR
-      NEW met1 ( 2490670 288150 ) M1M2_PR
-      NEW met1 ( 2486530 288150 ) M1M2_PR
-      NEW met1 ( 2573930 17850 ) M1M2_PR ;
+      + ROUTED met2 ( 2609350 286790 ) ( * 298860 )
+      NEW met2 ( 2609300 298860 ) ( 2609350 * )
+      NEW met2 ( 2609300 298860 ) ( * 300220 0 )
+      NEW met2 ( 2573930 2380 0 ) ( * 14450 )
+      NEW met1 ( 2573930 14450 ) ( 2580370 * )
+      NEW met1 ( 2580370 286790 ) ( 2609350 * )
+      NEW met2 ( 2580370 14450 ) ( * 286790 )
+      NEW met1 ( 2609350 286790 ) M1M2_PR
+      NEW met1 ( 2573930 14450 ) M1M2_PR
+      NEW met1 ( 2580370 14450 ) M1M2_PR
+      NEW met1 ( 2580370 286790 ) M1M2_PR ;
     - la_oenb[10] ( PIN la_oenb[10] ) ( mprj la_oenb[10] ) + USE SIGNAL
-      + ROUTED met2 ( 2036190 298860 ) ( 2039500 * )
-      NEW met2 ( 2039500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2036190 52190 ) ( * 298860 )
+      + ROUTED met2 ( 2132330 298860 ) ( 2136400 * )
+      NEW met2 ( 2136400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2132330 52190 ) ( * 298860 )
       NEW met2 ( 818570 2380 0 ) ( * 16830 )
       NEW met1 ( 818570 16830 ) ( 820870 * )
       NEW met2 ( 820870 16830 ) ( * 52190 )
-      NEW met1 ( 820870 52190 ) ( 2036190 * )
-      NEW met1 ( 2036190 52190 ) M1M2_PR
+      NEW met1 ( 820870 52190 ) ( 2132330 * )
+      NEW met1 ( 2132330 52190 ) M1M2_PR
       NEW met1 ( 818570 16830 ) M1M2_PR
       NEW met1 ( 820870 16830 ) M1M2_PR
       NEW met1 ( 820870 52190 ) M1M2_PR ;
     - la_oenb[110] ( PIN la_oenb[110] ) ( mprj la_oenb[110] ) + USE SIGNAL
-      + ROUTED met1 ( 2491130 288150 ) ( 2497110 * )
-      NEW met2 ( 2491130 288150 ) ( * 298860 )
-      NEW met2 ( 2491000 298860 ) ( 2491130 * )
-      NEW met2 ( 2491000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2591870 2380 0 ) ( * 14110 )
-      NEW met1 ( 2496650 14110 ) ( 2591870 * )
-      NEW met2 ( 2496650 14110 ) ( * 34500 )
-      NEW met2 ( 2496650 34500 ) ( 2497110 * )
-      NEW met2 ( 2497110 34500 ) ( * 288150 )
-      NEW met1 ( 2496650 14110 ) M1M2_PR
-      NEW met1 ( 2497110 288150 ) M1M2_PR
-      NEW met1 ( 2491130 288150 ) M1M2_PR
-      NEW met1 ( 2591870 14110 ) M1M2_PR ;
+      + ROUTED met2 ( 2613950 286110 ) ( * 298860 )
+      NEW met2 ( 2613950 298860 ) ( 2614000 * )
+      NEW met2 ( 2614000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2591870 2380 0 ) ( * 17510 )
+      NEW met1 ( 2591870 17510 ) ( 2594170 * )
+      NEW met1 ( 2594170 286110 ) ( 2613950 * )
+      NEW met2 ( 2594170 17510 ) ( * 286110 )
+      NEW met1 ( 2613950 286110 ) M1M2_PR
+      NEW met1 ( 2591870 17510 ) M1M2_PR
+      NEW met1 ( 2594170 17510 ) M1M2_PR
+      NEW met1 ( 2594170 286110 ) M1M2_PR ;
     - la_oenb[111] ( PIN la_oenb[111] ) ( mprj la_oenb[111] ) + USE SIGNAL
-      + ROUTED met2 ( 2609350 2380 0 ) ( * 14450 )
-      NEW met2 ( 2495500 298860 ) ( 2497570 * )
-      NEW met2 ( 2495500 298860 ) ( * 300220 0 )
-      NEW met1 ( 2497570 14450 ) ( 2609350 * )
-      NEW met2 ( 2497570 14450 ) ( * 298860 )
-      NEW met1 ( 2609350 14450 ) M1M2_PR
-      NEW met1 ( 2497570 14450 ) M1M2_PR ;
+      + ROUTED met2 ( 2609350 2380 0 ) ( * 16490 )
+      NEW met1 ( 2609350 16490 ) ( 2614870 * )
+      NEW met1 ( 2614870 288150 ) ( 2618550 * )
+      NEW met2 ( 2618550 288150 ) ( * 298860 )
+      NEW met2 ( 2618550 298860 ) ( 2618700 * )
+      NEW met2 ( 2618700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2614870 16490 ) ( * 288150 )
+      NEW met1 ( 2609350 16490 ) M1M2_PR
+      NEW met1 ( 2614870 16490 ) M1M2_PR
+      NEW met1 ( 2614870 288150 ) M1M2_PR
+      NEW met1 ( 2618550 288150 ) M1M2_PR ;
     - la_oenb[112] ( PIN la_oenb[112] ) ( mprj la_oenb[112] ) + USE SIGNAL
-      + ROUTED met1 ( 2500330 288150 ) ( 2504010 * )
-      NEW met2 ( 2500330 288150 ) ( * 298860 )
-      NEW met2 ( 2500100 298860 ) ( 2500330 * )
-      NEW met2 ( 2500100 298860 ) ( * 300220 0 )
-      NEW met2 ( 2627290 2380 0 ) ( * 14790 )
-      NEW met2 ( 2504010 14790 ) ( * 288150 )
-      NEW met1 ( 2504010 14790 ) ( 2627290 * )
-      NEW met1 ( 2504010 14790 ) M1M2_PR
-      NEW met1 ( 2504010 288150 ) M1M2_PR
-      NEW met1 ( 2500330 288150 ) M1M2_PR
-      NEW met1 ( 2627290 14790 ) M1M2_PR ;
+      + ROUTED met2 ( 2627290 2380 0 ) ( * 17510 )
+      NEW met1 ( 2622230 17510 ) ( 2627290 * )
+      NEW met2 ( 2622230 298860 ) ( 2623500 * )
+      NEW met2 ( 2623500 298860 ) ( * 300220 0 )
+      NEW met2 ( 2622230 17510 ) ( * 298860 )
+      NEW met1 ( 2627290 17510 ) M1M2_PR
+      NEW met1 ( 2622230 17510 ) M1M2_PR ;
     - la_oenb[113] ( PIN la_oenb[113] ) ( mprj la_oenb[113] ) + USE SIGNAL
-      + ROUTED met2 ( 2504470 298860 ) ( 2504600 * )
-      NEW met2 ( 2504600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2504470 15130 ) ( * 298860 )
-      NEW met2 ( 2645230 2380 0 ) ( * 15130 )
-      NEW met1 ( 2504470 15130 ) ( 2645230 * )
-      NEW met1 ( 2504470 15130 ) M1M2_PR
-      NEW met1 ( 2645230 15130 ) M1M2_PR ;
+      + ROUTED met2 ( 2628300 298860 ) ( 2628670 * )
+      NEW met2 ( 2628300 298860 ) ( * 300220 0 )
+      NEW met2 ( 2628670 18870 ) ( * 298860 )
+      NEW met2 ( 2645230 2380 0 ) ( * 18870 )
+      NEW met1 ( 2628670 18870 ) ( 2645230 * )
+      NEW met1 ( 2628670 18870 ) M1M2_PR
+      NEW met1 ( 2645230 18870 ) M1M2_PR ;
     - la_oenb[114] ( PIN la_oenb[114] ) ( mprj la_oenb[114] ) + USE SIGNAL
-      + ROUTED met1 ( 2509070 288150 ) ( 2511370 * )
-      NEW met2 ( 2509070 288150 ) ( * 298860 )
-      NEW met2 ( 2509070 298860 ) ( 2509100 * )
-      NEW met2 ( 2509100 298860 ) ( * 300220 0 )
-      NEW met2 ( 2511370 15470 ) ( * 288150 )
-      NEW met2 ( 2662710 2380 0 ) ( * 15470 )
-      NEW met1 ( 2511370 15470 ) ( 2662710 * )
-      NEW met1 ( 2511370 15470 ) M1M2_PR
-      NEW met1 ( 2511370 288150 ) M1M2_PR
-      NEW met1 ( 2509070 288150 ) M1M2_PR
-      NEW met1 ( 2662710 15470 ) M1M2_PR ;
+      + ROUTED met1 ( 2633270 288150 ) ( 2635570 * )
+      NEW met2 ( 2633270 288150 ) ( * 298860 )
+      NEW met2 ( 2633100 298860 ) ( 2633270 * )
+      NEW met2 ( 2633100 298860 ) ( * 300220 0 )
+      NEW met2 ( 2635570 17850 ) ( * 288150 )
+      NEW met2 ( 2662710 2380 0 ) ( * 17850 )
+      NEW met1 ( 2635570 17850 ) ( 2662710 * )
+      NEW met1 ( 2635570 17850 ) M1M2_PR
+      NEW met1 ( 2635570 288150 ) M1M2_PR
+      NEW met1 ( 2633270 288150 ) M1M2_PR
+      NEW met1 ( 2662710 17850 ) M1M2_PR ;
     - la_oenb[115] ( PIN la_oenb[115] ) ( mprj la_oenb[115] ) + USE SIGNAL
-      + ROUTED met1 ( 2513670 285090 ) ( 2517810 * )
-      NEW met2 ( 2513670 285090 ) ( * 298860 )
-      NEW met2 ( 2513600 298860 ) ( 2513670 * )
-      NEW met2 ( 2513600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2517810 15810 ) ( * 285090 )
-      NEW met2 ( 2680650 2380 0 ) ( * 15810 )
-      NEW met1 ( 2517810 15810 ) ( 2680650 * )
-      NEW met1 ( 2517810 15810 ) M1M2_PR
-      NEW met1 ( 2517810 285090 ) M1M2_PR
-      NEW met1 ( 2513670 285090 ) M1M2_PR
-      NEW met1 ( 2680650 15810 ) M1M2_PR ;
+      + ROUTED met1 ( 2637870 288150 ) ( 2642470 * )
+      NEW met2 ( 2637870 288150 ) ( * 298860 )
+      NEW met2 ( 2637870 298860 ) ( 2637900 * )
+      NEW met2 ( 2637900 298860 ) ( * 300220 0 )
+      NEW met2 ( 2642470 17510 ) ( * 288150 )
+      NEW met2 ( 2680650 2380 0 ) ( * 17510 )
+      NEW met1 ( 2642470 17510 ) ( 2680650 * )
+      NEW met1 ( 2642470 17510 ) M1M2_PR
+      NEW met1 ( 2642470 288150 ) M1M2_PR
+      NEW met1 ( 2637870 288150 ) M1M2_PR
+      NEW met1 ( 2680650 17510 ) M1M2_PR ;
     - la_oenb[116] ( PIN la_oenb[116] ) ( mprj la_oenb[116] ) + USE SIGNAL
-      + ROUTED met2 ( 2518100 298860 ) ( 2518270 * )
-      NEW met2 ( 2518100 298860 ) ( * 300220 0 )
-      NEW met2 ( 2698130 2380 0 ) ( * 16150 )
-      NEW met2 ( 2518270 16150 ) ( * 298860 )
-      NEW met1 ( 2518270 16150 ) ( 2698130 * )
-      NEW met1 ( 2518270 16150 ) M1M2_PR
-      NEW met1 ( 2698130 16150 ) M1M2_PR ;
+      + ROUTED met2 ( 2642010 298860 ) ( 2642600 * )
+      NEW met2 ( 2642600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2698130 2380 0 ) ( * 17170 )
+      NEW met2 ( 2642010 17170 ) ( * 298860 )
+      NEW met1 ( 2642010 17170 ) ( 2698130 * )
+      NEW met1 ( 2642010 17170 ) M1M2_PR
+      NEW met1 ( 2698130 17170 ) M1M2_PR ;
     - la_oenb[117] ( PIN la_oenb[117] ) ( mprj la_oenb[117] ) + USE SIGNAL
-      + ROUTED met1 ( 2522870 285770 ) ( 2525170 * )
-      NEW met2 ( 2522870 285770 ) ( * 298860 )
-      NEW met2 ( 2522600 298860 ) ( 2522870 * )
-      NEW met2 ( 2522600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2716070 2380 0 ) ( * 16490 )
-      NEW met2 ( 2525170 16490 ) ( * 285770 )
-      NEW met1 ( 2525170 16490 ) ( 2716070 * )
-      NEW met1 ( 2525170 16490 ) M1M2_PR
-      NEW met1 ( 2525170 285770 ) M1M2_PR
-      NEW met1 ( 2522870 285770 ) M1M2_PR
-      NEW met1 ( 2716070 16490 ) M1M2_PR ;
+      + ROUTED met2 ( 2716070 2380 0 ) ( * 16150 )
+      NEW met1 ( 2649370 16150 ) ( 2716070 * )
+      NEW met2 ( 2647400 298860 ) ( 2649370 * )
+      NEW met2 ( 2647400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2649370 16150 ) ( * 298860 )
+      NEW met1 ( 2716070 16150 ) M1M2_PR
+      NEW met1 ( 2649370 16150 ) M1M2_PR ;
     - la_oenb[118] ( PIN la_oenb[118] ) ( mprj la_oenb[118] ) + USE SIGNAL
-      + ROUTED met1 ( 2527470 288150 ) ( 2532070 * )
-      NEW met2 ( 2527470 288150 ) ( * 298860 )
-      NEW met2 ( 2527200 298860 ) ( 2527470 * )
-      NEW met2 ( 2527200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2733550 2380 0 ) ( * 16830 )
-      NEW met2 ( 2532070 16830 ) ( * 288150 )
-      NEW met1 ( 2532070 16830 ) ( 2733550 * )
-      NEW met1 ( 2532070 16830 ) M1M2_PR
-      NEW met1 ( 2532070 288150 ) M1M2_PR
-      NEW met1 ( 2527470 288150 ) M1M2_PR
-      NEW met1 ( 2733550 16830 ) M1M2_PR ;
+      + ROUTED met2 ( 2733550 2380 0 ) ( * 16490 )
+      NEW met1 ( 2656270 16490 ) ( 2733550 * )
+      NEW met1 ( 2652130 288150 ) ( 2656270 * )
+      NEW met2 ( 2652130 288150 ) ( * 298860 )
+      NEW met2 ( 2652130 298860 ) ( 2652200 * )
+      NEW met2 ( 2652200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2656270 16490 ) ( * 288150 )
+      NEW met1 ( 2733550 16490 ) M1M2_PR
+      NEW met1 ( 2656270 16490 ) M1M2_PR
+      NEW met1 ( 2656270 288150 ) M1M2_PR
+      NEW met1 ( 2652130 288150 ) M1M2_PR ;
     - la_oenb[119] ( PIN la_oenb[119] ) ( mprj la_oenb[119] ) + USE SIGNAL
-      + ROUTED met2 ( 2531610 298860 ) ( 2531700 * )
-      NEW met2 ( 2531700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2531610 20570 ) ( * 298860 )
-      NEW met2 ( 2751490 2380 0 ) ( * 20570 )
-      NEW met1 ( 2531610 20570 ) ( 2751490 * )
-      NEW met1 ( 2531610 20570 ) M1M2_PR
-      NEW met1 ( 2751490 20570 ) M1M2_PR ;
+      + ROUTED met1 ( 2657190 288150 ) ( 2663170 * )
+      NEW met2 ( 2657190 288150 ) ( * 298860 )
+      NEW met2 ( 2657000 298860 ) ( 2657190 * )
+      NEW met2 ( 2657000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2751490 2380 0 ) ( * 16830 )
+      NEW met1 ( 2663170 16830 ) ( 2751490 * )
+      NEW met2 ( 2663170 16830 ) ( * 288150 )
+      NEW met1 ( 2663170 16830 ) M1M2_PR
+      NEW met1 ( 2663170 288150 ) M1M2_PR
+      NEW met1 ( 2657190 288150 ) M1M2_PR
+      NEW met1 ( 2751490 16830 ) M1M2_PR ;
     - la_oenb[11] ( PIN la_oenb[11] ) ( mprj la_oenb[11] ) + USE SIGNAL
-      + ROUTED met2 ( 2042630 298860 ) ( 2044000 * )
-      NEW met2 ( 2044000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2042630 52530 ) ( * 298860 )
+      + ROUTED met2 ( 2139230 298860 ) ( 2141200 * )
+      NEW met2 ( 2141200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2139230 52530 ) ( * 298860 )
       NEW met2 ( 836050 2380 0 ) ( * 16830 )
       NEW met1 ( 836050 16830 ) ( 841570 * )
       NEW met2 ( 841570 16830 ) ( * 52530 )
-      NEW met1 ( 841570 52530 ) ( 2042630 * )
-      NEW met1 ( 2042630 52530 ) M1M2_PR
+      NEW met1 ( 841570 52530 ) ( 2139230 * )
+      NEW met1 ( 2139230 52530 ) M1M2_PR
       NEW met1 ( 836050 16830 ) M1M2_PR
       NEW met1 ( 841570 16830 ) M1M2_PR
       NEW met1 ( 841570 52530 ) M1M2_PR ;
     - la_oenb[120] ( PIN la_oenb[120] ) ( mprj la_oenb[120] ) + USE SIGNAL
-      + ROUTED met1 ( 2536210 288150 ) ( 2538970 * )
-      NEW met2 ( 2536210 288150 ) ( * 298860 )
-      NEW met2 ( 2536200 298860 ) ( 2536210 * )
-      NEW met2 ( 2536200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2538970 20230 ) ( * 288150 )
-      NEW met2 ( 2768970 2380 0 ) ( * 20230 )
-      NEW met1 ( 2538970 20230 ) ( 2768970 * )
-      NEW met1 ( 2538970 20230 ) M1M2_PR
-      NEW met1 ( 2538970 288150 ) M1M2_PR
-      NEW met1 ( 2536210 288150 ) M1M2_PR
-      NEW met1 ( 2768970 20230 ) M1M2_PR ;
+      + ROUTED met2 ( 2661800 298860 ) ( 2662710 * )
+      NEW met2 ( 2661800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2768970 2380 0 ) ( * 20570 )
+      NEW met1 ( 2662710 20570 ) ( 2768970 * )
+      NEW met2 ( 2662710 20570 ) ( * 298860 )
+      NEW met1 ( 2662710 20570 ) M1M2_PR
+      NEW met1 ( 2768970 20570 ) M1M2_PR ;
     - la_oenb[121] ( PIN la_oenb[121] ) ( mprj la_oenb[121] ) + USE SIGNAL
-      + ROUTED met1 ( 2540810 288150 ) ( 2545410 * )
-      NEW met2 ( 2540810 288150 ) ( * 298860 )
-      NEW met2 ( 2540700 298860 ) ( 2540810 * )
-      NEW met2 ( 2540700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2545410 19890 ) ( * 288150 )
-      NEW met2 ( 2786910 2380 0 ) ( * 19890 )
-      NEW met1 ( 2545410 19890 ) ( 2786910 * )
-      NEW met1 ( 2545410 19890 ) M1M2_PR
-      NEW met1 ( 2545410 288150 ) M1M2_PR
-      NEW met1 ( 2540810 288150 ) M1M2_PR
-      NEW met1 ( 2786910 19890 ) M1M2_PR ;
+      + ROUTED met1 ( 2666390 288150 ) ( 2670070 * )
+      NEW met2 ( 2666390 288150 ) ( * 298860 )
+      NEW met2 ( 2666390 298860 ) ( 2666500 * )
+      NEW met2 ( 2666500 298860 ) ( * 300220 0 )
+      NEW met2 ( 2786910 2380 0 ) ( * 20230 )
+      NEW met1 ( 2670070 20230 ) ( 2786910 * )
+      NEW met2 ( 2670070 20230 ) ( * 288150 )
+      NEW met1 ( 2670070 20230 ) M1M2_PR
+      NEW met1 ( 2670070 288150 ) M1M2_PR
+      NEW met1 ( 2666390 288150 ) M1M2_PR
+      NEW met1 ( 2786910 20230 ) M1M2_PR ;
     - la_oenb[122] ( PIN la_oenb[122] ) ( mprj la_oenb[122] ) + USE SIGNAL
-      + ROUTED met2 ( 2545200 298860 ) ( 2545870 * )
-      NEW met2 ( 2545200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2804390 2380 0 ) ( * 19550 )
-      NEW met2 ( 2545870 19550 ) ( * 298860 )
-      NEW met1 ( 2545870 19550 ) ( 2804390 * )
-      NEW met1 ( 2545870 19550 ) M1M2_PR
-      NEW met1 ( 2804390 19550 ) M1M2_PR ;
+      + ROUTED met2 ( 2804390 2380 0 ) ( * 19890 )
+      NEW met1 ( 2671450 288150 ) ( 2676510 * )
+      NEW met2 ( 2671450 288150 ) ( * 298860 )
+      NEW met2 ( 2671200 298860 ) ( 2671450 * )
+      NEW met2 ( 2671200 298860 ) ( * 300220 0 )
+      NEW met1 ( 2676510 19890 ) ( 2804390 * )
+      NEW met2 ( 2676510 19890 ) ( * 288150 )
+      NEW met1 ( 2804390 19890 ) M1M2_PR
+      NEW met1 ( 2676510 19890 ) M1M2_PR
+      NEW met1 ( 2676510 288150 ) M1M2_PR
+      NEW met1 ( 2671450 288150 ) M1M2_PR ;
     - la_oenb[123] ( PIN la_oenb[123] ) ( mprj la_oenb[123] ) + USE SIGNAL
-      + ROUTED met2 ( 2822330 2380 0 ) ( * 19210 )
-      NEW met1 ( 2549550 288150 ) ( 2552770 * )
-      NEW met2 ( 2549550 288150 ) ( * 298860 )
-      NEW met2 ( 2549550 298860 ) ( 2549700 * )
-      NEW met2 ( 2549700 298860 ) ( * 300220 0 )
-      NEW met1 ( 2552770 19210 ) ( 2822330 * )
-      NEW met2 ( 2552770 19210 ) ( * 288150 )
-      NEW met1 ( 2822330 19210 ) M1M2_PR
-      NEW met1 ( 2552770 19210 ) M1M2_PR
-      NEW met1 ( 2552770 288150 ) M1M2_PR
-      NEW met1 ( 2549550 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 2822330 2380 0 ) ( * 19550 )
+      NEW met2 ( 2676000 298860 ) ( 2676970 * )
+      NEW met2 ( 2676000 298860 ) ( * 300220 0 )
+      NEW met1 ( 2676970 19550 ) ( 2822330 * )
+      NEW met2 ( 2676970 19550 ) ( * 298860 )
+      NEW met1 ( 2822330 19550 ) M1M2_PR
+      NEW met1 ( 2676970 19550 ) M1M2_PR ;
     - la_oenb[124] ( PIN la_oenb[124] ) ( mprj la_oenb[124] ) + USE SIGNAL
-      + ROUTED met1 ( 2554150 288150 ) ( 2559210 * )
-      NEW met2 ( 2554150 288150 ) ( * 298860 )
-      NEW met2 ( 2554150 298860 ) ( 2554200 * )
-      NEW met2 ( 2554200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2840270 2380 0 ) ( * 18870 )
-      NEW met1 ( 2559210 18870 ) ( 2840270 * )
-      NEW met2 ( 2559210 18870 ) ( * 288150 )
-      NEW met1 ( 2559210 18870 ) M1M2_PR
-      NEW met1 ( 2559210 288150 ) M1M2_PR
-      NEW met1 ( 2554150 288150 ) M1M2_PR
-      NEW met1 ( 2840270 18870 ) M1M2_PR ;
+      + ROUTED met1 ( 2680650 288150 ) ( 2683870 * )
+      NEW met2 ( 2680650 288150 ) ( * 298860 )
+      NEW met2 ( 2680650 298860 ) ( 2680800 * )
+      NEW met2 ( 2680800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2840270 2380 0 ) ( * 19210 )
+      NEW met1 ( 2683870 19210 ) ( 2840270 * )
+      NEW met2 ( 2683870 19210 ) ( * 288150 )
+      NEW met1 ( 2683870 19210 ) M1M2_PR
+      NEW met1 ( 2683870 288150 ) M1M2_PR
+      NEW met1 ( 2680650 288150 ) M1M2_PR
+      NEW met1 ( 2840270 19210 ) M1M2_PR ;
     - la_oenb[125] ( PIN la_oenb[125] ) ( mprj la_oenb[125] ) + USE SIGNAL
-      + ROUTED met2 ( 2558800 298860 ) ( 2559670 * )
-      NEW met2 ( 2558800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2857750 2380 0 ) ( * 18530 )
-      NEW met1 ( 2559670 18530 ) ( 2857750 * )
-      NEW met2 ( 2559670 18530 ) ( * 298860 )
-      NEW met1 ( 2559670 18530 ) M1M2_PR
-      NEW met1 ( 2857750 18530 ) M1M2_PR ;
+      + ROUTED met1 ( 2685710 288150 ) ( 2690310 * )
+      NEW met2 ( 2685710 288150 ) ( * 298860 )
+      NEW met2 ( 2685600 298860 ) ( 2685710 * )
+      NEW met2 ( 2685600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2857750 2380 0 ) ( * 18870 )
+      NEW met1 ( 2690310 18870 ) ( 2857750 * )
+      NEW met2 ( 2690310 18870 ) ( * 288150 )
+      NEW met1 ( 2690310 18870 ) M1M2_PR
+      NEW met1 ( 2690310 288150 ) M1M2_PR
+      NEW met1 ( 2685710 288150 ) M1M2_PR
+      NEW met1 ( 2857750 18870 ) M1M2_PR ;
     - la_oenb[126] ( PIN la_oenb[126] ) ( mprj la_oenb[126] ) + USE SIGNAL
-      + ROUTED met1 ( 2563350 287130 ) ( 2566570 * )
-      NEW met2 ( 2563350 287130 ) ( * 298860 )
-      NEW met2 ( 2563300 298860 ) ( 2563350 * )
-      NEW met2 ( 2563300 298860 ) ( * 300220 0 )
-      NEW met2 ( 2875690 2380 0 ) ( * 18190 )
-      NEW met1 ( 2566570 18190 ) ( 2875690 * )
-      NEW met2 ( 2566570 18190 ) ( * 287130 )
-      NEW met1 ( 2566570 18190 ) M1M2_PR
-      NEW met1 ( 2566570 287130 ) M1M2_PR
-      NEW met1 ( 2563350 287130 ) M1M2_PR
-      NEW met1 ( 2875690 18190 ) M1M2_PR ;
+      + ROUTED met2 ( 2690400 298860 ) ( 2690770 * )
+      NEW met2 ( 2690400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2875690 2380 0 ) ( * 18530 )
+      NEW met1 ( 2690770 18530 ) ( 2875690 * )
+      NEW met2 ( 2690770 18530 ) ( * 298860 )
+      NEW met1 ( 2690770 18530 ) M1M2_PR
+      NEW met1 ( 2875690 18530 ) M1M2_PR ;
     - la_oenb[127] ( PIN la_oenb[127] ) ( mprj la_oenb[127] ) + USE SIGNAL
-      + ROUTED met2 ( 2893170 2380 0 ) ( * 17510 )
-      NEW met1 ( 2567950 288150 ) ( 2573010 * )
-      NEW met2 ( 2567950 288150 ) ( * 298860 )
-      NEW met2 ( 2567800 298860 ) ( 2567950 * )
-      NEW met2 ( 2567800 298860 ) ( * 300220 0 )
-      NEW met1 ( 2573010 17510 ) ( 2893170 * )
-      NEW met2 ( 2573010 17510 ) ( * 288150 )
-      NEW met1 ( 2893170 17510 ) M1M2_PR
-      NEW met1 ( 2573010 17510 ) M1M2_PR
-      NEW met1 ( 2573010 288150 ) M1M2_PR
-      NEW met1 ( 2567950 288150 ) M1M2_PR ;
+      + ROUTED met1 ( 2695370 288150 ) ( 2697670 * )
+      NEW met2 ( 2695370 288150 ) ( * 298860 )
+      NEW met2 ( 2695200 298860 ) ( 2695370 * )
+      NEW met2 ( 2695200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2893170 2380 0 ) ( * 17850 )
+      NEW met2 ( 2697670 17850 ) ( * 288150 )
+      NEW met1 ( 2697670 17850 ) ( 2893170 * )
+      NEW met1 ( 2697670 17850 ) M1M2_PR
+      NEW met1 ( 2697670 288150 ) M1M2_PR
+      NEW met1 ( 2695370 288150 ) M1M2_PR
+      NEW met1 ( 2893170 17850 ) M1M2_PR ;
     - la_oenb[12] ( PIN la_oenb[12] ) ( mprj la_oenb[12] ) + USE SIGNAL
-      + ROUTED met2 ( 2044930 298860 ) ( 2048600 * )
-      NEW met2 ( 2048600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2043090 52870 ) ( * 227700 )
-      NEW met2 ( 2043090 227700 ) ( 2044930 * )
-      NEW met2 ( 2044930 227700 ) ( * 298860 )
+      + ROUTED met2 ( 2145900 298860 ) ( 2146590 * )
+      NEW met2 ( 2145900 298860 ) ( * 300220 0 )
+      NEW met2 ( 2146590 52870 ) ( * 298860 )
       NEW met2 ( 853990 2380 0 ) ( * 52870 )
-      NEW met1 ( 853990 52870 ) ( 2043090 * )
-      NEW met1 ( 2043090 52870 ) M1M2_PR
+      NEW met1 ( 853990 52870 ) ( 2146590 * )
+      NEW met1 ( 2146590 52870 ) M1M2_PR
       NEW met1 ( 853990 52870 ) M1M2_PR ;
     - la_oenb[13] ( PIN la_oenb[13] ) ( mprj la_oenb[13] ) + USE SIGNAL
-      + ROUTED met2 ( 871470 2380 0 ) ( * 16830 )
-      NEW met1 ( 871470 16830 ) ( 876070 * )
-      NEW met2 ( 2049530 298860 ) ( 2053100 * )
-      NEW met2 ( 2053100 298860 ) ( * 300220 0 )
-      NEW met2 ( 876070 16830 ) ( * 53210 )
-      NEW met2 ( 2049530 53210 ) ( * 298860 )
-      NEW met1 ( 876070 53210 ) ( 2049530 * )
-      NEW met1 ( 871470 16830 ) M1M2_PR
-      NEW met1 ( 876070 16830 ) M1M2_PR
+      + ROUTED met2 ( 871470 2380 0 ) ( * 15470 )
+      NEW met1 ( 871470 15470 ) ( 876070 * )
+      NEW met1 ( 2146130 287810 ) ( 2150730 * )
+      NEW met2 ( 2150730 287810 ) ( * 298860 )
+      NEW met2 ( 2150700 298860 ) ( 2150730 * )
+      NEW met2 ( 2150700 298860 ) ( * 300220 0 )
+      NEW met2 ( 876070 15470 ) ( * 53210 )
+      NEW met2 ( 2146130 53210 ) ( * 287810 )
+      NEW met1 ( 876070 53210 ) ( 2146130 * )
+      NEW met1 ( 871470 15470 ) M1M2_PR
+      NEW met1 ( 876070 15470 ) M1M2_PR
+      NEW met1 ( 2146130 287810 ) M1M2_PR
+      NEW met1 ( 2150730 287810 ) M1M2_PR
       NEW met1 ( 876070 53210 ) M1M2_PR
-      NEW met1 ( 2049530 53210 ) M1M2_PR ;
+      NEW met1 ( 2146130 53210 ) M1M2_PR ;
     - la_oenb[14] ( PIN la_oenb[14] ) ( mprj la_oenb[14] ) + USE SIGNAL
-      + ROUTED met2 ( 2056890 298860 ) ( 2057600 * )
-      NEW met2 ( 2057600 298860 ) ( * 300220 0 )
+      + ROUTED met2 ( 2153030 298860 ) ( 2155500 * )
+      NEW met2 ( 2155500 298860 ) ( * 300220 0 )
       NEW met2 ( 889410 2380 0 ) ( * 53550 )
-      NEW met2 ( 2056890 53550 ) ( * 298860 )
-      NEW met1 ( 889410 53550 ) ( 2056890 * )
+      NEW met2 ( 2153030 53550 ) ( * 298860 )
+      NEW met1 ( 889410 53550 ) ( 2153030 * )
       NEW met1 ( 889410 53550 ) M1M2_PR
-      NEW met1 ( 2056890 53550 ) M1M2_PR ;
+      NEW met1 ( 2153030 53550 ) M1M2_PR ;
     - la_oenb[15] ( PIN la_oenb[15] ) ( mprj la_oenb[15] ) + USE SIGNAL
-      + ROUTED met1 ( 2056430 299030 ) ( 2062100 * )
-      NEW met2 ( 2062100 299030 ) ( * 300220 0 )
-      NEW met2 ( 2056430 53890 ) ( * 299030 )
-      NEW met2 ( 907350 2380 0 ) ( * 16830 )
+      + ROUTED met2 ( 907350 2380 0 ) ( * 16830 )
       NEW met1 ( 907350 16830 ) ( 910570 * )
+      NEW met2 ( 2160300 298860 ) ( 2160390 * )
+      NEW met2 ( 2160300 298860 ) ( * 300220 0 )
       NEW met2 ( 910570 16830 ) ( * 53890 )
-      NEW met1 ( 910570 53890 ) ( 2056430 * )
-      NEW met1 ( 2056430 299030 ) M1M2_PR
-      NEW met1 ( 2062100 299030 ) M1M2_PR
-      NEW met1 ( 2056430 53890 ) M1M2_PR
+      NEW met1 ( 910570 53890 ) ( 2160390 * )
+      NEW met2 ( 2160390 53890 ) ( * 298860 )
       NEW met1 ( 907350 16830 ) M1M2_PR
       NEW met1 ( 910570 16830 ) M1M2_PR
-      NEW met1 ( 910570 53890 ) M1M2_PR ;
+      NEW met1 ( 910570 53890 ) M1M2_PR
+      NEW met1 ( 2160390 53890 ) M1M2_PR ;
     - la_oenb[16] ( PIN la_oenb[16] ) ( mprj la_oenb[16] ) + USE SIGNAL
       + ROUTED met2 ( 924830 2380 0 ) ( * 16830 )
       NEW met1 ( 924830 16830 ) ( 931270 * )
-      NEW met2 ( 2063330 298860 ) ( 2066600 * )
-      NEW met2 ( 2066600 298860 ) ( * 300220 0 )
+      NEW met1 ( 2159930 299030 ) ( 2165100 * )
+      NEW met2 ( 2165100 299030 ) ( * 300220 0 )
       NEW met2 ( 931270 16830 ) ( * 54230 )
-      NEW met2 ( 2063330 54230 ) ( * 298860 )
-      NEW met1 ( 931270 54230 ) ( 2063330 * )
+      NEW met1 ( 931270 54230 ) ( 2159930 * )
+      NEW met2 ( 2159930 54230 ) ( * 299030 )
       NEW met1 ( 924830 16830 ) M1M2_PR
       NEW met1 ( 931270 16830 ) M1M2_PR
+      NEW met1 ( 2159930 299030 ) M1M2_PR
+      NEW met1 ( 2165100 299030 ) M1M2_PR
       NEW met1 ( 931270 54230 ) M1M2_PR
-      NEW met1 ( 2063330 54230 ) M1M2_PR ;
+      NEW met1 ( 2159930 54230 ) M1M2_PR ;
     - la_oenb[17] ( PIN la_oenb[17] ) ( mprj la_oenb[17] ) + USE SIGNAL
       + ROUTED met2 ( 942770 2380 0 ) ( * 16830 )
       NEW met1 ( 942770 16830 ) ( 945070 * )
-      NEW met2 ( 2070690 298860 ) ( 2071100 * )
-      NEW met2 ( 2071100 298860 ) ( * 300220 0 )
+      NEW met2 ( 2166830 298860 ) ( 2169900 * )
+      NEW met2 ( 2169900 298860 ) ( * 300220 0 )
       NEW met2 ( 945070 16830 ) ( * 54570 )
-      NEW met2 ( 2070690 54570 ) ( * 298860 )
-      NEW met1 ( 945070 54570 ) ( 2070690 * )
+      NEW met1 ( 945070 54570 ) ( 2166830 * )
+      NEW met2 ( 2166830 54570 ) ( * 298860 )
       NEW met1 ( 942770 16830 ) M1M2_PR
       NEW met1 ( 945070 16830 ) M1M2_PR
       NEW met1 ( 945070 54570 ) M1M2_PR
-      NEW met1 ( 2070690 54570 ) M1M2_PR ;
+      NEW met1 ( 2166830 54570 ) M1M2_PR ;
     - la_oenb[18] ( PIN la_oenb[18] ) ( mprj la_oenb[18] ) + USE SIGNAL
       + ROUTED met2 ( 960250 2380 0 ) ( * 16830 )
-      NEW met1 ( 960250 16830 ) ( 964850 * )
-      NEW met2 ( 964850 16830 ) ( * 54910 )
-      NEW met1 ( 2070230 299030 ) ( 2075700 * )
-      NEW met2 ( 2075700 299030 ) ( * 300220 0 )
-      NEW met2 ( 2070230 54910 ) ( * 299030 )
-      NEW met1 ( 964850 54910 ) ( 2070230 * )
+      NEW met1 ( 960250 16830 ) ( 965770 * )
+      NEW met2 ( 965770 16830 ) ( * 54910 )
+      NEW met2 ( 2173730 298860 ) ( 2174600 * )
+      NEW met2 ( 2174600 298860 ) ( * 300220 0 )
+      NEW met1 ( 965770 54910 ) ( 2173730 * )
+      NEW met2 ( 2173730 54910 ) ( * 298860 )
       NEW met1 ( 960250 16830 ) M1M2_PR
-      NEW met1 ( 964850 16830 ) M1M2_PR
-      NEW met1 ( 964850 54910 ) M1M2_PR
-      NEW met1 ( 2070230 299030 ) M1M2_PR
-      NEW met1 ( 2075700 299030 ) M1M2_PR
-      NEW met1 ( 2070230 54910 ) M1M2_PR ;
+      NEW met1 ( 965770 16830 ) M1M2_PR
+      NEW met1 ( 965770 54910 ) M1M2_PR
+      NEW met1 ( 2173730 54910 ) M1M2_PR ;
     - la_oenb[19] ( PIN la_oenb[19] ) ( mprj la_oenb[19] ) + USE SIGNAL
       + ROUTED met2 ( 978190 2380 0 ) ( * 51170 )
-      NEW met2 ( 2077130 298860 ) ( 2080200 * )
-      NEW met2 ( 2080200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2077130 51170 ) ( * 298860 )
-      NEW met1 ( 978190 51170 ) ( 2077130 * )
+      NEW met2 ( 2175570 298860 ) ( 2179400 * )
+      NEW met2 ( 2179400 298860 ) ( * 300220 0 )
+      NEW met1 ( 978190 51170 ) ( 2174190 * )
+      NEW met2 ( 2174190 51170 ) ( * 227700 )
+      NEW met2 ( 2174190 227700 ) ( 2175570 * )
+      NEW met2 ( 2175570 227700 ) ( * 298860 )
       NEW met1 ( 978190 51170 ) M1M2_PR
-      NEW met1 ( 2077130 51170 ) M1M2_PR ;
+      NEW met1 ( 2174190 51170 ) M1M2_PR ;
     - la_oenb[1] ( PIN la_oenb[1] ) ( mprj la_oenb[1] ) + USE SIGNAL
-      + ROUTED met2 ( 1995250 298860 ) ( 1998900 * )
-      NEW met2 ( 1998900 298860 ) ( * 300220 0 )
+      + ROUTED met2 ( 2090930 298860 ) ( 2093400 * )
+      NEW met2 ( 2093400 298860 ) ( * 300220 0 )
       NEW met2 ( 658950 2380 0 ) ( * 51850 )
-      NEW met2 ( 1995250 51850 ) ( * 298860 )
-      NEW met1 ( 658950 51850 ) ( 1995250 * )
+      NEW met1 ( 658950 51850 ) ( 2090930 * )
+      NEW met2 ( 2090930 51850 ) ( * 298860 )
       NEW met1 ( 658950 51850 ) M1M2_PR
-      NEW met1 ( 1995250 51850 ) M1M2_PR ;
+      NEW met1 ( 2090930 51850 ) M1M2_PR ;
     - la_oenb[20] ( PIN la_oenb[20] ) ( mprj la_oenb[20] ) + USE SIGNAL
       + ROUTED met2 ( 995670 2380 0 ) ( * 16830 )
-      NEW met1 ( 995670 16830 ) ( 999810 * )
-      NEW met2 ( 999810 16830 ) ( * 50830 )
-      NEW met2 ( 2084490 298860 ) ( 2084700 * )
-      NEW met2 ( 2084700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2084490 50830 ) ( * 298860 )
-      NEW met1 ( 999810 50830 ) ( 2084490 * )
+      NEW met1 ( 995670 16830 ) ( 1000270 * )
+      NEW met2 ( 1000270 16830 ) ( * 50830 )
+      NEW met2 ( 2180630 298860 ) ( 2184100 * )
+      NEW met2 ( 2184100 298860 ) ( * 300220 0 )
+      NEW met1 ( 1000270 50830 ) ( 2180630 * )
+      NEW met2 ( 2180630 50830 ) ( * 298860 )
       NEW met1 ( 995670 16830 ) M1M2_PR
-      NEW met1 ( 999810 16830 ) M1M2_PR
-      NEW met1 ( 999810 50830 ) M1M2_PR
-      NEW met1 ( 2084490 50830 ) M1M2_PR ;
+      NEW met1 ( 1000270 16830 ) M1M2_PR
+      NEW met1 ( 1000270 50830 ) M1M2_PR
+      NEW met1 ( 2180630 50830 ) M1M2_PR ;
     - la_oenb[21] ( PIN la_oenb[21] ) ( mprj la_oenb[21] ) + USE SIGNAL
-      + ROUTED met1 ( 2084030 299030 ) ( 2089200 * )
-      NEW met2 ( 2089200 299030 ) ( * 300220 0 )
-      NEW met2 ( 1013610 2380 0 ) ( * 50490 )
-      NEW met2 ( 2084030 50490 ) ( * 299030 )
-      NEW met1 ( 1013610 50490 ) ( 2084030 * )
-      NEW met1 ( 2084030 299030 ) M1M2_PR
-      NEW met1 ( 2089200 299030 ) M1M2_PR
-      NEW met1 ( 1013610 50490 ) M1M2_PR
-      NEW met1 ( 2084030 50490 ) M1M2_PR ;
+      + ROUTED met2 ( 1013610 2380 0 ) ( * 17340 )
+      NEW met2 ( 1013150 17340 ) ( 1013610 * )
+      NEW met2 ( 2187990 298860 ) ( 2188900 * )
+      NEW met2 ( 2188900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1013150 17340 ) ( * 50490 )
+      NEW met1 ( 1013150 50490 ) ( 2187990 * )
+      NEW met2 ( 2187990 50490 ) ( * 298860 )
+      NEW met1 ( 1013150 50490 ) M1M2_PR
+      NEW met1 ( 2187990 50490 ) M1M2_PR ;
     - la_oenb[22] ( PIN la_oenb[22] ) ( mprj la_oenb[22] ) + USE SIGNAL
       + ROUTED met2 ( 1031090 2380 0 ) ( * 16830 )
       NEW met1 ( 1031090 16830 ) ( 1033850 * )
-      NEW met2 ( 2090930 298860 ) ( 2093700 * )
-      NEW met2 ( 2093700 298860 ) ( * 300220 0 )
+      NEW met1 ( 2187530 299030 ) ( 2193700 * )
+      NEW met2 ( 2193700 299030 ) ( * 300220 0 )
       NEW met2 ( 1033850 16830 ) ( * 50150 )
-      NEW met2 ( 2090930 50150 ) ( * 298860 )
-      NEW met1 ( 1033850 50150 ) ( 2090930 * )
+      NEW met1 ( 1033850 50150 ) ( 2187530 * )
+      NEW met2 ( 2187530 50150 ) ( * 299030 )
       NEW met1 ( 1031090 16830 ) M1M2_PR
       NEW met1 ( 1033850 16830 ) M1M2_PR
+      NEW met1 ( 2187530 299030 ) M1M2_PR
+      NEW met1 ( 2193700 299030 ) M1M2_PR
       NEW met1 ( 1033850 50150 ) M1M2_PR
-      NEW met1 ( 2090930 50150 ) M1M2_PR ;
+      NEW met1 ( 2187530 50150 ) M1M2_PR ;
     - la_oenb[23] ( PIN la_oenb[23] ) ( mprj la_oenb[23] ) + USE SIGNAL
       + ROUTED met2 ( 1049030 2380 0 ) ( * 16830 )
-      NEW met1 ( 1049030 16830 ) ( 1055470 * )
-      NEW met2 ( 1055470 16830 ) ( * 49810 )
-      NEW met2 ( 2098200 298860 ) ( 2098290 * )
-      NEW met2 ( 2098200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2098290 49810 ) ( * 298860 )
-      NEW met1 ( 1055470 49810 ) ( 2098290 * )
+      NEW met1 ( 1049030 16830 ) ( 1055010 * )
+      NEW met2 ( 1055010 16830 ) ( * 49810 )
+      NEW met2 ( 2194430 298860 ) ( 2198500 * )
+      NEW met2 ( 2198500 298860 ) ( * 300220 0 )
+      NEW met1 ( 1055010 49810 ) ( 2194430 * )
+      NEW met2 ( 2194430 49810 ) ( * 298860 )
       NEW met1 ( 1049030 16830 ) M1M2_PR
-      NEW met1 ( 1055470 16830 ) M1M2_PR
-      NEW met1 ( 1055470 49810 ) M1M2_PR
-      NEW met1 ( 2098290 49810 ) M1M2_PR ;
+      NEW met1 ( 1055010 16830 ) M1M2_PR
+      NEW met1 ( 1055010 49810 ) M1M2_PR
+      NEW met1 ( 2194430 49810 ) M1M2_PR ;
     - la_oenb[24] ( PIN la_oenb[24] ) ( mprj la_oenb[24] ) + USE SIGNAL
-      + ROUTED met2 ( 1066970 2380 0 ) ( * 16830 )
-      NEW met1 ( 1066970 16830 ) ( 1069270 * )
-      NEW met2 ( 1069270 16830 ) ( * 49470 )
-      NEW met1 ( 2097830 299030 ) ( 2102700 * )
-      NEW met2 ( 2102700 299030 ) ( * 300220 0 )
-      NEW met2 ( 2097830 49470 ) ( * 299030 )
-      NEW met1 ( 1069270 49470 ) ( 2097830 * )
-      NEW met1 ( 1066970 16830 ) M1M2_PR
-      NEW met1 ( 1069270 16830 ) M1M2_PR
-      NEW met1 ( 1069270 49470 ) M1M2_PR
-      NEW met1 ( 2097830 299030 ) M1M2_PR
-      NEW met1 ( 2102700 299030 ) M1M2_PR
-      NEW met1 ( 2097830 49470 ) M1M2_PR ;
+      + ROUTED met2 ( 1066970 2380 0 ) ( * 3060 )
+      NEW met2 ( 1066970 3060 ) ( 1067890 * )
+      NEW met2 ( 1067890 2380 ) ( * 3060 )
+      NEW met2 ( 1067890 2380 ) ( 1068810 * )
+      NEW met2 ( 1068810 2380 ) ( * 49470 )
+      NEW met2 ( 2201330 298860 ) ( 2203200 * )
+      NEW met2 ( 2203200 298860 ) ( * 300220 0 )
+      NEW met1 ( 1068810 49470 ) ( 2201330 * )
+      NEW met2 ( 2201330 49470 ) ( * 298860 )
+      NEW met1 ( 1068810 49470 ) M1M2_PR
+      NEW met1 ( 2201330 49470 ) M1M2_PR ;
     - la_oenb[25] ( PIN la_oenb[25] ) ( mprj la_oenb[25] ) + USE SIGNAL
-      + ROUTED met2 ( 1084450 2380 0 ) ( * 19890 )
-      NEW met1 ( 1084450 19890 ) ( 1100550 * )
-      NEW met2 ( 2104730 298860 ) ( 2107300 * )
-      NEW met2 ( 2107300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1100550 19890 ) ( * 79730 )
-      NEW met1 ( 1100550 79730 ) ( 2104730 * )
-      NEW met2 ( 2104730 79730 ) ( * 298860 )
-      NEW met1 ( 1084450 19890 ) M1M2_PR
-      NEW met1 ( 1100550 19890 ) M1M2_PR
-      NEW met1 ( 1100550 79730 ) M1M2_PR
-      NEW met1 ( 2104730 79730 ) M1M2_PR ;
+      + ROUTED met2 ( 1084450 2380 0 ) ( * 16830 )
+      NEW met1 ( 1084450 16830 ) ( 1089510 * )
+      NEW met2 ( 1089510 16830 ) ( * 49130 )
+      NEW met2 ( 2208230 49130 ) ( * 298860 )
+      NEW met2 ( 2208000 298860 ) ( 2208230 * )
+      NEW met2 ( 2208000 298860 ) ( * 300220 0 )
+      NEW met1 ( 1089510 49130 ) ( 2208230 * )
+      NEW met1 ( 1084450 16830 ) M1M2_PR
+      NEW met1 ( 1089510 16830 ) M1M2_PR
+      NEW met1 ( 1089510 49130 ) M1M2_PR
+      NEW met1 ( 2208230 49130 ) M1M2_PR ;
     - la_oenb[26] ( PIN la_oenb[26] ) ( mprj la_oenb[26] ) + USE SIGNAL
-      + ROUTED met2 ( 2111630 283050 ) ( * 298860 )
-      NEW met2 ( 2111630 298860 ) ( 2111800 * )
-      NEW met2 ( 2111800 298860 ) ( * 300220 0 )
-      NEW met1 ( 1103310 92990 ) ( 2107950 * )
-      NEW met1 ( 2107950 283050 ) ( 2111630 * )
-      NEW met2 ( 1102390 2380 0 ) ( * 34500 )
-      NEW met2 ( 1102390 34500 ) ( 1103310 * )
-      NEW met2 ( 1103310 34500 ) ( * 92990 )
-      NEW met2 ( 2107950 92990 ) ( * 283050 )
-      NEW met1 ( 2111630 283050 ) M1M2_PR
-      NEW met1 ( 1103310 92990 ) M1M2_PR
-      NEW met1 ( 2107950 92990 ) M1M2_PR
-      NEW met1 ( 2107950 283050 ) M1M2_PR ;
+      + ROUTED met2 ( 2208690 298860 ) ( 2212800 * )
+      NEW met2 ( 2212800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2208690 58990 ) ( * 298860 )
+      NEW met2 ( 1102390 2380 0 ) ( * 58990 )
+      NEW met1 ( 1102390 58990 ) ( 2208690 * )
+      NEW met1 ( 2208690 58990 ) M1M2_PR
+      NEW met1 ( 1102390 58990 ) M1M2_PR ;
     - la_oenb[27] ( PIN la_oenb[27] ) ( mprj la_oenb[27] ) + USE SIGNAL
-      + ROUTED met2 ( 2116230 287130 ) ( * 298860 )
-      NEW met2 ( 2116230 298860 ) ( 2116300 * )
-      NEW met2 ( 2116300 298860 ) ( * 300220 0 )
-      NEW met2 ( 2052750 99790 ) ( * 287130 )
-      NEW met2 ( 1119870 2380 0 ) ( * 14790 )
-      NEW met1 ( 1119870 14790 ) ( 1124010 * )
-      NEW met1 ( 1124010 99790 ) ( 2052750 * )
-      NEW met1 ( 2052750 287130 ) ( 2116230 * )
-      NEW met2 ( 1124010 14790 ) ( * 99790 )
-      NEW met1 ( 2052750 99790 ) M1M2_PR
-      NEW met1 ( 2052750 287130 ) M1M2_PR
-      NEW met1 ( 2116230 287130 ) M1M2_PR
-      NEW met1 ( 1119870 14790 ) M1M2_PR
-      NEW met1 ( 1124010 14790 ) M1M2_PR
-      NEW met1 ( 1124010 99790 ) M1M2_PR ;
+      + ROUTED met2 ( 2215130 298860 ) ( 2217600 * )
+      NEW met2 ( 2217600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2215130 59330 ) ( * 298860 )
+      NEW met2 ( 1119870 2380 0 ) ( * 16830 )
+      NEW met1 ( 1119870 16830 ) ( 1124470 * )
+      NEW met2 ( 1124470 16830 ) ( * 59330 )
+      NEW met1 ( 1124470 59330 ) ( 2215130 * )
+      NEW met1 ( 2215130 59330 ) M1M2_PR
+      NEW met1 ( 1119870 16830 ) M1M2_PR
+      NEW met1 ( 1124470 16830 ) M1M2_PR
+      NEW met1 ( 1124470 59330 ) M1M2_PR ;
     - la_oenb[28] ( PIN la_oenb[28] ) ( mprj la_oenb[28] ) + USE SIGNAL
-      + ROUTED met2 ( 2118530 298860 ) ( 2120800 * )
-      NEW met2 ( 2120800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1190250 19210 ) ( * 106930 )
-      NEW met2 ( 2118530 106930 ) ( * 298860 )
-      NEW met2 ( 1137810 2380 0 ) ( * 19210 )
-      NEW met1 ( 1137810 19210 ) ( 1190250 * )
-      NEW met1 ( 1190250 106930 ) ( 2118530 * )
-      NEW met1 ( 1190250 19210 ) M1M2_PR
-      NEW met1 ( 1190250 106930 ) M1M2_PR
-      NEW met1 ( 2118530 106930 ) M1M2_PR
-      NEW met1 ( 1137810 19210 ) M1M2_PR ;
+      + ROUTED met2 ( 2222400 298860 ) ( 2222490 * )
+      NEW met2 ( 2222400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2222490 59670 ) ( * 298860 )
+      NEW met2 ( 1137810 2380 0 ) ( * 59670 )
+      NEW met1 ( 1137810 59670 ) ( 2222490 * )
+      NEW met1 ( 2222490 59670 ) M1M2_PR
+      NEW met1 ( 1137810 59670 ) M1M2_PR ;
     - la_oenb[29] ( PIN la_oenb[29] ) ( mprj la_oenb[29] ) + USE SIGNAL
-      + ROUTED met2 ( 1155290 2380 0 ) ( * 15470 )
-      NEW met1 ( 1155290 15470 ) ( 1169550 * )
-      NEW met2 ( 2125300 298860 ) ( 2125890 * )
-      NEW met2 ( 2125300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1169550 15470 ) ( * 168810 )
-      NEW met2 ( 2125890 168810 ) ( * 298860 )
-      NEW met1 ( 1169550 168810 ) ( 2125890 * )
-      NEW met1 ( 1155290 15470 ) M1M2_PR
-      NEW met1 ( 1169550 15470 ) M1M2_PR
-      NEW met1 ( 1169550 168810 ) M1M2_PR
-      NEW met1 ( 2125890 168810 ) M1M2_PR ;
+      + ROUTED met2 ( 1155290 2380 0 ) ( * 16490 )
+      NEW met1 ( 1155290 16490 ) ( 1158970 * )
+      NEW met1 ( 2222030 299030 ) ( 2227200 * )
+      NEW met2 ( 2227200 299030 ) ( * 300220 0 )
+      NEW met2 ( 1158970 16490 ) ( * 60010 )
+      NEW met2 ( 2222030 60010 ) ( * 299030 )
+      NEW met1 ( 1158970 60010 ) ( 2222030 * )
+      NEW met1 ( 1155290 16490 ) M1M2_PR
+      NEW met1 ( 1158970 16490 ) M1M2_PR
+      NEW met1 ( 2222030 299030 ) M1M2_PR
+      NEW met1 ( 2227200 299030 ) M1M2_PR
+      NEW met1 ( 1158970 60010 ) M1M2_PR
+      NEW met1 ( 2222030 60010 ) M1M2_PR ;
     - la_oenb[2] ( PIN la_oenb[2] ) ( mprj la_oenb[2] ) + USE SIGNAL
       + ROUTED met2 ( 676430 2380 0 ) ( * 17510 )
       NEW met1 ( 676430 17510 ) ( 682410 * )
       NEW met2 ( 682410 17510 ) ( * 58650 )
-      NEW met2 ( 2003530 289170 ) ( * 298860 )
-      NEW met2 ( 2003400 298860 ) ( 2003530 * )
-      NEW met2 ( 2003400 298860 ) ( * 300220 0 )
-      NEW met1 ( 1907850 289170 ) ( 2003530 * )
-      NEW met1 ( 682410 58650 ) ( 1907850 * )
-      NEW met2 ( 1907850 58650 ) ( * 289170 )
+      NEW met2 ( 2098200 298860 ) ( 2098290 * )
+      NEW met2 ( 2098200 298860 ) ( * 300220 0 )
+      NEW met1 ( 682410 58650 ) ( 2098290 * )
+      NEW met2 ( 2098290 58650 ) ( * 298860 )
       NEW met1 ( 676430 17510 ) M1M2_PR
       NEW met1 ( 682410 17510 ) M1M2_PR
       NEW met1 ( 682410 58650 ) M1M2_PR
-      NEW met1 ( 1907850 289170 ) M1M2_PR
-      NEW met1 ( 2003530 289170 ) M1M2_PR
-      NEW met1 ( 1907850 58650 ) M1M2_PR ;
+      NEW met1 ( 2098290 58650 ) M1M2_PR ;
     - la_oenb[30] ( PIN la_oenb[30] ) ( mprj la_oenb[30] ) + USE SIGNAL
-      + ROUTED met2 ( 1173230 2380 0 ) ( * 20570 )
-      NEW met1 ( 2125430 288150 ) ( 2129570 * )
-      NEW met2 ( 2129570 288150 ) ( * 298860 )
-      NEW met2 ( 2129570 298860 ) ( 2129800 * )
-      NEW met2 ( 2129800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2125430 80070 ) ( * 288150 )
-      NEW met1 ( 1173230 20570 ) ( 1204510 * )
-      NEW met2 ( 1204510 20570 ) ( * 80070 )
-      NEW met1 ( 1204510 80070 ) ( 2125430 * )
-      NEW met1 ( 1173230 20570 ) M1M2_PR
-      NEW met1 ( 2125430 288150 ) M1M2_PR
-      NEW met1 ( 2129570 288150 ) M1M2_PR
-      NEW met1 ( 2125430 80070 ) M1M2_PR
-      NEW met1 ( 1204510 20570 ) M1M2_PR
-      NEW met1 ( 1204510 80070 ) M1M2_PR ;
+      + ROUTED met2 ( 1173230 2380 0 ) ( * 18530 )
+      NEW met2 ( 2228930 298860 ) ( 2231800 * )
+      NEW met2 ( 2231800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1245450 18530 ) ( * 155210 )
+      NEW met2 ( 2228930 155210 ) ( * 298860 )
+      NEW met1 ( 1173230 18530 ) ( 1245450 * )
+      NEW met1 ( 1245450 155210 ) ( 2228930 * )
+      NEW met1 ( 1173230 18530 ) M1M2_PR
+      NEW met1 ( 1245450 18530 ) M1M2_PR
+      NEW met1 ( 1245450 155210 ) M1M2_PR
+      NEW met1 ( 2228930 155210 ) M1M2_PR ;
     - la_oenb[31] ( PIN la_oenb[31] ) ( mprj la_oenb[31] ) + USE SIGNAL
-      + ROUTED met2 ( 1190710 2380 0 ) ( * 18870 )
-      NEW met2 ( 2134170 279990 ) ( * 298860 )
-      NEW met2 ( 2134170 298860 ) ( 2134300 * )
-      NEW met2 ( 2134300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1245450 18870 ) ( * 279990 )
-      NEW met1 ( 1190710 18870 ) ( 1245450 * )
-      NEW met1 ( 1245450 279990 ) ( 2134170 * )
-      NEW met1 ( 1190710 18870 ) M1M2_PR
-      NEW met1 ( 1245450 18870 ) M1M2_PR
-      NEW met1 ( 1245450 279990 ) M1M2_PR
-      NEW met1 ( 2134170 279990 ) M1M2_PR ;
+      + ROUTED met2 ( 1190710 2380 0 ) ( * 16830 )
+      NEW met1 ( 1190710 16830 ) ( 1193010 * )
+      NEW met2 ( 2235830 289170 ) ( * 299540 )
+      NEW met2 ( 2235830 299540 ) ( 2236600 * )
+      NEW met2 ( 2236600 299540 ) ( * 300220 0 )
+      NEW met2 ( 1193010 16830 ) ( * 80070 )
+      NEW met1 ( 1970410 285770 ) ( 2008590 * )
+      NEW li1 ( 2008590 285770 ) ( * 289170 )
+      NEW met1 ( 2008590 289170 ) ( 2235830 * )
+      NEW met1 ( 1193010 80070 ) ( 1970410 * )
+      NEW met2 ( 1970410 80070 ) ( * 285770 )
+      NEW met1 ( 1190710 16830 ) M1M2_PR
+      NEW met1 ( 1193010 16830 ) M1M2_PR
+      NEW met1 ( 2235830 289170 ) M1M2_PR
+      NEW met1 ( 1193010 80070 ) M1M2_PR
+      NEW met1 ( 1970410 285770 ) M1M2_PR
+      NEW li1 ( 2008590 285770 ) L1M1_PR_MR
+      NEW li1 ( 2008590 289170 ) L1M1_PR_MR
+      NEW met1 ( 1970410 80070 ) M1M2_PR ;
     - la_oenb[32] ( PIN la_oenb[32] ) ( mprj la_oenb[32] ) + USE SIGNAL
-      + ROUTED met2 ( 2138770 280330 ) ( * 298860 )
-      NEW met2 ( 2138770 298860 ) ( 2138900 * )
-      NEW met2 ( 2138900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1208650 2380 0 ) ( * 19210 )
-      NEW met1 ( 1208650 19210 ) ( 1300650 * )
-      NEW met2 ( 1300650 19210 ) ( * 280330 )
-      NEW met1 ( 1300650 280330 ) ( 2138770 * )
-      NEW met1 ( 2138770 280330 ) M1M2_PR
-      NEW met1 ( 1208650 19210 ) M1M2_PR
-      NEW met1 ( 1300650 19210 ) M1M2_PR
-      NEW met1 ( 1300650 280330 ) M1M2_PR ;
+      + ROUTED met2 ( 2236290 298860 ) ( 2241400 * )
+      NEW met2 ( 2241400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2236290 86190 ) ( * 298860 )
+      NEW met2 ( 1208650 2380 0 ) ( * 15810 )
+      NEW met1 ( 1208650 15810 ) ( 1231650 * )
+      NEW met1 ( 1231650 86190 ) ( 2236290 * )
+      NEW met2 ( 1231650 15810 ) ( * 86190 )
+      NEW met1 ( 2236290 86190 ) M1M2_PR
+      NEW met1 ( 1208650 15810 ) M1M2_PR
+      NEW met1 ( 1231650 15810 ) M1M2_PR
+      NEW met1 ( 1231650 86190 ) M1M2_PR ;
     - la_oenb[33] ( PIN la_oenb[33] ) ( mprj la_oenb[33] ) + USE SIGNAL
-      + ROUTED met2 ( 2139230 298860 ) ( 2143400 * )
-      NEW met2 ( 2143400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2139230 72590 ) ( * 298860 )
-      NEW met2 ( 1226130 2380 0 ) ( * 16830 )
-      NEW met1 ( 1226130 16830 ) ( 1232110 * )
-      NEW met2 ( 1232110 16830 ) ( * 72590 )
-      NEW met1 ( 1232110 72590 ) ( 2139230 * )
-      NEW met1 ( 2139230 72590 ) M1M2_PR
-      NEW met1 ( 1226130 16830 ) M1M2_PR
-      NEW met1 ( 1232110 16830 ) M1M2_PR
-      NEW met1 ( 1232110 72590 ) M1M2_PR ;
+      + ROUTED met2 ( 2242730 298860 ) ( 2246200 * )
+      NEW met2 ( 2246200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1252350 20230 ) ( * 86530 )
+      NEW met2 ( 2242730 86530 ) ( * 298860 )
+      NEW met2 ( 1226130 2380 0 ) ( * 20230 )
+      NEW met1 ( 1226130 20230 ) ( 1252350 * )
+      NEW met1 ( 1252350 86530 ) ( 2242730 * )
+      NEW met1 ( 1252350 20230 ) M1M2_PR
+      NEW met1 ( 1252350 86530 ) M1M2_PR
+      NEW met1 ( 2242730 86530 ) M1M2_PR
+      NEW met1 ( 1226130 20230 ) M1M2_PR ;
     - la_oenb[34] ( PIN la_oenb[34] ) ( mprj la_oenb[34] ) + USE SIGNAL
-      + ROUTED met2 ( 1244070 2380 0 ) ( * 15130 )
-      NEW met1 ( 1244070 15130 ) ( 1259250 * )
-      NEW met2 ( 2146590 298860 ) ( 2147900 * )
-      NEW met2 ( 2147900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1259250 15130 ) ( * 272510 )
-      NEW met2 ( 2146590 272510 ) ( * 298860 )
-      NEW met1 ( 1259250 272510 ) ( 2146590 * )
-      NEW met1 ( 1244070 15130 ) M1M2_PR
-      NEW met1 ( 1259250 15130 ) M1M2_PR
-      NEW met1 ( 1259250 272510 ) M1M2_PR
-      NEW met1 ( 2146590 272510 ) M1M2_PR ;
+      + ROUTED met2 ( 1244070 2380 0 ) ( * 16830 )
+      NEW met1 ( 1244070 16830 ) ( 1248670 * )
+      NEW met1 ( 2232150 287810 ) ( 2251010 * )
+      NEW met2 ( 2251010 287810 ) ( * 298860 )
+      NEW met2 ( 2251000 298860 ) ( 2251010 * )
+      NEW met2 ( 2251000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1248670 16830 ) ( * 72250 )
+      NEW met2 ( 2232150 72250 ) ( * 287810 )
+      NEW met1 ( 1248670 72250 ) ( 2232150 * )
+      NEW met1 ( 1244070 16830 ) M1M2_PR
+      NEW met1 ( 1248670 16830 ) M1M2_PR
+      NEW met1 ( 2232150 287810 ) M1M2_PR
+      NEW met1 ( 2251010 287810 ) M1M2_PR
+      NEW met1 ( 1248670 72250 ) M1M2_PR
+      NEW met1 ( 2232150 72250 ) M1M2_PR ;
     - la_oenb[35] ( PIN la_oenb[35] ) ( mprj la_oenb[35] ) + USE SIGNAL
-      + ROUTED met2 ( 1262010 2380 0 ) ( * 19550 )
-      NEW met1 ( 2146130 299030 ) ( 2152400 * )
-      NEW met2 ( 2152400 299030 ) ( * 300220 0 )
-      NEW met2 ( 2146130 189550 ) ( * 299030 )
-      NEW met1 ( 1262010 19550 ) ( 1335150 * )
-      NEW met1 ( 1335150 189550 ) ( 2146130 * )
-      NEW met2 ( 1335150 19550 ) ( * 189550 )
-      NEW met1 ( 1262010 19550 ) M1M2_PR
-      NEW met1 ( 2146130 189550 ) M1M2_PR
-      NEW met1 ( 2146130 299030 ) M1M2_PR
-      NEW met1 ( 2152400 299030 ) M1M2_PR
-      NEW met1 ( 1335150 19550 ) M1M2_PR
-      NEW met1 ( 1335150 189550 ) M1M2_PR ;
+      + ROUTED met2 ( 1262010 2380 0 ) ( * 16830 )
+      NEW met1 ( 1262010 16830 ) ( 1266150 * )
+      NEW met1 ( 2250090 299030 ) ( 2255800 * )
+      NEW met2 ( 2255800 299030 ) ( * 300220 0 )
+      NEW met2 ( 1266150 16830 ) ( * 189550 )
+      NEW met2 ( 2250090 189550 ) ( * 299030 )
+      NEW met1 ( 1266150 189550 ) ( 2250090 * )
+      NEW met1 ( 1262010 16830 ) M1M2_PR
+      NEW met1 ( 1266150 16830 ) M1M2_PR
+      NEW met1 ( 1266150 189550 ) M1M2_PR
+      NEW met1 ( 2250090 189550 ) M1M2_PR
+      NEW met1 ( 2250090 299030 ) M1M2_PR
+      NEW met1 ( 2255800 299030 ) M1M2_PR ;
     - la_oenb[36] ( PIN la_oenb[36] ) ( mprj la_oenb[36] ) + USE SIGNAL
-      + ROUTED met2 ( 1279490 2380 0 ) ( * 20230 )
-      NEW met2 ( 2153030 298860 ) ( 2156900 * )
-      NEW met2 ( 2156900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1348950 20230 ) ( * 86530 )
-      NEW met2 ( 2153030 86530 ) ( * 298860 )
-      NEW met1 ( 1279490 20230 ) ( 1348950 * )
-      NEW met1 ( 1348950 86530 ) ( 2153030 * )
-      NEW met1 ( 1279490 20230 ) M1M2_PR
-      NEW met1 ( 1348950 20230 ) M1M2_PR
-      NEW met1 ( 1348950 86530 ) M1M2_PR
-      NEW met1 ( 2153030 86530 ) M1M2_PR ;
+      + ROUTED met2 ( 1279490 2380 0 ) ( * 18530 )
+      NEW met1 ( 1279490 18530 ) ( 1314450 * )
+      NEW met2 ( 2260670 279990 ) ( * 298860 )
+      NEW met2 ( 2260600 298860 ) ( 2260670 * )
+      NEW met2 ( 2260600 298860 ) ( * 300220 0 )
+      NEW met1 ( 1314450 279990 ) ( 2260670 * )
+      NEW met2 ( 1314450 18530 ) ( * 279990 )
+      NEW met1 ( 1279490 18530 ) M1M2_PR
+      NEW met1 ( 1314450 18530 ) M1M2_PR
+      NEW met1 ( 1314450 279990 ) M1M2_PR
+      NEW met1 ( 2260670 279990 ) M1M2_PR ;
     - la_oenb[37] ( PIN la_oenb[37] ) ( mprj la_oenb[37] ) + USE SIGNAL
-      + ROUTED met2 ( 1297430 2380 0 ) ( * 18870 )
-      NEW met1 ( 1297430 18870 ) ( 1431750 * )
-      NEW met1 ( 1431750 182750 ) ( 2160390 * )
-      NEW met2 ( 2160390 298860 ) ( 2161400 * )
-      NEW met2 ( 2161400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1431750 18870 ) ( * 182750 )
-      NEW met2 ( 2160390 182750 ) ( * 298860 )
-      NEW met1 ( 1297430 18870 ) M1M2_PR
-      NEW met1 ( 1431750 18870 ) M1M2_PR
-      NEW met1 ( 1431750 182750 ) M1M2_PR
-      NEW met1 ( 2160390 182750 ) M1M2_PR ;
+      + ROUTED met2 ( 1297430 2380 0 ) ( * 16830 )
+      NEW met1 ( 1297430 16830 ) ( 1335150 * )
+      NEW met1 ( 1335150 196690 ) ( 2263430 * )
+      NEW met2 ( 2263430 298860 ) ( 2265400 * )
+      NEW met2 ( 2265400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1335150 16830 ) ( * 196690 )
+      NEW met2 ( 2263430 196690 ) ( * 298860 )
+      NEW met1 ( 1297430 16830 ) M1M2_PR
+      NEW met1 ( 1335150 16830 ) M1M2_PR
+      NEW met1 ( 1335150 196690 ) M1M2_PR
+      NEW met1 ( 2263430 196690 ) M1M2_PR ;
     - la_oenb[38] ( PIN la_oenb[38] ) ( mprj la_oenb[38] ) + USE SIGNAL
-      + ROUTED met2 ( 1314910 2380 0 ) ( * 16830 )
-      NEW met1 ( 1314910 16830 ) ( 1321810 * )
-      NEW met1 ( 2159930 299030 ) ( 2166000 * )
-      NEW met2 ( 2166000 299030 ) ( * 300220 0 )
-      NEW met2 ( 1321810 16830 ) ( * 65790 )
-      NEW met1 ( 1321810 65790 ) ( 2159930 * )
-      NEW met2 ( 2159930 65790 ) ( * 299030 )
-      NEW met1 ( 1314910 16830 ) M1M2_PR
-      NEW met1 ( 1321810 16830 ) M1M2_PR
-      NEW met1 ( 2159930 299030 ) M1M2_PR
-      NEW met1 ( 2166000 299030 ) M1M2_PR
-      NEW met1 ( 1321810 65790 ) M1M2_PR
-      NEW met1 ( 2159930 65790 ) M1M2_PR ;
+      + ROUTED met2 ( 1314910 2380 0 ) ( * 18870 )
+      NEW met1 ( 1314910 18870 ) ( 1390350 * )
+      NEW met1 ( 1390350 210290 ) ( 2270790 * )
+      NEW met2 ( 2270200 298860 ) ( 2270790 * )
+      NEW met2 ( 2270200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1390350 18870 ) ( * 210290 )
+      NEW met2 ( 2270790 210290 ) ( * 298860 )
+      NEW met1 ( 1314910 18870 ) M1M2_PR
+      NEW met1 ( 1390350 18870 ) M1M2_PR
+      NEW met1 ( 1390350 210290 ) M1M2_PR
+      NEW met1 ( 2270790 210290 ) M1M2_PR ;
     - la_oenb[39] ( PIN la_oenb[39] ) ( mprj la_oenb[39] ) + USE SIGNAL
-      + ROUTED met2 ( 1332850 2380 0 ) ( * 19890 )
-      NEW met1 ( 1332850 19890 ) ( 1411050 * )
-      NEW met2 ( 2170510 280670 ) ( * 298860 )
-      NEW met2 ( 2170500 298860 ) ( 2170510 * )
-      NEW met2 ( 2170500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1411050 19890 ) ( * 280670 )
-      NEW met1 ( 1411050 280670 ) ( 2170510 * )
-      NEW met1 ( 1332850 19890 ) M1M2_PR
-      NEW met1 ( 1411050 19890 ) M1M2_PR
-      NEW met1 ( 2170510 280670 ) M1M2_PR
-      NEW met1 ( 1411050 280670 ) M1M2_PR ;
+      + ROUTED met2 ( 1349410 19550 ) ( * 72590 )
+      NEW met2 ( 1332850 2380 0 ) ( * 19550 )
+      NEW met1 ( 1332850 19550 ) ( 1349410 * )
+      NEW met1 ( 2270330 288830 ) ( 2274930 * )
+      NEW met2 ( 2274930 288830 ) ( * 298860 )
+      NEW met2 ( 2274930 298860 ) ( 2275000 * )
+      NEW met2 ( 2275000 298860 ) ( * 300220 0 )
+      NEW met1 ( 1349410 72590 ) ( 2270330 * )
+      NEW met2 ( 2270330 72590 ) ( * 288830 )
+      NEW met1 ( 1349410 19550 ) M1M2_PR
+      NEW met1 ( 1349410 72590 ) M1M2_PR
+      NEW met1 ( 1332850 19550 ) M1M2_PR
+      NEW met1 ( 2270330 288830 ) M1M2_PR
+      NEW met1 ( 2274930 288830 ) M1M2_PR
+      NEW met1 ( 2270330 72590 ) M1M2_PR ;
     - la_oenb[3] ( PIN la_oenb[3] ) ( mprj la_oenb[3] ) + USE SIGNAL
-      + ROUTED met2 ( 694370 2380 0 ) ( * 17510 )
-      NEW met1 ( 694370 17510 ) ( 696670 * )
-      NEW met2 ( 696670 17510 ) ( * 79390 )
-      NEW met1 ( 2004450 287470 ) ( 2008130 * )
-      NEW met2 ( 2008130 287470 ) ( * 298860 )
-      NEW met2 ( 2007900 298860 ) ( 2008130 * )
-      NEW met2 ( 2007900 298860 ) ( * 300220 0 )
-      NEW met1 ( 696670 79390 ) ( 2004450 * )
-      NEW met2 ( 2004450 79390 ) ( * 287470 )
-      NEW met1 ( 694370 17510 ) M1M2_PR
-      NEW met1 ( 696670 17510 ) M1M2_PR
+      + ROUTED met2 ( 694370 2380 0 ) ( * 17170 )
+      NEW met1 ( 694370 17170 ) ( 696670 * )
+      NEW met2 ( 696670 17170 ) ( * 79390 )
+      NEW met1 ( 2094150 287470 ) ( 2102890 * )
+      NEW met2 ( 2102890 287470 ) ( * 298860 )
+      NEW met2 ( 2102890 298860 ) ( 2103000 * )
+      NEW met2 ( 2103000 298860 ) ( * 300220 0 )
+      NEW met1 ( 696670 79390 ) ( 2094150 * )
+      NEW met2 ( 2094150 79390 ) ( * 287470 )
+      NEW met1 ( 694370 17170 ) M1M2_PR
+      NEW met1 ( 696670 17170 ) M1M2_PR
       NEW met1 ( 696670 79390 ) M1M2_PR
-      NEW met1 ( 2004450 287470 ) M1M2_PR
-      NEW met1 ( 2008130 287470 ) M1M2_PR
-      NEW met1 ( 2004450 79390 ) M1M2_PR ;
+      NEW met1 ( 2094150 287470 ) M1M2_PR
+      NEW met1 ( 2102890 287470 ) M1M2_PR
+      NEW met1 ( 2094150 79390 ) M1M2_PR ;
     - la_oenb[40] ( PIN la_oenb[40] ) ( mprj la_oenb[40] ) + USE SIGNAL
       + ROUTED met2 ( 1350330 2380 0 ) ( * 19210 )
-      NEW met2 ( 1446010 19210 ) ( * 141270 )
-      NEW met1 ( 1350330 19210 ) ( 1446010 * )
-      NEW met2 ( 2174190 298860 ) ( 2175000 * )
-      NEW met2 ( 2175000 298860 ) ( * 300220 0 )
-      NEW met1 ( 1446010 141270 ) ( 2174190 * )
-      NEW met2 ( 2174190 141270 ) ( * 298860 )
+      NEW met1 ( 1350330 19210 ) ( 1411050 * )
+      NEW met2 ( 2279530 280330 ) ( * 298860 )
+      NEW met2 ( 2279530 298860 ) ( 2279700 * )
+      NEW met2 ( 2279700 298860 ) ( * 300220 0 )
+      NEW met1 ( 1411050 280330 ) ( 2279530 * )
+      NEW met2 ( 1411050 19210 ) ( * 280330 )
       NEW met1 ( 1350330 19210 ) M1M2_PR
-      NEW met1 ( 1446010 19210 ) M1M2_PR
-      NEW met1 ( 1446010 141270 ) M1M2_PR
-      NEW met1 ( 2174190 141270 ) M1M2_PR ;
+      NEW met1 ( 1411050 19210 ) M1M2_PR
+      NEW met1 ( 1411050 280330 ) M1M2_PR
+      NEW met1 ( 2279530 280330 ) M1M2_PR ;
     - la_oenb[41] ( PIN la_oenb[41] ) ( mprj la_oenb[41] ) + USE SIGNAL
-      + ROUTED met2 ( 1368270 2380 0 ) ( * 20230 )
-      NEW met2 ( 1439110 20230 ) ( * 73950 )
-      NEW met1 ( 1368270 20230 ) ( 1439110 * )
-      NEW met1 ( 2173730 299030 ) ( 2179500 * )
-      NEW met2 ( 2179500 299030 ) ( * 300220 0 )
-      NEW met1 ( 1439110 73950 ) ( 2173730 * )
-      NEW met2 ( 2173730 73950 ) ( * 299030 )
-      NEW met1 ( 1368270 20230 ) M1M2_PR
-      NEW met1 ( 1439110 20230 ) M1M2_PR
-      NEW met1 ( 1439110 73950 ) M1M2_PR
-      NEW met1 ( 2173730 299030 ) M1M2_PR
-      NEW met1 ( 2179500 299030 ) M1M2_PR
-      NEW met1 ( 2173730 73950 ) M1M2_PR ;
+      + ROUTED met2 ( 1368270 2380 0 ) ( * 16830 )
+      NEW met1 ( 1368270 16830 ) ( 1372410 * )
+      NEW met2 ( 1372410 16830 ) ( * 79730 )
+      NEW met2 ( 2245950 79730 ) ( * 289510 )
+      NEW met2 ( 2284130 289510 ) ( * 299540 )
+      NEW met2 ( 2284130 299540 ) ( 2284500 * )
+      NEW met2 ( 2284500 299540 ) ( * 300220 0 )
+      NEW met1 ( 2245950 289510 ) ( 2284130 * )
+      NEW met1 ( 1372410 79730 ) ( 2245950 * )
+      NEW met1 ( 1368270 16830 ) M1M2_PR
+      NEW met1 ( 1372410 16830 ) M1M2_PR
+      NEW met1 ( 2245950 289510 ) M1M2_PR
+      NEW met1 ( 1372410 79730 ) M1M2_PR
+      NEW met1 ( 2245950 79730 ) M1M2_PR
+      NEW met1 ( 2284130 289510 ) M1M2_PR ;
     - la_oenb[42] ( PIN la_oenb[42] ) ( mprj la_oenb[42] ) + USE SIGNAL
-      + ROUTED met2 ( 1385750 2380 0 ) ( * 9860 )
-      NEW met2 ( 1385750 9860 ) ( 1386210 * )
-      NEW met2 ( 1386210 9860 ) ( * 19550 )
-      NEW met2 ( 1459350 19550 ) ( * 80410 )
-      NEW met1 ( 1386210 19550 ) ( 1459350 * )
-      NEW met2 ( 2180630 298860 ) ( 2184000 * )
-      NEW met2 ( 2184000 298860 ) ( * 300220 0 )
-      NEW met1 ( 1459350 80410 ) ( 2180630 * )
-      NEW met2 ( 2180630 80410 ) ( * 298860 )
-      NEW met1 ( 1386210 19550 ) M1M2_PR
-      NEW met1 ( 1459350 19550 ) M1M2_PR
-      NEW met1 ( 1459350 80410 ) M1M2_PR
-      NEW met1 ( 2180630 80410 ) M1M2_PR ;
+      + ROUTED met2 ( 1385750 2380 0 ) ( * 18530 )
+      NEW met1 ( 1385750 18530 ) ( 1493850 * )
+      NEW met2 ( 2284590 298860 ) ( 2289300 * )
+      NEW met2 ( 2289300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1493850 18530 ) ( * 134470 )
+      NEW met1 ( 1493850 134470 ) ( 2284590 * )
+      NEW met2 ( 2284590 134470 ) ( * 298860 )
+      NEW met1 ( 1385750 18530 ) M1M2_PR
+      NEW met1 ( 1493850 18530 ) M1M2_PR
+      NEW met1 ( 1493850 134470 ) M1M2_PR
+      NEW met1 ( 2284590 134470 ) M1M2_PR ;
     - la_oenb[43] ( PIN la_oenb[43] ) ( mprj la_oenb[43] ) + USE SIGNAL
-      + ROUTED met2 ( 2156250 66470 ) ( * 289170 )
-      NEW met2 ( 1403690 2380 0 ) ( * 16830 )
-      NEW met1 ( 1403690 16830 ) ( 1406450 * )
-      NEW met1 ( 2156250 289170 ) ( 2159700 * )
-      NEW met1 ( 2159700 289170 ) ( * 289510 )
-      NEW met1 ( 2159700 289510 ) ( 2188450 * )
-      NEW met2 ( 2188450 289510 ) ( * 298860 )
-      NEW met2 ( 2188450 298860 ) ( 2188500 * )
-      NEW met2 ( 2188500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1406450 16830 ) ( * 66470 )
-      NEW met1 ( 1406450 66470 ) ( 2156250 * )
-      NEW met1 ( 2156250 289170 ) M1M2_PR
-      NEW met1 ( 2156250 66470 ) M1M2_PR
-      NEW met1 ( 1403690 16830 ) M1M2_PR
-      NEW met1 ( 1406450 16830 ) M1M2_PR
-      NEW met1 ( 2188450 289510 ) M1M2_PR
-      NEW met1 ( 1406450 66470 ) M1M2_PR ;
+      + ROUTED met2 ( 1459350 19550 ) ( * 224230 )
+      NEW met2 ( 1403690 2380 0 ) ( * 19550 )
+      NEW met1 ( 1403690 19550 ) ( 1459350 * )
+      NEW met1 ( 1459350 224230 ) ( 2291030 * )
+      NEW met2 ( 2291030 298860 ) ( 2294000 * )
+      NEW met2 ( 2294000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2291030 224230 ) ( * 298860 )
+      NEW met1 ( 1459350 19550 ) M1M2_PR
+      NEW met1 ( 1459350 224230 ) M1M2_PR
+      NEW met1 ( 1403690 19550 ) M1M2_PR
+      NEW met1 ( 2291030 224230 ) M1M2_PR ;
     - la_oenb[44] ( PIN la_oenb[44] ) ( mprj la_oenb[44] ) + USE SIGNAL
-      + ROUTED met2 ( 1421630 2380 0 ) ( * 15810 )
-      NEW met1 ( 1421630 15810 ) ( 1428070 * )
-      NEW met1 ( 2183850 289170 ) ( 2193050 * )
-      NEW met2 ( 2193050 289170 ) ( * 298860 )
-      NEW met2 ( 2193000 298860 ) ( 2193050 * )
-      NEW met2 ( 2193000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1428070 15810 ) ( * 60010 )
-      NEW met2 ( 2183850 60010 ) ( * 289170 )
-      NEW met1 ( 1428070 60010 ) ( 2183850 * )
-      NEW met1 ( 1421630 15810 ) M1M2_PR
-      NEW met1 ( 1428070 15810 ) M1M2_PR
-      NEW met1 ( 2183850 289170 ) M1M2_PR
-      NEW met1 ( 2193050 289170 ) M1M2_PR
-      NEW met1 ( 1428070 60010 ) M1M2_PR
-      NEW met1 ( 2183850 60010 ) M1M2_PR ;
+      + ROUTED met2 ( 2239050 72930 ) ( * 289170 )
+      NEW met2 ( 1421630 2380 0 ) ( * 16830 )
+      NEW met1 ( 1421630 16830 ) ( 1427610 * )
+      NEW met2 ( 2297930 289170 ) ( * 299540 )
+      NEW met2 ( 2297930 299540 ) ( 2298800 * )
+      NEW met2 ( 2298800 299540 ) ( * 300220 0 )
+      NEW met1 ( 2239050 289170 ) ( 2297930 * )
+      NEW met2 ( 1427610 16830 ) ( * 72930 )
+      NEW met1 ( 1427610 72930 ) ( 2239050 * )
+      NEW met1 ( 2239050 289170 ) M1M2_PR
+      NEW met1 ( 2239050 72930 ) M1M2_PR
+      NEW met1 ( 1421630 16830 ) M1M2_PR
+      NEW met1 ( 1427610 16830 ) M1M2_PR
+      NEW met1 ( 2297930 289170 ) M1M2_PR
+      NEW met1 ( 1427610 72930 ) M1M2_PR ;
     - la_oenb[45] ( PIN la_oenb[45] ) ( mprj la_oenb[45] ) + USE SIGNAL
-      + ROUTED met2 ( 1439110 2380 0 ) ( * 16490 )
-      NEW met1 ( 1439110 16490 ) ( 1473150 * )
-      NEW met2 ( 1473150 16490 ) ( * 86870 )
-      NEW met1 ( 1473150 86870 ) ( 2194430 * )
-      NEW met2 ( 2194430 298860 ) ( 2197600 * )
-      NEW met2 ( 2197600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2194430 86870 ) ( * 298860 )
-      NEW met1 ( 1439110 16490 ) M1M2_PR
-      NEW met1 ( 1473150 16490 ) M1M2_PR
-      NEW met1 ( 1473150 86870 ) M1M2_PR
-      NEW met1 ( 2194430 86870 ) M1M2_PR ;
+      + ROUTED met2 ( 1439110 2380 0 ) ( * 15130 )
+      NEW met1 ( 1439110 15130 ) ( 1480050 * )
+      NEW met2 ( 1480050 15130 ) ( * 127670 )
+      NEW met1 ( 1480050 127670 ) ( 2298390 * )
+      NEW met2 ( 2298390 298860 ) ( 2303600 * )
+      NEW met2 ( 2303600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2298390 127670 ) ( * 298860 )
+      NEW met1 ( 1439110 15130 ) M1M2_PR
+      NEW met1 ( 1480050 15130 ) M1M2_PR
+      NEW met1 ( 1480050 127670 ) M1M2_PR
+      NEW met1 ( 2298390 127670 ) M1M2_PR ;
     - la_oenb[46] ( PIN la_oenb[46] ) ( mprj la_oenb[46] ) + USE SIGNAL
-      + ROUTED met2 ( 1457050 2380 0 ) ( * 16830 )
-      NEW met1 ( 1457050 16830 ) ( 1462570 * )
-      NEW met2 ( 1462570 16830 ) ( * 73610 )
-      NEW met1 ( 2197650 289170 ) ( 2202250 * )
-      NEW met2 ( 2202250 289170 ) ( * 298860 )
-      NEW met2 ( 2202100 298860 ) ( 2202250 * )
-      NEW met2 ( 2202100 298860 ) ( * 300220 0 )
-      NEW met1 ( 1462570 73610 ) ( 2197650 * )
-      NEW met2 ( 2197650 73610 ) ( * 289170 )
-      NEW met1 ( 1457050 16830 ) M1M2_PR
-      NEW met1 ( 1462570 16830 ) M1M2_PR
-      NEW met1 ( 1462570 73610 ) M1M2_PR
-      NEW met1 ( 2197650 289170 ) M1M2_PR
-      NEW met1 ( 2202250 289170 ) M1M2_PR
-      NEW met1 ( 2197650 73610 ) M1M2_PR ;
+      + ROUTED met2 ( 1457050 2380 0 ) ( * 18870 )
+      NEW met2 ( 2304830 298860 ) ( 2308400 * )
+      NEW met2 ( 2308400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1549510 18870 ) ( * 141270 )
+      NEW met2 ( 2304830 141270 ) ( * 298860 )
+      NEW met1 ( 1457050 18870 ) ( 1549510 * )
+      NEW met1 ( 1549510 141270 ) ( 2304830 * )
+      NEW met1 ( 1457050 18870 ) M1M2_PR
+      NEW met1 ( 1549510 18870 ) M1M2_PR
+      NEW met1 ( 1549510 141270 ) M1M2_PR
+      NEW met1 ( 2304830 141270 ) M1M2_PR ;
     - la_oenb[47] ( PIN la_oenb[47] ) ( mprj la_oenb[47] ) + USE SIGNAL
-      + ROUTED met2 ( 1474530 2380 0 ) ( * 18870 )
-      NEW met1 ( 1474530 18870 ) ( 1590450 * )
-      NEW met2 ( 2202710 298860 ) ( 2206600 * )
-      NEW met2 ( 2206600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1590450 18870 ) ( * 272850 )
-      NEW met1 ( 1590450 272850 ) ( 2202710 * )
-      NEW met2 ( 2202710 272850 ) ( * 298860 )
-      NEW met1 ( 1474530 18870 ) M1M2_PR
-      NEW met1 ( 1590450 18870 ) M1M2_PR
-      NEW met1 ( 1590450 272850 ) M1M2_PR
-      NEW met1 ( 2202710 272850 ) M1M2_PR ;
+      + ROUTED met2 ( 2313110 285090 ) ( * 298860 )
+      NEW met2 ( 2313110 298860 ) ( 2313200 * )
+      NEW met2 ( 2313200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1474530 2380 0 ) ( * 81090 )
+      NEW met2 ( 2142450 81090 ) ( * 285090 )
+      NEW met1 ( 2142450 285090 ) ( 2313110 * )
+      NEW met1 ( 1474530 81090 ) ( 2142450 * )
+      NEW met1 ( 2142450 285090 ) M1M2_PR
+      NEW met1 ( 2313110 285090 ) M1M2_PR
+      NEW met1 ( 1474530 81090 ) M1M2_PR
+      NEW met1 ( 2142450 81090 ) M1M2_PR ;
     - la_oenb[48] ( PIN la_oenb[48] ) ( mprj la_oenb[48] ) + USE SIGNAL
-      + ROUTED met2 ( 2208230 298860 ) ( 2211100 * )
-      NEW met2 ( 2211100 298860 ) ( * 300220 0 )
-      NEW met2 ( 2208230 134470 ) ( * 298860 )
+      + ROUTED met2 ( 2317710 285770 ) ( * 298860 )
+      NEW met2 ( 2317710 298860 ) ( 2318000 * )
+      NEW met2 ( 2318000 298860 ) ( * 300220 0 )
       NEW met2 ( 1492470 2380 0 ) ( * 16830 )
-      NEW met1 ( 1492470 16830 ) ( 1500750 * )
-      NEW met2 ( 1500750 16830 ) ( * 134470 )
-      NEW met1 ( 1500750 134470 ) ( 2208230 * )
-      NEW met1 ( 2208230 134470 ) M1M2_PR
+      NEW met1 ( 1492470 16830 ) ( 1497070 * )
+      NEW met1 ( 1497070 86870 ) ( 2107950 * )
+      NEW met1 ( 2107950 285770 ) ( 2317710 * )
+      NEW met2 ( 1497070 16830 ) ( * 86870 )
+      NEW met2 ( 2107950 86870 ) ( * 285770 )
+      NEW met1 ( 2317710 285770 ) M1M2_PR
       NEW met1 ( 1492470 16830 ) M1M2_PR
-      NEW met1 ( 1500750 16830 ) M1M2_PR
-      NEW met1 ( 1500750 134470 ) M1M2_PR ;
+      NEW met1 ( 1497070 16830 ) M1M2_PR
+      NEW met1 ( 1497070 86870 ) M1M2_PR
+      NEW met1 ( 2107950 86870 ) M1M2_PR
+      NEW met1 ( 2107950 285770 ) M1M2_PR ;
     - la_oenb[49] ( PIN la_oenb[49] ) ( mprj la_oenb[49] ) + USE SIGNAL
-      + ROUTED met2 ( 2215590 298860 ) ( 2215600 * )
-      NEW met2 ( 2215600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2215590 155210 ) ( * 298860 )
-      NEW met2 ( 1509950 2380 0 ) ( * 19550 )
-      NEW met1 ( 1509950 19550 ) ( 1604250 * )
-      NEW met2 ( 1604250 19550 ) ( * 155210 )
-      NEW met1 ( 1604250 155210 ) ( 2215590 * )
-      NEW met1 ( 2215590 155210 ) M1M2_PR
-      NEW met1 ( 1509950 19550 ) M1M2_PR
-      NEW met1 ( 1604250 19550 ) M1M2_PR
-      NEW met1 ( 1604250 155210 ) M1M2_PR ;
+      + ROUTED met2 ( 2318630 298860 ) ( 2322700 * )
+      NEW met2 ( 2322700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1562850 19210 ) ( * 120530 )
+      NEW met2 ( 2318630 120530 ) ( * 298860 )
+      NEW met2 ( 1509950 2380 0 ) ( * 19210 )
+      NEW met1 ( 1509950 19210 ) ( 1562850 * )
+      NEW met1 ( 1562850 120530 ) ( 2318630 * )
+      NEW met1 ( 1562850 19210 ) M1M2_PR
+      NEW met1 ( 1562850 120530 ) M1M2_PR
+      NEW met1 ( 2318630 120530 ) M1M2_PR
+      NEW met1 ( 1509950 19210 ) M1M2_PR ;
     - la_oenb[4] ( PIN la_oenb[4] ) ( mprj la_oenb[4] ) + USE SIGNAL
-      + ROUTED met2 ( 1963050 86190 ) ( * 227700 )
-      NEW met2 ( 1963050 227700 ) ( 1963510 * )
-      NEW met2 ( 1963510 227700 ) ( * 289510 )
-      NEW met2 ( 712310 2380 0 ) ( * 17170 )
-      NEW met1 ( 712310 17170 ) ( 717370 * )
-      NEW met1 ( 717370 86190 ) ( 1963050 * )
-      NEW met2 ( 2012270 289510 ) ( * 298860 )
-      NEW met2 ( 2012270 298860 ) ( 2012400 * )
-      NEW met2 ( 2012400 298860 ) ( * 300220 0 )
-      NEW met1 ( 1963510 289510 ) ( 2012270 * )
-      NEW met2 ( 717370 17170 ) ( * 86190 )
-      NEW met1 ( 1963050 86190 ) M1M2_PR
-      NEW met1 ( 1963510 289510 ) M1M2_PR
-      NEW met1 ( 712310 17170 ) M1M2_PR
-      NEW met1 ( 717370 17170 ) M1M2_PR
-      NEW met1 ( 717370 86190 ) M1M2_PR
-      NEW met1 ( 2012270 289510 ) M1M2_PR ;
+      + ROUTED met2 ( 712310 2380 0 ) ( * 17510 )
+      NEW met1 ( 712310 17510 ) ( 717370 * )
+      NEW met1 ( 717370 203490 ) ( 2104730 * )
+      NEW met2 ( 2104730 298860 ) ( 2107800 * )
+      NEW met2 ( 2107800 298860 ) ( * 300220 0 )
+      NEW met2 ( 717370 17510 ) ( * 203490 )
+      NEW met2 ( 2104730 203490 ) ( * 298860 )
+      NEW met1 ( 712310 17510 ) M1M2_PR
+      NEW met1 ( 717370 17510 ) M1M2_PR
+      NEW met1 ( 717370 203490 ) M1M2_PR
+      NEW met1 ( 2104730 203490 ) M1M2_PR ;
     - la_oenb[50] ( PIN la_oenb[50] ) ( mprj la_oenb[50] ) + USE SIGNAL
-      + ROUTED met1 ( 2215130 299030 ) ( 2220100 * )
-      NEW met2 ( 2220100 299030 ) ( * 300220 0 )
-      NEW met2 ( 2215130 67150 ) ( * 299030 )
-      NEW met2 ( 1527890 2380 0 ) ( * 19890 )
-      NEW met1 ( 1527890 19890 ) ( 1584010 * )
-      NEW met2 ( 1584010 19890 ) ( * 67150 )
-      NEW met1 ( 1584010 67150 ) ( 2215130 * )
-      NEW met1 ( 2215130 299030 ) M1M2_PR
-      NEW met1 ( 2220100 299030 ) M1M2_PR
-      NEW met1 ( 2215130 67150 ) M1M2_PR
-      NEW met1 ( 1527890 19890 ) M1M2_PR
-      NEW met1 ( 1584010 19890 ) M1M2_PR
-      NEW met1 ( 1584010 67150 ) M1M2_PR ;
+      + ROUTED met2 ( 2325530 298860 ) ( 2327400 * )
+      NEW met2 ( 2327400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1569750 19550 ) ( * 168810 )
+      NEW met2 ( 2325530 168810 ) ( * 298860 )
+      NEW met2 ( 1527890 2380 0 ) ( * 19550 )
+      NEW met1 ( 1527890 19550 ) ( 1569750 * )
+      NEW met1 ( 1569750 168810 ) ( 2325530 * )
+      NEW met1 ( 1569750 19550 ) M1M2_PR
+      NEW met1 ( 1569750 168810 ) M1M2_PR
+      NEW met1 ( 2325530 168810 ) M1M2_PR
+      NEW met1 ( 1527890 19550 ) M1M2_PR ;
     - la_oenb[51] ( PIN la_oenb[51] ) ( mprj la_oenb[51] ) + USE SIGNAL
-      + ROUTED met2 ( 1545370 2380 0 ) ( * 19210 )
-      NEW met2 ( 2224330 287810 ) ( * 298860 )
-      NEW met2 ( 2224330 298860 ) ( 2224600 * )
-      NEW met2 ( 2224600 298860 ) ( * 300220 0 )
-      NEW met1 ( 1545370 19210 ) ( 1714650 * )
-      NEW met1 ( 1714650 285770 ) ( 1720170 * )
-      NEW li1 ( 1720170 285770 ) ( * 287810 )
-      NEW met1 ( 1720170 287810 ) ( 2224330 * )
-      NEW met2 ( 1714650 19210 ) ( * 285770 )
-      NEW met1 ( 1545370 19210 ) M1M2_PR
-      NEW met1 ( 2224330 287810 ) M1M2_PR
-      NEW met1 ( 1714650 19210 ) M1M2_PR
-      NEW met1 ( 1714650 285770 ) M1M2_PR
-      NEW li1 ( 1720170 285770 ) L1M1_PR_MR
-      NEW li1 ( 1720170 287810 ) L1M1_PR_MR ;
+      + ROUTED met2 ( 1545370 2380 0 ) ( * 18530 )
+      NEW met2 ( 2332200 298860 ) ( 2332890 * )
+      NEW met2 ( 2332200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2332890 92990 ) ( * 298860 )
+      NEW met1 ( 1545370 18530 ) ( 1680610 * )
+      NEW met1 ( 1680610 92990 ) ( 2332890 * )
+      NEW met2 ( 1680610 18530 ) ( * 92990 )
+      NEW met1 ( 1545370 18530 ) M1M2_PR
+      NEW met1 ( 2332890 92990 ) M1M2_PR
+      NEW met1 ( 1680610 18530 ) M1M2_PR
+      NEW met1 ( 1680610 92990 ) M1M2_PR ;
     - la_oenb[52] ( PIN la_oenb[52] ) ( mprj la_oenb[52] ) + USE SIGNAL
-      + ROUTED met2 ( 2228930 288490 ) ( * 299540 )
-      NEW met2 ( 2228930 299540 ) ( 2229200 * )
-      NEW met2 ( 2229200 299540 ) ( * 300220 0 )
-      NEW met2 ( 1563310 2380 0 ) ( * 44710 )
-      NEW met2 ( 1769390 44710 ) ( * 82800 )
-      NEW met2 ( 1769390 82800 ) ( 1769850 * )
-      NEW met2 ( 1769850 82800 ) ( * 288490 )
-      NEW met1 ( 1769850 288490 ) ( 2228930 * )
-      NEW met1 ( 1563310 44710 ) ( 1769390 * )
-      NEW met1 ( 1769850 288490 ) M1M2_PR
-      NEW met1 ( 2228930 288490 ) M1M2_PR
-      NEW met1 ( 1563310 44710 ) M1M2_PR
-      NEW met1 ( 1769390 44710 ) M1M2_PR ;
+      + ROUTED met2 ( 1563310 2380 0 ) ( * 18870 )
+      NEW met1 ( 2332430 288490 ) ( 2337030 * )
+      NEW met2 ( 2337030 288490 ) ( * 298860 )
+      NEW met2 ( 2337000 298860 ) ( 2337030 * )
+      NEW met2 ( 2337000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2332430 66810 ) ( * 288490 )
+      NEW met1 ( 1563310 18870 ) ( 1618050 * )
+      NEW met2 ( 1618050 18870 ) ( * 66810 )
+      NEW met1 ( 1618050 66810 ) ( 2332430 * )
+      NEW met1 ( 1563310 18870 ) M1M2_PR
+      NEW met1 ( 2332430 288490 ) M1M2_PR
+      NEW met1 ( 2337030 288490 ) M1M2_PR
+      NEW met1 ( 2332430 66810 ) M1M2_PR
+      NEW met1 ( 1618050 18870 ) M1M2_PR
+      NEW met1 ( 1618050 66810 ) M1M2_PR ;
     - la_oenb[53] ( PIN la_oenb[53] ) ( mprj la_oenb[53] ) + USE SIGNAL
-      + ROUTED met2 ( 2229390 298860 ) ( 2233700 * )
-      NEW met2 ( 2233700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2229390 60690 ) ( * 298860 )
-      NEW met2 ( 1581250 2380 0 ) ( * 15810 )
-      NEW met1 ( 1581250 15810 ) ( 1611610 * )
-      NEW met2 ( 1611610 15810 ) ( * 60690 )
-      NEW met1 ( 1611610 60690 ) ( 2229390 * )
-      NEW met1 ( 2229390 60690 ) M1M2_PR
-      NEW met1 ( 1581250 15810 ) M1M2_PR
-      NEW met1 ( 1611610 15810 ) M1M2_PR
-      NEW met1 ( 1611610 60690 ) M1M2_PR ;
+      + ROUTED met2 ( 2339330 298860 ) ( 2341800 * )
+      NEW met2 ( 2341800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2339330 148070 ) ( * 298860 )
+      NEW met2 ( 1581250 2380 0 ) ( * 19210 )
+      NEW met1 ( 1581250 19210 ) ( 1700850 * )
+      NEW met2 ( 1700850 19210 ) ( * 148070 )
+      NEW met1 ( 1700850 148070 ) ( 2339330 * )
+      NEW met1 ( 2339330 148070 ) M1M2_PR
+      NEW met1 ( 1581250 19210 ) M1M2_PR
+      NEW met1 ( 1700850 19210 ) M1M2_PR
+      NEW met1 ( 1700850 148070 ) M1M2_PR ;
     - la_oenb[54] ( PIN la_oenb[54] ) ( mprj la_oenb[54] ) + USE SIGNAL
-      + ROUTED met2 ( 2235830 298860 ) ( 2238200 * )
-      NEW met2 ( 2238200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2235830 80750 ) ( * 298860 )
-      NEW met2 ( 1598730 2380 0 ) ( * 16830 )
-      NEW met1 ( 1598730 16830 ) ( 1618050 * )
-      NEW met2 ( 1618050 16830 ) ( * 80750 )
-      NEW met1 ( 1618050 80750 ) ( 2235830 * )
-      NEW met1 ( 2235830 80750 ) M1M2_PR
-      NEW met1 ( 1598730 16830 ) M1M2_PR
-      NEW met1 ( 1618050 16830 ) M1M2_PR
-      NEW met1 ( 1618050 80750 ) M1M2_PR ;
+      + ROUTED met2 ( 2346690 280670 ) ( * 299540 )
+      NEW met2 ( 2346600 299540 ) ( 2346690 * )
+      NEW met2 ( 2346600 299540 ) ( * 300220 0 )
+      NEW met2 ( 1598730 2380 0 ) ( * 19550 )
+      NEW met1 ( 1598730 19550 ) ( 1693950 * )
+      NEW met1 ( 1693950 280670 ) ( 2346690 * )
+      NEW met2 ( 1693950 19550 ) ( * 280670 )
+      NEW met1 ( 2346690 280670 ) M1M2_PR
+      NEW met1 ( 1598730 19550 ) M1M2_PR
+      NEW met1 ( 1693950 19550 ) M1M2_PR
+      NEW met1 ( 1693950 280670 ) M1M2_PR ;
     - la_oenb[55] ( PIN la_oenb[55] ) ( mprj la_oenb[55] ) + USE SIGNAL
-      + ROUTED met2 ( 2242700 298860 ) ( 2243190 * )
-      NEW met2 ( 2242700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2243190 87210 ) ( * 298860 )
-      NEW met2 ( 1616670 2380 0 ) ( * 18870 )
-      NEW met1 ( 1616670 18870 ) ( 1791010 * )
-      NEW met1 ( 1791010 87210 ) ( 2243190 * )
-      NEW met2 ( 1791010 18870 ) ( * 87210 )
-      NEW met1 ( 2243190 87210 ) M1M2_PR
-      NEW met1 ( 1616670 18870 ) M1M2_PR
-      NEW met1 ( 1791010 18870 ) M1M2_PR
-      NEW met1 ( 1791010 87210 ) M1M2_PR ;
+      + ROUTED met2 ( 2347150 298860 ) ( 2351300 * )
+      NEW met2 ( 2351300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1638750 16830 ) ( * 272850 )
+      NEW met2 ( 2347150 272850 ) ( * 298860 )
+      NEW met2 ( 1616670 2380 0 ) ( * 16830 )
+      NEW met1 ( 1616670 16830 ) ( 1638750 * )
+      NEW met1 ( 1638750 272850 ) ( 2347150 * )
+      NEW met1 ( 1638750 16830 ) M1M2_PR
+      NEW met1 ( 1638750 272850 ) M1M2_PR
+      NEW met1 ( 2347150 272850 ) M1M2_PR
+      NEW met1 ( 1616670 16830 ) M1M2_PR ;
     - la_oenb[56] ( PIN la_oenb[56] ) ( mprj la_oenb[56] ) + USE SIGNAL
-      + ROUTED met2 ( 1634150 2380 0 ) ( * 16830 )
-      NEW met1 ( 2242730 287810 ) ( 2246870 * )
-      NEW met2 ( 2246870 287810 ) ( * 298860 )
-      NEW met2 ( 2246870 298860 ) ( 2247200 * )
-      NEW met2 ( 2247200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1769850 16830 ) ( * 67490 )
-      NEW met2 ( 2242730 67490 ) ( * 287810 )
-      NEW met1 ( 1634150 16830 ) ( 1769850 * )
-      NEW met1 ( 1769850 67490 ) ( 2242730 * )
-      NEW met1 ( 1634150 16830 ) M1M2_PR
-      NEW met1 ( 1769850 16830 ) M1M2_PR
-      NEW met1 ( 2242730 287810 ) M1M2_PR
-      NEW met1 ( 2246870 287810 ) M1M2_PR
-      NEW met1 ( 1769850 67490 ) M1M2_PR
-      NEW met1 ( 2242730 67490 ) M1M2_PR ;
+      + ROUTED met2 ( 1634150 2380 0 ) ( * 16490 )
+      NEW met1 ( 1634150 16490 ) ( 1673710 * )
+      NEW met2 ( 1673710 16490 ) ( * 67150 )
+      NEW met2 ( 2353130 298860 ) ( 2356100 * )
+      NEW met2 ( 2356100 298860 ) ( * 300220 0 )
+      NEW met1 ( 1673710 67150 ) ( 2353130 * )
+      NEW met2 ( 2353130 67150 ) ( * 298860 )
+      NEW met1 ( 1634150 16490 ) M1M2_PR
+      NEW met1 ( 1673710 16490 ) M1M2_PR
+      NEW met1 ( 1673710 67150 ) M1M2_PR
+      NEW met1 ( 2353130 67150 ) M1M2_PR ;
     - la_oenb[57] ( PIN la_oenb[57] ) ( mprj la_oenb[57] ) + USE SIGNAL
-      + ROUTED met2 ( 1652090 2380 0 ) ( * 19550 )
-      NEW met2 ( 2249630 298860 ) ( 2251700 * )
-      NEW met2 ( 2251700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2249630 162010 ) ( * 298860 )
-      NEW met1 ( 1652090 19550 ) ( 1804350 * )
-      NEW met2 ( 1804350 19550 ) ( * 162010 )
-      NEW met1 ( 1804350 162010 ) ( 2249630 * )
-      NEW met1 ( 1652090 19550 ) M1M2_PR
-      NEW met1 ( 2249630 162010 ) M1M2_PR
-      NEW met1 ( 1804350 19550 ) M1M2_PR
-      NEW met1 ( 1804350 162010 ) M1M2_PR ;
+      + ROUTED met2 ( 1652090 2380 0 ) ( * 19890 )
+      NEW met1 ( 1652090 19890 ) ( 1707750 * )
+      NEW met2 ( 2360490 298860 ) ( 2360900 * )
+      NEW met2 ( 2360900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1707750 19890 ) ( * 273190 )
+      NEW met1 ( 1707750 273190 ) ( 2360490 * )
+      NEW met2 ( 2360490 273190 ) ( * 298860 )
+      NEW met1 ( 1652090 19890 ) M1M2_PR
+      NEW met1 ( 1707750 19890 ) M1M2_PR
+      NEW met1 ( 1707750 273190 ) M1M2_PR
+      NEW met1 ( 2360490 273190 ) M1M2_PR ;
     - la_oenb[58] ( PIN la_oenb[58] ) ( mprj la_oenb[58] ) + USE SIGNAL
-      + ROUTED met2 ( 1669570 2380 0 ) ( * 19890 )
-      NEW met1 ( 1669570 19890 ) ( 1818150 * )
-      NEW met2 ( 2256530 288830 ) ( * 298860 )
-      NEW met2 ( 2256300 298860 ) ( 2256530 * )
-      NEW met2 ( 2256300 298860 ) ( * 300220 0 )
-      NEW met1 ( 1818150 288830 ) ( 2256530 * )
-      NEW met2 ( 1818150 19890 ) ( * 288830 )
-      NEW met1 ( 1669570 19890 ) M1M2_PR
-      NEW met1 ( 1818150 19890 ) M1M2_PR
-      NEW met1 ( 1818150 288830 ) M1M2_PR
-      NEW met1 ( 2256530 288830 ) M1M2_PR ;
+      + ROUTED met2 ( 1669570 2380 0 ) ( * 20570 )
+      NEW met1 ( 1669570 20570 ) ( 1681070 * )
+      NEW met1 ( 2360030 299030 ) ( 2365700 * )
+      NEW met2 ( 2365700 299030 ) ( * 300220 0 )
+      NEW met2 ( 1681070 20570 ) ( * 73610 )
+      NEW met1 ( 1681070 73610 ) ( 2360030 * )
+      NEW met2 ( 2360030 73610 ) ( * 299030 )
+      NEW met1 ( 1669570 20570 ) M1M2_PR
+      NEW met1 ( 1681070 20570 ) M1M2_PR
+      NEW met1 ( 2360030 299030 ) M1M2_PR
+      NEW met1 ( 2365700 299030 ) M1M2_PR
+      NEW met1 ( 1681070 73610 ) M1M2_PR
+      NEW met1 ( 2360030 73610 ) M1M2_PR ;
     - la_oenb[59] ( PIN la_oenb[59] ) ( mprj la_oenb[59] ) + USE SIGNAL
-      + ROUTED met2 ( 1728450 16490 ) ( * 281350 )
-      NEW met2 ( 1687510 2380 0 ) ( * 16490 )
-      NEW met1 ( 1687510 16490 ) ( 1728450 * )
-      NEW met2 ( 2260670 281350 ) ( * 298860 )
-      NEW met2 ( 2260670 298860 ) ( 2260800 * )
-      NEW met2 ( 2260800 298860 ) ( * 300220 0 )
-      NEW met1 ( 1728450 281350 ) ( 2260670 * )
-      NEW met1 ( 1728450 16490 ) M1M2_PR
-      NEW met1 ( 1728450 281350 ) M1M2_PR
-      NEW met1 ( 1687510 16490 ) M1M2_PR
-      NEW met1 ( 2260670 281350 ) M1M2_PR ;
+      + ROUTED met2 ( 1859550 18870 ) ( * 281350 )
+      NEW met2 ( 1687510 2380 0 ) ( * 18870 )
+      NEW met1 ( 1687510 18870 ) ( 1859550 * )
+      NEW met2 ( 2370610 281350 ) ( * 298860 )
+      NEW met2 ( 2370500 298860 ) ( 2370610 * )
+      NEW met2 ( 2370500 298860 ) ( * 300220 0 )
+      NEW met1 ( 1859550 281350 ) ( 2370610 * )
+      NEW met1 ( 1859550 18870 ) M1M2_PR
+      NEW met1 ( 1859550 281350 ) M1M2_PR
+      NEW met1 ( 1687510 18870 ) M1M2_PR
+      NEW met1 ( 2370610 281350 ) M1M2_PR ;
     - la_oenb[5] ( PIN la_oenb[5] ) ( mprj la_oenb[5] ) + USE SIGNAL
-      + ROUTED met2 ( 2015490 298860 ) ( 2017000 * )
-      NEW met2 ( 2017000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2015490 17170 ) ( * 298860 )
-      NEW met2 ( 729790 2380 0 ) ( * 17170 )
-      NEW met1 ( 729790 17170 ) ( 2015490 * )
-      NEW met1 ( 2015490 17170 ) M1M2_PR
-      NEW met1 ( 729790 17170 ) M1M2_PR ;
+      + ROUTED met2 ( 2112090 298860 ) ( 2112600 * )
+      NEW met2 ( 2112600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2112090 272510 ) ( * 298860 )
+      NEW met2 ( 729790 2380 0 ) ( * 17850 )
+      NEW met1 ( 729790 17850 ) ( 755550 * )
+      NEW met2 ( 755550 17850 ) ( * 272510 )
+      NEW met1 ( 755550 272510 ) ( 2112090 * )
+      NEW met1 ( 2112090 272510 ) M1M2_PR
+      NEW met1 ( 729790 17850 ) M1M2_PR
+      NEW met1 ( 755550 17850 ) M1M2_PR
+      NEW met1 ( 755550 272510 ) M1M2_PR ;
     - la_oenb[60] ( PIN la_oenb[60] ) ( mprj la_oenb[60] ) + USE SIGNAL
-      + ROUTED met2 ( 1704990 2380 0 ) ( * 20230 )
-      NEW met1 ( 1704990 20230 ) ( 1880250 * )
-      NEW met1 ( 1880250 114070 ) ( 2263890 * )
-      NEW met2 ( 2263890 298860 ) ( 2265300 * )
-      NEW met2 ( 2265300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1880250 20230 ) ( * 114070 )
-      NEW met2 ( 2263890 114070 ) ( * 298860 )
-      NEW met1 ( 1704990 20230 ) M1M2_PR
-      NEW met1 ( 1880250 20230 ) M1M2_PR
-      NEW met1 ( 1880250 114070 ) M1M2_PR
-      NEW met1 ( 2263890 114070 ) M1M2_PR ;
+      + ROUTED met2 ( 1704990 2380 0 ) ( * 18530 )
+      NEW met1 ( 1704990 18530 ) ( 1887150 * )
+      NEW met1 ( 1887150 99790 ) ( 2374290 * )
+      NEW met2 ( 2374290 298860 ) ( 2375200 * )
+      NEW met2 ( 2375200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1887150 18530 ) ( * 99790 )
+      NEW met2 ( 2374290 99790 ) ( * 298860 )
+      NEW met1 ( 1704990 18530 ) M1M2_PR
+      NEW met1 ( 1887150 18530 ) M1M2_PR
+      NEW met1 ( 1887150 99790 ) M1M2_PR
+      NEW met1 ( 2374290 99790 ) M1M2_PR ;
     - la_oenb[61] ( PIN la_oenb[61] ) ( mprj la_oenb[61] ) + USE SIGNAL
-      + ROUTED met2 ( 1722930 2380 0 ) ( * 20570 )
-      NEW met1 ( 1722930 20570 ) ( 1887150 * )
-      NEW met1 ( 2263430 299030 ) ( 2269800 * )
-      NEW met2 ( 2269800 299030 ) ( * 300220 0 )
-      NEW met2 ( 1887150 20570 ) ( * 74290 )
-      NEW met1 ( 1887150 74290 ) ( 2263430 * )
-      NEW met2 ( 2263430 74290 ) ( * 299030 )
-      NEW met1 ( 1722930 20570 ) M1M2_PR
-      NEW met1 ( 1887150 20570 ) M1M2_PR
-      NEW met1 ( 2263430 299030 ) M1M2_PR
-      NEW met1 ( 2269800 299030 ) M1M2_PR
-      NEW met1 ( 1887150 74290 ) M1M2_PR
-      NEW met1 ( 2263430 74290 ) M1M2_PR ;
+      + ROUTED met2 ( 1762950 19550 ) ( * 61030 )
+      NEW met2 ( 1722930 2380 0 ) ( * 19550 )
+      NEW met1 ( 1722930 19550 ) ( 1762950 * )
+      NEW met1 ( 2373830 299030 ) ( 2379900 * )
+      NEW met2 ( 2379900 299030 ) ( * 300220 0 )
+      NEW met1 ( 1762950 61030 ) ( 2373830 * )
+      NEW met2 ( 2373830 61030 ) ( * 299030 )
+      NEW met1 ( 1762950 19550 ) M1M2_PR
+      NEW met1 ( 1762950 61030 ) M1M2_PR
+      NEW met1 ( 1722930 19550 ) M1M2_PR
+      NEW met1 ( 2373830 299030 ) M1M2_PR
+      NEW met1 ( 2379900 299030 ) M1M2_PR
+      NEW met1 ( 2373830 61030 ) M1M2_PR ;
     - la_oenb[62] ( PIN la_oenb[62] ) ( mprj la_oenb[62] ) + USE SIGNAL
-      + ROUTED met2 ( 1740410 2380 0 ) ( * 16490 )
-      NEW met1 ( 1740410 16490 ) ( 1873350 * )
-      NEW met1 ( 1873350 100130 ) ( 2270330 * )
-      NEW met2 ( 2270330 298860 ) ( 2274300 * )
-      NEW met2 ( 2274300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1873350 16490 ) ( * 100130 )
-      NEW met2 ( 2270330 100130 ) ( * 298860 )
-      NEW met1 ( 1740410 16490 ) M1M2_PR
-      NEW met1 ( 1873350 16490 ) M1M2_PR
-      NEW met1 ( 1873350 100130 ) M1M2_PR
-      NEW met1 ( 2270330 100130 ) M1M2_PR ;
+      + ROUTED met2 ( 1740410 2380 0 ) ( * 20230 )
+      NEW met1 ( 1740410 20230 ) ( 1894050 * )
+      NEW met1 ( 1894050 106930 ) ( 2380730 * )
+      NEW met2 ( 2380730 298860 ) ( 2384700 * )
+      NEW met2 ( 2384700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1894050 20230 ) ( * 106930 )
+      NEW met2 ( 2380730 106930 ) ( * 298860 )
+      NEW met1 ( 1740410 20230 ) M1M2_PR
+      NEW met1 ( 1894050 20230 ) M1M2_PR
+      NEW met1 ( 1894050 106930 ) M1M2_PR
+      NEW met1 ( 2380730 106930 ) M1M2_PR ;
     - la_oenb[63] ( PIN la_oenb[63] ) ( mprj la_oenb[63] ) + USE SIGNAL
-      + ROUTED met2 ( 1758350 2380 0 ) ( * 16660 )
-      NEW met2 ( 2277690 298860 ) ( 2278800 * )
-      NEW met2 ( 2278800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2277690 16660 ) ( * 298860 )
-      NEW met3 ( 1758350 16660 ) ( 2277690 * )
-      NEW met2 ( 1758350 16660 ) M2M3_PR_M
-      NEW met2 ( 2277690 16660 ) M2M3_PR_M ;
+      + ROUTED met2 ( 1758350 2380 0 ) ( * 19210 )
+      NEW met1 ( 1758350 19210 ) ( 1907850 * )
+      NEW met2 ( 2387630 298860 ) ( 2389500 * )
+      NEW met2 ( 2389500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1907850 19210 ) ( * 273530 )
+      NEW met1 ( 1907850 273530 ) ( 2387630 * )
+      NEW met2 ( 2387630 273530 ) ( * 298860 )
+      NEW met1 ( 1758350 19210 ) M1M2_PR
+      NEW met1 ( 1907850 19210 ) M1M2_PR
+      NEW met1 ( 1907850 273530 ) M1M2_PR
+      NEW met1 ( 2387630 273530 ) M1M2_PR ;
     - la_oenb[64] ( PIN la_oenb[64] ) ( mprj la_oenb[64] ) + USE SIGNAL
-      + ROUTED met2 ( 1776290 2380 0 ) ( * 17340 )
-      NEW met2 ( 2279530 298860 ) ( 2283300 * )
-      NEW met2 ( 2283300 298860 ) ( * 300220 0 )
-      NEW met2 ( 2278150 17340 ) ( * 227700 )
-      NEW met2 ( 2278150 227700 ) ( 2279530 * )
-      NEW met2 ( 2279530 227700 ) ( * 298860 )
-      NEW met3 ( 1776290 17340 ) ( 2278150 * )
-      NEW met2 ( 1776290 17340 ) M2M3_PR_M
-      NEW met2 ( 2278150 17340 ) M2M3_PR_M ;
+      + ROUTED met2 ( 1776290 2380 0 ) ( * 19550 )
+      NEW met1 ( 1776290 19550 ) ( 1969950 * )
+      NEW met2 ( 2394300 298860 ) ( 2394990 * )
+      NEW met2 ( 2394300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1969950 19550 ) ( * 273870 )
+      NEW met1 ( 1969950 273870 ) ( 2394990 * )
+      NEW met2 ( 2394990 273870 ) ( * 298860 )
+      NEW met1 ( 1776290 19550 ) M1M2_PR
+      NEW met1 ( 1969950 19550 ) M1M2_PR
+      NEW met1 ( 1969950 273870 ) M1M2_PR
+      NEW met1 ( 2394990 273870 ) M1M2_PR ;
     - la_oenb[65] ( PIN la_oenb[65] ) ( mprj la_oenb[65] ) + USE SIGNAL
-      + ROUTED met2 ( 1793770 2380 0 ) ( * 18020 )
-      NEW met2 ( 2284130 298860 ) ( 2287900 * )
-      NEW met2 ( 2287900 298860 ) ( * 300220 0 )
-      NEW met2 ( 2284130 18020 ) ( * 298860 )
-      NEW met3 ( 1793770 18020 ) ( 2284130 * )
-      NEW met2 ( 1793770 18020 ) M2M3_PR_M
-      NEW met2 ( 2284130 18020 ) M2M3_PR_M ;
+      + ROUTED met2 ( 1793770 2380 0 ) ( * 16490 )
+      NEW met1 ( 1793770 16490 ) ( 1873350 * )
+      NEW met1 ( 2394530 288490 ) ( 2399130 * )
+      NEW met2 ( 2399130 288490 ) ( * 298860 )
+      NEW met2 ( 2399100 298860 ) ( 2399130 * )
+      NEW met2 ( 2399100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1873350 16490 ) ( * 175950 )
+      NEW met1 ( 1873350 175950 ) ( 2394530 * )
+      NEW met2 ( 2394530 175950 ) ( * 288490 )
+      NEW met1 ( 1793770 16490 ) M1M2_PR
+      NEW met1 ( 1873350 16490 ) M1M2_PR
+      NEW met1 ( 2394530 288490 ) M1M2_PR
+      NEW met1 ( 2399130 288490 ) M1M2_PR
+      NEW met1 ( 1873350 175950 ) M1M2_PR
+      NEW met1 ( 2394530 175950 ) M1M2_PR ;
     - la_oenb[66] ( PIN la_oenb[66] ) ( mprj la_oenb[66] ) + USE SIGNAL
-      + ROUTED met2 ( 1811710 2380 0 ) ( * 18700 )
-      NEW met2 ( 2291490 298860 ) ( 2292400 * )
-      NEW met2 ( 2292400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2291490 18700 ) ( * 298860 )
-      NEW met3 ( 1811710 18700 ) ( 2291490 * )
-      NEW met2 ( 1811710 18700 ) M2M3_PR_M
-      NEW met2 ( 2291490 18700 ) M2M3_PR_M ;
+      + ROUTED met2 ( 2403730 281690 ) ( * 298860 )
+      NEW met2 ( 2403730 298860 ) ( 2403900 * )
+      NEW met2 ( 2403900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1963050 19890 ) ( * 281690 )
+      NEW met2 ( 1811710 2380 0 ) ( * 19890 )
+      NEW met1 ( 1811710 19890 ) ( 1963050 * )
+      NEW met1 ( 1963050 281690 ) ( 2403730 * )
+      NEW met1 ( 1963050 19890 ) M1M2_PR
+      NEW met1 ( 1963050 281690 ) M1M2_PR
+      NEW met1 ( 2403730 281690 ) M1M2_PR
+      NEW met1 ( 1811710 19890 ) M1M2_PR ;
     - la_oenb[67] ( PIN la_oenb[67] ) ( mprj la_oenb[67] ) + USE SIGNAL
-      + ROUTED met2 ( 1829190 2380 0 ) ( * 18870 )
-      NEW met1 ( 2260210 18870 ) ( * 19210 )
-      NEW met1 ( 2260210 19210 ) ( 2291950 * )
-      NEW met2 ( 2293330 298860 ) ( 2296900 * )
-      NEW met2 ( 2296900 298860 ) ( * 300220 0 )
-      NEW met2 ( 2291950 19210 ) ( * 227700 )
-      NEW met2 ( 2291950 227700 ) ( 2293330 * )
-      NEW met2 ( 2293330 227700 ) ( * 298860 )
-      NEW met1 ( 1829190 18870 ) ( 2260210 * )
-      NEW met1 ( 1829190 18870 ) M1M2_PR
-      NEW met1 ( 2291950 19210 ) M1M2_PR ;
+      + ROUTED met2 ( 1829190 2380 0 ) ( * 20570 )
+      NEW met2 ( 2408600 298860 ) ( 2408790 * )
+      NEW met2 ( 2408600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2408790 113730 ) ( * 298860 )
+      NEW met1 ( 1829190 20570 ) ( 1976390 * )
+      NEW met1 ( 1976850 113730 ) ( 2408790 * )
+      NEW met2 ( 1976390 20570 ) ( * 34500 )
+      NEW met2 ( 1976390 34500 ) ( 1976850 * )
+      NEW met2 ( 1976850 34500 ) ( * 113730 )
+      NEW met1 ( 1829190 20570 ) M1M2_PR
+      NEW met1 ( 2408790 113730 ) M1M2_PR
+      NEW met1 ( 1976390 20570 ) M1M2_PR
+      NEW met1 ( 1976850 113730 ) M1M2_PR ;
     - la_oenb[68] ( PIN la_oenb[68] ) ( mprj la_oenb[68] ) + USE SIGNAL
-      + ROUTED met2 ( 1847130 2380 0 ) ( * 19210 )
-      NEW li1 ( 2259750 18530 ) ( * 19210 )
-      NEW li1 ( 2259750 18530 ) ( 2261130 * )
-      NEW met1 ( 2261130 18530 ) ( 2272170 * )
-      NEW li1 ( 2272170 18530 ) ( 2277230 * )
-      NEW met1 ( 2277230 18530 ) ( 2297930 * )
-      NEW met2 ( 2297930 298860 ) ( 2301400 * )
-      NEW met2 ( 2301400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2297930 18530 ) ( * 298860 )
-      NEW met1 ( 1847130 19210 ) ( 2259750 * )
-      NEW met1 ( 1847130 19210 ) M1M2_PR
-      NEW li1 ( 2259750 19210 ) L1M1_PR_MR
-      NEW li1 ( 2261130 18530 ) L1M1_PR_MR
-      NEW li1 ( 2272170 18530 ) L1M1_PR_MR
-      NEW li1 ( 2277230 18530 ) L1M1_PR_MR
-      NEW met1 ( 2297930 18530 ) M1M2_PR ;
+      + ROUTED met2 ( 1847130 2380 0 ) ( * 16830 )
+      NEW met1 ( 2408330 289170 ) ( 2413390 * )
+      NEW met2 ( 2413390 289170 ) ( * 298860 )
+      NEW met2 ( 2413390 298860 ) ( 2413400 * )
+      NEW met2 ( 2413400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2408330 73950 ) ( * 289170 )
+      NEW met1 ( 1847130 16830 ) ( 1880250 * )
+      NEW met2 ( 1880250 16830 ) ( * 73950 )
+      NEW met1 ( 1880250 73950 ) ( 2408330 * )
+      NEW met1 ( 1847130 16830 ) M1M2_PR
+      NEW met1 ( 2408330 289170 ) M1M2_PR
+      NEW met1 ( 2413390 289170 ) M1M2_PR
+      NEW met1 ( 2408330 73950 ) M1M2_PR
+      NEW met1 ( 1880250 16830 ) M1M2_PR
+      NEW met1 ( 1880250 73950 ) M1M2_PR ;
     - la_oenb[69] ( PIN la_oenb[69] ) ( mprj la_oenb[69] ) + USE SIGNAL
-      + ROUTED met2 ( 1864610 2380 0 ) ( * 19550 )
-      NEW met2 ( 2304830 298860 ) ( 2305900 * )
-      NEW met2 ( 2305900 298860 ) ( * 300220 0 )
-      NEW met2 ( 2304830 19550 ) ( * 298860 )
-      NEW met1 ( 1864610 19550 ) ( 2304830 * )
-      NEW met1 ( 1864610 19550 ) M1M2_PR
-      NEW met1 ( 2304830 19550 ) M1M2_PR ;
+      + ROUTED met2 ( 1864610 2380 0 ) ( * 16660 )
+      NEW met2 ( 2415230 298860 ) ( 2418200 * )
+      NEW met2 ( 2418200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2415230 16660 ) ( * 298860 )
+      NEW met3 ( 1864610 16660 ) ( 2415230 * )
+      NEW met2 ( 1864610 16660 ) M2M3_PR_M
+      NEW met2 ( 2415230 16660 ) M2M3_PR_M ;
     - la_oenb[6] ( PIN la_oenb[6] ) ( mprj la_oenb[6] ) + USE SIGNAL
-      + ROUTED met1 ( 2015030 299030 ) ( 2021500 * )
-      NEW met2 ( 2021500 299030 ) ( * 300220 0 )
-      NEW met2 ( 2015030 17510 ) ( * 299030 )
-      NEW met2 ( 747730 2380 0 ) ( * 17510 )
-      NEW met1 ( 747730 17510 ) ( 2015030 * )
-      NEW met1 ( 2015030 17510 ) M1M2_PR
-      NEW met1 ( 2015030 299030 ) M1M2_PR
-      NEW met1 ( 2021500 299030 ) M1M2_PR
-      NEW met1 ( 747730 17510 ) M1M2_PR ;
+      + ROUTED met1 ( 777630 16830 ) ( * 17170 )
+      NEW met1 ( 2111630 299030 ) ( 2117300 * )
+      NEW met2 ( 2117300 299030 ) ( * 300220 0 )
+      NEW met2 ( 2111630 17170 ) ( * 299030 )
+      NEW met2 ( 747730 2380 0 ) ( * 17170 )
+      NEW met1 ( 747730 17170 ) ( 777630 * )
+      NEW met1 ( 777630 16830 ) ( 807300 * )
+      NEW met1 ( 807300 16830 ) ( * 17170 )
+      NEW met1 ( 807300 17170 ) ( 2111630 * )
+      NEW met1 ( 2111630 17170 ) M1M2_PR
+      NEW met1 ( 2111630 299030 ) M1M2_PR
+      NEW met1 ( 2117300 299030 ) M1M2_PR
+      NEW met1 ( 747730 17170 ) M1M2_PR ;
     - la_oenb[70] ( PIN la_oenb[70] ) ( mprj la_oenb[70] ) + USE SIGNAL
-      + ROUTED met2 ( 2306670 298860 ) ( 2310400 * )
-      NEW met2 ( 2310400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2305290 19890 ) ( * 227700 )
-      NEW met2 ( 2305290 227700 ) ( 2306670 * )
-      NEW met2 ( 2306670 227700 ) ( * 298860 )
-      NEW met2 ( 1882550 2380 0 ) ( * 19890 )
-      NEW met1 ( 1882550 19890 ) ( 2305290 * )
-      NEW met1 ( 2305290 19890 ) M1M2_PR
-      NEW met1 ( 1882550 19890 ) M1M2_PR ;
+      + ROUTED met2 ( 2422900 298860 ) ( 2423050 * )
+      NEW met2 ( 2422900 298860 ) ( * 300220 0 )
+      NEW met2 ( 2423050 17340 ) ( * 298860 )
+      NEW met2 ( 1882550 2380 0 ) ( * 17340 )
+      NEW met3 ( 1882550 17340 ) ( 2423050 * )
+      NEW met2 ( 2423050 17340 ) M2M3_PR_M
+      NEW met2 ( 1882550 17340 ) M2M3_PR_M ;
     - la_oenb[71] ( PIN la_oenb[71] ) ( mprj la_oenb[71] ) + USE SIGNAL
-      + ROUTED met2 ( 2311730 298860 ) ( 2314900 * )
-      NEW met2 ( 2314900 298860 ) ( * 300220 0 )
-      NEW met2 ( 2311730 20230 ) ( * 298860 )
-      NEW met2 ( 1900030 2380 0 ) ( * 20230 )
-      NEW met1 ( 1900030 20230 ) ( 2311730 * )
-      NEW met1 ( 2311730 20230 ) M1M2_PR
-      NEW met1 ( 1900030 20230 ) M1M2_PR ;
+      + ROUTED met1 ( 2422590 289170 ) ( 2427650 * )
+      NEW met2 ( 2427650 289170 ) ( * 298860 )
+      NEW met2 ( 2427650 298860 ) ( 2427700 * )
+      NEW met2 ( 2427700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2422590 18020 ) ( * 289170 )
+      NEW met2 ( 1900030 2380 0 ) ( * 18020 )
+      NEW met3 ( 1900030 18020 ) ( 2422590 * )
+      NEW met2 ( 2422590 18020 ) M2M3_PR_M
+      NEW met1 ( 2422590 289170 ) M1M2_PR
+      NEW met1 ( 2427650 289170 ) M1M2_PR
+      NEW met2 ( 1900030 18020 ) M2M3_PR_M ;
     - la_oenb[72] ( PIN la_oenb[72] ) ( mprj la_oenb[72] ) + USE SIGNAL
-      + ROUTED met2 ( 2319500 298860 ) ( 2319550 * )
-      NEW met2 ( 2319500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2319550 20570 ) ( * 298860 )
-      NEW met2 ( 1917970 2380 0 ) ( * 20570 )
-      NEW met1 ( 1917970 20570 ) ( 2319550 * )
-      NEW met1 ( 2319550 20570 ) M1M2_PR
-      NEW met1 ( 1917970 20570 ) M1M2_PR ;
+      + ROUTED met2 ( 2429030 298860 ) ( 2432500 * )
+      NEW met2 ( 2432500 298860 ) ( * 300220 0 )
+      NEW met2 ( 2429030 18530 ) ( * 298860 )
+      NEW met2 ( 1917970 2380 0 ) ( * 18530 )
+      NEW met1 ( 1917970 18530 ) ( 2429030 * )
+      NEW met1 ( 2429030 18530 ) M1M2_PR
+      NEW met1 ( 1917970 18530 ) M1M2_PR ;
     - la_oenb[73] ( PIN la_oenb[73] ) ( mprj la_oenb[73] ) + USE SIGNAL
-      + ROUTED met2 ( 1935910 2380 0 ) ( * 16830 )
-      NEW met1 ( 2319090 299030 ) ( 2324000 * )
-      NEW met2 ( 2324000 299030 ) ( * 300220 0 )
-      NEW met2 ( 2319090 16830 ) ( * 299030 )
-      NEW met1 ( 1935910 16830 ) ( 2319090 * )
-      NEW met1 ( 1935910 16830 ) M1M2_PR
-      NEW met1 ( 2319090 16830 ) M1M2_PR
-      NEW met1 ( 2319090 299030 ) M1M2_PR
-      NEW met1 ( 2324000 299030 ) M1M2_PR ;
+      + ROUTED met2 ( 1935910 2380 0 ) ( * 18700 )
+      NEW met2 ( 2436390 298860 ) ( 2437200 * )
+      NEW met2 ( 2437200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2436390 18700 ) ( * 298860 )
+      NEW met3 ( 1935910 18700 ) ( 2436390 * )
+      NEW met2 ( 1935910 18700 ) M2M3_PR_M
+      NEW met2 ( 2436390 18700 ) M2M3_PR_M ;
     - la_oenb[74] ( PIN la_oenb[74] ) ( mprj la_oenb[74] ) + USE SIGNAL
-      + ROUTED met2 ( 2326450 298860 ) ( 2328500 * )
-      NEW met2 ( 2328500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2326450 16490 ) ( * 298860 )
-      NEW met2 ( 1953390 2380 0 ) ( * 16490 )
-      NEW met1 ( 1953390 16490 ) ( 2326450 * )
-      NEW met1 ( 2326450 16490 ) M1M2_PR
-      NEW met1 ( 1953390 16490 ) M1M2_PR ;
+      + ROUTED met2 ( 1953390 2380 0 ) ( * 18870 )
+      NEW met2 ( 2438690 298860 ) ( 2442000 * )
+      NEW met2 ( 2442000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2436850 18870 ) ( * 227700 )
+      NEW met2 ( 2436850 227700 ) ( 2438690 * )
+      NEW met2 ( 2438690 227700 ) ( * 298860 )
+      NEW met1 ( 1953390 18870 ) ( 2436850 * )
+      NEW met1 ( 1953390 18870 ) M1M2_PR
+      NEW met1 ( 2436850 18870 ) M1M2_PR ;
     - la_oenb[75] ( PIN la_oenb[75] ) ( mprj la_oenb[75] ) + USE SIGNAL
-      + ROUTED met2 ( 2333000 298860 ) ( 2333350 * )
-      NEW met2 ( 2333000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2333350 16150 ) ( * 298860 )
-      NEW met2 ( 1971330 2380 0 ) ( * 16150 )
-      NEW met1 ( 1971330 16150 ) ( 2333350 * )
-      NEW met1 ( 2333350 16150 ) M1M2_PR
-      NEW met1 ( 1971330 16150 ) M1M2_PR ;
+      + ROUTED met2 ( 2442830 298860 ) ( 2446800 * )
+      NEW met2 ( 2446800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2442830 19210 ) ( * 298860 )
+      NEW met2 ( 1971330 2380 0 ) ( * 19210 )
+      NEW met1 ( 1971330 19210 ) ( 2442830 * )
+      NEW met1 ( 2442830 19210 ) M1M2_PR
+      NEW met1 ( 1971330 19210 ) M1M2_PR ;
     - la_oenb[76] ( PIN la_oenb[76] ) ( mprj la_oenb[76] ) + USE SIGNAL
-      + ROUTED met1 ( 2332890 287470 ) ( 2337490 * )
-      NEW met2 ( 2337490 287470 ) ( * 298860 )
-      NEW met2 ( 2337490 298860 ) ( 2337500 * )
-      NEW met2 ( 2337500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2332890 15810 ) ( * 287470 )
-      NEW met2 ( 1988810 2380 0 ) ( * 15810 )
-      NEW met1 ( 1988810 15810 ) ( 2332890 * )
-      NEW met1 ( 2332890 15810 ) M1M2_PR
-      NEW met1 ( 2332890 287470 ) M1M2_PR
-      NEW met1 ( 2337490 287470 ) M1M2_PR
-      NEW met1 ( 1988810 15810 ) M1M2_PR ;
+      + ROUTED met2 ( 1988810 2380 0 ) ( * 19550 )
+      NEW met1 ( 1988810 19550 ) ( 2449730 * )
+      NEW met2 ( 2449730 298860 ) ( 2451600 * )
+      NEW met2 ( 2451600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2449730 19550 ) ( * 298860 )
+      NEW met1 ( 1988810 19550 ) M1M2_PR
+      NEW met1 ( 2449730 19550 ) M1M2_PR ;
     - la_oenb[77] ( PIN la_oenb[77] ) ( mprj la_oenb[77] ) + USE SIGNAL
-      + ROUTED li1 ( 2323230 287470 ) ( * 288830 )
-      NEW met1 ( 2323230 288830 ) ( 2342090 * )
-      NEW met2 ( 2342090 288830 ) ( * 298860 )
-      NEW met2 ( 2342000 298860 ) ( 2342090 * )
-      NEW met2 ( 2342000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2007670 286620 ) ( 2008590 * )
-      NEW met2 ( 2008590 286620 ) ( * 287470 )
-      NEW met1 ( 2008590 287470 ) ( 2323230 * )
-      NEW met2 ( 2006750 2380 0 ) ( * 34500 )
-      NEW met2 ( 2006750 34500 ) ( 2007670 * )
-      NEW met2 ( 2007670 34500 ) ( * 286620 )
-      NEW li1 ( 2323230 287470 ) L1M1_PR_MR
-      NEW li1 ( 2323230 288830 ) L1M1_PR_MR
-      NEW met1 ( 2342090 288830 ) M1M2_PR
-      NEW met1 ( 2008590 287470 ) M1M2_PR ;
+      + ROUTED met2 ( 2006750 2380 0 ) ( * 19890 )
+      NEW met1 ( 2006750 19890 ) ( 2457090 * )
+      NEW met2 ( 2456400 298860 ) ( 2457090 * )
+      NEW met2 ( 2456400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2457090 19890 ) ( * 298860 )
+      NEW met1 ( 2006750 19890 ) M1M2_PR
+      NEW met1 ( 2457090 19890 ) M1M2_PR ;
     - la_oenb[78] ( PIN la_oenb[78] ) ( mprj la_oenb[78] ) + USE SIGNAL
-      + ROUTED met2 ( 2024230 2380 0 ) ( * 17170 )
-      NEW li1 ( 2307130 17170 ) ( * 18190 )
-      NEW met1 ( 2307130 18190 ) ( 2346690 * )
-      NEW met2 ( 2346600 298860 ) ( 2346690 * )
-      NEW met2 ( 2346600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2346690 18190 ) ( * 298860 )
-      NEW met1 ( 2024230 17170 ) ( 2307130 * )
-      NEW met1 ( 2024230 17170 ) M1M2_PR
-      NEW li1 ( 2307130 17170 ) L1M1_PR_MR
-      NEW li1 ( 2307130 18190 ) L1M1_PR_MR
-      NEW met1 ( 2346690 18190 ) M1M2_PR ;
+      + ROUTED met2 ( 2024230 2380 0 ) ( * 20230 )
+      NEW met1 ( 2024230 20230 ) ( 2457550 * )
+      NEW met2 ( 2457550 298860 ) ( 2461200 * )
+      NEW met2 ( 2461200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2457550 20230 ) ( * 298860 )
+      NEW met1 ( 2024230 20230 ) M1M2_PR
+      NEW met1 ( 2457550 20230 ) M1M2_PR ;
     - la_oenb[79] ( PIN la_oenb[79] ) ( mprj la_oenb[79] ) + USE SIGNAL
-      + ROUTED met2 ( 2042170 2380 0 ) ( * 17510 )
-      NEW met1 ( 2307590 17170 ) ( * 17510 )
-      NEW met1 ( 2307590 17170 ) ( 2347150 * )
-      NEW met2 ( 2347150 298860 ) ( 2351100 * )
-      NEW met2 ( 2351100 298860 ) ( * 300220 0 )
-      NEW met2 ( 2347150 17170 ) ( * 298860 )
-      NEW met1 ( 2042170 17510 ) ( 2307590 * )
-      NEW met1 ( 2042170 17510 ) M1M2_PR
-      NEW met1 ( 2347150 17170 ) M1M2_PR ;
+      + ROUTED met2 ( 2042170 2380 0 ) ( * 20570 )
+      NEW met1 ( 2042170 20570 ) ( 2463530 * )
+      NEW met2 ( 2463530 298860 ) ( 2466000 * )
+      NEW met2 ( 2466000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2463530 20570 ) ( * 298860 )
+      NEW met1 ( 2042170 20570 ) M1M2_PR
+      NEW met1 ( 2463530 20570 ) M1M2_PR ;
     - la_oenb[7] ( PIN la_oenb[7] ) ( mprj la_oenb[7] ) + USE SIGNAL
-      + ROUTED met2 ( 765210 2380 0 ) ( * 16830 )
-      NEW met1 ( 765210 16830 ) ( 800630 * )
-      NEW li1 ( 800630 16830 ) ( * 17850 )
-      NEW met2 ( 2021930 298860 ) ( 2026000 * )
-      NEW met2 ( 2026000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2021930 17850 ) ( * 298860 )
-      NEW met1 ( 800630 17850 ) ( 2021930 * )
-      NEW met1 ( 765210 16830 ) M1M2_PR
-      NEW li1 ( 800630 16830 ) L1M1_PR_MR
-      NEW li1 ( 800630 17850 ) L1M1_PR_MR
-      NEW met1 ( 2021930 17850 ) M1M2_PR ;
+      + ROUTED met2 ( 765210 2380 0 ) ( * 16490 )
+      NEW met1 ( 765210 16490 ) ( 800630 * )
+      NEW li1 ( 800630 16490 ) ( * 17510 )
+      NEW met2 ( 2119450 298860 ) ( 2122100 * )
+      NEW met2 ( 2122100 298860 ) ( * 300220 0 )
+      NEW met2 ( 2119450 17510 ) ( * 298860 )
+      NEW met1 ( 800630 17510 ) ( 2119450 * )
+      NEW met1 ( 765210 16490 ) M1M2_PR
+      NEW li1 ( 800630 16490 ) L1M1_PR_MR
+      NEW li1 ( 800630 17510 ) L1M1_PR_MR
+      NEW met1 ( 2119450 17510 ) M1M2_PR ;
     - la_oenb[80] ( PIN la_oenb[80] ) ( mprj la_oenb[80] ) + USE SIGNAL
-      + ROUTED met2 ( 2059650 2380 0 ) ( * 17850 )
-      NEW met1 ( 2059650 17850 ) ( 2353130 * )
-      NEW met2 ( 2353130 298860 ) ( 2355600 * )
-      NEW met2 ( 2355600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2353130 17850 ) ( * 298860 )
-      NEW met1 ( 2059650 17850 ) M1M2_PR
-      NEW met1 ( 2353130 17850 ) M1M2_PR ;
+      + ROUTED met2 ( 2059650 2380 0 ) ( * 16830 )
+      NEW met1 ( 2059650 16830 ) ( 2471350 * )
+      NEW met2 ( 2470600 298860 ) ( 2471350 * )
+      NEW met2 ( 2470600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2471350 16830 ) ( * 298860 )
+      NEW met1 ( 2059650 16830 ) M1M2_PR
+      NEW met1 ( 2471350 16830 ) M1M2_PR ;
     - la_oenb[81] ( PIN la_oenb[81] ) ( mprj la_oenb[81] ) + USE SIGNAL
-      + ROUTED li1 ( 2153030 15130 ) ( * 18190 )
-      NEW li1 ( 2306670 18190 ) ( * 19210 )
-      NEW met2 ( 2077590 2380 0 ) ( * 15130 )
-      NEW met1 ( 2077590 15130 ) ( 2153030 * )
-      NEW met1 ( 2153030 18190 ) ( 2306670 * )
-      NEW met1 ( 2306670 19210 ) ( 2360490 * )
-      NEW met2 ( 2360100 298860 ) ( 2360490 * )
-      NEW met2 ( 2360100 298860 ) ( * 300220 0 )
-      NEW met2 ( 2360490 19210 ) ( * 298860 )
-      NEW li1 ( 2153030 15130 ) L1M1_PR_MR
-      NEW li1 ( 2153030 18190 ) L1M1_PR_MR
-      NEW li1 ( 2306670 18190 ) L1M1_PR_MR
-      NEW li1 ( 2306670 19210 ) L1M1_PR_MR
-      NEW met1 ( 2077590 15130 ) M1M2_PR
-      NEW met1 ( 2360490 19210 ) M1M2_PR ;
+      + ROUTED met2 ( 2077590 2380 0 ) ( * 16490 )
+      NEW met1 ( 2077590 16490 ) ( 2470890 * )
+      NEW met1 ( 2470890 288150 ) ( 2475030 * )
+      NEW met2 ( 2475030 288150 ) ( * 298860 )
+      NEW met2 ( 2475030 298860 ) ( 2475400 * )
+      NEW met2 ( 2475400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2470890 16490 ) ( * 288150 )
+      NEW met1 ( 2077590 16490 ) M1M2_PR
+      NEW met1 ( 2470890 16490 ) M1M2_PR
+      NEW met1 ( 2470890 288150 ) M1M2_PR
+      NEW met1 ( 2475030 288150 ) M1M2_PR ;
     - la_oenb[82] ( PIN la_oenb[82] ) ( mprj la_oenb[82] ) + USE SIGNAL
-      + ROUTED met1 ( 2311270 18530 ) ( * 18870 )
-      NEW met2 ( 2095070 2380 0 ) ( * 18530 )
-      NEW met1 ( 2260670 18530 ) ( * 18870 )
-      NEW met1 ( 2095070 18530 ) ( 2260670 * )
-      NEW met1 ( 2260670 18870 ) ( 2311270 * )
-      NEW met1 ( 2311270 18530 ) ( 2360030 * )
-      NEW met1 ( 2360030 288150 ) ( 2364630 * )
-      NEW met2 ( 2364630 288150 ) ( * 298860 )
-      NEW met2 ( 2364600 298860 ) ( 2364630 * )
-      NEW met2 ( 2364600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2360030 18530 ) ( * 288150 )
-      NEW met1 ( 2095070 18530 ) M1M2_PR
-      NEW met1 ( 2360030 18530 ) M1M2_PR
-      NEW met1 ( 2360030 288150 ) M1M2_PR
-      NEW met1 ( 2364630 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 2095070 2380 0 ) ( * 16150 )
+      NEW met1 ( 2095070 16150 ) ( 2477330 * )
+      NEW met2 ( 2477330 298860 ) ( 2480200 * )
+      NEW met2 ( 2480200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2477330 16150 ) ( * 298860 )
+      NEW met1 ( 2095070 16150 ) M1M2_PR
+      NEW met1 ( 2477330 16150 ) M1M2_PR ;
     - la_oenb[83] ( PIN la_oenb[83] ) ( mprj la_oenb[83] ) + USE SIGNAL
-      + ROUTED met2 ( 2113010 2380 0 ) ( * 18190 )
-      NEW met1 ( 2113010 18190 ) ( 2118070 * )
-      NEW met2 ( 2118070 18190 ) ( * 287130 )
-      NEW met2 ( 2369230 287130 ) ( * 298860 )
-      NEW met2 ( 2369100 298860 ) ( 2369230 * )
-      NEW met2 ( 2369100 298860 ) ( * 300220 0 )
-      NEW met1 ( 2118070 287130 ) ( 2369230 * )
-      NEW met1 ( 2113010 18190 ) M1M2_PR
-      NEW met1 ( 2118070 18190 ) M1M2_PR
-      NEW met1 ( 2118070 287130 ) M1M2_PR
-      NEW met1 ( 2369230 287130 ) M1M2_PR ;
+      + ROUTED met2 ( 2113010 2380 0 ) ( * 17170 )
+      NEW met1 ( 2113010 17170 ) ( 2484690 * )
+      NEW met2 ( 2484690 298860 ) ( 2485000 * )
+      NEW met2 ( 2485000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2484690 17170 ) ( * 298860 )
+      NEW met1 ( 2113010 17170 ) M1M2_PR
+      NEW met1 ( 2484690 17170 ) M1M2_PR ;
     - la_oenb[84] ( PIN la_oenb[84] ) ( mprj la_oenb[84] ) + USE SIGNAL
-      + ROUTED met2 ( 2130950 2380 0 ) ( * 15470 )
-      NEW met1 ( 2130950 15470 ) ( 2374290 * )
-      NEW met2 ( 2373600 298860 ) ( 2374290 * )
-      NEW met2 ( 2373600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2374290 15470 ) ( * 298860 )
-      NEW met1 ( 2130950 15470 ) M1M2_PR
-      NEW met1 ( 2374290 15470 ) M1M2_PR ;
+      + ROUTED met2 ( 2130950 2380 0 ) ( * 17510 )
+      NEW met1 ( 2130950 17510 ) ( 2485150 * )
+      NEW met2 ( 2486070 298860 ) ( 2489800 * )
+      NEW met2 ( 2489800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2485150 17510 ) ( * 227700 )
+      NEW met2 ( 2485150 227700 ) ( 2486070 * )
+      NEW met2 ( 2486070 227700 ) ( * 298860 )
+      NEW met1 ( 2130950 17510 ) M1M2_PR
+      NEW met1 ( 2485150 17510 ) M1M2_PR ;
     - la_oenb[85] ( PIN la_oenb[85] ) ( mprj la_oenb[85] ) + USE SIGNAL
-      + ROUTED met2 ( 2148430 2380 0 ) ( * 18190 )
-      NEW met1 ( 2148430 18190 ) ( 2152570 * )
-      NEW met2 ( 2152570 18190 ) ( * 288150 )
-      NEW met1 ( 2359570 287810 ) ( * 288150 )
-      NEW met1 ( 2359570 287810 ) ( 2377970 * )
-      NEW met2 ( 2377970 287810 ) ( * 298860 )
-      NEW met2 ( 2377970 298860 ) ( 2378200 * )
-      NEW met2 ( 2378200 298860 ) ( * 300220 0 )
-      NEW met1 ( 2152570 288150 ) ( 2359570 * )
-      NEW met1 ( 2148430 18190 ) M1M2_PR
-      NEW met1 ( 2152570 18190 ) M1M2_PR
-      NEW met1 ( 2152570 288150 ) M1M2_PR
-      NEW met1 ( 2377970 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 2148430 2380 0 ) ( * 17850 )
+      NEW met1 ( 2148430 17850 ) ( 2491130 * )
+      NEW met2 ( 2491130 298860 ) ( 2494600 * )
+      NEW met2 ( 2494600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2491130 17850 ) ( * 298860 )
+      NEW met1 ( 2148430 17850 ) M1M2_PR
+      NEW met1 ( 2491130 17850 ) M1M2_PR ;
     - la_oenb[86] ( PIN la_oenb[86] ) ( mprj la_oenb[86] ) + USE SIGNAL
-      + ROUTED met2 ( 2166370 2380 0 ) ( * 14790 )
-      NEW met1 ( 2166370 14790 ) ( 2208000 * )
-      NEW met1 ( 2208000 14790 ) ( * 15130 )
-      NEW met1 ( 2208000 15130 ) ( 2381650 * )
-      NEW met2 ( 2381650 298860 ) ( 2382700 * )
-      NEW met2 ( 2382700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2381650 15130 ) ( * 298860 )
-      NEW met1 ( 2166370 14790 ) M1M2_PR
-      NEW met1 ( 2381650 15130 ) M1M2_PR ;
+      + ROUTED met2 ( 2498490 298860 ) ( 2499300 * )
+      NEW met2 ( 2499300 298860 ) ( * 300220 0 )
+      NEW met2 ( 2498490 18190 ) ( * 298860 )
+      NEW met2 ( 2166370 2380 0 ) ( * 15810 )
+      NEW met1 ( 2166370 15810 ) ( 2187530 * )
+      NEW li1 ( 2187530 15810 ) ( * 18190 )
+      NEW met1 ( 2187530 18190 ) ( 2498490 * )
+      NEW met1 ( 2498490 18190 ) M1M2_PR
+      NEW met1 ( 2166370 15810 ) M1M2_PR
+      NEW li1 ( 2187530 15810 ) L1M1_PR_MR
+      NEW li1 ( 2187530 18190 ) L1M1_PR_MR ;
     - la_oenb[87] ( PIN la_oenb[87] ) ( mprj la_oenb[87] ) + USE SIGNAL
-      + ROUTED met1 ( 2242730 14450 ) ( * 14790 )
-      NEW met2 ( 2183850 2380 0 ) ( * 14450 )
-      NEW met1 ( 2183850 14450 ) ( 2242730 * )
-      NEW met1 ( 2242730 14790 ) ( 2381190 * )
-      NEW met1 ( 2381190 299030 ) ( 2387200 * )
-      NEW met2 ( 2387200 299030 ) ( * 300220 0 )
-      NEW met2 ( 2381190 14790 ) ( * 299030 )
-      NEW met1 ( 2183850 14450 ) M1M2_PR
-      NEW met1 ( 2381190 14790 ) M1M2_PR
-      NEW met1 ( 2381190 299030 ) M1M2_PR
-      NEW met1 ( 2387200 299030 ) M1M2_PR ;
+      + ROUTED met2 ( 2504010 287130 ) ( * 298860 )
+      NEW met2 ( 2504010 298860 ) ( 2504200 * )
+      NEW met2 ( 2504200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2183850 2380 0 ) ( * 18190 )
+      NEW met1 ( 2183850 18190 ) ( 2187070 * )
+      NEW met1 ( 2187070 287130 ) ( 2504010 * )
+      NEW met2 ( 2187070 18190 ) ( * 287130 )
+      NEW met1 ( 2504010 287130 ) M1M2_PR
+      NEW met1 ( 2183850 18190 ) M1M2_PR
+      NEW met1 ( 2187070 18190 ) M1M2_PR
+      NEW met1 ( 2187070 287130 ) M1M2_PR ;
     - la_oenb[88] ( PIN la_oenb[88] ) ( mprj la_oenb[88] ) + USE SIGNAL
-      + ROUTED met1 ( 2201790 14110 ) ( 2207770 * )
-      NEW met2 ( 2391770 289170 ) ( * 298860 )
-      NEW met2 ( 2391700 298860 ) ( 2391770 * )
-      NEW met2 ( 2391700 298860 ) ( * 300220 0 )
-      NEW met1 ( 2207770 289170 ) ( 2391770 * )
-      NEW met2 ( 2207770 14110 ) ( * 289170 )
-      NEW met2 ( 2201790 2380 0 ) ( * 14110 )
-      NEW met1 ( 2201790 14110 ) M1M2_PR
-      NEW met1 ( 2207770 14110 ) M1M2_PR
-      NEW met1 ( 2207770 289170 ) M1M2_PR
-      NEW met1 ( 2391770 289170 ) M1M2_PR ;
+      + ROUTED met2 ( 2504930 298860 ) ( 2509000 * )
+      NEW met2 ( 2509000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2504930 15810 ) ( * 298860 )
+      NEW met2 ( 2201790 2380 0 ) ( * 15810 )
+      NEW met1 ( 2201790 15810 ) ( 2504930 * )
+      NEW met1 ( 2504930 15810 ) M1M2_PR
+      NEW met1 ( 2201790 15810 ) M1M2_PR ;
     - la_oenb[89] ( PIN la_oenb[89] ) ( mprj la_oenb[89] ) + USE SIGNAL
-      + ROUTED met1 ( 2219270 14110 ) ( 2243190 * )
-      NEW met1 ( 2243190 14110 ) ( * 14450 )
-      NEW met1 ( 2243190 14450 ) ( 2394990 * )
-      NEW met2 ( 2394990 298860 ) ( 2396200 * )
-      NEW met2 ( 2396200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2394990 14450 ) ( * 298860 )
-      NEW met2 ( 2219270 2380 0 ) ( * 14110 )
-      NEW met1 ( 2219270 14110 ) M1M2_PR
-      NEW met1 ( 2394990 14450 ) M1M2_PR ;
+      + ROUTED met2 ( 2219270 2380 0 ) ( * 15470 )
+      NEW met1 ( 2219270 15470 ) ( 2221570 * )
+      NEW met2 ( 2513670 287470 ) ( * 298860 )
+      NEW met2 ( 2513670 298860 ) ( 2513800 * )
+      NEW met2 ( 2513800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2221570 15470 ) ( * 287470 )
+      NEW met1 ( 2221570 287470 ) ( 2513670 * )
+      NEW met1 ( 2219270 15470 ) M1M2_PR
+      NEW met1 ( 2221570 15470 ) M1M2_PR
+      NEW met1 ( 2221570 287470 ) M1M2_PR
+      NEW met1 ( 2513670 287470 ) M1M2_PR ;
     - la_oenb[8] ( PIN la_oenb[8] ) ( mprj la_oenb[8] ) + USE SIGNAL
-      + ROUTED met2 ( 783150 2380 0 ) ( * 18190 )
-      NEW met2 ( 2029290 298860 ) ( 2030500 * )
-      NEW met2 ( 2030500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2029290 18190 ) ( * 298860 )
-      NEW met1 ( 783150 18190 ) ( 2029290 * )
-      NEW met1 ( 783150 18190 ) M1M2_PR
-      NEW met1 ( 2029290 18190 ) M1M2_PR ;
+      + ROUTED met2 ( 783150 2380 0 ) ( * 17850 )
+      NEW met2 ( 2125430 298860 ) ( 2126900 * )
+      NEW met2 ( 2126900 298860 ) ( * 300220 0 )
+      NEW met2 ( 2125430 17850 ) ( * 298860 )
+      NEW met1 ( 783150 17850 ) ( 2125430 * )
+      NEW met1 ( 783150 17850 ) M1M2_PR
+      NEW met1 ( 2125430 17850 ) M1M2_PR ;
     - la_oenb[90] ( PIN la_oenb[90] ) ( mprj la_oenb[90] ) + USE SIGNAL
-      + ROUTED met2 ( 2237210 2380 0 ) ( * 14790 )
-      NEW met1 ( 2237210 14790 ) ( 2242270 * )
-      NEW met1 ( 2242270 286790 ) ( 2247330 * )
-      NEW li1 ( 2247330 286790 ) ( * 287810 )
-      NEW met2 ( 2242270 14790 ) ( * 286790 )
-      NEW li1 ( 2359110 285430 ) ( * 287810 )
-      NEW met1 ( 2359110 285430 ) ( 2400510 * )
-      NEW met2 ( 2400510 285430 ) ( * 298860 )
-      NEW met2 ( 2400510 298860 ) ( 2400700 * )
-      NEW met2 ( 2400700 298860 ) ( * 300220 0 )
-      NEW met1 ( 2247330 287810 ) ( 2359110 * )
-      NEW met1 ( 2237210 14790 ) M1M2_PR
-      NEW met1 ( 2242270 14790 ) M1M2_PR
-      NEW met1 ( 2242270 286790 ) M1M2_PR
-      NEW li1 ( 2247330 286790 ) L1M1_PR_MR
-      NEW li1 ( 2247330 287810 ) L1M1_PR_MR
-      NEW li1 ( 2359110 287810 ) L1M1_PR_MR
-      NEW li1 ( 2359110 285430 ) L1M1_PR_MR
-      NEW met1 ( 2400510 285430 ) M1M2_PR ;
+      + ROUTED met2 ( 2237210 2380 0 ) ( * 15470 )
+      NEW met2 ( 2518600 298860 ) ( 2519190 * )
+      NEW met2 ( 2518600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2519190 15470 ) ( * 298860 )
+      NEW met1 ( 2237210 15470 ) ( 2519190 * )
+      NEW met1 ( 2237210 15470 ) M1M2_PR
+      NEW met1 ( 2519190 15470 ) M1M2_PR ;
     - la_oenb[91] ( PIN la_oenb[91] ) ( mprj la_oenb[91] ) + USE SIGNAL
-      + ROUTED met2 ( 2254690 2380 0 ) ( * 14110 )
-      NEW met2 ( 2401430 298860 ) ( 2405200 * )
-      NEW met2 ( 2405200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2401430 14110 ) ( * 298860 )
-      NEW met1 ( 2254690 14110 ) ( 2401430 * )
-      NEW met1 ( 2254690 14110 ) M1M2_PR
-      NEW met1 ( 2401430 14110 ) M1M2_PR ;
+      + ROUTED met2 ( 2523330 287810 ) ( * 298860 )
+      NEW met2 ( 2523300 298860 ) ( 2523330 * )
+      NEW met2 ( 2523300 298860 ) ( * 300220 0 )
+      NEW met2 ( 2254690 2380 0 ) ( * 34500 )
+      NEW met2 ( 2254690 34500 ) ( 2256070 * )
+      NEW met2 ( 2256070 34500 ) ( * 287810 )
+      NEW met1 ( 2256070 287810 ) ( 2523330 * )
+      NEW met1 ( 2256070 287810 ) M1M2_PR
+      NEW met1 ( 2523330 287810 ) M1M2_PR ;
     - la_oenb[92] ( PIN la_oenb[92] ) ( mprj la_oenb[92] ) + USE SIGNAL
-      + ROUTED met2 ( 2409710 286790 ) ( * 298860 )
-      NEW met2 ( 2409710 298860 ) ( 2409800 * )
-      NEW met2 ( 2409800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2272630 2380 0 ) ( * 18530 )
-      NEW met1 ( 2272630 18530 ) ( 2276770 * )
-      NEW met1 ( 2276770 286790 ) ( 2409710 * )
-      NEW met2 ( 2276770 18530 ) ( * 286790 )
-      NEW met1 ( 2409710 286790 ) M1M2_PR
-      NEW met1 ( 2272630 18530 ) M1M2_PR
-      NEW met1 ( 2276770 18530 ) M1M2_PR
-      NEW met1 ( 2276770 286790 ) M1M2_PR ;
+      + ROUTED met2 ( 2526090 298860 ) ( 2528000 * )
+      NEW met2 ( 2528000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2526090 15130 ) ( * 298860 )
+      NEW met2 ( 2272630 2380 0 ) ( * 15130 )
+      NEW met1 ( 2272630 15130 ) ( 2526090 * )
+      NEW met1 ( 2526090 15130 ) M1M2_PR
+      NEW met1 ( 2272630 15130 ) M1M2_PR ;
     - la_oenb[93] ( PIN la_oenb[93] ) ( mprj la_oenb[93] ) + USE SIGNAL
-      + ROUTED met2 ( 2414310 288490 ) ( * 298860 )
-      NEW met2 ( 2414300 298860 ) ( 2414310 * )
-      NEW met2 ( 2414300 298860 ) ( * 300220 0 )
-      NEW met1 ( 2290570 288490 ) ( 2414310 * )
-      NEW met2 ( 2290570 2380 0 ) ( * 288490 )
-      NEW met1 ( 2414310 288490 ) M1M2_PR
-      NEW met1 ( 2290570 288490 ) M1M2_PR ;
+      + ROUTED met2 ( 2532530 288830 ) ( * 298860 )
+      NEW met2 ( 2532530 298860 ) ( 2532800 * )
+      NEW met2 ( 2532800 298860 ) ( * 300220 0 )
+      NEW met1 ( 2290570 288830 ) ( 2532530 * )
+      NEW met2 ( 2290570 2380 0 ) ( * 288830 )
+      NEW met1 ( 2532530 288830 ) M1M2_PR
+      NEW met1 ( 2290570 288830 ) M1M2_PR ;
     - la_oenb[94] ( PIN la_oenb[94] ) ( mprj la_oenb[94] ) + USE SIGNAL
-      + ROUTED met2 ( 2308050 2380 0 ) ( * 17510 )
-      NEW met1 ( 2308050 17510 ) ( 2311270 * )
-      NEW met1 ( 2311270 285770 ) ( 2342550 * )
-      NEW li1 ( 2342550 285770 ) ( * 288830 )
-      NEW met2 ( 2418910 288830 ) ( * 298860 )
-      NEW met2 ( 2418800 298860 ) ( 2418910 * )
-      NEW met2 ( 2418800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2311270 17510 ) ( * 285770 )
-      NEW met1 ( 2342550 288830 ) ( 2418910 * )
-      NEW met1 ( 2308050 17510 ) M1M2_PR
-      NEW met1 ( 2311270 17510 ) M1M2_PR
-      NEW met1 ( 2311270 285770 ) M1M2_PR
-      NEW li1 ( 2342550 285770 ) L1M1_PR_MR
-      NEW li1 ( 2342550 288830 ) L1M1_PR_MR
-      NEW met1 ( 2418910 288830 ) M1M2_PR ;
+      + ROUTED met2 ( 2308050 2380 0 ) ( * 14450 )
+      NEW met2 ( 2533450 298860 ) ( 2537600 * )
+      NEW met2 ( 2537600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2533450 14790 ) ( * 298860 )
+      NEW met1 ( 2377050 14450 ) ( * 14790 )
+      NEW met1 ( 2308050 14450 ) ( 2377050 * )
+      NEW met1 ( 2377050 14790 ) ( 2533450 * )
+      NEW met1 ( 2308050 14450 ) M1M2_PR
+      NEW met1 ( 2533450 14790 ) M1M2_PR ;
     - la_oenb[95] ( PIN la_oenb[95] ) ( mprj la_oenb[95] ) + USE SIGNAL
-      + ROUTED met2 ( 2325990 2380 0 ) ( * 17510 )
-      NEW met1 ( 2325990 17510 ) ( 2331970 * )
-      NEW met2 ( 2423050 289510 ) ( * 298860 )
-      NEW met2 ( 2423050 298860 ) ( 2423300 * )
-      NEW met2 ( 2423300 298860 ) ( * 300220 0 )
-      NEW met2 ( 2331970 17510 ) ( * 289510 )
-      NEW met1 ( 2331970 289510 ) ( 2423050 * )
-      NEW met1 ( 2325990 17510 ) M1M2_PR
-      NEW met1 ( 2331970 17510 ) M1M2_PR
-      NEW met1 ( 2331970 289510 ) M1M2_PR
-      NEW met1 ( 2423050 289510 ) M1M2_PR ;
+      + ROUTED met2 ( 2325990 2380 0 ) ( * 14790 )
+      NEW met1 ( 2325990 14790 ) ( 2331970 * )
+      NEW met2 ( 2542190 286790 ) ( * 298860 )
+      NEW met2 ( 2542190 298860 ) ( 2542400 * )
+      NEW met2 ( 2542400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2331970 14790 ) ( * 286790 )
+      NEW met1 ( 2331970 286790 ) ( 2542190 * )
+      NEW met1 ( 2325990 14790 ) M1M2_PR
+      NEW met1 ( 2331970 14790 ) M1M2_PR
+      NEW met1 ( 2331970 286790 ) M1M2_PR
+      NEW met1 ( 2542190 286790 ) M1M2_PR ;
     - la_oenb[96] ( PIN la_oenb[96] ) ( mprj la_oenb[96] ) + USE SIGNAL
-      + ROUTED met2 ( 2343470 2380 0 ) ( * 17510 )
-      NEW met1 ( 2343470 17510 ) ( 2345770 * )
-      NEW met2 ( 2427650 287470 ) ( * 298860 )
-      NEW met2 ( 2427650 298860 ) ( 2427800 * )
-      NEW met2 ( 2427800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2345770 17510 ) ( * 287470 )
-      NEW met1 ( 2345770 287470 ) ( 2427650 * )
-      NEW met1 ( 2343470 17510 ) M1M2_PR
-      NEW met1 ( 2345770 17510 ) M1M2_PR
-      NEW met1 ( 2345770 287470 ) M1M2_PR
-      NEW met1 ( 2427650 287470 ) M1M2_PR ;
+      + ROUTED met2 ( 2343470 2380 0 ) ( * 14110 )
+      NEW met1 ( 2377510 14110 ) ( * 14450 )
+      NEW met1 ( 2343470 14110 ) ( 2377510 * )
+      NEW met1 ( 2377510 14450 ) ( 2546790 * )
+      NEW met2 ( 2546790 298860 ) ( 2547200 * )
+      NEW met2 ( 2547200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2546790 14450 ) ( * 298860 )
+      NEW met1 ( 2343470 14110 ) M1M2_PR
+      NEW met1 ( 2546790 14450 ) M1M2_PR ;
     - la_oenb[97] ( PIN la_oenb[97] ) ( mprj la_oenb[97] ) + USE SIGNAL
-      + ROUTED met2 ( 2432250 285770 ) ( * 298860 )
-      NEW met2 ( 2432250 298860 ) ( 2432300 * )
-      NEW met2 ( 2432300 298860 ) ( * 300220 0 )
-      NEW met2 ( 2361410 2380 0 ) ( * 17510 )
-      NEW met1 ( 2361410 17510 ) ( 2366470 * )
-      NEW met1 ( 2366470 285770 ) ( 2432250 * )
-      NEW met2 ( 2366470 17510 ) ( * 285770 )
-      NEW met1 ( 2432250 285770 ) M1M2_PR
-      NEW met1 ( 2361410 17510 ) M1M2_PR
-      NEW met1 ( 2366470 17510 ) M1M2_PR
-      NEW met1 ( 2366470 285770 ) M1M2_PR ;
+      + ROUTED met2 ( 2361410 2380 0 ) ( * 14790 )
+      NEW met1 ( 2361410 14790 ) ( 2366010 * )
+      NEW met2 ( 2551850 286450 ) ( * 298860 )
+      NEW met2 ( 2551850 298860 ) ( 2552000 * )
+      NEW met2 ( 2552000 298860 ) ( * 300220 0 )
+      NEW met1 ( 2366470 286450 ) ( 2551850 * )
+      NEW met2 ( 2366010 14790 ) ( * 34500 )
+      NEW met2 ( 2366010 34500 ) ( 2366470 * )
+      NEW met2 ( 2366470 34500 ) ( * 286450 )
+      NEW met1 ( 2361410 14790 ) M1M2_PR
+      NEW met1 ( 2366010 14790 ) M1M2_PR
+      NEW met1 ( 2366470 286450 ) M1M2_PR
+      NEW met1 ( 2551850 286450 ) M1M2_PR ;
     - la_oenb[98] ( PIN la_oenb[98] ) ( mprj la_oenb[98] ) + USE SIGNAL
-      + ROUTED met2 ( 2436850 287130 ) ( * 298860 )
-      NEW met2 ( 2436850 298860 ) ( 2436900 * )
-      NEW met2 ( 2436900 298860 ) ( * 300220 0 )
-      NEW met1 ( 2380270 287130 ) ( 2436850 * )
-      NEW met2 ( 2378890 2380 0 ) ( * 34500 )
-      NEW met2 ( 2378890 34500 ) ( 2380270 * )
-      NEW met2 ( 2380270 34500 ) ( * 287130 )
-      NEW met1 ( 2436850 287130 ) M1M2_PR
-      NEW met1 ( 2380270 287130 ) M1M2_PR ;
+      + ROUTED met1 ( 2401430 13770 ) ( * 14110 )
+      NEW met2 ( 2378890 2380 0 ) ( * 14110 )
+      NEW met1 ( 2378890 14110 ) ( 2396370 * )
+      NEW met1 ( 2396370 13770 ) ( * 14110 )
+      NEW met1 ( 2396370 13770 ) ( 2401430 * )
+      NEW met1 ( 2401430 14110 ) ( 2553690 * )
+      NEW met2 ( 2553690 298860 ) ( 2556700 * )
+      NEW met2 ( 2556700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2553690 14110 ) ( * 298860 )
+      NEW met1 ( 2378890 14110 ) M1M2_PR
+      NEW met1 ( 2553690 14110 ) M1M2_PR ;
     - la_oenb[99] ( PIN la_oenb[99] ) ( mprj la_oenb[99] ) + USE SIGNAL
-      + ROUTED met2 ( 2441450 288150 ) ( * 298860 )
-      NEW met2 ( 2441400 298860 ) ( 2441450 * )
-      NEW met2 ( 2441400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2396830 2380 0 ) ( * 17510 )
-      NEW met1 ( 2396830 17510 ) ( 2400970 * )
-      NEW met1 ( 2400970 288150 ) ( 2441450 * )
-      NEW met2 ( 2400970 17510 ) ( * 288150 )
-      NEW met1 ( 2441450 288150 ) M1M2_PR
-      NEW met1 ( 2396830 17510 ) M1M2_PR
-      NEW met1 ( 2400970 17510 ) M1M2_PR
-      NEW met1 ( 2400970 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 2396830 2380 0 ) ( * 14110 )
+      NEW met1 ( 2396830 14110 ) ( 2400970 * )
+      NEW met2 ( 2561510 288490 ) ( * 298860 )
+      NEW met2 ( 2561500 298860 ) ( 2561510 * )
+      NEW met2 ( 2561500 298860 ) ( * 300220 0 )
+      NEW met1 ( 2400970 288490 ) ( 2561510 * )
+      NEW met2 ( 2400970 14110 ) ( * 288490 )
+      NEW met1 ( 2396830 14110 ) M1M2_PR
+      NEW met1 ( 2400970 14110 ) M1M2_PR
+      NEW met1 ( 2400970 288490 ) M1M2_PR
+      NEW met1 ( 2561510 288490 ) M1M2_PR ;
     - la_oenb[9] ( PIN la_oenb[9] ) ( mprj la_oenb[9] ) + USE SIGNAL
-      + ROUTED met2 ( 800630 2380 0 ) ( * 18530 )
-      NEW met1 ( 2028830 299030 ) ( 2035000 * )
-      NEW met2 ( 2035000 299030 ) ( * 300220 0 )
-      NEW met2 ( 2028830 18530 ) ( * 299030 )
-      NEW met1 ( 800630 18530 ) ( 2028830 * )
-      NEW met1 ( 800630 18530 ) M1M2_PR
-      NEW met1 ( 2028830 18530 ) M1M2_PR
-      NEW met1 ( 2028830 299030 ) M1M2_PR
-      NEW met1 ( 2035000 299030 ) M1M2_PR ;
+      + ROUTED met2 ( 800630 2380 0 ) ( * 18190 )
+      NEW met2 ( 2127270 298860 ) ( 2131700 * )
+      NEW met2 ( 2131700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2125890 18190 ) ( * 227700 )
+      NEW met2 ( 2125890 227700 ) ( 2127270 * )
+      NEW met2 ( 2127270 227700 ) ( * 298860 )
+      NEW met1 ( 800630 18190 ) ( 2125890 * )
+      NEW met1 ( 800630 18190 ) M1M2_PR
+      NEW met1 ( 2125890 18190 ) M1M2_PR ;
     - user_clock2 ( PIN user_clock2 ) ( mprj user_clock2 ) + USE CLOCK
-      + ROUTED met2 ( 2899150 2380 0 ) ( * 17170 )
-      NEW met2 ( 2572300 298860 ) ( 2573470 * )
-      NEW met2 ( 2572300 298860 ) ( * 300220 0 )
-      NEW met1 ( 2573470 17170 ) ( 2899150 * )
-      NEW met2 ( 2573470 17170 ) ( * 298860 )
-      NEW met1 ( 2899150 17170 ) M1M2_PR
-      NEW met1 ( 2573470 17170 ) M1M2_PR ;
+      + ROUTED met1 ( 2699970 288150 ) ( 2704570 * )
+      NEW met2 ( 2699970 288150 ) ( * 298860 )
+      NEW met2 ( 2699970 298860 ) ( 2700000 * )
+      NEW met2 ( 2700000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2899150 2380 0 ) ( * 17170 )
+      NEW met2 ( 2704570 17170 ) ( * 288150 )
+      NEW met1 ( 2704570 17170 ) ( 2899150 * )
+      NEW met1 ( 2704570 17170 ) M1M2_PR
+      NEW met1 ( 2704570 288150 ) M1M2_PR
+      NEW met1 ( 2699970 288150 ) M1M2_PR
+      NEW met1 ( 2899150 17170 ) M1M2_PR ;
     - user_irq[0] ( PIN user_irq[0] ) ( mprj user_irq[0] ) + USE SIGNAL
-      + ROUTED met2 ( 2905130 2380 0 ) ( * 17340 )
-      NEW met1 ( 2576690 288150 ) ( 2580370 * )
-      NEW met2 ( 2576690 288150 ) ( * 298860 )
-      NEW met2 ( 2576690 298860 ) ( 2576800 * )
-      NEW met2 ( 2576800 298860 ) ( * 300220 0 )
-      NEW met3 ( 2580370 17340 ) ( 2905130 * )
-      NEW met2 ( 2580370 17340 ) ( * 288150 )
-      NEW met2 ( 2905130 17340 ) M2M3_PR_M
-      NEW met2 ( 2580370 17340 ) M2M3_PR_M
-      NEW met1 ( 2580370 288150 ) M1M2_PR
-      NEW met1 ( 2576690 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 2704110 298860 ) ( 2704700 * )
+      NEW met2 ( 2704700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2905130 2380 0 ) ( * 17510 )
+      NEW met2 ( 2703650 17510 ) ( * 34500 )
+      NEW met2 ( 2703650 34500 ) ( 2704110 * )
+      NEW met2 ( 2704110 34500 ) ( * 298860 )
+      NEW met1 ( 2703650 17510 ) ( 2905130 * )
+      NEW met1 ( 2703650 17510 ) M1M2_PR
+      NEW met1 ( 2905130 17510 ) M1M2_PR ;
     - user_irq[1] ( PIN user_irq[1] ) ( mprj user_irq[1] ) + USE SIGNAL
-      + ROUTED met2 ( 2911110 2380 0 ) ( * 17850 )
-      NEW met1 ( 2581290 287810 ) ( 2586810 * )
-      NEW met2 ( 2581290 287810 ) ( * 298860 )
-      NEW met2 ( 2581290 298860 ) ( 2581300 * )
-      NEW met2 ( 2581300 298860 ) ( * 300220 0 )
-      NEW met1 ( 2586810 17850 ) ( 2911110 * )
-      NEW met2 ( 2586810 17850 ) ( * 287810 )
-      NEW met1 ( 2911110 17850 ) M1M2_PR
-      NEW met1 ( 2586810 17850 ) M1M2_PR
-      NEW met1 ( 2586810 287810 ) M1M2_PR
-      NEW met1 ( 2581290 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 2709500 298860 ) ( 2711470 * )
+      NEW met2 ( 2709500 298860 ) ( * 300220 0 )
+      NEW met2 ( 2911110 2380 0 ) ( * 18190 )
+      NEW met2 ( 2711470 18190 ) ( * 298860 )
+      NEW met1 ( 2711470 18190 ) ( 2911110 * )
+      NEW met1 ( 2711470 18190 ) M1M2_PR
+      NEW met1 ( 2911110 18190 ) M1M2_PR ;
     - user_irq[2] ( PIN user_irq[2] ) ( mprj user_irq[2] ) + USE SIGNAL
-      + ROUTED met2 ( 2917090 2380 0 ) ( * 16660 )
-      NEW met1 ( 2584510 288150 ) ( 2587270 * )
-      NEW met2 ( 2584510 288150 ) ( * 298860 )
-      NEW met2 ( 2584300 298860 ) ( 2584510 * )
-      NEW met2 ( 2584300 298860 ) ( * 300220 0 )
-      NEW met3 ( 2587270 16660 ) ( 2917090 * )
-      NEW met2 ( 2587270 16660 ) ( * 288150 )
-      NEW met2 ( 2917090 16660 ) M2M3_PR_M
-      NEW met2 ( 2587270 16660 ) M2M3_PR_M
-      NEW met1 ( 2587270 288150 ) M1M2_PR
-      NEW met1 ( 2584510 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 2716990 286110 ) ( * 298860 )
+      NEW met2 ( 2716800 298860 ) ( 2716990 * )
+      NEW met2 ( 2716800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2917090 2380 0 ) ( * 15130 )
+      NEW met1 ( 2912030 15130 ) ( 2917090 * )
+      NEW li1 ( 2912030 15130 ) ( * 286110 )
+      NEW met1 ( 2716990 286110 ) ( 2912030 * )
+      NEW met1 ( 2716990 286110 ) M1M2_PR
+      NEW met1 ( 2917090 15130 ) M1M2_PR
+      NEW li1 ( 2912030 15130 ) L1M1_PR_MR
+      NEW li1 ( 2912030 286110 ) L1M1_PR_MR ;
     - wb_clk_i ( PIN wb_clk_i ) ( mprj wb_clk_i ) + USE SIGNAL
-      + ROUTED met2 ( 2990 2380 0 ) ( * 24310 )
-      NEW met1 ( 2990 24310 ) ( 359490 * )
-      NEW met2 ( 363630 298860 ) ( 364500 * )
-      NEW met2 ( 364500 298860 ) ( * 300220 0 )
-      NEW met2 ( 359490 24310 ) ( * 227700 )
-      NEW met2 ( 359490 227700 ) ( 363630 * )
-      NEW met2 ( 363630 227700 ) ( * 298860 )
-      NEW met1 ( 2990 24310 ) M1M2_PR
-      NEW met1 ( 359490 24310 ) M1M2_PR ;
-    - wb_rst_i ( PIN wb_rst_i ) ( mprj wb_rst_i ) + USE SIGNAL
-      + ROUTED met2 ( 8510 2380 0 ) ( * 17170 )
-      NEW met1 ( 8510 17170 ) ( 58650 * )
-      NEW li1 ( 337870 287810 ) ( * 289510 )
-      NEW met1 ( 337870 289510 ) ( 362250 * )
-      NEW met2 ( 362250 289510 ) ( * 299540 )
-      NEW met2 ( 362250 299540 ) ( 362500 * )
-      NEW met2 ( 362500 299540 ) ( * 300220 0 )
-      NEW met1 ( 58650 287810 ) ( 337870 * )
-      NEW met2 ( 58650 17170 ) ( * 287810 )
-      NEW met1 ( 8510 17170 ) M1M2_PR
+      + ROUTED met2 ( 2990 2380 0 ) ( * 17170 )
+      NEW met1 ( 2990 17170 ) ( 58650 * )
+      NEW met2 ( 364550 287130 ) ( * 298860 )
+      NEW met2 ( 364550 298860 ) ( 364600 * )
+      NEW met2 ( 364600 298860 ) ( * 300220 0 )
+      NEW met1 ( 58650 287130 ) ( 364550 * )
+      NEW met2 ( 58650 17170 ) ( * 287130 )
+      NEW met1 ( 2990 17170 ) M1M2_PR
       NEW met1 ( 58650 17170 ) M1M2_PR
-      NEW met1 ( 58650 287810 ) M1M2_PR
-      NEW li1 ( 337870 287810 ) L1M1_PR_MR
-      NEW li1 ( 337870 289510 ) L1M1_PR_MR
-      NEW met1 ( 362250 289510 ) M1M2_PR ;
+      NEW met1 ( 58650 287130 ) M1M2_PR
+      NEW met1 ( 364550 287130 ) M1M2_PR ;
+    - wb_rst_i ( PIN wb_rst_i ) ( mprj wb_rst_i ) + USE SIGNAL
+      + ROUTED met2 ( 8510 2380 0 ) ( * 17510 )
+      NEW met1 ( 8510 17510 ) ( 71990 * )
+      NEW met2 ( 359490 298860 ) ( 362000 * )
+      NEW met2 ( 362000 298860 ) ( * 300220 0 )
+      NEW met2 ( 71990 17510 ) ( * 44710 )
+      NEW met1 ( 71990 44710 ) ( 359490 * )
+      NEW met2 ( 359490 44710 ) ( * 298860 )
+      NEW met1 ( 8510 17510 ) M1M2_PR
+      NEW met1 ( 71990 17510 ) M1M2_PR
+      NEW met1 ( 71990 44710 ) M1M2_PR
+      NEW met1 ( 359490 44710 ) M1M2_PR ;
     - wbs_ack_o ( PIN wbs_ack_o ) ( mprj wbs_ack_o ) + USE SIGNAL
-      + ROUTED met2 ( 14490 2380 0 ) ( * 31450 )
-      NEW met1 ( 14490 31450 ) ( 355350 * )
-      NEW met1 ( 355350 287810 ) ( 369150 * )
-      NEW met2 ( 369150 287810 ) ( * 298860 )
-      NEW met2 ( 369000 298860 ) ( 369150 * )
-      NEW met2 ( 369000 298860 ) ( * 300220 0 )
-      NEW met2 ( 355350 31450 ) ( * 287810 )
-      NEW met1 ( 14490 31450 ) M1M2_PR
-      NEW met1 ( 355350 31450 ) M1M2_PR
-      NEW met1 ( 355350 287810 ) M1M2_PR
-      NEW met1 ( 369150 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 14490 2380 0 ) ( * 24310 )
+      NEW met1 ( 14490 24310 ) ( 365930 * )
+      NEW met2 ( 365930 298860 ) ( 369400 * )
+      NEW met2 ( 369400 298860 ) ( * 300220 0 )
+      NEW met2 ( 365930 24310 ) ( * 298860 )
+      NEW met1 ( 14490 24310 ) M1M2_PR
+      NEW met1 ( 365930 24310 ) M1M2_PR ;
     - wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( mprj wbs_adr_i[0] ) + USE SIGNAL
-      + ROUTED met2 ( 373290 298860 ) ( 373500 * )
-      NEW met2 ( 373500 298860 ) ( * 300220 0 )
-      NEW met2 ( 373290 24650 ) ( * 298860 )
+      + ROUTED met2 ( 374210 288830 ) ( * 298860 )
+      NEW met2 ( 374200 298860 ) ( 374210 * )
+      NEW met2 ( 374200 298860 ) ( * 300220 0 )
       NEW met2 ( 38410 2380 0 ) ( * 24650 )
-      NEW met1 ( 38410 24650 ) ( 373290 * )
-      NEW met1 ( 373290 24650 ) M1M2_PR
-      NEW met1 ( 38410 24650 ) M1M2_PR ;
+      NEW met1 ( 38410 24650 ) ( 272550 * )
+      NEW met1 ( 272550 288830 ) ( 374210 * )
+      NEW met2 ( 272550 24650 ) ( * 288830 )
+      NEW met1 ( 374210 288830 ) M1M2_PR
+      NEW met1 ( 38410 24650 ) M1M2_PR
+      NEW met1 ( 272550 24650 ) M1M2_PR
+      NEW met1 ( 272550 288830 ) M1M2_PR ;
     - wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( mprj wbs_adr_i[10] ) + USE SIGNAL
-      + ROUTED met2 ( 418370 285430 ) ( * 298860 )
-      NEW met2 ( 418370 298860 ) ( 418600 * )
-      NEW met2 ( 418600 298860 ) ( * 300220 0 )
-      NEW met1 ( 348450 285430 ) ( 418370 * )
-      NEW met2 ( 239430 2380 0 ) ( * 44710 )
-      NEW met1 ( 239430 44710 ) ( 324300 * )
-      NEW met1 ( 324300 44710 ) ( * 45050 )
-      NEW met1 ( 324300 45050 ) ( 348450 * )
-      NEW met2 ( 348450 45050 ) ( * 285430 )
-      NEW met1 ( 418370 285430 ) M1M2_PR
-      NEW met1 ( 348450 285430 ) M1M2_PR
-      NEW met1 ( 239430 44710 ) M1M2_PR
-      NEW met1 ( 348450 45050 ) M1M2_PR ;
+      + ROUTED met2 ( 239430 2380 0 ) ( * 25670 )
+      NEW met2 ( 421590 298860 ) ( 421900 * )
+      NEW met2 ( 421900 298860 ) ( * 300220 0 )
+      NEW met1 ( 239430 25670 ) ( 421590 * )
+      NEW met2 ( 421590 25670 ) ( * 298860 )
+      NEW met1 ( 239430 25670 ) M1M2_PR
+      NEW met1 ( 421590 25670 ) M1M2_PR ;
     - wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( mprj wbs_adr_i[11] ) + USE SIGNAL
-      + ROUTED met2 ( 256910 2380 0 ) ( * 16830 )
-      NEW met1 ( 256910 16830 ) ( 261970 * )
-      NEW met2 ( 422970 285770 ) ( * 298860 )
-      NEW met2 ( 422970 298860 ) ( 423200 * )
-      NEW met2 ( 423200 298860 ) ( * 300220 0 )
-      NEW met1 ( 341550 285770 ) ( 422970 * )
-      NEW met2 ( 261970 16830 ) ( * 79390 )
-      NEW met1 ( 261970 79390 ) ( 341550 * )
-      NEW met2 ( 341550 79390 ) ( * 285770 )
-      NEW met1 ( 256910 16830 ) M1M2_PR
-      NEW met1 ( 261970 16830 ) M1M2_PR
-      NEW met1 ( 341550 285770 ) M1M2_PR
-      NEW met1 ( 422970 285770 ) M1M2_PR
-      NEW met1 ( 261970 79390 ) M1M2_PR
-      NEW met1 ( 341550 79390 ) M1M2_PR ;
+      + ROUTED met2 ( 256910 2380 0 ) ( * 31790 )
+      NEW met1 ( 256910 31790 ) ( 355350 * )
+      NEW met2 ( 426650 285770 ) ( * 298860 )
+      NEW met2 ( 426650 298860 ) ( 426700 * )
+      NEW met2 ( 426700 298860 ) ( * 300220 0 )
+      NEW met1 ( 355350 285770 ) ( 426650 * )
+      NEW met2 ( 355350 31790 ) ( * 285770 )
+      NEW met1 ( 256910 31790 ) M1M2_PR
+      NEW met1 ( 355350 31790 ) M1M2_PR
+      NEW met1 ( 355350 285770 ) M1M2_PR
+      NEW met1 ( 426650 285770 ) M1M2_PR ;
     - wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( mprj wbs_adr_i[12] ) + USE SIGNAL
-      + ROUTED met1 ( 328210 284750 ) ( 362710 * )
-      NEW li1 ( 362710 284750 ) ( * 289510 )
-      NEW met2 ( 427570 289510 ) ( * 298860 )
-      NEW met2 ( 427570 298860 ) ( 427700 * )
-      NEW met2 ( 427700 298860 ) ( * 300220 0 )
-      NEW met1 ( 362710 289510 ) ( 427570 * )
-      NEW met2 ( 274850 2380 0 ) ( * 72250 )
-      NEW met1 ( 274850 72250 ) ( 328210 * )
-      NEW met2 ( 328210 72250 ) ( * 284750 )
-      NEW met1 ( 328210 284750 ) M1M2_PR
-      NEW li1 ( 362710 284750 ) L1M1_PR_MR
-      NEW li1 ( 362710 289510 ) L1M1_PR_MR
-      NEW met1 ( 427570 289510 ) M1M2_PR
-      NEW met1 ( 274850 72250 ) M1M2_PR
-      NEW met1 ( 328210 72250 ) M1M2_PR ;
+      + ROUTED met2 ( 274850 2380 0 ) ( * 26010 )
+      NEW met2 ( 428030 298860 ) ( 431500 * )
+      NEW met2 ( 431500 298860 ) ( * 300220 0 )
+      NEW met1 ( 274850 26010 ) ( 428030 * )
+      NEW met2 ( 428030 26010 ) ( * 298860 )
+      NEW met1 ( 274850 26010 ) M1M2_PR
+      NEW met1 ( 428030 26010 ) M1M2_PR ;
     - wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( mprj wbs_adr_i[13] ) + USE SIGNAL
       + ROUTED met2 ( 292330 2380 0 ) ( * 16830 )
       NEW met1 ( 292330 16830 ) ( 296470 * )
-      NEW met2 ( 296470 16830 ) ( * 99790 )
-      NEW met1 ( 296470 99790 ) ( 428030 * )
-      NEW met2 ( 428030 298860 ) ( 432200 * )
-      NEW met2 ( 432200 298860 ) ( * 300220 0 )
-      NEW met2 ( 428030 99790 ) ( * 298860 )
+      NEW met2 ( 296470 16830 ) ( * 72250 )
+      NEW met2 ( 436310 289170 ) ( * 298860 )
+      NEW met2 ( 436300 298860 ) ( 436310 * )
+      NEW met2 ( 436300 298860 ) ( * 300220 0 )
+      NEW met1 ( 348450 289170 ) ( 436310 * )
+      NEW met1 ( 296470 72250 ) ( 348450 * )
+      NEW met2 ( 348450 72250 ) ( * 289170 )
       NEW met1 ( 292330 16830 ) M1M2_PR
       NEW met1 ( 296470 16830 ) M1M2_PR
-      NEW met1 ( 296470 99790 ) M1M2_PR
-      NEW met1 ( 428030 99790 ) M1M2_PR ;
+      NEW met1 ( 296470 72250 ) M1M2_PR
+      NEW met1 ( 348450 289170 ) M1M2_PR
+      NEW met1 ( 436310 289170 ) M1M2_PR
+      NEW met1 ( 348450 72250 ) M1M2_PR ;
     - wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( mprj wbs_adr_i[14] ) + USE SIGNAL
-      + ROUTED met2 ( 310270 2380 0 ) ( * 282030 )
-      NEW met2 ( 436770 282030 ) ( * 298860 )
-      NEW met2 ( 436700 298860 ) ( 436770 * )
-      NEW met2 ( 436700 298860 ) ( * 300220 0 )
-      NEW met1 ( 310270 282030 ) ( 436770 * )
-      NEW met1 ( 310270 282030 ) M1M2_PR
-      NEW met1 ( 436770 282030 ) M1M2_PR ;
+      + ROUTED met2 ( 310270 2380 0 ) ( * 17340 )
+      NEW met2 ( 309350 17340 ) ( 310270 * )
+      NEW met2 ( 309350 17340 ) ( * 79390 )
+      NEW met1 ( 435390 299030 ) ( 441100 * )
+      NEW met2 ( 441100 299030 ) ( * 300220 0 )
+      NEW met1 ( 309350 79390 ) ( 435390 * )
+      NEW met2 ( 435390 79390 ) ( * 299030 )
+      NEW met1 ( 309350 79390 ) M1M2_PR
+      NEW met1 ( 435390 299030 ) M1M2_PR
+      NEW met1 ( 441100 299030 ) M1M2_PR
+      NEW met1 ( 435390 79390 ) M1M2_PR ;
     - wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( mprj wbs_adr_i[15] ) + USE SIGNAL
       + ROUTED met2 ( 327750 2380 0 ) ( * 16830 )
       NEW met1 ( 327750 16830 ) ( 330970 * )
-      NEW met1 ( 434930 299030 ) ( 441200 * )
-      NEW met2 ( 441200 299030 ) ( * 300220 0 )
-      NEW met2 ( 330970 16830 ) ( * 51510 )
-      NEW met1 ( 330970 51510 ) ( 434930 * )
-      NEW met2 ( 434930 51510 ) ( * 299030 )
+      NEW met1 ( 330970 106930 ) ( 441830 * )
+      NEW met2 ( 441830 298860 ) ( 445900 * )
+      NEW met2 ( 445900 298860 ) ( * 300220 0 )
+      NEW met2 ( 330970 16830 ) ( * 106930 )
+      NEW met2 ( 441830 106930 ) ( * 298860 )
       NEW met1 ( 327750 16830 ) M1M2_PR
       NEW met1 ( 330970 16830 ) M1M2_PR
-      NEW met1 ( 434930 299030 ) M1M2_PR
-      NEW met1 ( 441200 299030 ) M1M2_PR
-      NEW met1 ( 330970 51510 ) M1M2_PR
-      NEW met1 ( 434930 51510 ) M1M2_PR ;
+      NEW met1 ( 330970 106930 ) M1M2_PR
+      NEW met1 ( 441830 106930 ) M1M2_PR ;
     - wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( mprj wbs_adr_i[16] ) + USE SIGNAL
-      + ROUTED met2 ( 441830 298860 ) ( 445700 * )
-      NEW met2 ( 445700 298860 ) ( * 300220 0 )
-      NEW met2 ( 345690 2380 0 ) ( * 44710 )
-      NEW met1 ( 345690 44710 ) ( 441830 * )
-      NEW met2 ( 441830 44710 ) ( * 298860 )
-      NEW met1 ( 345690 44710 ) M1M2_PR
-      NEW met1 ( 441830 44710 ) M1M2_PR ;
+      + ROUTED met2 ( 448730 298860 ) ( 450600 * )
+      NEW met2 ( 450600 298860 ) ( * 300220 0 )
+      NEW met2 ( 345690 2380 0 ) ( * 38590 )
+      NEW met2 ( 448730 38590 ) ( * 298860 )
+      NEW met1 ( 345690 38590 ) ( 448730 * )
+      NEW met1 ( 345690 38590 ) M1M2_PR
+      NEW met1 ( 448730 38590 ) M1M2_PR ;
     - wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( mprj wbs_adr_i[17] ) + USE SIGNAL
-      + ROUTED met2 ( 363170 2380 0 ) ( * 26350 )
-      NEW met1 ( 363170 26350 ) ( 431250 * )
-      NEW met1 ( 431250 284070 ) ( 450110 * )
-      NEW met2 ( 450110 284070 ) ( * 298860 )
-      NEW met2 ( 450110 298860 ) ( 450300 * )
-      NEW met2 ( 450300 298860 ) ( * 300220 0 )
-      NEW met2 ( 431250 26350 ) ( * 284070 )
-      NEW met1 ( 363170 26350 ) M1M2_PR
-      NEW met1 ( 431250 26350 ) M1M2_PR
-      NEW met1 ( 431250 284070 ) M1M2_PR
-      NEW met1 ( 450110 284070 ) M1M2_PR ;
+      + ROUTED met2 ( 363170 2380 0 ) ( * 32470 )
+      NEW met1 ( 445050 287470 ) ( 455630 * )
+      NEW met2 ( 455630 287470 ) ( * 298860 )
+      NEW met2 ( 455400 298860 ) ( 455630 * )
+      NEW met2 ( 455400 298860 ) ( * 300220 0 )
+      NEW met1 ( 363170 32470 ) ( 445050 * )
+      NEW met2 ( 445050 32470 ) ( * 287470 )
+      NEW met1 ( 363170 32470 ) M1M2_PR
+      NEW met1 ( 445050 287470 ) M1M2_PR
+      NEW met1 ( 455630 287470 ) M1M2_PR
+      NEW met1 ( 445050 32470 ) M1M2_PR ;
     - wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( mprj wbs_adr_i[18] ) + USE SIGNAL
-      + ROUTED met2 ( 381110 2380 0 ) ( * 26690 )
-      NEW met1 ( 381110 26690 ) ( 449190 * )
-      NEW met1 ( 449190 299030 ) ( 454800 * )
-      NEW met2 ( 454800 299030 ) ( * 300220 0 )
-      NEW met2 ( 449190 26690 ) ( * 299030 )
-      NEW met1 ( 381110 26690 ) M1M2_PR
-      NEW met1 ( 449190 26690 ) M1M2_PR
-      NEW met1 ( 449190 299030 ) M1M2_PR
-      NEW met1 ( 454800 299030 ) M1M2_PR ;
+      + ROUTED met2 ( 381110 2380 0 ) ( * 27030 )
+      NEW met1 ( 438150 288490 ) ( 460230 * )
+      NEW met2 ( 460230 288490 ) ( * 298860 )
+      NEW met2 ( 460100 298860 ) ( 460230 * )
+      NEW met2 ( 460100 298860 ) ( * 300220 0 )
+      NEW met1 ( 381110 27030 ) ( 438150 * )
+      NEW met2 ( 438150 27030 ) ( * 288490 )
+      NEW met1 ( 381110 27030 ) M1M2_PR
+      NEW met1 ( 438150 288490 ) M1M2_PR
+      NEW met1 ( 460230 288490 ) M1M2_PR
+      NEW met1 ( 438150 27030 ) M1M2_PR ;
     - wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( mprj wbs_adr_i[19] ) + USE SIGNAL
-      + ROUTED met2 ( 398590 2380 0 ) ( * 26010 )
-      NEW met1 ( 398590 26010 ) ( 445050 * )
-      NEW met1 ( 445050 289170 ) ( 459310 * )
-      NEW met2 ( 459310 289170 ) ( * 298860 )
-      NEW met2 ( 459300 298860 ) ( 459310 * )
-      NEW met2 ( 459300 298860 ) ( * 300220 0 )
-      NEW met2 ( 445050 26010 ) ( * 289170 )
-      NEW met1 ( 398590 26010 ) M1M2_PR
-      NEW met1 ( 445050 26010 ) M1M2_PR
-      NEW met1 ( 445050 289170 ) M1M2_PR
-      NEW met1 ( 459310 289170 ) M1M2_PR ;
+      + ROUTED met2 ( 398590 2380 0 ) ( * 26690 )
+      NEW met1 ( 451950 284070 ) ( 464830 * )
+      NEW met2 ( 464830 284070 ) ( * 298860 )
+      NEW met2 ( 464830 298860 ) ( 464900 * )
+      NEW met2 ( 464900 298860 ) ( * 300220 0 )
+      NEW met2 ( 451490 26690 ) ( * 34500 )
+      NEW met2 ( 451490 34500 ) ( 451950 * )
+      NEW met2 ( 451950 34500 ) ( * 284070 )
+      NEW met1 ( 398590 26690 ) ( 451490 * )
+      NEW met1 ( 398590 26690 ) M1M2_PR
+      NEW met1 ( 451490 26690 ) M1M2_PR
+      NEW met1 ( 451950 284070 ) M1M2_PR
+      NEW met1 ( 464830 284070 ) M1M2_PR ;
     - wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( mprj wbs_adr_i[1] ) + USE SIGNAL
-      + ROUTED met2 ( 377890 287470 ) ( * 298860 )
-      NEW met2 ( 377890 298860 ) ( 378000 * )
-      NEW met2 ( 378000 298860 ) ( * 300220 0 )
-      NEW met2 ( 61870 2380 0 ) ( * 15470 )
-      NEW met1 ( 61870 15470 ) ( 72450 * )
-      NEW met1 ( 72450 287470 ) ( 377890 * )
-      NEW met2 ( 72450 15470 ) ( * 287470 )
-      NEW met1 ( 377890 287470 ) M1M2_PR
-      NEW met1 ( 61870 15470 ) M1M2_PR
-      NEW met1 ( 72450 15470 ) M1M2_PR
-      NEW met1 ( 72450 287470 ) M1M2_PR ;
+      + ROUTED met2 ( 378810 281010 ) ( * 298860 )
+      NEW met2 ( 378810 298860 ) ( 379000 * )
+      NEW met2 ( 379000 298860 ) ( * 300220 0 )
+      NEW met2 ( 93150 20570 ) ( * 281010 )
+      NEW met2 ( 61870 2380 0 ) ( * 20570 )
+      NEW met1 ( 61870 20570 ) ( 93150 * )
+      NEW met1 ( 93150 281010 ) ( 378810 * )
+      NEW met1 ( 93150 20570 ) M1M2_PR
+      NEW met1 ( 93150 281010 ) M1M2_PR
+      NEW met1 ( 378810 281010 ) M1M2_PR
+      NEW met1 ( 61870 20570 ) M1M2_PR ;
     - wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( mprj wbs_adr_i[20] ) + USE SIGNAL
-      + ROUTED met2 ( 416530 2380 0 ) ( * 27030 )
-      NEW met1 ( 416530 27030 ) ( 438150 * )
-      NEW met1 ( 438150 289510 ) ( 462530 * )
-      NEW met2 ( 462530 289510 ) ( * 299540 )
-      NEW met2 ( 462530 299540 ) ( 463800 * )
-      NEW met2 ( 463800 299540 ) ( * 300220 0 )
-      NEW met2 ( 438150 27030 ) ( * 289510 )
-      NEW met1 ( 416530 27030 ) M1M2_PR
-      NEW met1 ( 438150 27030 ) M1M2_PR
-      NEW met1 ( 438150 289510 ) M1M2_PR
-      NEW met1 ( 462530 289510 ) M1M2_PR ;
+      + ROUTED met2 ( 469700 298860 ) ( 469890 * )
+      NEW met2 ( 469700 298860 ) ( * 300220 0 )
+      NEW met2 ( 469890 120530 ) ( * 298860 )
+      NEW met1 ( 420670 120530 ) ( 469890 * )
+      NEW met1 ( 416530 16830 ) ( 420670 * )
+      NEW met2 ( 416530 2380 0 ) ( * 16830 )
+      NEW met2 ( 420670 16830 ) ( * 120530 )
+      NEW met1 ( 420670 120530 ) M1M2_PR
+      NEW met1 ( 469890 120530 ) M1M2_PR
+      NEW met1 ( 416530 16830 ) M1M2_PR
+      NEW met1 ( 420670 16830 ) M1M2_PR ;
     - wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( mprj wbs_adr_i[21] ) + USE SIGNAL
-      + ROUTED met2 ( 434470 2380 0 ) ( * 20910 )
-      NEW met1 ( 434470 20910 ) ( 462990 * )
-      NEW met2 ( 462990 298860 ) ( 468300 * )
-      NEW met2 ( 468300 298860 ) ( * 300220 0 )
-      NEW met2 ( 462990 20910 ) ( * 298860 )
-      NEW met1 ( 434470 20910 ) M1M2_PR
-      NEW met1 ( 462990 20910 ) M1M2_PR ;
+      + ROUTED met1 ( 469430 282370 ) ( 474490 * )
+      NEW met2 ( 474490 282370 ) ( * 298860 )
+      NEW met2 ( 474490 298860 ) ( 474500 * )
+      NEW met2 ( 474500 298860 ) ( * 300220 0 )
+      NEW met2 ( 469430 26010 ) ( * 282370 )
+      NEW met2 ( 434470 2380 0 ) ( * 26010 )
+      NEW met1 ( 434470 26010 ) ( 469430 * )
+      NEW met1 ( 469430 26010 ) M1M2_PR
+      NEW met1 ( 469430 282370 ) M1M2_PR
+      NEW met1 ( 474490 282370 ) M1M2_PR
+      NEW met1 ( 434470 26010 ) M1M2_PR ;
     - wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( mprj wbs_adr_i[22] ) + USE SIGNAL
-      + ROUTED met2 ( 469430 298860 ) ( 472800 * )
-      NEW met2 ( 472800 298860 ) ( * 300220 0 )
-      NEW met2 ( 469430 22610 ) ( * 298860 )
-      NEW met2 ( 451950 2380 0 ) ( * 22610 )
-      NEW met1 ( 451950 22610 ) ( 469430 * )
-      NEW met1 ( 469430 22610 ) M1M2_PR
-      NEW met1 ( 451950 22610 ) M1M2_PR ;
+      + ROUTED met2 ( 479090 283050 ) ( * 298860 )
+      NEW met2 ( 479090 298860 ) ( 479200 * )
+      NEW met2 ( 479200 298860 ) ( * 300220 0 )
+      NEW met2 ( 451950 2380 0 ) ( * 27710 )
+      NEW met1 ( 451950 27710 ) ( 465750 * )
+      NEW met1 ( 465750 283050 ) ( 479090 * )
+      NEW met2 ( 465750 27710 ) ( * 283050 )
+      NEW met1 ( 479090 283050 ) M1M2_PR
+      NEW met1 ( 451950 27710 ) M1M2_PR
+      NEW met1 ( 465750 27710 ) M1M2_PR
+      NEW met1 ( 465750 283050 ) M1M2_PR ;
     - wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( mprj wbs_adr_i[23] ) + USE SIGNAL
-      + ROUTED met2 ( 469890 2380 0 ) ( * 16490 )
-      NEW met1 ( 469890 16490 ) ( 475870 * )
-      NEW met2 ( 475870 287980 ) ( 476330 * )
-      NEW met2 ( 476330 287980 ) ( * 298860 )
-      NEW met2 ( 476330 298860 ) ( 477300 * )
-      NEW met2 ( 477300 298860 ) ( * 300220 0 )
-      NEW met2 ( 475870 16490 ) ( * 287980 )
-      NEW met1 ( 469890 16490 ) M1M2_PR
-      NEW met1 ( 475870 16490 ) M1M2_PR ;
+      + ROUTED met2 ( 469890 2380 0 ) ( * 27710 )
+      NEW met1 ( 469890 27710 ) ( 483690 * )
+      NEW met2 ( 483690 298860 ) ( 484000 * )
+      NEW met2 ( 484000 298860 ) ( * 300220 0 )
+      NEW met2 ( 483690 27710 ) ( * 298860 )
+      NEW met1 ( 469890 27710 ) M1M2_PR
+      NEW met1 ( 483690 27710 ) M1M2_PR ;
     - wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( mprj wbs_adr_i[24] ) + USE SIGNAL
-      + ROUTED met2 ( 482770 287980 ) ( 483230 * )
-      NEW met2 ( 482770 287980 ) ( * 298860 )
-      NEW met2 ( 481900 298860 ) ( 482770 * )
-      NEW met2 ( 481900 298860 ) ( * 300220 0 )
-      NEW met2 ( 483230 82800 ) ( 487370 * )
-      NEW met2 ( 487370 2380 0 ) ( * 82800 )
-      NEW met2 ( 483230 82800 ) ( * 287980 ) ;
+      + ROUTED met2 ( 487370 2380 0 ) ( * 15810 )
+      NEW met1 ( 483230 15810 ) ( 487370 * )
+      NEW met1 ( 483230 299030 ) ( 488800 * )
+      NEW met2 ( 488800 299030 ) ( * 300220 0 )
+      NEW met2 ( 483230 15810 ) ( * 299030 )
+      NEW met1 ( 487370 15810 ) M1M2_PR
+      NEW met1 ( 483230 15810 ) M1M2_PR
+      NEW met1 ( 483230 299030 ) M1M2_PR
+      NEW met1 ( 488800 299030 ) M1M2_PR ;
     - wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( mprj wbs_adr_i[25] ) + USE SIGNAL
       + ROUTED met2 ( 505310 2380 0 ) ( * 20910 )
-      NEW met1 ( 489670 20910 ) ( 505310 * )
-      NEW met1 ( 486450 289170 ) ( 489670 * )
-      NEW met2 ( 486450 289170 ) ( * 298860 )
-      NEW met2 ( 486400 298860 ) ( 486450 * )
-      NEW met2 ( 486400 298860 ) ( * 300220 0 )
-      NEW met2 ( 489670 20910 ) ( * 289170 )
+      NEW met1 ( 496570 20910 ) ( 505310 * )
+      NEW met1 ( 493810 288830 ) ( 496570 * )
+      NEW met2 ( 493810 288830 ) ( * 298860 )
+      NEW met2 ( 493600 298860 ) ( 493810 * )
+      NEW met2 ( 493600 298860 ) ( * 300220 0 )
+      NEW met2 ( 496570 20910 ) ( * 288830 )
       NEW met1 ( 505310 20910 ) M1M2_PR
-      NEW met1 ( 489670 20910 ) M1M2_PR
-      NEW met1 ( 489670 289170 ) M1M2_PR
-      NEW met1 ( 486450 289170 ) M1M2_PR ;
+      NEW met1 ( 496570 20910 ) M1M2_PR
+      NEW met1 ( 496570 288830 ) M1M2_PR
+      NEW met1 ( 493810 288830 ) M1M2_PR ;
     - wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( mprj wbs_adr_i[26] ) + USE SIGNAL
-      + ROUTED met1 ( 491050 289170 ) ( 496110 * )
-      NEW met2 ( 491050 289170 ) ( * 298860 )
-      NEW met2 ( 490900 298860 ) ( 491050 * )
-      NEW met2 ( 490900 298860 ) ( * 300220 0 )
-      NEW met2 ( 496110 22950 ) ( * 289170 )
-      NEW met2 ( 522790 2380 0 ) ( * 22950 )
-      NEW met1 ( 496110 22950 ) ( 522790 * )
-      NEW met1 ( 496110 22950 ) M1M2_PR
-      NEW met1 ( 496110 289170 ) M1M2_PR
-      NEW met1 ( 491050 289170 ) M1M2_PR
-      NEW met1 ( 522790 22950 ) M1M2_PR ;
+      + ROUTED met1 ( 498410 288830 ) ( 502550 * )
+      NEW met2 ( 498410 288830 ) ( * 298860 )
+      NEW met2 ( 498400 298860 ) ( 498410 * )
+      NEW met2 ( 498400 298860 ) ( * 300220 0 )
+      NEW met2 ( 502550 22610 ) ( * 288830 )
+      NEW met2 ( 522790 2380 0 ) ( * 22610 )
+      NEW met1 ( 502550 22610 ) ( 522790 * )
+      NEW met1 ( 502550 22610 ) M1M2_PR
+      NEW met1 ( 502550 288830 ) M1M2_PR
+      NEW met1 ( 498410 288830 ) M1M2_PR
+      NEW met1 ( 522790 22610 ) M1M2_PR ;
     - wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( mprj wbs_adr_i[27] ) + USE SIGNAL
-      + ROUTED met2 ( 495400 298860 ) ( 496570 * )
-      NEW met2 ( 495400 298860 ) ( * 300220 0 )
-      NEW met2 ( 496570 23630 ) ( * 298860 )
+      + ROUTED met2 ( 503010 298860 ) ( 503200 * )
+      NEW met2 ( 503200 298860 ) ( * 300220 0 )
+      NEW met2 ( 503010 23630 ) ( * 298860 )
       NEW met2 ( 540730 2380 0 ) ( * 23630 )
-      NEW met1 ( 496570 23630 ) ( 540730 * )
-      NEW met1 ( 496570 23630 ) M1M2_PR
+      NEW met1 ( 503010 23630 ) ( 540730 * )
+      NEW met1 ( 503010 23630 ) M1M2_PR
       NEW met1 ( 540730 23630 ) M1M2_PR ;
     - wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( mprj wbs_adr_i[28] ) + USE SIGNAL
-      + ROUTED met1 ( 499790 289170 ) ( 503010 * )
-      NEW met2 ( 499790 289170 ) ( * 298860 )
-      NEW met2 ( 499790 298860 ) ( 499900 * )
-      NEW met2 ( 499900 298860 ) ( * 300220 0 )
-      NEW met2 ( 503010 27370 ) ( * 289170 )
+      + ROUTED met1 ( 508070 288830 ) ( 510370 * )
+      NEW met2 ( 508070 288830 ) ( * 298860 )
+      NEW met2 ( 507800 298860 ) ( 508070 * )
+      NEW met2 ( 507800 298860 ) ( * 300220 0 )
+      NEW met2 ( 510370 27370 ) ( * 288830 )
       NEW met2 ( 558210 2380 0 ) ( * 27370 )
-      NEW met1 ( 503010 27370 ) ( 558210 * )
-      NEW met1 ( 503010 27370 ) M1M2_PR
-      NEW met1 ( 503010 289170 ) M1M2_PR
-      NEW met1 ( 499790 289170 ) M1M2_PR
+      NEW met1 ( 510370 27370 ) ( 558210 * )
+      NEW met1 ( 510370 27370 ) M1M2_PR
+      NEW met1 ( 510370 288830 ) M1M2_PR
+      NEW met1 ( 508070 288830 ) M1M2_PR
       NEW met1 ( 558210 27370 ) M1M2_PR ;
     - wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( mprj wbs_adr_i[29] ) + USE SIGNAL
-      + ROUTED met1 ( 504390 289170 ) ( 510370 * )
-      NEW met2 ( 504390 289170 ) ( * 298860 )
-      NEW met2 ( 504390 298860 ) ( 504400 * )
-      NEW met2 ( 504400 298860 ) ( * 300220 0 )
-      NEW met2 ( 576150 2380 0 ) ( * 13940 )
-      NEW met2 ( 575690 13940 ) ( 576150 * )
-      NEW met2 ( 575690 13940 ) ( * 27030 )
-      NEW met2 ( 510370 27030 ) ( * 289170 )
-      NEW met1 ( 510370 27030 ) ( 575690 * )
-      NEW met1 ( 510370 27030 ) M1M2_PR
-      NEW met1 ( 510370 289170 ) M1M2_PR
-      NEW met1 ( 504390 289170 ) M1M2_PR
-      NEW met1 ( 575690 27030 ) M1M2_PR ;
+      + ROUTED met1 ( 512670 288830 ) ( 516810 * )
+      NEW met2 ( 512670 288830 ) ( * 298860 )
+      NEW met2 ( 512600 298860 ) ( 512670 * )
+      NEW met2 ( 512600 298860 ) ( * 300220 0 )
+      NEW met2 ( 576150 2380 0 ) ( * 27030 )
+      NEW met2 ( 516810 27030 ) ( * 288830 )
+      NEW met1 ( 516810 27030 ) ( 576150 * )
+      NEW met1 ( 516810 27030 ) M1M2_PR
+      NEW met1 ( 516810 288830 ) M1M2_PR
+      NEW met1 ( 512670 288830 ) M1M2_PR
+      NEW met1 ( 576150 27030 ) M1M2_PR ;
     - wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( mprj wbs_adr_i[2] ) + USE SIGNAL
-      + ROUTED met2 ( 85330 2380 0 ) ( * 17510 )
-      NEW met1 ( 85330 17510 ) ( 89470 * )
-      NEW met2 ( 379730 298860 ) ( 382500 * )
-      NEW met2 ( 382500 298860 ) ( * 300220 0 )
-      NEW met2 ( 89470 17510 ) ( * 65450 )
-      NEW met2 ( 379730 65450 ) ( * 298860 )
-      NEW met1 ( 89470 65450 ) ( 379730 * )
-      NEW met1 ( 85330 17510 ) M1M2_PR
-      NEW met1 ( 89470 17510 ) M1M2_PR
-      NEW met1 ( 89470 65450 ) M1M2_PR
-      NEW met1 ( 379730 65450 ) M1M2_PR ;
+      + ROUTED met2 ( 85330 2380 0 ) ( * 14790 )
+      NEW met1 ( 85330 14790 ) ( 113850 * )
+      NEW met2 ( 379730 298860 ) ( 383800 * )
+      NEW met2 ( 383800 298860 ) ( * 300220 0 )
+      NEW met2 ( 113850 14790 ) ( * 51510 )
+      NEW met2 ( 379730 51510 ) ( * 298860 )
+      NEW met1 ( 113850 51510 ) ( 379730 * )
+      NEW met1 ( 85330 14790 ) M1M2_PR
+      NEW met1 ( 113850 14790 ) M1M2_PR
+      NEW met1 ( 113850 51510 ) M1M2_PR
+      NEW met1 ( 379730 51510 ) M1M2_PR ;
     - wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( mprj wbs_adr_i[30] ) + USE SIGNAL
-      + ROUTED met2 ( 508900 298860 ) ( 509910 * )
-      NEW met2 ( 508900 298860 ) ( * 300220 0 )
-      NEW met2 ( 594090 2380 0 ) ( * 26350 )
-      NEW met2 ( 509910 26350 ) ( * 298860 )
-      NEW met1 ( 509910 26350 ) ( 594090 * )
-      NEW met1 ( 509910 26350 ) M1M2_PR
-      NEW met1 ( 594090 26350 ) M1M2_PR ;
+      + ROUTED met2 ( 517270 298860 ) ( 517400 * )
+      NEW met2 ( 517400 298860 ) ( * 300220 0 )
+      NEW met2 ( 594090 2380 0 ) ( * 26690 )
+      NEW met2 ( 517270 26690 ) ( * 298860 )
+      NEW met1 ( 517270 26690 ) ( 594090 * )
+      NEW met1 ( 517270 26690 ) M1M2_PR
+      NEW met1 ( 594090 26690 ) M1M2_PR ;
     - wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( mprj wbs_adr_i[31] ) + USE SIGNAL
-      + ROUTED met2 ( 513590 283050 ) ( * 298860 )
-      NEW met2 ( 513500 298860 ) ( 513590 * )
-      NEW met2 ( 513500 298860 ) ( * 300220 0 )
-      NEW met2 ( 611570 2380 0 ) ( * 26690 )
-      NEW met1 ( 527850 26690 ) ( 611570 * )
-      NEW met1 ( 513590 283050 ) ( 527850 * )
-      NEW met2 ( 527850 26690 ) ( * 283050 )
-      NEW met1 ( 513590 283050 ) M1M2_PR
-      NEW met1 ( 611570 26690 ) M1M2_PR
-      NEW met1 ( 527850 26690 ) M1M2_PR
-      NEW met1 ( 527850 283050 ) M1M2_PR ;
+      + ROUTED met2 ( 611570 2380 0 ) ( * 26350 )
+      NEW met1 ( 524170 26350 ) ( 611570 * )
+      NEW met2 ( 522200 298860 ) ( 524170 * )
+      NEW met2 ( 522200 298860 ) ( * 300220 0 )
+      NEW met2 ( 524170 26350 ) ( * 298860 )
+      NEW met1 ( 611570 26350 ) M1M2_PR
+      NEW met1 ( 524170 26350 ) M1M2_PR ;
     - wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( mprj wbs_adr_i[3] ) + USE SIGNAL
-      + ROUTED met2 ( 386170 280670 ) ( * 283220 )
-      NEW met2 ( 386170 283220 ) ( 386630 * )
-      NEW met2 ( 386630 283220 ) ( * 298860 )
-      NEW met2 ( 386630 298860 ) ( 387000 * )
-      NEW met2 ( 387000 298860 ) ( * 300220 0 )
-      NEW met2 ( 109250 2380 0 ) ( * 34500 )
-      NEW met2 ( 109250 34500 ) ( 110170 * )
-      NEW met2 ( 110170 34500 ) ( * 280670 )
-      NEW met1 ( 110170 280670 ) ( 386170 * )
-      NEW met1 ( 110170 280670 ) M1M2_PR
-      NEW met1 ( 386170 280670 ) M1M2_PR ;
+      + ROUTED met2 ( 109250 2380 0 ) ( * 17850 )
+      NEW met1 ( 109250 17850 ) ( 127650 * )
+      NEW met2 ( 388470 287470 ) ( * 298860 )
+      NEW met2 ( 388470 298860 ) ( 388600 * )
+      NEW met2 ( 388600 298860 ) ( * 300220 0 )
+      NEW met2 ( 127650 17850 ) ( * 287470 )
+      NEW met1 ( 127650 287470 ) ( 388470 * )
+      NEW met1 ( 109250 17850 ) M1M2_PR
+      NEW met1 ( 127650 17850 ) M1M2_PR
+      NEW met1 ( 127650 287470 ) M1M2_PR
+      NEW met1 ( 388470 287470 ) M1M2_PR ;
     - wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( mprj wbs_adr_i[4] ) + USE SIGNAL
-      + ROUTED met2 ( 391690 289170 ) ( * 298860 )
-      NEW met2 ( 391600 298860 ) ( 391690 * )
-      NEW met2 ( 391600 298860 ) ( * 300220 0 )
-      NEW met2 ( 286350 25330 ) ( * 289170 )
-      NEW met2 ( 132710 2380 0 ) ( * 25330 )
-      NEW met1 ( 132710 25330 ) ( 286350 * )
-      NEW met1 ( 286350 289170 ) ( 391690 * )
-      NEW met1 ( 286350 25330 ) M1M2_PR
-      NEW met1 ( 286350 289170 ) M1M2_PR
-      NEW met1 ( 391690 289170 ) M1M2_PR
-      NEW met1 ( 132710 25330 ) M1M2_PR ;
+      + ROUTED met2 ( 393530 285430 ) ( * 298860 )
+      NEW met2 ( 393300 298860 ) ( 393530 * )
+      NEW met2 ( 393300 298860 ) ( * 300220 0 )
+      NEW met2 ( 132710 2380 0 ) ( * 24990 )
+      NEW met1 ( 132710 24990 ) ( 341550 * )
+      NEW met1 ( 341550 285430 ) ( 393530 * )
+      NEW met2 ( 341550 24990 ) ( * 285430 )
+      NEW met1 ( 393530 285430 ) M1M2_PR
+      NEW met1 ( 132710 24990 ) M1M2_PR
+      NEW met1 ( 341550 24990 ) M1M2_PR
+      NEW met1 ( 341550 285430 ) M1M2_PR ;
     - wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( mprj wbs_adr_i[5] ) + USE SIGNAL
-      + ROUTED met2 ( 393530 298860 ) ( 396100 * )
-      NEW met2 ( 396100 298860 ) ( * 300220 0 )
-      NEW met2 ( 393530 86190 ) ( * 298860 )
-      NEW met2 ( 150650 2380 0 ) ( * 15470 )
-      NEW met1 ( 150650 15470 ) ( 162150 * )
-      NEW met1 ( 162150 86190 ) ( 393530 * )
-      NEW met2 ( 162150 15470 ) ( * 86190 )
-      NEW met1 ( 393530 86190 ) M1M2_PR
-      NEW met1 ( 150650 15470 ) M1M2_PR
-      NEW met1 ( 162150 15470 ) M1M2_PR
-      NEW met1 ( 162150 86190 ) M1M2_PR ;
+      + ROUTED met2 ( 393990 298860 ) ( 398100 * )
+      NEW met2 ( 398100 298860 ) ( * 300220 0 )
+      NEW met2 ( 393990 65450 ) ( * 298860 )
+      NEW met2 ( 150650 2380 0 ) ( * 65450 )
+      NEW met1 ( 150650 65450 ) ( 393990 * )
+      NEW met1 ( 393990 65450 ) M1M2_PR
+      NEW met1 ( 150650 65450 ) M1M2_PR ;
     - wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( mprj wbs_adr_i[6] ) + USE SIGNAL
-      + ROUTED met2 ( 399970 281010 ) ( * 283220 )
-      NEW met2 ( 399970 283220 ) ( 400430 * )
-      NEW met2 ( 400430 283220 ) ( * 298860 )
-      NEW met2 ( 400430 298860 ) ( 400600 * )
-      NEW met2 ( 400600 298860 ) ( * 300220 0 )
-      NEW met2 ( 168130 2380 0 ) ( * 17510 )
-      NEW met1 ( 168130 17510 ) ( 172270 * )
-      NEW met1 ( 172270 281010 ) ( 399970 * )
-      NEW met2 ( 172270 17510 ) ( * 281010 )
-      NEW met1 ( 399970 281010 ) M1M2_PR
-      NEW met1 ( 168130 17510 ) M1M2_PR
-      NEW met1 ( 172270 17510 ) M1M2_PR
-      NEW met1 ( 172270 281010 ) M1M2_PR ;
+      + ROUTED met2 ( 402730 281350 ) ( * 298860 )
+      NEW met2 ( 402730 298860 ) ( 402900 * )
+      NEW met2 ( 402900 298860 ) ( * 300220 0 )
+      NEW met2 ( 168130 2380 0 ) ( * 17850 )
+      NEW met1 ( 168130 17850 ) ( 172270 * )
+      NEW met1 ( 172270 281350 ) ( 402730 * )
+      NEW met2 ( 172270 17850 ) ( * 281350 )
+      NEW met1 ( 402730 281350 ) M1M2_PR
+      NEW met1 ( 168130 17850 ) M1M2_PR
+      NEW met1 ( 172270 17850 ) M1M2_PR
+      NEW met1 ( 172270 281350 ) M1M2_PR ;
     - wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( mprj wbs_adr_i[7] ) + USE SIGNAL
-      + ROUTED met2 ( 405030 281350 ) ( * 298860 )
-      NEW met2 ( 405030 298860 ) ( 405100 * )
-      NEW met2 ( 405100 298860 ) ( * 300220 0 )
-      NEW met2 ( 186070 2380 0 ) ( * 281350 )
-      NEW met1 ( 186070 281350 ) ( 405030 * )
-      NEW met1 ( 186070 281350 ) M1M2_PR
-      NEW met1 ( 405030 281350 ) M1M2_PR ;
+      + ROUTED met2 ( 407790 289510 ) ( * 298860 )
+      NEW met2 ( 407700 298860 ) ( 407790 * )
+      NEW met2 ( 407700 298860 ) ( * 300220 0 )
+      NEW met2 ( 186070 2380 0 ) ( * 106930 )
+      NEW met1 ( 186070 106930 ) ( 327750 * )
+      NEW met1 ( 327750 289510 ) ( 407790 * )
+      NEW met2 ( 327750 106930 ) ( * 289510 )
+      NEW met1 ( 186070 106930 ) M1M2_PR
+      NEW met1 ( 407790 289510 ) M1M2_PR
+      NEW met1 ( 327750 106930 ) M1M2_PR
+      NEW met1 ( 327750 289510 ) M1M2_PR ;
     - wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( mprj wbs_adr_i[8] ) + USE SIGNAL
       + ROUTED met2 ( 203550 2380 0 ) ( * 16830 )
       NEW met1 ( 203550 16830 ) ( 206770 * )
-      NEW met2 ( 409630 285090 ) ( * 298860 )
-      NEW met2 ( 409600 298860 ) ( 409630 * )
-      NEW met2 ( 409600 298860 ) ( * 300220 0 )
+      NEW met1 ( 393300 281690 ) ( * 283050 )
+      NEW met1 ( 393300 283050 ) ( 412390 * )
+      NEW met2 ( 412390 283050 ) ( * 298860 )
+      NEW met2 ( 412390 298860 ) ( 412400 * )
+      NEW met2 ( 412400 298860 ) ( * 300220 0 )
       NEW met2 ( 206770 16830 ) ( * 281690 )
-      NEW met2 ( 359030 281690 ) ( * 285090 )
-      NEW met1 ( 206770 281690 ) ( 359030 * )
-      NEW met1 ( 359030 285090 ) ( 409630 * )
+      NEW met1 ( 206770 281690 ) ( 393300 * )
       NEW met1 ( 203550 16830 ) M1M2_PR
       NEW met1 ( 206770 16830 ) M1M2_PR
       NEW met1 ( 206770 281690 ) M1M2_PR
-      NEW met1 ( 409630 285090 ) M1M2_PR
-      NEW met1 ( 359030 281690 ) M1M2_PR
-      NEW met1 ( 359030 285090 ) M1M2_PR ;
+      NEW met1 ( 412390 283050 ) M1M2_PR ;
     - wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( mprj wbs_adr_i[9] ) + USE SIGNAL
-      + ROUTED met2 ( 221490 2380 0 ) ( * 25670 )
-      NEW met2 ( 414100 298860 ) ( 414230 * )
-      NEW met2 ( 414100 298860 ) ( * 300220 0 )
-      NEW met2 ( 414230 25670 ) ( * 298860 )
-      NEW met1 ( 221490 25670 ) ( 414230 * )
-      NEW met1 ( 221490 25670 ) M1M2_PR
-      NEW met1 ( 414230 25670 ) M1M2_PR ;
+      + ROUTED met2 ( 221490 2380 0 ) ( * 25330 )
+      NEW met2 ( 416990 288490 ) ( * 298860 )
+      NEW met2 ( 416990 298860 ) ( 417200 * )
+      NEW met2 ( 417200 298860 ) ( * 300220 0 )
+      NEW met2 ( 286350 25330 ) ( * 288490 )
+      NEW met1 ( 221490 25330 ) ( 286350 * )
+      NEW met1 ( 286350 288490 ) ( 416990 * )
+      NEW met1 ( 221490 25330 ) M1M2_PR
+      NEW met1 ( 286350 25330 ) M1M2_PR
+      NEW met1 ( 286350 288490 ) M1M2_PR
+      NEW met1 ( 416990 288490 ) M1M2_PR ;
     - wbs_cyc_i ( PIN wbs_cyc_i ) ( mprj wbs_cyc_i ) + USE SIGNAL
-      + ROUTED met2 ( 20470 2380 0 ) ( * 17510 )
-      NEW met1 ( 89930 17170 ) ( * 17510 )
-      NEW met1 ( 89930 17510 ) ( 127650 * )
-      NEW met2 ( 127650 17510 ) ( * 279990 )
-      NEW met1 ( 82800 17170 ) ( 89930 * )
-      NEW met1 ( 82800 17170 ) ( * 17510 )
-      NEW met1 ( 20470 17510 ) ( 82800 * )
-      NEW met2 ( 517730 279990 ) ( * 298860 )
-      NEW met2 ( 517730 298860 ) ( 518000 * )
-      NEW met2 ( 518000 298860 ) ( * 300220 0 )
-      NEW met1 ( 127650 279990 ) ( 517730 * )
-      NEW met1 ( 20470 17510 ) M1M2_PR
-      NEW met1 ( 127650 17510 ) M1M2_PR
-      NEW met1 ( 127650 279990 ) M1M2_PR
-      NEW met1 ( 517730 279990 ) M1M2_PR ;
+      + ROUTED met2 ( 20470 2380 0 ) ( * 16490 )
+      NEW met1 ( 20470 16490 ) ( 24150 * )
+      NEW met2 ( 24150 16490 ) ( * 113730 )
+      NEW met1 ( 24150 113730 ) ( 524630 * )
+      NEW met2 ( 524630 298860 ) ( 527000 * )
+      NEW met2 ( 527000 298860 ) ( * 300220 0 )
+      NEW met2 ( 524630 113730 ) ( * 298860 )
+      NEW met1 ( 20470 16490 ) M1M2_PR
+      NEW met1 ( 24150 16490 ) M1M2_PR
+      NEW met1 ( 24150 113730 ) M1M2_PR
+      NEW met1 ( 524630 113730 ) M1M2_PR ;
     - wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( mprj wbs_dat_i[0] ) + USE SIGNAL
       + ROUTED met2 ( 43930 2380 0 ) ( * 23970 )
-      NEW met1 ( 43930 23970 ) ( 518650 * )
-      NEW met2 ( 518650 298860 ) ( 522500 * )
-      NEW met2 ( 522500 298860 ) ( * 300220 0 )
-      NEW met2 ( 518650 23970 ) ( * 298860 )
+      NEW met2 ( 531530 298860 ) ( 531800 * )
+      NEW met2 ( 531800 298860 ) ( * 300220 0 )
+      NEW met2 ( 531530 23970 ) ( * 298860 )
+      NEW met1 ( 43930 23970 ) ( 531530 * )
       NEW met1 ( 43930 23970 ) M1M2_PR
-      NEW met1 ( 518650 23970 ) M1M2_PR ;
+      NEW met1 ( 531530 23970 ) M1M2_PR ;
     - wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( mprj wbs_dat_i[10] ) + USE SIGNAL
-      + ROUTED met2 ( 567410 288150 ) ( * 298860 )
-      NEW met2 ( 567410 298860 ) ( 567600 * )
-      NEW met2 ( 567600 298860 ) ( * 300220 0 )
+      + ROUTED met2 ( 579370 287810 ) ( * 298860 )
+      NEW met2 ( 579370 298860 ) ( 579500 * )
+      NEW met2 ( 579500 298860 ) ( * 300220 0 )
       NEW met2 ( 244950 2380 0 ) ( * 20910 )
-      NEW met1 ( 244950 20910 ) ( 265650 * )
-      NEW met1 ( 265650 288150 ) ( 567410 * )
-      NEW met2 ( 265650 20910 ) ( * 288150 )
-      NEW met1 ( 567410 288150 ) M1M2_PR
+      NEW met1 ( 244950 20910 ) ( 251850 * )
+      NEW met1 ( 251850 287810 ) ( 579370 * )
+      NEW met2 ( 251850 20910 ) ( * 287810 )
+      NEW met1 ( 579370 287810 ) M1M2_PR
       NEW met1 ( 244950 20910 ) M1M2_PR
-      NEW met1 ( 265650 20910 ) M1M2_PR
-      NEW met1 ( 265650 288150 ) M1M2_PR ;
+      NEW met1 ( 251850 20910 ) M1M2_PR
+      NEW met1 ( 251850 287810 ) M1M2_PR ;
     - wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( mprj wbs_dat_i[11] ) + USE SIGNAL
-      + ROUTED met2 ( 572010 288830 ) ( * 298860 )
-      NEW met2 ( 572010 298860 ) ( 572200 * )
-      NEW met2 ( 572200 298860 ) ( * 300220 0 )
-      NEW met1 ( 272550 288830 ) ( 572010 * )
-      NEW met1 ( 262890 41310 ) ( 272550 * )
-      NEW met2 ( 262890 2380 0 ) ( * 41310 )
-      NEW met2 ( 272550 41310 ) ( * 288830 )
-      NEW met1 ( 572010 288830 ) M1M2_PR
-      NEW met1 ( 272550 288830 ) M1M2_PR
-      NEW met1 ( 262890 41310 ) M1M2_PR
-      NEW met1 ( 272550 41310 ) M1M2_PR ;
+      + ROUTED met2 ( 579830 298860 ) ( 584300 * )
+      NEW met2 ( 584300 298860 ) ( * 300220 0 )
+      NEW met2 ( 579830 58650 ) ( * 298860 )
+      NEW met2 ( 262890 2380 0 ) ( * 19550 )
+      NEW met1 ( 262890 19550 ) ( 268870 * )
+      NEW met2 ( 268870 19550 ) ( * 58650 )
+      NEW met1 ( 268870 58650 ) ( 579830 * )
+      NEW met1 ( 579830 58650 ) M1M2_PR
+      NEW met1 ( 262890 19550 ) M1M2_PR
+      NEW met1 ( 268870 19550 ) M1M2_PR
+      NEW met1 ( 268870 58650 ) M1M2_PR ;
     - wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( mprj wbs_dat_i[12] ) + USE SIGNAL
-      + ROUTED met2 ( 280370 2380 0 ) ( * 24990 )
-      NEW met2 ( 573390 298860 ) ( 576700 * )
-      NEW met2 ( 576700 298860 ) ( * 300220 0 )
-      NEW met2 ( 573390 24990 ) ( * 298860 )
-      NEW met1 ( 280370 24990 ) ( 573390 * )
-      NEW met1 ( 280370 24990 ) M1M2_PR
-      NEW met1 ( 573390 24990 ) M1M2_PR ;
+      + ROUTED met2 ( 280370 2380 0 ) ( * 20910 )
+      NEW met1 ( 280370 20910 ) ( 293250 * )
+      NEW met2 ( 589030 288150 ) ( * 298860 )
+      NEW met2 ( 589030 298860 ) ( 589100 * )
+      NEW met2 ( 589100 298860 ) ( * 300220 0 )
+      NEW met2 ( 293250 20910 ) ( * 288150 )
+      NEW met1 ( 293250 288150 ) ( 589030 * )
+      NEW met1 ( 280370 20910 ) M1M2_PR
+      NEW met1 ( 293250 20910 ) M1M2_PR
+      NEW met1 ( 293250 288150 ) M1M2_PR
+      NEW met1 ( 589030 288150 ) M1M2_PR ;
     - wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( mprj wbs_dat_i[13] ) + USE SIGNAL
-      + ROUTED met2 ( 298310 2380 0 ) ( * 26010 )
-      NEW met2 ( 579830 287810 ) ( * 299540 )
-      NEW met2 ( 579830 299540 ) ( 581200 * )
-      NEW met2 ( 581200 299540 ) ( * 300220 0 )
-      NEW met2 ( 396750 26010 ) ( * 287810 )
-      NEW met1 ( 298310 26010 ) ( 396750 * )
-      NEW met1 ( 396750 287810 ) ( 579830 * )
-      NEW met1 ( 298310 26010 ) M1M2_PR
-      NEW met1 ( 396750 26010 ) M1M2_PR
-      NEW met1 ( 396750 287810 ) M1M2_PR
-      NEW met1 ( 579830 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 298310 2380 0 ) ( * 26350 )
+      NEW met2 ( 593630 289510 ) ( * 299540 )
+      NEW met2 ( 593630 299540 ) ( 594000 * )
+      NEW met2 ( 594000 299540 ) ( * 300220 0 )
+      NEW met1 ( 417450 289510 ) ( 593630 * )
+      NEW met1 ( 298310 26350 ) ( 417450 * )
+      NEW met2 ( 417450 26350 ) ( * 289510 )
+      NEW met1 ( 298310 26350 ) M1M2_PR
+      NEW met1 ( 417450 289510 ) M1M2_PR
+      NEW met1 ( 593630 289510 ) M1M2_PR
+      NEW met1 ( 417450 26350 ) M1M2_PR ;
     - wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( mprj wbs_dat_i[14] ) + USE SIGNAL
-      + ROUTED met2 ( 316250 2380 0 ) ( * 31790 )
-      NEW met2 ( 580290 298860 ) ( 585700 * )
-      NEW met2 ( 585700 298860 ) ( * 300220 0 )
-      NEW met2 ( 580290 31790 ) ( * 298860 )
-      NEW met1 ( 316250 31790 ) ( 580290 * )
-      NEW met1 ( 316250 31790 ) M1M2_PR
-      NEW met1 ( 580290 31790 ) M1M2_PR ;
+      + ROUTED met2 ( 316250 2380 0 ) ( * 31450 )
+      NEW met2 ( 594090 298860 ) ( 598700 * )
+      NEW met2 ( 598700 298860 ) ( * 300220 0 )
+      NEW met2 ( 594090 31450 ) ( * 298860 )
+      NEW met1 ( 316250 31450 ) ( 594090 * )
+      NEW met1 ( 316250 31450 ) M1M2_PR
+      NEW met1 ( 594090 31450 ) M1M2_PR ;
     - wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( mprj wbs_dat_i[15] ) + USE SIGNAL
-      + ROUTED met1 ( 583050 288150 ) ( 589950 * )
-      NEW met2 ( 589950 288150 ) ( * 298860 )
-      NEW met2 ( 589950 298860 ) ( 590200 * )
-      NEW met2 ( 590200 298860 ) ( * 300220 0 )
-      NEW met2 ( 583050 25330 ) ( * 288150 )
+      + ROUTED met2 ( 603290 285770 ) ( * 298860 )
+      NEW met2 ( 603290 298860 ) ( 603400 * )
+      NEW met2 ( 603400 298860 ) ( * 300220 0 )
       NEW met2 ( 333730 2380 0 ) ( * 25330 )
-      NEW met1 ( 333730 25330 ) ( 583050 * )
-      NEW met1 ( 583050 25330 ) M1M2_PR
-      NEW met1 ( 583050 288150 ) M1M2_PR
-      NEW met1 ( 589950 288150 ) M1M2_PR
-      NEW met1 ( 333730 25330 ) M1M2_PR ;
+      NEW met1 ( 527850 285770 ) ( 603290 * )
+      NEW met2 ( 527850 25330 ) ( * 285770 )
+      NEW met1 ( 333730 25330 ) ( 527850 * )
+      NEW met1 ( 603290 285770 ) M1M2_PR
+      NEW met1 ( 333730 25330 ) M1M2_PR
+      NEW met1 ( 527850 25330 ) M1M2_PR
+      NEW met1 ( 527850 285770 ) M1M2_PR ;
     - wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( mprj wbs_dat_i[16] ) + USE SIGNAL
-      + ROUTED met2 ( 593630 298860 ) ( 594700 * )
-      NEW met2 ( 594700 298860 ) ( * 300220 0 )
-      NEW met2 ( 593630 38250 ) ( * 298860 )
-      NEW met2 ( 351670 2380 0 ) ( * 38250 )
-      NEW met1 ( 351670 38250 ) ( 593630 * )
-      NEW met1 ( 593630 38250 ) M1M2_PR
-      NEW met1 ( 351670 38250 ) M1M2_PR ;
+      + ROUTED met2 ( 607430 298860 ) ( 608200 * )
+      NEW met2 ( 608200 298860 ) ( * 300220 0 )
+      NEW met2 ( 607430 72250 ) ( * 298860 )
+      NEW met2 ( 351670 2380 0 ) ( * 72250 )
+      NEW met1 ( 351670 72250 ) ( 607430 * )
+      NEW met1 ( 607430 72250 ) M1M2_PR
+      NEW met1 ( 351670 72250 ) M1M2_PR ;
     - wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( mprj wbs_dat_i[17] ) + USE SIGNAL
-      + ROUTED met2 ( 595470 298860 ) ( 599200 * )
-      NEW met2 ( 599200 298860 ) ( * 300220 0 )
-      NEW met2 ( 594550 24310 ) ( * 227700 )
-      NEW met2 ( 594550 227700 ) ( 595470 * )
-      NEW met2 ( 595470 227700 ) ( * 298860 )
+      + ROUTED met2 ( 609270 298860 ) ( 613000 * )
+      NEW met2 ( 613000 298860 ) ( * 300220 0 )
+      NEW met2 ( 608350 24310 ) ( * 227700 )
+      NEW met2 ( 608350 227700 ) ( 609270 * )
+      NEW met2 ( 609270 227700 ) ( * 298860 )
       NEW met2 ( 369150 2380 0 ) ( * 24310 )
-      NEW met1 ( 369150 24310 ) ( 594550 * )
-      NEW met1 ( 594550 24310 ) M1M2_PR
+      NEW met1 ( 369150 24310 ) ( 608350 * )
+      NEW met1 ( 608350 24310 ) M1M2_PR
       NEW met1 ( 369150 24310 ) M1M2_PR ;
     - wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( mprj wbs_dat_i[18] ) + USE SIGNAL
       + ROUTED met2 ( 387090 2380 0 ) ( * 24650 )
-      NEW met1 ( 576150 288490 ) ( 603750 * )
-      NEW met2 ( 603750 288490 ) ( * 298860 )
-      NEW met2 ( 603750 298860 ) ( 603800 * )
-      NEW met2 ( 603800 298860 ) ( * 300220 0 )
-      NEW met2 ( 576150 24650 ) ( * 288490 )
-      NEW met1 ( 387090 24650 ) ( 576150 * )
+      NEW met2 ( 614330 298860 ) ( 617800 * )
+      NEW met2 ( 617800 298860 ) ( * 300220 0 )
+      NEW met2 ( 614330 24650 ) ( * 298860 )
+      NEW met1 ( 387090 24650 ) ( 614330 * )
       NEW met1 ( 387090 24650 ) M1M2_PR
-      NEW met1 ( 576150 24650 ) M1M2_PR
-      NEW met1 ( 576150 288490 ) M1M2_PR
-      NEW met1 ( 603750 288490 ) M1M2_PR ;
+      NEW met1 ( 614330 24650 ) M1M2_PR ;
     - wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( mprj wbs_dat_i[19] ) + USE SIGNAL
-      + ROUTED met2 ( 404570 2380 0 ) ( * 16830 )
-      NEW met1 ( 404570 16830 ) ( 406870 * )
-      NEW met2 ( 607430 298860 ) ( 608300 * )
-      NEW met2 ( 608300 298860 ) ( * 300220 0 )
-      NEW met2 ( 406870 16830 ) ( * 120530 )
-      NEW met2 ( 607430 120530 ) ( * 298860 )
-      NEW met1 ( 406870 120530 ) ( 607430 * )
-      NEW met1 ( 404570 16830 ) M1M2_PR
-      NEW met1 ( 406870 16830 ) M1M2_PR
-      NEW met1 ( 406870 120530 ) M1M2_PR
-      NEW met1 ( 607430 120530 ) M1M2_PR ;
+      + ROUTED met2 ( 583050 32130 ) ( * 283390 )
+      NEW met2 ( 622610 283390 ) ( * 298860 )
+      NEW met2 ( 622600 298860 ) ( 622610 * )
+      NEW met2 ( 622600 298860 ) ( * 300220 0 )
+      NEW met1 ( 583050 283390 ) ( 622610 * )
+      NEW met2 ( 404570 2380 0 ) ( * 32130 )
+      NEW met1 ( 404570 32130 ) ( 583050 * )
+      NEW met1 ( 583050 32130 ) M1M2_PR
+      NEW met1 ( 583050 283390 ) M1M2_PR
+      NEW met1 ( 622610 283390 ) M1M2_PR
+      NEW met1 ( 404570 32130 ) M1M2_PR ;
     - wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( mprj wbs_dat_i[1] ) + USE SIGNAL
-      + ROUTED met2 ( 67850 2380 0 ) ( * 18190 )
-      NEW met1 ( 67850 18190 ) ( 175950 * )
-      NEW met2 ( 524630 298860 ) ( 527000 * )
-      NEW met2 ( 527000 298860 ) ( * 300220 0 )
-      NEW met2 ( 175950 18190 ) ( * 272850 )
-      NEW met1 ( 175950 272850 ) ( 524630 * )
-      NEW met2 ( 524630 272850 ) ( * 298860 )
-      NEW met1 ( 67850 18190 ) M1M2_PR
-      NEW met1 ( 175950 18190 ) M1M2_PR
-      NEW met1 ( 175950 272850 ) M1M2_PR
-      NEW met1 ( 524630 272850 ) M1M2_PR ;
+      + ROUTED met2 ( 67850 2380 0 ) ( * 18530 )
+      NEW met1 ( 67850 18530 ) ( 162150 * )
+      NEW met2 ( 536590 279990 ) ( * 298860 )
+      NEW met2 ( 536500 298860 ) ( 536590 * )
+      NEW met2 ( 536500 298860 ) ( * 300220 0 )
+      NEW met1 ( 162150 279990 ) ( 536590 * )
+      NEW met2 ( 162150 18530 ) ( * 279990 )
+      NEW met1 ( 67850 18530 ) M1M2_PR
+      NEW met1 ( 162150 18530 ) M1M2_PR
+      NEW met1 ( 162150 279990 ) M1M2_PR
+      NEW met1 ( 536590 279990 ) M1M2_PR ;
     - wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( mprj wbs_dat_i[20] ) + USE SIGNAL
-      + ROUTED met2 ( 608810 298860 ) ( 612800 * )
-      NEW met2 ( 612800 298860 ) ( * 300220 0 )
-      NEW met2 ( 608350 25670 ) ( * 227700 )
-      NEW met2 ( 608350 227700 ) ( 608810 * )
-      NEW met2 ( 608810 227700 ) ( * 298860 )
-      NEW met2 ( 422510 2380 0 ) ( * 25670 )
-      NEW met1 ( 422510 25670 ) ( 608350 * )
-      NEW met1 ( 608350 25670 ) M1M2_PR
-      NEW met1 ( 422510 25670 ) M1M2_PR ;
+      + ROUTED met2 ( 596850 24990 ) ( * 283050 )
+      NEW met2 ( 627210 283050 ) ( * 298860 )
+      NEW met2 ( 627210 298860 ) ( 627300 * )
+      NEW met2 ( 627300 298860 ) ( * 300220 0 )
+      NEW met1 ( 596850 283050 ) ( 627210 * )
+      NEW met2 ( 422510 2380 0 ) ( * 24990 )
+      NEW met1 ( 422510 24990 ) ( 596850 * )
+      NEW met1 ( 596850 24990 ) M1M2_PR
+      NEW met1 ( 596850 283050 ) M1M2_PR
+      NEW met1 ( 627210 283050 ) M1M2_PR
+      NEW met1 ( 422510 24990 ) M1M2_PR ;
     - wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( mprj wbs_dat_i[21] ) + USE SIGNAL
-      + ROUTED met2 ( 439990 2380 0 ) ( * 32130 )
-      NEW met1 ( 439990 32130 ) ( 614330 * )
-      NEW met2 ( 614330 298860 ) ( 617300 * )
-      NEW met2 ( 617300 298860 ) ( * 300220 0 )
-      NEW met2 ( 614330 32130 ) ( * 298860 )
-      NEW met1 ( 439990 32130 ) M1M2_PR
-      NEW met1 ( 614330 32130 ) M1M2_PR ;
+      + ROUTED met2 ( 628130 298860 ) ( 632100 * )
+      NEW met2 ( 632100 298860 ) ( * 300220 0 )
+      NEW met2 ( 628130 31790 ) ( * 298860 )
+      NEW met2 ( 439990 2380 0 ) ( * 31790 )
+      NEW met1 ( 439990 31790 ) ( 628130 * )
+      NEW met1 ( 628130 31790 ) M1M2_PR
+      NEW met1 ( 439990 31790 ) M1M2_PR ;
     - wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( mprj wbs_dat_i[22] ) + USE SIGNAL
-      + ROUTED met2 ( 457930 2380 0 ) ( * 26010 )
-      NEW met1 ( 457930 26010 ) ( 617550 * )
-      NEW met1 ( 617550 288150 ) ( 621690 * )
-      NEW met2 ( 621690 288150 ) ( * 298860 )
-      NEW met2 ( 621690 298860 ) ( 621800 * )
-      NEW met2 ( 621800 298860 ) ( * 300220 0 )
-      NEW met2 ( 617550 26010 ) ( * 288150 )
-      NEW met1 ( 457930 26010 ) M1M2_PR
-      NEW met1 ( 617550 26010 ) M1M2_PR
-      NEW met1 ( 617550 288150 ) M1M2_PR
-      NEW met1 ( 621690 288150 ) M1M2_PR ;
-    - wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( mprj wbs_dat_i[23] ) + USE SIGNAL
-      + ROUTED met2 ( 475870 2380 0 ) ( * 15980 )
-      NEW met2 ( 475410 15980 ) ( 475870 * )
-      NEW met2 ( 475410 15980 ) ( * 32470 )
-      NEW met1 ( 475410 32470 ) ( 621690 * )
-      NEW met2 ( 622150 298860 ) ( 626300 * )
-      NEW met2 ( 626300 298860 ) ( * 300220 0 )
-      NEW met2 ( 621690 32470 ) ( * 227700 )
-      NEW met2 ( 621690 227700 ) ( 622150 * )
-      NEW met2 ( 622150 227700 ) ( * 298860 )
-      NEW met1 ( 475410 32470 ) M1M2_PR
-      NEW met1 ( 621690 32470 ) M1M2_PR ;
-    - wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( mprj wbs_dat_i[24] ) + USE SIGNAL
-      + ROUTED met2 ( 493350 2380 0 ) ( * 32810 )
-      NEW met1 ( 493350 32810 ) ( 628130 * )
-      NEW met2 ( 628130 298860 ) ( 630900 * )
-      NEW met2 ( 630900 298860 ) ( * 300220 0 )
-      NEW met2 ( 628130 32810 ) ( * 298860 )
-      NEW met1 ( 493350 32810 ) M1M2_PR
-      NEW met1 ( 628130 32810 ) M1M2_PR ;
-    - wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( mprj wbs_dat_i[25] ) + USE SIGNAL
-      + ROUTED met2 ( 511290 2380 0 ) ( * 33150 )
-      NEW met1 ( 511290 33150 ) ( 631350 * )
-      NEW met1 ( 631350 288150 ) ( 635490 * )
-      NEW met2 ( 635490 288150 ) ( * 298860 )
-      NEW met2 ( 635400 298860 ) ( 635490 * )
-      NEW met2 ( 635400 298860 ) ( * 300220 0 )
-      NEW met2 ( 631350 33150 ) ( * 288150 )
-      NEW met1 ( 511290 33150 ) M1M2_PR
-      NEW met1 ( 631350 33150 ) M1M2_PR
+      + ROUTED met2 ( 457930 2380 0 ) ( * 25670 )
+      NEW met1 ( 457930 25670 ) ( 631350 * )
+      NEW met1 ( 631350 288150 ) ( 636870 * )
+      NEW met2 ( 636870 288150 ) ( * 298860 )
+      NEW met2 ( 636870 298860 ) ( 636900 * )
+      NEW met2 ( 636900 298860 ) ( * 300220 0 )
+      NEW met2 ( 631350 25670 ) ( * 288150 )
+      NEW met1 ( 457930 25670 ) M1M2_PR
+      NEW met1 ( 631350 25670 ) M1M2_PR
       NEW met1 ( 631350 288150 ) M1M2_PR
-      NEW met1 ( 635490 288150 ) M1M2_PR ;
+      NEW met1 ( 636870 288150 ) M1M2_PR ;
+    - wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( mprj wbs_dat_i[23] ) + USE SIGNAL
+      + ROUTED met2 ( 475870 2380 0 ) ( * 44710 )
+      NEW met2 ( 641700 298860 ) ( 642390 * )
+      NEW met2 ( 641700 298860 ) ( * 300220 0 )
+      NEW met1 ( 475870 44710 ) ( 642390 * )
+      NEW met2 ( 642390 44710 ) ( * 298860 )
+      NEW met1 ( 475870 44710 ) M1M2_PR
+      NEW met1 ( 642390 44710 ) M1M2_PR ;
+    - wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( mprj wbs_dat_i[24] ) + USE SIGNAL
+      + ROUTED met2 ( 493350 2380 0 ) ( * 32470 )
+      NEW met1 ( 493350 32470 ) ( 641930 * )
+      NEW met1 ( 641930 288150 ) ( 646530 * )
+      NEW met2 ( 646530 288150 ) ( * 298860 )
+      NEW met2 ( 646500 298860 ) ( 646530 * )
+      NEW met2 ( 646500 298860 ) ( * 300220 0 )
+      NEW met2 ( 641930 32470 ) ( * 288150 )
+      NEW met1 ( 493350 32470 ) M1M2_PR
+      NEW met1 ( 641930 32470 ) M1M2_PR
+      NEW met1 ( 641930 288150 ) M1M2_PR
+      NEW met1 ( 646530 288150 ) M1M2_PR ;
+    - wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( mprj wbs_dat_i[25] ) + USE SIGNAL
+      + ROUTED met2 ( 511290 2380 0 ) ( * 26010 )
+      NEW met1 ( 511290 26010 ) ( 648830 * )
+      NEW met2 ( 648830 298860 ) ( 651200 * )
+      NEW met2 ( 651200 298860 ) ( * 300220 0 )
+      NEW met2 ( 648830 26010 ) ( * 298860 )
+      NEW met1 ( 511290 26010 ) M1M2_PR
+      NEW met1 ( 648830 26010 ) M1M2_PR ;
     - wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( mprj wbs_dat_i[26] ) + USE SIGNAL
-      + ROUTED met1 ( 624450 287810 ) ( 639630 * )
-      NEW met2 ( 639630 287810 ) ( * 298860 )
-      NEW met2 ( 639630 298860 ) ( 639900 * )
-      NEW met2 ( 639900 298860 ) ( * 300220 0 )
-      NEW met2 ( 528770 2380 0 ) ( * 44710 )
-      NEW met1 ( 528770 44710 ) ( 624450 * )
-      NEW met2 ( 624450 44710 ) ( * 287810 )
-      NEW met1 ( 624450 287810 ) M1M2_PR
-      NEW met1 ( 639630 287810 ) M1M2_PR
-      NEW met1 ( 528770 44710 ) M1M2_PR
-      NEW met1 ( 624450 44710 ) M1M2_PR ;
+      + ROUTED met1 ( 624450 285090 ) ( 655730 * )
+      NEW met2 ( 655730 285090 ) ( * 298860 )
+      NEW met2 ( 655730 298860 ) ( 655900 * )
+      NEW met2 ( 655900 298860 ) ( * 300220 0 )
+      NEW met2 ( 528770 2380 0 ) ( * 38590 )
+      NEW met1 ( 528770 38590 ) ( 624450 * )
+      NEW met2 ( 624450 38590 ) ( * 285090 )
+      NEW met1 ( 624450 285090 ) M1M2_PR
+      NEW met1 ( 655730 285090 ) M1M2_PR
+      NEW met1 ( 528770 38590 ) M1M2_PR
+      NEW met1 ( 624450 38590 ) M1M2_PR ;
     - wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( mprj wbs_dat_i[27] ) + USE SIGNAL
       + ROUTED met2 ( 546710 2380 0 ) ( * 23970 )
-      NEW met1 ( 546710 23970 ) ( 641930 * )
-      NEW met2 ( 641930 298860 ) ( 644400 * )
-      NEW met2 ( 644400 298860 ) ( * 300220 0 )
-      NEW met2 ( 641930 23970 ) ( * 298860 )
+      NEW met1 ( 546710 23970 ) ( 617550 * )
+      NEW met1 ( 617550 289510 ) ( 660790 * )
+      NEW met2 ( 660790 289510 ) ( * 298860 )
+      NEW met2 ( 660700 298860 ) ( 660790 * )
+      NEW met2 ( 660700 298860 ) ( * 300220 0 )
+      NEW met2 ( 617550 23970 ) ( * 289510 )
       NEW met1 ( 546710 23970 ) M1M2_PR
-      NEW met1 ( 641930 23970 ) M1M2_PR ;
+      NEW met1 ( 617550 23970 ) M1M2_PR
+      NEW met1 ( 617550 289510 ) M1M2_PR
+      NEW met1 ( 660790 289510 ) M1M2_PR ;
     - wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( mprj wbs_dat_i[28] ) + USE SIGNAL
-      + ROUTED met1 ( 565570 127670 ) ( 649290 * )
-      NEW met2 ( 648900 298860 ) ( 649290 * )
-      NEW met2 ( 648900 298860 ) ( * 300220 0 )
-      NEW met2 ( 564190 2380 0 ) ( * 34500 )
-      NEW met2 ( 564190 34500 ) ( 565570 * )
-      NEW met2 ( 565570 34500 ) ( * 127670 )
-      NEW met2 ( 649290 127670 ) ( * 298860 )
-      NEW met1 ( 565570 127670 ) M1M2_PR
-      NEW met1 ( 649290 127670 ) M1M2_PR ;
+      + ROUTED met2 ( 662630 298860 ) ( 665500 * )
+      NEW met2 ( 665500 298860 ) ( * 300220 0 )
+      NEW met2 ( 662630 25330 ) ( * 298860 )
+      NEW met2 ( 564190 2380 0 ) ( * 25330 )
+      NEW met1 ( 564190 25330 ) ( 662630 * )
+      NEW met1 ( 662630 25330 ) M1M2_PR
+      NEW met1 ( 564190 25330 ) M1M2_PR ;
     - wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( mprj wbs_dat_i[29] ) + USE SIGNAL
-      + ROUTED met2 ( 582130 2380 0 ) ( * 31110 )
-      NEW met1 ( 582130 31110 ) ( 648830 * )
-      NEW met1 ( 648830 288150 ) ( 653430 * )
-      NEW met2 ( 653430 288150 ) ( * 298860 )
-      NEW met2 ( 653400 298860 ) ( 653430 * )
-      NEW met2 ( 653400 298860 ) ( * 300220 0 )
-      NEW met2 ( 648830 31110 ) ( * 288150 )
-      NEW met1 ( 582130 31110 ) M1M2_PR
-      NEW met1 ( 648830 31110 ) M1M2_PR
-      NEW met1 ( 648830 288150 ) M1M2_PR
-      NEW met1 ( 653430 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 582130 2380 0 ) ( * 14450 )
+      NEW met1 ( 582130 14450 ) ( 586270 * )
+      NEW met2 ( 669990 298860 ) ( 670300 * )
+      NEW met2 ( 670300 298860 ) ( * 300220 0 )
+      NEW met2 ( 586270 14450 ) ( * 58650 )
+      NEW met2 ( 669990 58650 ) ( * 298860 )
+      NEW met1 ( 586270 58650 ) ( 669990 * )
+      NEW met1 ( 582130 14450 ) M1M2_PR
+      NEW met1 ( 586270 14450 ) M1M2_PR
+      NEW met1 ( 586270 58650 ) M1M2_PR
+      NEW met1 ( 669990 58650 ) M1M2_PR ;
     - wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( mprj wbs_dat_i[2] ) + USE SIGNAL
-      + ROUTED met2 ( 91310 2380 0 ) ( * 18870 )
-      NEW met2 ( 196650 18870 ) ( * 273190 )
-      NEW met1 ( 91310 18870 ) ( 196650 * )
-      NEW met2 ( 531500 298860 ) ( 531990 * )
-      NEW met2 ( 531500 298860 ) ( * 300220 0 )
-      NEW met1 ( 196650 273190 ) ( 531990 * )
-      NEW met2 ( 531990 273190 ) ( * 298860 )
-      NEW met1 ( 91310 18870 ) M1M2_PR
-      NEW met1 ( 196650 18870 ) M1M2_PR
-      NEW met1 ( 196650 273190 ) M1M2_PR
-      NEW met1 ( 531990 273190 ) M1M2_PR ;
+      + ROUTED met2 ( 91310 2380 0 ) ( * 30770 )
+      NEW met2 ( 538430 298860 ) ( 541300 * )
+      NEW met2 ( 541300 298860 ) ( * 300220 0 )
+      NEW met2 ( 538430 30770 ) ( * 298860 )
+      NEW met1 ( 91310 30770 ) ( 538430 * )
+      NEW met1 ( 91310 30770 ) M1M2_PR
+      NEW met1 ( 538430 30770 ) M1M2_PR ;
     - wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( mprj wbs_dat_i[30] ) + USE SIGNAL
-      + ROUTED met2 ( 599610 2380 0 ) ( * 37910 )
-      NEW met1 ( 645150 287810 ) ( 658030 * )
-      NEW met2 ( 658030 287810 ) ( * 298860 )
-      NEW met2 ( 657900 298860 ) ( 658030 * )
-      NEW met2 ( 657900 298860 ) ( * 300220 0 )
-      NEW met1 ( 599610 37910 ) ( 645150 * )
-      NEW met2 ( 645150 37910 ) ( * 287810 )
-      NEW met1 ( 599610 37910 ) M1M2_PR
-      NEW met1 ( 645150 287810 ) M1M2_PR
-      NEW met1 ( 658030 287810 ) M1M2_PR
-      NEW met1 ( 645150 37910 ) M1M2_PR ;
+      + ROUTED met2 ( 599610 2380 0 ) ( * 31110 )
+      NEW met1 ( 669530 299030 ) ( 675100 * )
+      NEW met2 ( 675100 299030 ) ( * 300220 0 )
+      NEW met2 ( 669530 31110 ) ( * 299030 )
+      NEW met1 ( 599610 31110 ) ( 669530 * )
+      NEW met1 ( 599610 31110 ) M1M2_PR
+      NEW met1 ( 669530 31110 ) M1M2_PR
+      NEW met1 ( 669530 299030 ) M1M2_PR
+      NEW met1 ( 675100 299030 ) M1M2_PR ;
     - wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( mprj wbs_dat_i[31] ) + USE SIGNAL
-      + ROUTED met2 ( 662500 298860 ) ( 662630 * )
-      NEW met2 ( 662500 298860 ) ( * 300220 0 )
-      NEW met2 ( 662630 24310 ) ( * 298860 )
-      NEW met2 ( 617550 2380 0 ) ( * 24310 )
-      NEW met1 ( 617550 24310 ) ( 662630 * )
-      NEW met1 ( 662630 24310 ) M1M2_PR
-      NEW met1 ( 617550 24310 ) M1M2_PR ;
+      + ROUTED met2 ( 679650 285770 ) ( * 298860 )
+      NEW met2 ( 679650 298860 ) ( 679900 * )
+      NEW met2 ( 679900 298860 ) ( * 300220 0 )
+      NEW met2 ( 617550 2380 0 ) ( * 17340 )
+      NEW met2 ( 617550 17340 ) ( 618010 * )
+      NEW met1 ( 620770 87210 ) ( 652050 * )
+      NEW met1 ( 652050 285770 ) ( 679650 * )
+      NEW met2 ( 618010 17340 ) ( * 34500 )
+      NEW met2 ( 618010 34500 ) ( 620770 * )
+      NEW met2 ( 620770 34500 ) ( * 87210 )
+      NEW met2 ( 652050 87210 ) ( * 285770 )
+      NEW met1 ( 679650 285770 ) M1M2_PR
+      NEW met1 ( 620770 87210 ) M1M2_PR
+      NEW met1 ( 652050 87210 ) M1M2_PR
+      NEW met1 ( 652050 285770 ) M1M2_PR ;
     - wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( mprj wbs_dat_i[3] ) + USE SIGNAL
-      + ROUTED met2 ( 115230 2380 0 ) ( * 30770 )
-      NEW met1 ( 115230 30770 ) ( 531530 * )
-      NEW met1 ( 531530 288490 ) ( 535670 * )
-      NEW met2 ( 535670 288490 ) ( * 298860 )
-      NEW met2 ( 535670 298860 ) ( 536000 * )
-      NEW met2 ( 536000 298860 ) ( * 300220 0 )
-      NEW met2 ( 531530 30770 ) ( * 288490 )
-      NEW met1 ( 115230 30770 ) M1M2_PR
-      NEW met1 ( 531530 30770 ) M1M2_PR
-      NEW met1 ( 531530 288490 ) M1M2_PR
-      NEW met1 ( 535670 288490 ) M1M2_PR ;
+      + ROUTED met2 ( 115230 2380 0 ) ( * 34500 )
+      NEW met2 ( 115230 34500 ) ( 117070 * )
+      NEW met2 ( 117070 34500 ) ( * 279650 )
+      NEW met2 ( 544870 279650 ) ( * 283220 )
+      NEW met2 ( 544870 283220 ) ( 545330 * )
+      NEW met2 ( 545330 283220 ) ( * 298860 )
+      NEW met2 ( 545330 298860 ) ( 546100 * )
+      NEW met2 ( 546100 298860 ) ( * 300220 0 )
+      NEW met1 ( 117070 279650 ) ( 544870 * )
+      NEW met1 ( 117070 279650 ) M1M2_PR
+      NEW met1 ( 544870 279650 ) M1M2_PR ;
     - wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( mprj wbs_dat_i[4] ) + USE SIGNAL
-      + ROUTED met2 ( 138690 2380 0 ) ( * 31110 )
-      NEW met1 ( 138690 31110 ) ( 538430 * )
-      NEW met2 ( 538430 298860 ) ( 540600 * )
-      NEW met2 ( 540600 298860 ) ( * 300220 0 )
-      NEW met2 ( 538430 31110 ) ( * 298860 )
-      NEW met1 ( 138690 31110 ) M1M2_PR
-      NEW met1 ( 538430 31110 ) M1M2_PR ;
+      + ROUTED met2 ( 138690 2380 0 ) ( * 15130 )
+      NEW met1 ( 138690 15130 ) ( 175950 * )
+      NEW met2 ( 550850 280330 ) ( * 298860 )
+      NEW met2 ( 550850 298860 ) ( 550900 * )
+      NEW met2 ( 550900 298860 ) ( * 300220 0 )
+      NEW met1 ( 175950 280330 ) ( 550850 * )
+      NEW met2 ( 175950 15130 ) ( * 280330 )
+      NEW met1 ( 138690 15130 ) M1M2_PR
+      NEW met1 ( 175950 15130 ) M1M2_PR
+      NEW met1 ( 175950 280330 ) M1M2_PR
+      NEW met1 ( 550850 280330 ) M1M2_PR ;
     - wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( mprj wbs_dat_i[5] ) + USE SIGNAL
-      + ROUTED met2 ( 217350 19210 ) ( * 280330 )
+      + ROUTED met2 ( 196650 19210 ) ( * 92990 )
       NEW met2 ( 156630 2380 0 ) ( * 19210 )
-      NEW met1 ( 156630 19210 ) ( 217350 * )
-      NEW met2 ( 545330 280330 ) ( * 298860 )
-      NEW met2 ( 545100 298860 ) ( 545330 * )
-      NEW met2 ( 545100 298860 ) ( * 300220 0 )
-      NEW met1 ( 217350 280330 ) ( 545330 * )
-      NEW met1 ( 217350 19210 ) M1M2_PR
-      NEW met1 ( 217350 280330 ) M1M2_PR
+      NEW met1 ( 156630 19210 ) ( 196650 * )
+      NEW met1 ( 196650 92990 ) ( 552230 * )
+      NEW met2 ( 552230 298860 ) ( 555600 * )
+      NEW met2 ( 555600 298860 ) ( * 300220 0 )
+      NEW met2 ( 552230 92990 ) ( * 298860 )
+      NEW met1 ( 196650 19210 ) M1M2_PR
+      NEW met1 ( 196650 92990 ) M1M2_PR
       NEW met1 ( 156630 19210 ) M1M2_PR
-      NEW met1 ( 545330 280330 ) M1M2_PR ;
+      NEW met1 ( 552230 92990 ) M1M2_PR ;
     - wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( mprj wbs_dat_i[6] ) + USE SIGNAL
-      + ROUTED met2 ( 174110 2380 0 ) ( * 24990 )
-      NEW met1 ( 174110 24990 ) ( 251850 * )
-      NEW li1 ( 531070 288490 ) ( * 289510 )
-      NEW met1 ( 531070 289510 ) ( 549470 * )
-      NEW met2 ( 549470 289510 ) ( * 298860 )
-      NEW met2 ( 549470 298860 ) ( 549600 * )
-      NEW met2 ( 549600 298860 ) ( * 300220 0 )
-      NEW met1 ( 251850 288490 ) ( 531070 * )
-      NEW met2 ( 251850 24990 ) ( * 288490 )
-      NEW met1 ( 174110 24990 ) M1M2_PR
-      NEW met1 ( 251850 24990 ) M1M2_PR
-      NEW met1 ( 251850 288490 ) M1M2_PR
-      NEW li1 ( 531070 288490 ) L1M1_PR_MR
-      NEW li1 ( 531070 289510 ) L1M1_PR_MR
-      NEW met1 ( 549470 289510 ) M1M2_PR ;
+      + ROUTED met2 ( 217350 19550 ) ( * 273190 )
+      NEW met2 ( 174110 2380 0 ) ( * 19550 )
+      NEW met1 ( 174110 19550 ) ( 217350 * )
+      NEW met2 ( 559590 298860 ) ( 560400 * )
+      NEW met2 ( 560400 298860 ) ( * 300220 0 )
+      NEW met1 ( 217350 273190 ) ( 559590 * )
+      NEW met2 ( 559590 273190 ) ( * 298860 )
+      NEW met1 ( 217350 19550 ) M1M2_PR
+      NEW met1 ( 217350 273190 ) M1M2_PR
+      NEW met1 ( 174110 19550 ) M1M2_PR
+      NEW met1 ( 559590 273190 ) M1M2_PR ;
     - wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( mprj wbs_dat_i[7] ) + USE SIGNAL
-      + ROUTED met2 ( 192050 2380 0 ) ( * 19550 )
-      NEW met1 ( 192050 19550 ) ( 238050 * )
-      NEW met2 ( 552690 298860 ) ( 554100 * )
-      NEW met2 ( 554100 298860 ) ( * 300220 0 )
-      NEW met2 ( 238050 19550 ) ( * 273530 )
-      NEW met1 ( 238050 273530 ) ( 552690 * )
-      NEW met2 ( 552690 273530 ) ( * 298860 )
-      NEW met1 ( 192050 19550 ) M1M2_PR
-      NEW met1 ( 238050 19550 ) M1M2_PR
-      NEW met1 ( 238050 273530 ) M1M2_PR
-      NEW met1 ( 552690 273530 ) M1M2_PR ;
+      + ROUTED met2 ( 192050 2380 0 ) ( * 15980 )
+      NEW met2 ( 192050 15980 ) ( 193430 * )
+      NEW met2 ( 193430 15980 ) ( * 31110 )
+      NEW met1 ( 559130 299030 ) ( 565100 * )
+      NEW met2 ( 565100 299030 ) ( * 300220 0 )
+      NEW met2 ( 559130 31110 ) ( * 299030 )
+      NEW met1 ( 193430 31110 ) ( 559130 * )
+      NEW met1 ( 193430 31110 ) M1M2_PR
+      NEW met1 ( 559130 31110 ) M1M2_PR
+      NEW met1 ( 559130 299030 ) M1M2_PR
+      NEW met1 ( 565100 299030 ) M1M2_PR ;
     - wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( mprj wbs_dat_i[8] ) + USE SIGNAL
-      + ROUTED met2 ( 209530 2380 0 ) ( * 16830 )
-      NEW met1 ( 209530 16830 ) ( 215050 * )
-      NEW met2 ( 215050 16830 ) ( * 37910 )
-      NEW met1 ( 552230 299030 ) ( 558600 * )
-      NEW met2 ( 558600 299030 ) ( * 300220 0 )
-      NEW met1 ( 215050 37910 ) ( 552230 * )
-      NEW met2 ( 552230 37910 ) ( * 299030 )
-      NEW met1 ( 209530 16830 ) M1M2_PR
-      NEW met1 ( 215050 16830 ) M1M2_PR
-      NEW met1 ( 215050 37910 ) M1M2_PR
-      NEW met1 ( 552230 299030 ) M1M2_PR
-      NEW met1 ( 558600 299030 ) M1M2_PR
-      NEW met1 ( 552230 37910 ) M1M2_PR ;
+      + ROUTED met2 ( 209530 2380 0 ) ( * 15470 )
+      NEW met2 ( 569710 280670 ) ( * 298860 )
+      NEW met2 ( 569710 298860 ) ( 569900 * )
+      NEW met2 ( 569900 298860 ) ( * 300220 0 )
+      NEW met1 ( 209530 15470 ) ( 238050 * )
+      NEW met1 ( 238050 280670 ) ( 569710 * )
+      NEW met2 ( 238050 15470 ) ( * 280670 )
+      NEW met1 ( 209530 15470 ) M1M2_PR
+      NEW met1 ( 569710 280670 ) M1M2_PR
+      NEW met1 ( 238050 15470 ) M1M2_PR
+      NEW met1 ( 238050 280670 ) M1M2_PR ;
     - wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( mprj wbs_dat_i[9] ) + USE SIGNAL
-      + ROUTED met2 ( 227470 2380 0 ) ( * 20230 )
-      NEW met1 ( 227470 20230 ) ( 258750 * )
-      NEW met1 ( 258750 127670 ) ( 559130 * )
-      NEW met2 ( 559130 298860 ) ( 563100 * )
-      NEW met2 ( 563100 298860 ) ( * 300220 0 )
-      NEW met2 ( 258750 20230 ) ( * 127670 )
-      NEW met2 ( 559130 127670 ) ( * 298860 )
-      NEW met1 ( 227470 20230 ) M1M2_PR
-      NEW met1 ( 258750 20230 ) M1M2_PR
-      NEW met1 ( 258750 127670 ) M1M2_PR
-      NEW met1 ( 559130 127670 ) M1M2_PR ;
+      + ROUTED met2 ( 227470 2380 0 ) ( * 19380 )
+      NEW met2 ( 573390 298860 ) ( 574700 * )
+      NEW met2 ( 574700 298860 ) ( * 300220 0 )
+      NEW met2 ( 573390 38250 ) ( * 298860 )
+      NEW met2 ( 227470 19380 ) ( 227930 * )
+      NEW met2 ( 227930 19380 ) ( * 38250 )
+      NEW met1 ( 227930 38250 ) ( 573390 * )
+      NEW met1 ( 573390 38250 ) M1M2_PR
+      NEW met1 ( 227930 38250 ) M1M2_PR ;
     - wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( mprj wbs_dat_o[0] ) + USE SIGNAL
-      + ROUTED met2 ( 663090 298860 ) ( 667000 * )
-      NEW met2 ( 667000 298860 ) ( * 300220 0 )
-      NEW met2 ( 663090 272510 ) ( * 298860 )
-      NEW met2 ( 49910 2380 0 ) ( * 17850 )
-      NEW met1 ( 49910 17850 ) ( 79350 * )
-      NEW met2 ( 79350 17850 ) ( * 272510 )
-      NEW met1 ( 79350 272510 ) ( 663090 * )
-      NEW met1 ( 663090 272510 ) M1M2_PR
-      NEW met1 ( 49910 17850 ) M1M2_PR
-      NEW met1 ( 79350 17850 ) M1M2_PR
-      NEW met1 ( 79350 272510 ) M1M2_PR ;
+      + ROUTED met2 ( 683330 298860 ) ( 684600 * )
+      NEW met2 ( 684600 298860 ) ( * 300220 0 )
+      NEW met2 ( 683330 272510 ) ( * 298860 )
+      NEW met2 ( 49910 2380 0 ) ( * 15810 )
+      NEW met1 ( 49910 15810 ) ( 72450 * )
+      NEW met2 ( 72450 15810 ) ( * 272510 )
+      NEW met1 ( 72450 272510 ) ( 683330 * )
+      NEW met1 ( 683330 272510 ) M1M2_PR
+      NEW met1 ( 49910 15810 ) M1M2_PR
+      NEW met1 ( 72450 15810 ) M1M2_PR
+      NEW met1 ( 72450 272510 ) M1M2_PR ;
     - wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( mprj wbs_dat_o[10] ) + USE SIGNAL
-      + ROUTED met2 ( 293250 19890 ) ( * 279310 )
-      NEW met2 ( 250930 2380 0 ) ( * 19890 )
-      NEW met1 ( 250930 19890 ) ( 293250 * )
-      NEW met2 ( 711850 279310 ) ( * 298860 )
-      NEW met2 ( 711850 298860 ) ( 712100 * )
-      NEW met2 ( 712100 298860 ) ( * 300220 0 )
-      NEW met1 ( 293250 279310 ) ( 711850 * )
-      NEW met1 ( 293250 19890 ) M1M2_PR
-      NEW met1 ( 293250 279310 ) M1M2_PR
-      NEW met1 ( 250930 19890 ) M1M2_PR
-      NEW met1 ( 711850 279310 ) M1M2_PR ;
+      + ROUTED met2 ( 250930 2380 0 ) ( * 16830 )
+      NEW met1 ( 250930 16830 ) ( 258750 * )
+      NEW met2 ( 732090 298860 ) ( 732400 * )
+      NEW met2 ( 732400 298860 ) ( * 300220 0 )
+      NEW met2 ( 258750 16830 ) ( * 272850 )
+      NEW met1 ( 258750 272850 ) ( 732090 * )
+      NEW met2 ( 732090 272850 ) ( * 298860 )
+      NEW met1 ( 250930 16830 ) M1M2_PR
+      NEW met1 ( 258750 16830 ) M1M2_PR
+      NEW met1 ( 258750 272850 ) M1M2_PR
+      NEW met1 ( 732090 272850 ) M1M2_PR ;
     - wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( mprj wbs_dat_o[11] ) + USE SIGNAL
-      + ROUTED met2 ( 286810 20570 ) ( * 58990 )
-      NEW met2 ( 268870 2380 0 ) ( * 20570 )
-      NEW met1 ( 268870 20570 ) ( 286810 * )
-      NEW met1 ( 710930 299030 ) ( 716600 * )
-      NEW met2 ( 716600 299030 ) ( * 300220 0 )
-      NEW met1 ( 286810 58990 ) ( 710930 * )
-      NEW met2 ( 710930 58990 ) ( * 299030 )
-      NEW met1 ( 286810 20570 ) M1M2_PR
-      NEW met1 ( 286810 58990 ) M1M2_PR
-      NEW met1 ( 268870 20570 ) M1M2_PR
-      NEW met1 ( 710930 299030 ) M1M2_PR
-      NEW met1 ( 716600 299030 ) M1M2_PR
-      NEW met1 ( 710930 58990 ) M1M2_PR ;
+      + ROUTED met2 ( 268870 2380 0 ) ( * 17340 )
+      NEW met2 ( 268410 17340 ) ( 268870 * )
+      NEW met1 ( 731630 299030 ) ( 737200 * )
+      NEW met2 ( 737200 299030 ) ( * 300220 0 )
+      NEW met2 ( 268410 17340 ) ( * 37910 )
+      NEW met2 ( 731630 37910 ) ( * 299030 )
+      NEW met1 ( 268410 37910 ) ( 731630 * )
+      NEW met1 ( 731630 299030 ) M1M2_PR
+      NEW met1 ( 737200 299030 ) M1M2_PR
+      NEW met1 ( 268410 37910 ) M1M2_PR
+      NEW met1 ( 731630 37910 ) M1M2_PR ;
     - wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( mprj wbs_dat_o[12] ) + USE SIGNAL
-      + ROUTED met2 ( 286350 2380 0 ) ( * 19550 )
-      NEW met1 ( 286350 19550 ) ( 342010 * )
-      NEW met1 ( 342010 92990 ) ( 717830 * )
-      NEW met2 ( 717830 298860 ) ( 721200 * )
-      NEW met2 ( 721200 298860 ) ( * 300220 0 )
-      NEW met2 ( 342010 19550 ) ( * 92990 )
-      NEW met2 ( 717830 92990 ) ( * 298860 )
-      NEW met1 ( 286350 19550 ) M1M2_PR
-      NEW met1 ( 342010 19550 ) M1M2_PR
-      NEW met1 ( 342010 92990 ) M1M2_PR
-      NEW met1 ( 717830 92990 ) M1M2_PR ;
+      + ROUTED met2 ( 286350 2380 0 ) ( * 15130 )
+      NEW met1 ( 286350 15130 ) ( 307050 * )
+      NEW met2 ( 307050 15130 ) ( * 189550 )
+      NEW met1 ( 307050 189550 ) ( 738530 * )
+      NEW met2 ( 738530 298860 ) ( 741900 * )
+      NEW met2 ( 741900 298860 ) ( * 300220 0 )
+      NEW met2 ( 738530 189550 ) ( * 298860 )
+      NEW met1 ( 286350 15130 ) M1M2_PR
+      NEW met1 ( 307050 15130 ) M1M2_PR
+      NEW met1 ( 307050 189550 ) M1M2_PR
+      NEW met1 ( 738530 189550 ) M1M2_PR ;
     - wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( mprj wbs_dat_o[13] ) + USE SIGNAL
-      + ROUTED met2 ( 304290 2380 0 ) ( * 16830 )
-      NEW met1 ( 304290 16830 ) ( 309810 * )
-      NEW met2 ( 309810 16830 ) ( * 148070 )
-      NEW met1 ( 721050 288150 ) ( 725650 * )
-      NEW met2 ( 725650 288150 ) ( * 298860 )
-      NEW met2 ( 725650 298860 ) ( 725700 * )
-      NEW met2 ( 725700 298860 ) ( * 300220 0 )
-      NEW met1 ( 309810 148070 ) ( 721050 * )
-      NEW met2 ( 721050 148070 ) ( * 288150 )
-      NEW met1 ( 304290 16830 ) M1M2_PR
-      NEW met1 ( 309810 16830 ) M1M2_PR
-      NEW met1 ( 309810 148070 ) M1M2_PR
-      NEW met1 ( 721050 288150 ) M1M2_PR
-      NEW met1 ( 725650 288150 ) M1M2_PR
-      NEW met1 ( 721050 148070 ) M1M2_PR ;
+      + ROUTED met2 ( 304290 2380 0 ) ( * 19890 )
+      NEW met1 ( 304290 19890 ) ( 309810 * )
+      NEW met2 ( 309810 19890 ) ( * 34500 )
+      NEW met2 ( 309810 34500 ) ( 310270 * )
+      NEW met2 ( 310270 34500 ) ( * 279310 )
+      NEW met2 ( 744970 279310 ) ( * 283220 )
+      NEW met2 ( 744970 283220 ) ( 745430 * )
+      NEW met2 ( 745430 283220 ) ( * 299540 )
+      NEW met2 ( 745430 299540 ) ( 746600 * )
+      NEW met2 ( 746600 299540 ) ( * 300220 0 )
+      NEW met1 ( 310270 279310 ) ( 744970 * )
+      NEW met1 ( 304290 19890 ) M1M2_PR
+      NEW met1 ( 309810 19890 ) M1M2_PR
+      NEW met1 ( 310270 279310 ) M1M2_PR
+      NEW met1 ( 744970 279310 ) M1M2_PR ;
     - wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( mprj wbs_dat_o[14] ) + USE SIGNAL
-      + ROUTED met2 ( 321770 2380 0 ) ( * 20570 )
-      NEW met1 ( 321770 20570 ) ( 327290 * )
-      NEW met2 ( 730250 279650 ) ( * 298860 )
-      NEW met2 ( 730200 298860 ) ( 730250 * )
-      NEW met2 ( 730200 298860 ) ( * 300220 0 )
-      NEW met1 ( 327750 279650 ) ( 730250 * )
-      NEW met2 ( 327290 20570 ) ( * 34500 )
-      NEW met2 ( 327290 34500 ) ( 327750 * )
-      NEW met2 ( 327750 34500 ) ( * 279650 )
-      NEW met1 ( 321770 20570 ) M1M2_PR
-      NEW met1 ( 327290 20570 ) M1M2_PR
-      NEW met1 ( 327750 279650 ) M1M2_PR
-      NEW met1 ( 730250 279650 ) M1M2_PR ;
+      + ROUTED met2 ( 321770 2380 0 ) ( * 19890 )
+      NEW met2 ( 745890 298860 ) ( 751400 * )
+      NEW met2 ( 751400 298860 ) ( * 300220 0 )
+      NEW met2 ( 745890 19890 ) ( * 298860 )
+      NEW met1 ( 321770 19890 ) ( 745890 * )
+      NEW met1 ( 321770 19890 ) M1M2_PR
+      NEW met1 ( 745890 19890 ) M1M2_PR ;
     - wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( mprj wbs_dat_o[15] ) + USE SIGNAL
-      + ROUTED met2 ( 339710 2380 0 ) ( * 15130 )
-      NEW met1 ( 339710 15130 ) ( 356270 * )
-      NEW met2 ( 356270 15130 ) ( * 31450 )
-      NEW met1 ( 356270 31450 ) ( 731630 * )
-      NEW met2 ( 731630 298860 ) ( 734700 * )
-      NEW met2 ( 734700 298860 ) ( * 300220 0 )
-      NEW met2 ( 731630 31450 ) ( * 298860 )
-      NEW met1 ( 339710 15130 ) M1M2_PR
-      NEW met1 ( 356270 15130 ) M1M2_PR
-      NEW met1 ( 356270 31450 ) M1M2_PR
-      NEW met1 ( 731630 31450 ) M1M2_PR ;
+      + ROUTED li1 ( 374670 16830 ) ( * 20230 )
+      NEW met2 ( 339710 2380 0 ) ( * 16830 )
+      NEW met1 ( 339710 16830 ) ( 374670 * )
+      NEW met2 ( 752330 298860 ) ( 756200 * )
+      NEW met2 ( 756200 298860 ) ( * 300220 0 )
+      NEW met2 ( 752330 20230 ) ( * 298860 )
+      NEW met1 ( 374670 20230 ) ( 752330 * )
+      NEW li1 ( 374670 16830 ) L1M1_PR_MR
+      NEW li1 ( 374670 20230 ) L1M1_PR_MR
+      NEW met1 ( 339710 16830 ) M1M2_PR
+      NEW met1 ( 752330 20230 ) M1M2_PR ;
     - wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( mprj wbs_dat_o[16] ) + USE SIGNAL
-      + ROUTED met2 ( 382950 20570 ) ( * 65450 )
+      + ROUTED met2 ( 759690 298860 ) ( 761000 * )
+      NEW met2 ( 761000 298860 ) ( * 300220 0 )
+      NEW met2 ( 759690 20570 ) ( * 298860 )
       NEW met2 ( 357650 2380 0 ) ( * 20570 )
-      NEW met1 ( 357650 20570 ) ( 382950 * )
-      NEW met2 ( 738990 298860 ) ( 739200 * )
-      NEW met2 ( 739200 298860 ) ( * 300220 0 )
-      NEW met1 ( 382950 65450 ) ( 738990 * )
-      NEW met2 ( 738990 65450 ) ( * 298860 )
-      NEW met1 ( 382950 20570 ) M1M2_PR
-      NEW met1 ( 382950 65450 ) M1M2_PR
-      NEW met1 ( 357650 20570 ) M1M2_PR
-      NEW met1 ( 738990 65450 ) M1M2_PR ;
+      NEW met1 ( 357650 20570 ) ( 759690 * )
+      NEW met1 ( 759690 20570 ) M1M2_PR
+      NEW met1 ( 357650 20570 ) M1M2_PR ;
     - wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( mprj wbs_dat_o[17] ) + USE SIGNAL
       + ROUTED met2 ( 375130 2380 0 ) ( * 16830 )
       NEW met1 ( 375130 16830 ) ( 379270 * )
-      NEW met2 ( 379270 16830 ) ( * 287470 )
-      NEW met2 ( 743590 287470 ) ( * 298860 )
-      NEW met2 ( 743590 298860 ) ( 743700 * )
-      NEW met2 ( 743700 298860 ) ( * 300220 0 )
-      NEW met1 ( 379270 287470 ) ( 743590 * )
+      NEW met2 ( 765670 287130 ) ( * 298860 )
+      NEW met2 ( 765670 298860 ) ( 765800 * )
+      NEW met2 ( 765800 298860 ) ( * 300220 0 )
+      NEW met2 ( 379270 16830 ) ( * 287130 )
+      NEW met1 ( 379270 287130 ) ( 765670 * )
       NEW met1 ( 375130 16830 ) M1M2_PR
       NEW met1 ( 379270 16830 ) M1M2_PR
-      NEW met1 ( 379270 287470 ) M1M2_PR
-      NEW met1 ( 743590 287470 ) M1M2_PR ;
+      NEW met1 ( 379270 287130 ) M1M2_PR
+      NEW met1 ( 765670 287130 ) M1M2_PR ;
     - wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( mprj wbs_dat_o[18] ) + USE SIGNAL
-      + ROUTED met2 ( 393070 2380 0 ) ( * 19550 )
-      NEW met1 ( 393070 19550 ) ( 745430 * )
-      NEW met2 ( 745430 298860 ) ( 748200 * )
-      NEW met2 ( 748200 298860 ) ( * 300220 0 )
-      NEW met2 ( 745430 19550 ) ( * 298860 )
-      NEW met1 ( 393070 19550 ) M1M2_PR
-      NEW met1 ( 745430 19550 ) M1M2_PR ;
+      + ROUTED met2 ( 393070 2380 0 ) ( * 16150 )
+      NEW met2 ( 766130 298860 ) ( 770500 * )
+      NEW met2 ( 770500 298860 ) ( * 300220 0 )
+      NEW met2 ( 766130 16830 ) ( * 298860 )
+      NEW met1 ( 434470 16150 ) ( * 16830 )
+      NEW met1 ( 393070 16150 ) ( 434470 * )
+      NEW met1 ( 434470 16830 ) ( 766130 * )
+      NEW met1 ( 393070 16150 ) M1M2_PR
+      NEW met1 ( 766130 16830 ) M1M2_PR ;
     - wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( mprj wbs_dat_o[19] ) + USE SIGNAL
-      + ROUTED met2 ( 410550 2380 0 ) ( * 19890 )
-      NEW met1 ( 410550 19890 ) ( 752790 * )
-      NEW met2 ( 752790 298860 ) ( 752800 * )
-      NEW met2 ( 752800 298860 ) ( * 300220 0 )
-      NEW met2 ( 752790 19890 ) ( * 298860 )
-      NEW met1 ( 410550 19890 ) M1M2_PR
-      NEW met1 ( 752790 19890 ) M1M2_PR ;
-    - wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( mprj wbs_dat_o[1] ) + USE SIGNAL
-      + ROUTED met2 ( 671370 287130 ) ( * 298860 )
-      NEW met2 ( 671370 298860 ) ( 671500 * )
-      NEW met2 ( 671500 298860 ) ( * 300220 0 )
-      NEW met2 ( 93150 19890 ) ( * 287130 )
-      NEW met2 ( 73830 2380 0 ) ( * 19890 )
-      NEW met1 ( 73830 19890 ) ( 93150 * )
-      NEW met1 ( 93150 287130 ) ( 671370 * )
-      NEW met1 ( 93150 19890 ) M1M2_PR
-      NEW met1 ( 93150 287130 ) M1M2_PR
-      NEW met1 ( 671370 287130 ) M1M2_PR
-      NEW met1 ( 73830 19890 ) M1M2_PR ;
-    - wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( mprj wbs_dat_o[20] ) + USE SIGNAL
-      + ROUTED met2 ( 428490 2380 0 ) ( * 20230 )
-      NEW met1 ( 428490 20230 ) ( 752330 * )
-      NEW met1 ( 752330 299030 ) ( 757300 * )
-      NEW met2 ( 757300 299030 ) ( * 300220 0 )
-      NEW met2 ( 752330 20230 ) ( * 299030 )
-      NEW met1 ( 428490 20230 ) M1M2_PR
-      NEW met1 ( 752330 20230 ) M1M2_PR
-      NEW met1 ( 752330 299030 ) M1M2_PR
-      NEW met1 ( 757300 299030 ) M1M2_PR ;
-    - wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( mprj wbs_dat_o[21] ) + USE SIGNAL
-      + ROUTED met2 ( 759230 298860 ) ( 761800 * )
-      NEW met2 ( 761800 298860 ) ( * 300220 0 )
-      NEW met2 ( 759230 19890 ) ( * 298860 )
-      NEW met2 ( 445970 2380 0 ) ( * 20570 )
-      NEW met1 ( 759000 19890 ) ( 759230 * )
-      NEW met1 ( 759000 19890 ) ( * 20570 )
-      NEW met1 ( 445970 20570 ) ( 759000 * )
-      NEW met1 ( 759230 19890 ) M1M2_PR
-      NEW met1 ( 445970 20570 ) M1M2_PR ;
-    - wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( mprj wbs_dat_o[22] ) + USE SIGNAL
-      + ROUTED met1 ( 762910 16490 ) ( * 16830 )
-      NEW met1 ( 762910 16490 ) ( 766590 * )
-      NEW met2 ( 766300 298860 ) ( 766590 * )
-      NEW met2 ( 766300 298860 ) ( * 300220 0 )
-      NEW met2 ( 766590 16490 ) ( * 298860 )
-      NEW met2 ( 463910 2380 0 ) ( * 16830 )
-      NEW met1 ( 463910 16830 ) ( 762910 * )
-      NEW met1 ( 766590 16490 ) M1M2_PR
-      NEW met1 ( 463910 16830 ) M1M2_PR ;
-    - wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( mprj wbs_dat_o[23] ) + USE SIGNAL
-      + ROUTED met2 ( 481390 2380 0 ) ( * 16150 )
-      NEW met1 ( 481390 16150 ) ( 503930 * )
-      NEW met1 ( 503930 16150 ) ( * 16490 )
-      NEW met1 ( 762450 16150 ) ( * 16490 )
-      NEW met1 ( 762450 16150 ) ( 766130 * )
-      NEW met1 ( 766130 288150 ) ( 770730 * )
-      NEW met2 ( 770730 288150 ) ( * 298860 )
-      NEW met2 ( 770730 298860 ) ( 770800 * )
-      NEW met2 ( 770800 298860 ) ( * 300220 0 )
-      NEW met2 ( 766130 16150 ) ( * 288150 )
-      NEW met1 ( 503930 16490 ) ( 762450 * )
-      NEW met1 ( 481390 16150 ) M1M2_PR
-      NEW met1 ( 766130 16150 ) M1M2_PR
-      NEW met1 ( 766130 288150 ) M1M2_PR
-      NEW met1 ( 770730 288150 ) M1M2_PR ;
-    - wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( mprj wbs_dat_o[24] ) + USE SIGNAL
-      + ROUTED met2 ( 499330 2380 0 ) ( * 16490 )
-      NEW met1 ( 499330 16490 ) ( 503470 * )
-      NEW met2 ( 775330 289170 ) ( * 298860 )
-      NEW met2 ( 775300 298860 ) ( 775330 * )
+      + ROUTED met1 ( 764750 16150 ) ( * 16490 )
+      NEW met1 ( 764750 16150 ) ( 773490 * )
+      NEW met2 ( 773490 298860 ) ( 775300 * )
       NEW met2 ( 775300 298860 ) ( * 300220 0 )
-      NEW met2 ( 503470 16490 ) ( * 289510 )
-      NEW met1 ( 503470 289510 ) ( 517500 * )
-      NEW met1 ( 517500 289170 ) ( * 289510 )
-      NEW met1 ( 517500 289170 ) ( 775330 * )
-      NEW met1 ( 499330 16490 ) M1M2_PR
-      NEW met1 ( 503470 16490 ) M1M2_PR
-      NEW met1 ( 503470 289510 ) M1M2_PR
-      NEW met1 ( 775330 289170 ) M1M2_PR ;
+      NEW met2 ( 773490 16150 ) ( * 298860 )
+      NEW met1 ( 410550 15810 ) ( 434930 * )
+      NEW met1 ( 434930 15810 ) ( * 16490 )
+      NEW met2 ( 410550 2380 0 ) ( * 15810 )
+      NEW met1 ( 434930 16490 ) ( 764750 * )
+      NEW met1 ( 773490 16150 ) M1M2_PR
+      NEW met1 ( 410550 15810 ) M1M2_PR ;
+    - wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( mprj wbs_dat_o[1] ) + USE SIGNAL
+      + ROUTED met2 ( 685170 298860 ) ( 689400 * )
+      NEW met2 ( 689400 298860 ) ( * 300220 0 )
+      NEW met2 ( 684250 17170 ) ( * 227700 )
+      NEW met2 ( 684250 227700 ) ( 685170 * )
+      NEW met2 ( 685170 227700 ) ( * 298860 )
+      NEW met2 ( 73830 2380 0 ) ( * 17170 )
+      NEW met1 ( 73830 17170 ) ( 684250 * )
+      NEW met1 ( 684250 17170 ) M1M2_PR
+      NEW met1 ( 73830 17170 ) M1M2_PR ;
+    - wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( mprj wbs_dat_o[20] ) + USE SIGNAL
+      + ROUTED met2 ( 779930 287470 ) ( * 298860 )
+      NEW met2 ( 779930 298860 ) ( 780100 * )
+      NEW met2 ( 780100 298860 ) ( * 300220 0 )
+      NEW met1 ( 434010 285770 ) ( 456090 * )
+      NEW li1 ( 456090 285770 ) ( * 287470 )
+      NEW met1 ( 456090 287470 ) ( 779930 * )
+      NEW met1 ( 428490 16830 ) ( 434010 * )
+      NEW met2 ( 428490 2380 0 ) ( * 16830 )
+      NEW met2 ( 434010 16830 ) ( * 285770 )
+      NEW met1 ( 779930 287470 ) M1M2_PR
+      NEW met1 ( 434010 285770 ) M1M2_PR
+      NEW li1 ( 456090 285770 ) L1M1_PR_MR
+      NEW li1 ( 456090 287470 ) L1M1_PR_MR
+      NEW met1 ( 428490 16830 ) M1M2_PR
+      NEW met1 ( 434010 16830 ) M1M2_PR ;
+    - wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( mprj wbs_dat_o[21] ) + USE SIGNAL
+      + ROUTED met1 ( 478170 15810 ) ( * 16150 )
+      NEW met1 ( 764290 15810 ) ( * 16150 )
+      NEW met1 ( 764290 15810 ) ( 780850 * )
+      NEW met2 ( 780850 298860 ) ( 784900 * )
+      NEW met2 ( 784900 298860 ) ( * 300220 0 )
+      NEW met2 ( 780850 15810 ) ( * 298860 )
+      NEW met1 ( 478170 16150 ) ( 764290 * )
+      NEW met2 ( 445970 2380 0 ) ( * 15810 )
+      NEW met1 ( 445970 15810 ) ( 478170 * )
+      NEW met1 ( 780850 15810 ) M1M2_PR
+      NEW met1 ( 445970 15810 ) M1M2_PR ;
+    - wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( mprj wbs_dat_o[22] ) + USE SIGNAL
+      + ROUTED met2 ( 789590 288490 ) ( * 298860 )
+      NEW met2 ( 789590 298860 ) ( 789700 * )
+      NEW met2 ( 789700 298860 ) ( * 300220 0 )
+      NEW met2 ( 463910 2380 0 ) ( * 16150 )
+      NEW met1 ( 463910 16150 ) ( 468970 * )
+      NEW met1 ( 468970 288490 ) ( 789590 * )
+      NEW met2 ( 468970 16150 ) ( * 288490 )
+      NEW met1 ( 789590 288490 ) M1M2_PR
+      NEW met1 ( 463910 16150 ) M1M2_PR
+      NEW met1 ( 468970 16150 ) M1M2_PR
+      NEW met1 ( 468970 288490 ) M1M2_PR ;
+    - wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( mprj wbs_dat_o[23] ) + USE SIGNAL
+      + ROUTED met2 ( 481390 2380 0 ) ( * 15470 )
+      NEW met1 ( 481390 15470 ) ( 503930 * )
+      NEW met1 ( 503930 15470 ) ( * 15810 )
+      NEW met1 ( 763830 15470 ) ( * 15810 )
+      NEW met1 ( 763830 15470 ) ( 794190 * )
+      NEW met2 ( 794190 298860 ) ( 794400 * )
+      NEW met2 ( 794400 298860 ) ( * 300220 0 )
+      NEW met2 ( 794190 15470 ) ( * 298860 )
+      NEW met1 ( 503930 15810 ) ( 763830 * )
+      NEW met1 ( 481390 15470 ) M1M2_PR
+      NEW met1 ( 794190 15470 ) M1M2_PR ;
+    - wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( mprj wbs_dat_o[24] ) + USE SIGNAL
+      + ROUTED met2 ( 499330 2380 0 ) ( * 15810 )
+      NEW met1 ( 499330 15810 ) ( 503470 * )
+      NEW met2 ( 799250 288830 ) ( * 298860 )
+      NEW met2 ( 799100 298860 ) ( 799250 * )
+      NEW met2 ( 799100 298860 ) ( * 300220 0 )
+      NEW met2 ( 503470 15810 ) ( * 289170 )
+      NEW met1 ( 503470 289170 ) ( 517500 * )
+      NEW met1 ( 517500 288830 ) ( * 289170 )
+      NEW met1 ( 517500 288830 ) ( 799250 * )
+      NEW met1 ( 499330 15810 ) M1M2_PR
+      NEW met1 ( 503470 15810 ) M1M2_PR
+      NEW met1 ( 503470 289170 ) M1M2_PR
+      NEW met1 ( 799250 288830 ) M1M2_PR ;
     - wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( mprj wbs_dat_o[25] ) + USE SIGNAL
-      + ROUTED met2 ( 516810 2380 0 ) ( * 16150 )
-      NEW met1 ( 761990 15810 ) ( * 16150 )
-      NEW met1 ( 761990 15810 ) ( 779930 * )
-      NEW met2 ( 779800 298860 ) ( 779930 * )
-      NEW met2 ( 779800 298860 ) ( * 300220 0 )
-      NEW met2 ( 779930 15810 ) ( * 298860 )
-      NEW met1 ( 516810 16150 ) ( 761990 * )
-      NEW met1 ( 516810 16150 ) M1M2_PR
-      NEW met1 ( 779930 15810 ) M1M2_PR ;
+      + ROUTED met2 ( 516810 2380 0 ) ( * 15470 )
+      NEW li1 ( 763370 15470 ) ( * 19210 )
+      NEW met1 ( 763370 19210 ) ( 801550 * )
+      NEW met2 ( 801550 298860 ) ( 803900 * )
+      NEW met2 ( 803900 298860 ) ( * 300220 0 )
+      NEW met2 ( 801550 19210 ) ( * 298860 )
+      NEW met1 ( 516810 15470 ) ( 763370 * )
+      NEW met1 ( 516810 15470 ) M1M2_PR
+      NEW li1 ( 763370 15470 ) L1M1_PR_MR
+      NEW li1 ( 763370 19210 ) L1M1_PR_MR
+      NEW met1 ( 801550 19210 ) M1M2_PR ;
     - wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( mprj wbs_dat_o[26] ) + USE SIGNAL
-      + ROUTED met1 ( 761530 15470 ) ( * 15810 )
-      NEW met1 ( 761530 15470 ) ( 780390 * )
-      NEW met2 ( 780390 298860 ) ( 784400 * )
-      NEW met2 ( 784400 298860 ) ( * 300220 0 )
-      NEW met2 ( 780390 15470 ) ( * 298860 )
-      NEW met2 ( 534750 2380 0 ) ( * 15810 )
-      NEW met1 ( 534750 15810 ) ( 761530 * )
-      NEW met1 ( 780390 15470 ) M1M2_PR
-      NEW met1 ( 534750 15810 ) M1M2_PR ;
+      + ROUTED met2 ( 534750 2380 0 ) ( * 15130 )
+      NEW met1 ( 534750 15130 ) ( 808450 * )
+      NEW met2 ( 808450 298860 ) ( 808700 * )
+      NEW met2 ( 808700 298860 ) ( * 300220 0 )
+      NEW met2 ( 808450 15130 ) ( * 298860 )
+      NEW met1 ( 534750 15130 ) M1M2_PR
+      NEW met1 ( 808450 15130 ) M1M2_PR ;
     - wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( mprj wbs_dat_o[27] ) + USE SIGNAL
-      + ROUTED met1 ( 761070 15130 ) ( * 15470 )
-      NEW met1 ( 761070 15130 ) ( 787290 * )
-      NEW met2 ( 787290 298860 ) ( 788900 * )
-      NEW met2 ( 788900 298860 ) ( * 300220 0 )
-      NEW met2 ( 787290 15130 ) ( * 298860 )
-      NEW met2 ( 552690 2380 0 ) ( * 15470 )
-      NEW met1 ( 552690 15470 ) ( 761070 * )
-      NEW met1 ( 787290 15130 ) M1M2_PR
-      NEW met1 ( 552690 15470 ) M1M2_PR ;
+      + ROUTED met2 ( 552690 2380 0 ) ( * 14790 )
+      NEW met1 ( 552690 14790 ) ( 807990 * )
+      NEW met1 ( 807990 299030 ) ( 813500 * )
+      NEW met2 ( 813500 299030 ) ( * 300220 0 )
+      NEW met2 ( 807990 14790 ) ( * 299030 )
+      NEW met1 ( 552690 14790 ) M1M2_PR
+      NEW met1 ( 807990 14790 ) M1M2_PR
+      NEW met1 ( 807990 299030 ) M1M2_PR
+      NEW met1 ( 813500 299030 ) M1M2_PR ;
     - wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( mprj wbs_dat_o[28] ) + USE SIGNAL
-      + ROUTED met2 ( 570170 2380 0 ) ( * 15130 )
-      NEW met1 ( 760610 14790 ) ( * 15130 )
-      NEW met1 ( 760610 14790 ) ( 786830 * )
-      NEW met1 ( 786830 299030 ) ( 793400 * )
-      NEW met2 ( 793400 299030 ) ( * 300220 0 )
-      NEW met2 ( 786830 14790 ) ( * 299030 )
-      NEW met1 ( 570170 15130 ) ( 760610 * )
-      NEW met1 ( 570170 15130 ) M1M2_PR
-      NEW met1 ( 786830 14790 ) M1M2_PR
-      NEW met1 ( 786830 299030 ) M1M2_PR
-      NEW met1 ( 793400 299030 ) M1M2_PR ;
+      + ROUTED met2 ( 570170 2380 0 ) ( * 14450 )
+      NEW met1 ( 570170 14450 ) ( 572470 * )
+      NEW met2 ( 572470 14450 ) ( * 289170 )
+      NEW met2 ( 818110 289170 ) ( * 298860 )
+      NEW met2 ( 818110 298860 ) ( 818300 * )
+      NEW met2 ( 818300 298860 ) ( * 300220 0 )
+      NEW met1 ( 572470 289170 ) ( 818110 * )
+      NEW met1 ( 570170 14450 ) M1M2_PR
+      NEW met1 ( 572470 14450 ) M1M2_PR
+      NEW met1 ( 572470 289170 ) M1M2_PR
+      NEW met1 ( 818110 289170 ) M1M2_PR ;
     - wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( mprj wbs_dat_o[29] ) + USE SIGNAL
-      + ROUTED met2 ( 588110 2380 0 ) ( * 14790 )
-      NEW met1 ( 760150 14450 ) ( * 14790 )
-      NEW met1 ( 760150 14450 ) ( 794190 * )
-      NEW met2 ( 794190 298860 ) ( 797900 * )
-      NEW met2 ( 797900 298860 ) ( * 300220 0 )
-      NEW met2 ( 794190 14450 ) ( * 298860 )
-      NEW met1 ( 588110 14790 ) ( 760150 * )
-      NEW met1 ( 588110 14790 ) M1M2_PR
-      NEW met1 ( 794190 14450 ) M1M2_PR ;
+      + ROUTED met2 ( 588110 2380 0 ) ( * 14450 )
+      NEW met1 ( 588110 14450 ) ( 821790 * )
+      NEW met2 ( 821790 298860 ) ( 823100 * )
+      NEW met2 ( 823100 298860 ) ( * 300220 0 )
+      NEW met2 ( 821790 14450 ) ( * 298860 )
+      NEW met1 ( 588110 14450 ) M1M2_PR
+      NEW met1 ( 821790 14450 ) M1M2_PR ;
     - wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( mprj wbs_dat_o[2] ) + USE SIGNAL
-      + ROUTED met2 ( 97290 2380 0 ) ( * 18700 )
-      NEW met1 ( 669990 299030 ) ( 676000 * )
-      NEW met2 ( 676000 299030 ) ( * 300220 0 )
-      NEW met2 ( 669990 18700 ) ( * 299030 )
-      NEW met3 ( 97290 18700 ) ( 669990 * )
-      NEW met2 ( 97290 18700 ) M2M3_PR_M
-      NEW met2 ( 669990 18700 ) M2M3_PR_M
-      NEW met1 ( 669990 299030 ) M1M2_PR
-      NEW met1 ( 676000 299030 ) M1M2_PR ;
+      + ROUTED met2 ( 97290 2380 0 ) ( * 15130 )
+      NEW met1 ( 97290 15130 ) ( 106950 * )
+      NEW met2 ( 693910 286790 ) ( * 298860 )
+      NEW met2 ( 693910 298860 ) ( 694200 * )
+      NEW met2 ( 694200 298860 ) ( * 300220 0 )
+      NEW met2 ( 106950 15130 ) ( * 286790 )
+      NEW met1 ( 106950 286790 ) ( 693910 * )
+      NEW met1 ( 97290 15130 ) M1M2_PR
+      NEW met1 ( 106950 15130 ) M1M2_PR
+      NEW met1 ( 106950 286790 ) M1M2_PR
+      NEW met1 ( 693910 286790 ) M1M2_PR ;
     - wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( mprj wbs_dat_o[30] ) + USE SIGNAL
-      + ROUTED met2 ( 605590 2380 0 ) ( * 14450 )
-      NEW li1 ( 759690 14450 ) ( * 19890 )
-      NEW met1 ( 759690 19890 ) ( 802010 * )
-      NEW met2 ( 802010 298860 ) ( 802400 * )
-      NEW met2 ( 802400 298860 ) ( * 300220 0 )
-      NEW met2 ( 802010 19890 ) ( * 298860 )
-      NEW met1 ( 605590 14450 ) ( 759690 * )
-      NEW met1 ( 605590 14450 ) M1M2_PR
-      NEW li1 ( 759690 14450 ) L1M1_PR_MR
-      NEW li1 ( 759690 19890 ) L1M1_PR_MR
-      NEW met1 ( 802010 19890 ) M1M2_PR ;
+      + ROUTED met2 ( 605590 2380 0 ) ( * 14110 )
+      NEW met1 ( 605590 14110 ) ( 822250 * )
+      NEW met2 ( 823630 298860 ) ( 827800 * )
+      NEW met2 ( 827800 298860 ) ( * 300220 0 )
+      NEW met2 ( 822250 14110 ) ( * 227700 )
+      NEW met2 ( 822250 227700 ) ( 823630 * )
+      NEW met2 ( 823630 227700 ) ( * 298860 )
+      NEW met1 ( 605590 14110 ) M1M2_PR
+      NEW met1 ( 822250 14110 ) M1M2_PR ;
     - wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( mprj wbs_dat_o[31] ) + USE SIGNAL
-      + ROUTED met1 ( 801090 299030 ) ( 806900 * )
-      NEW met2 ( 806900 299030 ) ( * 300220 0 )
-      NEW met2 ( 801090 14110 ) ( * 299030 )
-      NEW met2 ( 623530 2380 0 ) ( * 14110 )
-      NEW met1 ( 623530 14110 ) ( 801090 * )
-      NEW met1 ( 801090 14110 ) M1M2_PR
-      NEW met1 ( 801090 299030 ) M1M2_PR
-      NEW met1 ( 806900 299030 ) M1M2_PR
-      NEW met1 ( 623530 14110 ) M1M2_PR ;
+      + ROUTED met2 ( 623530 2380 0 ) ( * 3060 )
+      NEW met2 ( 623530 3060 ) ( 624450 * )
+      NEW met2 ( 624450 2380 ) ( * 3060 )
+      NEW met2 ( 624450 2380 ) ( 625830 * )
+      NEW met2 ( 832830 287810 ) ( * 298860 )
+      NEW met2 ( 832700 298860 ) ( 832830 * )
+      NEW met2 ( 832700 298860 ) ( * 300220 0 )
+      NEW met1 ( 627670 287810 ) ( 832830 * )
+      NEW met2 ( 625830 2380 ) ( * 34500 )
+      NEW met2 ( 625830 34500 ) ( 627670 * )
+      NEW met2 ( 627670 34500 ) ( * 287810 )
+      NEW met1 ( 627670 287810 ) M1M2_PR
+      NEW met1 ( 832830 287810 ) M1M2_PR ;
     - wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( mprj wbs_dat_o[3] ) + USE SIGNAL
-      + ROUTED met2 ( 121210 2380 0 ) ( * 17170 )
-      NEW met2 ( 677350 298860 ) ( 680500 * )
-      NEW met2 ( 680500 298860 ) ( * 300220 0 )
-      NEW met2 ( 677350 17170 ) ( * 298860 )
-      NEW met1 ( 121210 17170 ) ( 131100 * )
-      NEW met1 ( 131100 16490 ) ( * 17170 )
-      NEW met1 ( 131100 16490 ) ( 179400 * )
-      NEW met1 ( 179400 16490 ) ( * 17170 )
-      NEW met1 ( 179400 17170 ) ( 677350 * )
-      NEW met1 ( 121210 17170 ) M1M2_PR
-      NEW met1 ( 677350 17170 ) M1M2_PR ;
-    - wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( mprj wbs_dat_o[4] ) + USE SIGNAL
-      + ROUTED li1 ( 673210 17510 ) ( * 18530 )
-      NEW met1 ( 673210 18530 ) ( 684250 * )
-      NEW met2 ( 684250 298860 ) ( 685000 * )
-      NEW met2 ( 685000 298860 ) ( * 300220 0 )
-      NEW met2 ( 684250 18530 ) ( * 298860 )
-      NEW met2 ( 144670 2380 0 ) ( * 16830 )
-      NEW met1 ( 144670 16830 ) ( 173190 * )
-      NEW met1 ( 173190 16830 ) ( * 17510 )
-      NEW met1 ( 173190 17510 ) ( 673210 * )
-      NEW li1 ( 673210 17510 ) L1M1_PR_MR
-      NEW li1 ( 673210 18530 ) L1M1_PR_MR
-      NEW met1 ( 684250 18530 ) M1M2_PR
-      NEW met1 ( 144670 16830 ) M1M2_PR ;
-    - wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( mprj wbs_dat_o[5] ) + USE SIGNAL
-      + ROUTED met1 ( 683330 299030 ) ( 689500 * )
-      NEW met2 ( 689500 299030 ) ( * 300220 0 )
-      NEW met2 ( 683330 17850 ) ( * 299030 )
-      NEW met2 ( 162150 2380 0 ) ( * 9180 )
-      NEW met2 ( 162150 9180 ) ( 162610 * )
-      NEW met2 ( 162610 9180 ) ( * 17850 )
-      NEW met1 ( 162610 17850 ) ( 683330 * )
-      NEW met1 ( 683330 17850 ) M1M2_PR
-      NEW met1 ( 683330 299030 ) M1M2_PR
-      NEW met1 ( 689500 299030 ) M1M2_PR
-      NEW met1 ( 162610 17850 ) M1M2_PR ;
-    - wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( mprj wbs_dat_o[6] ) + USE SIGNAL
-      + ROUTED met2 ( 180090 2380 0 ) ( * 18190 )
-      NEW met2 ( 690230 298860 ) ( 694100 * )
-      NEW met2 ( 694100 298860 ) ( * 300220 0 )
-      NEW met2 ( 690230 18190 ) ( * 298860 )
-      NEW met1 ( 180090 18190 ) ( 690230 * )
-      NEW met1 ( 180090 18190 ) M1M2_PR
-      NEW met1 ( 690230 18190 ) M1M2_PR ;
-    - wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( mprj wbs_dat_o[7] ) + USE SIGNAL
-      + ROUTED met2 ( 198030 2380 0 ) ( * 18530 )
-      NEW li1 ( 672750 18530 ) ( * 18870 )
-      NEW li1 ( 672750 18870 ) ( 673670 * )
-      NEW li1 ( 673670 17510 ) ( * 18870 )
-      NEW met1 ( 673670 17510 ) ( 675970 * )
-      NEW li1 ( 675970 17170 ) ( * 17510 )
-      NEW li1 ( 675970 17170 ) ( 677810 * )
-      NEW met1 ( 677810 17170 ) ( 697590 * )
-      NEW met2 ( 697590 298860 ) ( 698600 * )
-      NEW met2 ( 698600 298860 ) ( * 300220 0 )
-      NEW met2 ( 697590 17170 ) ( * 298860 )
-      NEW met1 ( 198030 18530 ) ( 672750 * )
-      NEW met1 ( 198030 18530 ) M1M2_PR
-      NEW li1 ( 672750 18530 ) L1M1_PR_MR
-      NEW li1 ( 673670 17510 ) L1M1_PR_MR
+      + ROUTED met2 ( 121210 2380 0 ) ( * 17510 )
+      NEW li1 ( 675970 17510 ) ( 682870 * )
+      NEW met1 ( 682870 17510 ) ( 698050 * )
+      NEW met2 ( 698050 298860 ) ( 698900 * )
+      NEW met2 ( 698900 298860 ) ( * 300220 0 )
+      NEW met2 ( 698050 17510 ) ( * 298860 )
+      NEW met1 ( 121210 17510 ) ( 675970 * )
+      NEW met1 ( 121210 17510 ) M1M2_PR
       NEW li1 ( 675970 17510 ) L1M1_PR_MR
-      NEW li1 ( 677810 17170 ) L1M1_PR_MR
-      NEW met1 ( 697590 17170 ) M1M2_PR ;
+      NEW li1 ( 682870 17510 ) L1M1_PR_MR
+      NEW met1 ( 698050 17510 ) M1M2_PR ;
+    - wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( mprj wbs_dat_o[4] ) + USE SIGNAL
+      + ROUTED met1 ( 697130 299370 ) ( 703700 * )
+      NEW met2 ( 703700 299370 ) ( * 300220 0 )
+      NEW met2 ( 697130 17850 ) ( * 299370 )
+      NEW met2 ( 144670 2380 0 ) ( * 16830 )
+      NEW met1 ( 144670 16830 ) ( 172730 * )
+      NEW li1 ( 172730 16830 ) ( * 17850 )
+      NEW met1 ( 172730 17850 ) ( 697130 * )
+      NEW met1 ( 697130 17850 ) M1M2_PR
+      NEW met1 ( 697130 299370 ) M1M2_PR
+      NEW met1 ( 703700 299370 ) M1M2_PR
+      NEW met1 ( 144670 16830 ) M1M2_PR
+      NEW li1 ( 172730 16830 ) L1M1_PR_MR
+      NEW li1 ( 172730 17850 ) L1M1_PR_MR ;
+    - wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( mprj wbs_dat_o[5] ) + USE SIGNAL
+      + ROUTED li1 ( 672750 18190 ) ( * 19210 )
+      NEW li1 ( 672750 19210 ) ( 673670 * )
+      NEW li1 ( 673670 18870 ) ( * 19210 )
+      NEW met1 ( 673670 18870 ) ( 704030 * )
+      NEW met2 ( 704030 298860 ) ( 708500 * )
+      NEW met2 ( 708500 298860 ) ( * 300220 0 )
+      NEW met2 ( 704030 18870 ) ( * 298860 )
+      NEW met2 ( 162150 2380 0 ) ( * 17850 )
+      NEW met1 ( 162150 17850 ) ( * 18190 )
+      NEW met1 ( 162150 18190 ) ( 672750 * )
+      NEW li1 ( 672750 18190 ) L1M1_PR_MR
+      NEW li1 ( 673670 18870 ) L1M1_PR_MR
+      NEW met1 ( 704030 18870 ) M1M2_PR
+      NEW met1 ( 162150 17850 ) M1M2_PR ;
+    - wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( mprj wbs_dat_o[6] ) + USE SIGNAL
+      + ROUTED met2 ( 180090 2380 0 ) ( * 18530 )
+      NEW met2 ( 710930 298860 ) ( 713200 * )
+      NEW met2 ( 713200 298860 ) ( * 300220 0 )
+      NEW met2 ( 710930 18530 ) ( * 298860 )
+      NEW met1 ( 180090 18530 ) ( 710930 * )
+      NEW met1 ( 180090 18530 ) M1M2_PR
+      NEW met1 ( 710930 18530 ) M1M2_PR ;
+    - wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( mprj wbs_dat_o[7] ) + USE SIGNAL
+      + ROUTED met2 ( 198030 2380 0 ) ( * 18870 )
+      NEW li1 ( 673210 18190 ) ( * 18870 )
+      NEW met1 ( 673210 18190 ) ( 718750 * )
+      NEW met2 ( 718000 298860 ) ( 718290 * )
+      NEW met2 ( 718000 298860 ) ( * 300220 0 )
+      NEW met2 ( 718290 82800 ) ( 718750 * )
+      NEW met2 ( 718750 18190 ) ( * 82800 )
+      NEW met2 ( 718290 82800 ) ( * 298860 )
+      NEW met1 ( 198030 18870 ) ( 673210 * )
+      NEW met1 ( 198030 18870 ) M1M2_PR
+      NEW li1 ( 673210 18870 ) L1M1_PR_MR
+      NEW li1 ( 673210 18190 ) L1M1_PR_MR
+      NEW met1 ( 718750 18190 ) M1M2_PR ;
     - wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( mprj wbs_dat_o[8] ) + USE SIGNAL
-      + ROUTED met2 ( 215510 2380 0 ) ( * 18870 )
-      NEW met1 ( 697130 299030 ) ( 703100 * )
-      NEW met2 ( 703100 299030 ) ( * 300220 0 )
-      NEW met2 ( 697130 18870 ) ( * 299030 )
-      NEW met1 ( 215510 18870 ) ( 697130 * )
-      NEW met1 ( 215510 18870 ) M1M2_PR
-      NEW met1 ( 697130 18870 ) M1M2_PR
-      NEW met1 ( 697130 299030 ) M1M2_PR
-      NEW met1 ( 703100 299030 ) M1M2_PR ;
+      + ROUTED met2 ( 215510 2380 0 ) ( * 19210 )
+      NEW met1 ( 717830 288150 ) ( 722430 * )
+      NEW met2 ( 722430 288150 ) ( * 298860 )
+      NEW met2 ( 722430 298860 ) ( 722800 * )
+      NEW met2 ( 722800 298860 ) ( * 300220 0 )
+      NEW met2 ( 717830 19210 ) ( * 288150 )
+      NEW met1 ( 215510 19210 ) ( 717830 * )
+      NEW met1 ( 215510 19210 ) M1M2_PR
+      NEW met1 ( 717830 19210 ) M1M2_PR
+      NEW met1 ( 717830 288150 ) M1M2_PR
+      NEW met1 ( 722430 288150 ) M1M2_PR ;
     - wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( mprj wbs_dat_o[9] ) + USE SIGNAL
-      + ROUTED met2 ( 704030 298860 ) ( 707600 * )
-      NEW met2 ( 707600 298860 ) ( * 300220 0 )
-      NEW met2 ( 704030 19210 ) ( * 298860 )
-      NEW met2 ( 233450 2380 0 ) ( * 19210 )
-      NEW met1 ( 233450 19210 ) ( 704030 * )
-      NEW met1 ( 704030 19210 ) M1M2_PR
-      NEW met1 ( 233450 19210 ) M1M2_PR ;
+      + ROUTED met2 ( 233450 2380 0 ) ( * 19890 )
+      NEW met1 ( 233450 19890 ) ( 276000 * )
+      NEW met1 ( 276000 19550 ) ( * 19890 )
+      NEW met2 ( 724730 298860 ) ( 727600 * )
+      NEW met2 ( 727600 298860 ) ( * 300220 0 )
+      NEW met2 ( 724730 19550 ) ( * 298860 )
+      NEW met1 ( 276000 19550 ) ( 724730 * )
+      NEW met1 ( 233450 19890 ) M1M2_PR
+      NEW met1 ( 724730 19550 ) M1M2_PR ;
     - wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( mprj wbs_sel_i[0] ) + USE SIGNAL
-      + ROUTED met2 ( 55890 2380 0 ) ( * 17340 )
-      NEW met3 ( 55890 17340 ) ( 807990 * )
-      NEW met2 ( 807990 298860 ) ( 811500 * )
-      NEW met2 ( 811500 298860 ) ( * 300220 0 )
-      NEW met2 ( 807990 17340 ) ( * 298860 )
-      NEW met2 ( 55890 17340 ) M2M3_PR_M
-      NEW met2 ( 807990 17340 ) M2M3_PR_M ;
+      + ROUTED met2 ( 86250 16830 ) ( * 286450 )
+      NEW met2 ( 55890 2380 0 ) ( * 16830 )
+      NEW met1 ( 55890 16830 ) ( 86250 * )
+      NEW met2 ( 837430 286450 ) ( * 298860 )
+      NEW met2 ( 837430 298860 ) ( 837500 * )
+      NEW met2 ( 837500 298860 ) ( * 300220 0 )
+      NEW met1 ( 86250 286450 ) ( 837430 * )
+      NEW met1 ( 86250 16830 ) M1M2_PR
+      NEW met1 ( 86250 286450 ) M1M2_PR
+      NEW met1 ( 55890 16830 ) M1M2_PR
+      NEW met1 ( 837430 286450 ) M1M2_PR ;
     - wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( mprj wbs_sel_i[1] ) + USE SIGNAL
-      + ROUTED met2 ( 113850 16830 ) ( * 286450 )
-      NEW met2 ( 79810 2380 0 ) ( * 16830 )
-      NEW met1 ( 79810 16830 ) ( 113850 * )
-      NEW met2 ( 815810 286450 ) ( * 298860 )
-      NEW met2 ( 815810 298860 ) ( 816000 * )
-      NEW met2 ( 816000 298860 ) ( * 300220 0 )
-      NEW met1 ( 113850 286450 ) ( 815810 * )
-      NEW met1 ( 113850 16830 ) M1M2_PR
-      NEW met1 ( 113850 286450 ) M1M2_PR
-      NEW met1 ( 79810 16830 ) M1M2_PR
-      NEW met1 ( 815810 286450 ) M1M2_PR ;
+      + ROUTED met2 ( 79810 2380 0 ) ( * 17340 )
+      NEW met2 ( 842200 298860 ) ( 842950 * )
+      NEW met2 ( 842200 298860 ) ( * 300220 0 )
+      NEW met2 ( 842950 17340 ) ( * 298860 )
+      NEW met3 ( 79810 17340 ) ( 842950 * )
+      NEW met2 ( 79810 17340 ) M2M3_PR_M
+      NEW met2 ( 842950 17340 ) M2M3_PR_M ;
     - wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( mprj wbs_sel_i[2] ) + USE SIGNAL
       + ROUTED met2 ( 103270 2380 0 ) ( * 18020 )
-      NEW met3 ( 103270 18020 ) ( 814890 * )
-      NEW met1 ( 814890 299030 ) ( 820500 * )
-      NEW met2 ( 820500 299030 ) ( * 300220 0 )
-      NEW met2 ( 814890 18020 ) ( * 299030 )
+      NEW met1 ( 842030 282710 ) ( 846630 * )
+      NEW met2 ( 846630 282710 ) ( * 298860 )
+      NEW met2 ( 846630 298860 ) ( 847000 * )
+      NEW met2 ( 847000 298860 ) ( * 300220 0 )
+      NEW met2 ( 842030 82800 ) ( 842490 * )
+      NEW met2 ( 842490 18020 ) ( * 82800 )
+      NEW met2 ( 842030 82800 ) ( * 282710 )
+      NEW met3 ( 103270 18020 ) ( 842490 * )
       NEW met2 ( 103270 18020 ) M2M3_PR_M
-      NEW met2 ( 814890 18020 ) M2M3_PR_M
-      NEW met1 ( 814890 299030 ) M1M2_PR
-      NEW met1 ( 820500 299030 ) M1M2_PR ;
+      NEW met2 ( 842490 18020 ) M2M3_PR_M
+      NEW met1 ( 842030 282710 ) M1M2_PR
+      NEW met1 ( 846630 282710 ) M1M2_PR ;
     - wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( mprj wbs_sel_i[3] ) + USE SIGNAL
-      + ROUTED met2 ( 126730 2380 0 ) ( * 17850 )
-      NEW met1 ( 126730 17850 ) ( 148350 * )
-      NEW met2 ( 825010 286790 ) ( * 298860 )
-      NEW met2 ( 825000 298860 ) ( 825010 * )
-      NEW met2 ( 825000 298860 ) ( * 300220 0 )
-      NEW met1 ( 148350 286790 ) ( 825010 * )
-      NEW met2 ( 148350 17850 ) ( * 286790 )
-      NEW met1 ( 126730 17850 ) M1M2_PR
-      NEW met1 ( 148350 17850 ) M1M2_PR
-      NEW met1 ( 148350 286790 ) M1M2_PR
-      NEW met1 ( 825010 286790 ) M1M2_PR ;
+      + ROUTED met2 ( 126730 2380 0 ) ( * 18700 )
+      NEW met2 ( 848930 298860 ) ( 851800 * )
+      NEW met2 ( 851800 298860 ) ( * 300220 0 )
+      NEW met2 ( 848930 18700 ) ( * 298860 )
+      NEW met3 ( 126730 18700 ) ( 848930 * )
+      NEW met2 ( 126730 18700 ) M2M3_PR_M
+      NEW met2 ( 848930 18700 ) M2M3_PR_M ;
     - wbs_stb_i ( PIN wbs_stb_i ) ( mprj wbs_stb_i ) + USE SIGNAL
-      + ROUTED met2 ( 26450 2380 0 ) ( * 16660 )
-      NEW met3 ( 26450 16660 ) ( 828690 * )
-      NEW met2 ( 828690 298860 ) ( 829500 * )
-      NEW met2 ( 829500 298860 ) ( * 300220 0 )
-      NEW met2 ( 828690 16660 ) ( * 298860 )
-      NEW met2 ( 26450 16660 ) M2M3_PR_M
-      NEW met2 ( 828690 16660 ) M2M3_PR_M ;
+      + ROUTED met2 ( 26450 2380 0 ) ( * 19210 )
+      NEW met2 ( 856290 286110 ) ( * 299540 )
+      NEW met2 ( 856290 299540 ) ( 856600 * )
+      NEW met2 ( 856600 299540 ) ( * 300220 0 )
+      NEW met1 ( 26450 19210 ) ( 37950 * )
+      NEW met1 ( 37950 286110 ) ( 856290 * )
+      NEW met2 ( 37950 19210 ) ( * 286110 )
+      NEW met1 ( 26450 19210 ) M1M2_PR
+      NEW met1 ( 856290 286110 ) M1M2_PR
+      NEW met1 ( 37950 19210 ) M1M2_PR
+      NEW met1 ( 37950 286110 ) M1M2_PR ;
     - wbs_we_i ( PIN wbs_we_i ) ( mprj wbs_we_i ) + USE SIGNAL
-      + ROUTED met2 ( 32430 2380 0 ) ( * 16490 )
-      NEW met1 ( 32430 16490 ) ( 37950 * )
-      NEW met2 ( 833750 286110 ) ( * 298860 )
-      NEW met2 ( 833750 298860 ) ( 834000 * )
-      NEW met2 ( 834000 298860 ) ( * 300220 0 )
-      NEW met1 ( 37950 286110 ) ( 833750 * )
-      NEW met2 ( 37950 16490 ) ( * 286110 )
-      NEW met1 ( 32430 16490 ) M1M2_PR
-      NEW met1 ( 37950 16490 ) M1M2_PR
-      NEW met1 ( 37950 286110 ) M1M2_PR
-      NEW met1 ( 833750 286110 ) M1M2_PR ;
+      + ROUTED met2 ( 32430 2380 0 ) ( * 16660 )
+      NEW met2 ( 856750 298860 ) ( 861300 * )
+      NEW met2 ( 861300 298860 ) ( * 300220 0 )
+      NEW met2 ( 856750 16660 ) ( * 298860 )
+      NEW met3 ( 32430 16660 ) ( 856750 * )
+      NEW met2 ( 32430 16660 ) M2M3_PR_M
+      NEW met2 ( 856750 16660 ) M2M3_PR_M ;
 END NETS
 END DESIGN
diff --git a/gds/rest_top.gds.gz b/gds/rest_top.gds.gz
index c4c1f63..3d3c3a4 100644
--- a/gds/rest_top.gds.gz
+++ b/gds/rest_top.gds.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index 399c116..d67ab27 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/lef/rest_top.lef b/lef/rest_top.lef
index bcad7b9..e51897d 100644
--- a/lef/rest_top.lef
+++ b/lef/rest_top.lef
@@ -1,6 +1,6 @@
 ##
 ## LEF for PtnCells ;
-## created by Innovus v20.10-p004_1 on Sat Dec 25 01:49:48 2021
+## created by Innovus v20.10-p004_1 on Sun Dec 26 22:13:59 2021
 ##
 
 VERSION 5.7 ;
@@ -10,24 +10,44 @@
 
 MACRO rest_top
   CLASS BLOCK ;
-  SIZE 2225.940000 BY 2895.100000 ;
+  SIZE 2369.460000 BY 2290.240000 ;
   FOREIGN rest_top 0.000000 0.000000 ;
   ORIGIN 0 0 ;
   SYMMETRY X Y R90 ;
   PIN wb_clk_i
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.1939 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 0.8085 LAYER met2  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via2  ;
+    ANTENNAPARTIALMETALAREA 69.124 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 369.128 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 1.9548 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 13.248 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 2.982 LAYER met4  ;
+    ANTENNAMAXAREACAR 55.4714 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 278.874 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.496244 LAYER via4  ;
     PORT
       LAYER met2 ;
-        RECT 4.430000 0.000000 4.570000 0.490000 ;
+        RECT 4.530000 0.000000 4.670000 0.490000 ;
     END
   END wb_clk_i
   PIN wb_rst_i
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.8133 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 18.8405 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.495 LAYER met2  ;
+    ANTENNAMAXAREACAR 16.5434 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 81.5051 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.103838 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 2.430000 0.000000 2.570000 0.485000 ;
+        RECT 1.930000 0.000000 2.070000 0.485000 ;
     END
   END wb_rst_i
   PIN wbs_stb_i
@@ -35,7 +55,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 469.430000 0.000000 469.570000 0.490000 ;
+        RECT 496.530000 0.000000 496.670000 0.490000 ;
     END
   END wbs_stb_i
   PIN wbs_cyc_i
@@ -43,7 +63,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 157.930000 0.000000 158.070000 0.490000 ;
+        RECT 166.930000 0.000000 167.070000 0.490000 ;
     END
   END wbs_cyc_i
   PIN wbs_we_i
@@ -51,7 +71,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 473.930000 0.000000 474.070000 0.490000 ;
+        RECT 501.230000 0.000000 501.370000 0.490000 ;
     END
   END wbs_we_i
   PIN wbs_sel_i[3]
@@ -59,7 +79,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 464.930000 0.000000 465.070000 0.490000 ;
+        RECT 491.730000 0.000000 491.870000 0.490000 ;
     END
   END wbs_sel_i[3]
   PIN wbs_sel_i[2]
@@ -67,7 +87,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 460.430000 0.000000 460.570000 0.490000 ;
+        RECT 486.930000 0.000000 487.070000 0.490000 ;
     END
   END wbs_sel_i[2]
   PIN wbs_sel_i[1]
@@ -75,7 +95,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 455.930000 0.000000 456.070000 0.490000 ;
+        RECT 482.130000 0.000000 482.270000 0.490000 ;
     END
   END wbs_sel_i[1]
   PIN wbs_sel_i[0]
@@ -83,7 +103,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 451.430000 0.000000 451.570000 0.490000 ;
+        RECT 477.430000 0.000000 477.570000 0.490000 ;
     END
   END wbs_sel_i[0]
   PIN wbs_dat_i[31]
@@ -91,7 +111,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 302.430000 0.000000 302.570000 0.490000 ;
+        RECT 319.830000 0.000000 319.970000 0.490000 ;
     END
   END wbs_dat_i[31]
   PIN wbs_dat_i[30]
@@ -99,7 +119,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 297.830000 0.000000 297.970000 0.490000 ;
+        RECT 315.030000 0.000000 315.170000 0.490000 ;
     END
   END wbs_dat_i[30]
   PIN wbs_dat_i[29]
@@ -107,7 +127,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 293.330000 0.000000 293.470000 0.490000 ;
+        RECT 310.230000 0.000000 310.370000 0.490000 ;
     END
   END wbs_dat_i[29]
   PIN wbs_dat_i[28]
@@ -115,7 +135,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 288.830000 0.000000 288.970000 0.490000 ;
+        RECT 305.430000 0.000000 305.570000 0.490000 ;
     END
   END wbs_dat_i[28]
   PIN wbs_dat_i[27]
@@ -123,7 +143,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 284.330000 0.000000 284.470000 0.490000 ;
+        RECT 300.630000 0.000000 300.770000 0.490000 ;
     END
   END wbs_dat_i[27]
   PIN wbs_dat_i[26]
@@ -131,7 +151,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 279.830000 0.000000 279.970000 0.490000 ;
+        RECT 295.830000 0.000000 295.970000 0.490000 ;
     END
   END wbs_dat_i[26]
   PIN wbs_dat_i[25]
@@ -139,7 +159,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 275.330000 0.000000 275.470000 0.490000 ;
+        RECT 291.130000 0.000000 291.270000 0.490000 ;
     END
   END wbs_dat_i[25]
   PIN wbs_dat_i[24]
@@ -147,7 +167,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 270.830000 0.000000 270.970000 0.490000 ;
+        RECT 286.430000 0.000000 286.570000 0.490000 ;
     END
   END wbs_dat_i[24]
   PIN wbs_dat_i[23]
@@ -155,7 +175,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 266.230000 0.000000 266.370000 0.490000 ;
+        RECT 281.630000 0.000000 281.770000 0.490000 ;
     END
   END wbs_dat_i[23]
   PIN wbs_dat_i[22]
@@ -163,7 +183,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 261.730000 0.000000 261.870000 0.490000 ;
+        RECT 276.830000 0.000000 276.970000 0.490000 ;
     END
   END wbs_dat_i[22]
   PIN wbs_dat_i[21]
@@ -171,7 +191,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 257.230000 0.000000 257.370000 0.490000 ;
+        RECT 272.030000 0.000000 272.170000 0.490000 ;
     END
   END wbs_dat_i[21]
   PIN wbs_dat_i[20]
@@ -179,7 +199,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 252.730000 0.000000 252.870000 0.490000 ;
+        RECT 267.230000 0.000000 267.370000 0.490000 ;
     END
   END wbs_dat_i[20]
   PIN wbs_dat_i[19]
@@ -187,7 +207,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 248.230000 0.000000 248.370000 0.490000 ;
+        RECT 262.530000 0.000000 262.670000 0.490000 ;
     END
   END wbs_dat_i[19]
   PIN wbs_dat_i[18]
@@ -195,7 +215,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 243.730000 0.000000 243.870000 0.490000 ;
+        RECT 257.730000 0.000000 257.870000 0.490000 ;
     END
   END wbs_dat_i[18]
   PIN wbs_dat_i[17]
@@ -203,7 +223,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 239.130000 0.000000 239.270000 0.490000 ;
+        RECT 252.930000 0.000000 253.070000 0.490000 ;
     END
   END wbs_dat_i[17]
   PIN wbs_dat_i[16]
@@ -211,7 +231,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 234.630000 0.000000 234.770000 0.490000 ;
+        RECT 248.130000 0.000000 248.270000 0.490000 ;
     END
   END wbs_dat_i[16]
   PIN wbs_dat_i[15]
@@ -219,7 +239,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 230.130000 0.000000 230.270000 0.490000 ;
+        RECT 243.330000 0.000000 243.470000 0.490000 ;
     END
   END wbs_dat_i[15]
   PIN wbs_dat_i[14]
@@ -227,7 +247,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 225.630000 0.000000 225.770000 0.490000 ;
+        RECT 238.630000 0.000000 238.770000 0.490000 ;
     END
   END wbs_dat_i[14]
   PIN wbs_dat_i[13]
@@ -235,7 +255,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 221.130000 0.000000 221.270000 0.490000 ;
+        RECT 233.930000 0.000000 234.070000 0.490000 ;
     END
   END wbs_dat_i[13]
   PIN wbs_dat_i[12]
@@ -243,7 +263,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 216.630000 0.000000 216.770000 0.490000 ;
+        RECT 229.030000 0.000000 229.170000 0.490000 ;
     END
   END wbs_dat_i[12]
   PIN wbs_dat_i[11]
@@ -251,7 +271,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 212.130000 0.000000 212.270000 0.490000 ;
+        RECT 224.230000 0.000000 224.370000 0.490000 ;
     END
   END wbs_dat_i[11]
   PIN wbs_dat_i[10]
@@ -259,7 +279,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 207.530000 0.000000 207.670000 0.490000 ;
+        RECT 219.430000 0.000000 219.570000 0.490000 ;
     END
   END wbs_dat_i[10]
   PIN wbs_dat_i[9]
@@ -267,7 +287,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 203.030000 0.000000 203.170000 0.490000 ;
+        RECT 214.630000 0.000000 214.770000 0.490000 ;
     END
   END wbs_dat_i[9]
   PIN wbs_dat_i[8]
@@ -275,7 +295,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 198.530000 0.000000 198.670000 0.490000 ;
+        RECT 209.830000 0.000000 209.970000 0.490000 ;
     END
   END wbs_dat_i[8]
   PIN wbs_dat_i[7]
@@ -283,7 +303,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 194.030000 0.000000 194.170000 0.490000 ;
+        RECT 205.030000 0.000000 205.170000 0.490000 ;
     END
   END wbs_dat_i[7]
   PIN wbs_dat_i[6]
@@ -291,7 +311,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 189.530000 0.000000 189.670000 0.490000 ;
+        RECT 200.330000 0.000000 200.470000 0.490000 ;
     END
   END wbs_dat_i[6]
   PIN wbs_dat_i[5]
@@ -299,7 +319,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 185.030000 0.000000 185.170000 0.490000 ;
+        RECT 195.530000 0.000000 195.670000 0.490000 ;
     END
   END wbs_dat_i[5]
   PIN wbs_dat_i[4]
@@ -307,7 +327,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 180.530000 0.000000 180.670000 0.490000 ;
+        RECT 190.830000 0.000000 190.970000 0.490000 ;
     END
   END wbs_dat_i[4]
   PIN wbs_dat_i[3]
@@ -315,7 +335,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 175.930000 0.000000 176.070000 0.490000 ;
+        RECT 186.030000 0.000000 186.170000 0.490000 ;
     END
   END wbs_dat_i[3]
   PIN wbs_dat_i[2]
@@ -323,7 +343,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 171.430000 0.000000 171.570000 0.490000 ;
+        RECT 181.230000 0.000000 181.370000 0.490000 ;
     END
   END wbs_dat_i[2]
   PIN wbs_dat_i[1]
@@ -331,7 +351,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 166.930000 0.000000 167.070000 0.490000 ;
+        RECT 176.430000 0.000000 176.570000 0.490000 ;
     END
   END wbs_dat_i[1]
   PIN wbs_dat_i[0]
@@ -339,7 +359,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 162.430000 0.000000 162.570000 0.490000 ;
+        RECT 171.730000 0.000000 171.870000 0.490000 ;
     END
   END wbs_dat_i[0]
   PIN wbs_adr_i[31]
@@ -347,7 +367,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 153.430000 0.000000 153.570000 0.490000 ;
+        RECT 162.130000 0.000000 162.270000 0.490000 ;
     END
   END wbs_adr_i[31]
   PIN wbs_adr_i[30]
@@ -355,7 +375,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 148.830000 0.000000 148.970000 0.490000 ;
+        RECT 157.330000 0.000000 157.470000 0.490000 ;
     END
   END wbs_adr_i[30]
   PIN wbs_adr_i[29]
@@ -363,7 +383,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 144.330000 0.000000 144.470000 0.490000 ;
+        RECT 152.530000 0.000000 152.670000 0.490000 ;
     END
   END wbs_adr_i[29]
   PIN wbs_adr_i[28]
@@ -371,7 +391,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 139.830000 0.000000 139.970000 0.490000 ;
+        RECT 147.730000 0.000000 147.870000 0.490000 ;
     END
   END wbs_adr_i[28]
   PIN wbs_adr_i[27]
@@ -379,7 +399,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 135.330000 0.000000 135.470000 0.490000 ;
+        RECT 143.130000 0.000000 143.270000 0.490000 ;
     END
   END wbs_adr_i[27]
   PIN wbs_adr_i[26]
@@ -387,7 +407,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 130.830000 0.000000 130.970000 0.490000 ;
+        RECT 138.330000 0.000000 138.470000 0.490000 ;
     END
   END wbs_adr_i[26]
   PIN wbs_adr_i[25]
@@ -395,7 +415,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 126.330000 0.000000 126.470000 0.490000 ;
+        RECT 133.530000 0.000000 133.670000 0.490000 ;
     END
   END wbs_adr_i[25]
   PIN wbs_adr_i[24]
@@ -403,7 +423,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 121.830000 0.000000 121.970000 0.490000 ;
+        RECT 128.730000 0.000000 128.870000 0.490000 ;
     END
   END wbs_adr_i[24]
   PIN wbs_adr_i[23]
@@ -411,7 +431,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 117.230000 0.000000 117.370000 0.490000 ;
+        RECT 123.930000 0.000000 124.070000 0.490000 ;
     END
   END wbs_adr_i[23]
   PIN wbs_adr_i[22]
@@ -419,7 +439,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 112.730000 0.000000 112.870000 0.490000 ;
+        RECT 119.130000 0.000000 119.270000 0.490000 ;
     END
   END wbs_adr_i[22]
   PIN wbs_adr_i[21]
@@ -427,7 +447,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 108.230000 0.000000 108.370000 0.490000 ;
+        RECT 114.430000 0.000000 114.570000 0.490000 ;
     END
   END wbs_adr_i[21]
   PIN wbs_adr_i[20]
@@ -435,7 +455,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 103.730000 0.000000 103.870000 0.490000 ;
+        RECT 109.630000 0.000000 109.770000 0.490000 ;
     END
   END wbs_adr_i[20]
   PIN wbs_adr_i[19]
@@ -443,7 +463,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 99.230000 0.000000 99.370000 0.490000 ;
+        RECT 104.830000 0.000000 104.970000 0.490000 ;
     END
   END wbs_adr_i[19]
   PIN wbs_adr_i[18]
@@ -451,7 +471,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 94.730000 0.000000 94.870000 0.490000 ;
+        RECT 100.030000 0.000000 100.170000 0.490000 ;
     END
   END wbs_adr_i[18]
   PIN wbs_adr_i[17]
@@ -459,7 +479,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 90.230000 0.000000 90.370000 0.490000 ;
+        RECT 95.330000 0.000000 95.470000 0.490000 ;
     END
   END wbs_adr_i[17]
   PIN wbs_adr_i[16]
@@ -467,7 +487,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 85.630000 0.000000 85.770000 0.490000 ;
+        RECT 90.530000 0.000000 90.670000 0.490000 ;
     END
   END wbs_adr_i[16]
   PIN wbs_adr_i[15]
@@ -475,7 +495,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 81.130000 0.000000 81.270000 0.490000 ;
+        RECT 85.830000 0.000000 85.970000 0.490000 ;
     END
   END wbs_adr_i[15]
   PIN wbs_adr_i[14]
@@ -483,7 +503,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 76.630000 0.000000 76.770000 0.490000 ;
+        RECT 81.030000 0.000000 81.170000 0.490000 ;
     END
   END wbs_adr_i[14]
   PIN wbs_adr_i[13]
@@ -491,7 +511,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 72.130000 0.000000 72.270000 0.490000 ;
+        RECT 76.230000 0.000000 76.370000 0.490000 ;
     END
   END wbs_adr_i[13]
   PIN wbs_adr_i[12]
@@ -499,7 +519,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 67.630000 0.000000 67.770000 0.490000 ;
+        RECT 71.430000 0.000000 71.570000 0.490000 ;
     END
   END wbs_adr_i[12]
   PIN wbs_adr_i[11]
@@ -507,7 +527,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 63.130000 0.000000 63.270000 0.490000 ;
+        RECT 66.630000 0.000000 66.770000 0.490000 ;
     END
   END wbs_adr_i[11]
   PIN wbs_adr_i[10]
@@ -515,7 +535,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 58.530000 0.000000 58.670000 0.490000 ;
+        RECT 61.830000 0.000000 61.970000 0.490000 ;
     END
   END wbs_adr_i[10]
   PIN wbs_adr_i[9]
@@ -523,7 +543,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 54.030000 0.000000 54.170000 0.490000 ;
+        RECT 57.130000 0.000000 57.270000 0.490000 ;
     END
   END wbs_adr_i[9]
   PIN wbs_adr_i[8]
@@ -531,7 +551,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 49.530000 0.000000 49.670000 0.490000 ;
+        RECT 52.330000 0.000000 52.470000 0.490000 ;
     END
   END wbs_adr_i[8]
   PIN wbs_adr_i[7]
@@ -539,7 +559,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 45.030000 0.000000 45.170000 0.490000 ;
+        RECT 47.630000 0.000000 47.770000 0.490000 ;
     END
   END wbs_adr_i[7]
   PIN wbs_adr_i[6]
@@ -547,7 +567,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 40.530000 0.000000 40.670000 0.490000 ;
+        RECT 42.830000 0.000000 42.970000 0.490000 ;
     END
   END wbs_adr_i[6]
   PIN wbs_adr_i[5]
@@ -555,7 +575,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 36.030000 0.000000 36.170000 0.490000 ;
+        RECT 38.030000 0.000000 38.170000 0.490000 ;
     END
   END wbs_adr_i[5]
   PIN wbs_adr_i[4]
@@ -563,7 +583,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 31.530000 0.000000 31.670000 0.490000 ;
+        RECT 33.230000 0.000000 33.370000 0.490000 ;
     END
   END wbs_adr_i[4]
   PIN wbs_adr_i[3]
@@ -571,7 +591,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 26.930000 0.000000 27.070000 0.490000 ;
+        RECT 28.530000 0.000000 28.670000 0.490000 ;
     END
   END wbs_adr_i[3]
   PIN wbs_adr_i[2]
@@ -579,7 +599,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 22.430000 0.000000 22.570000 0.490000 ;
+        RECT 23.730000 0.000000 23.870000 0.490000 ;
     END
   END wbs_adr_i[2]
   PIN wbs_adr_i[1]
@@ -587,7 +607,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 17.930000 0.000000 18.070000 0.490000 ;
+        RECT 18.930000 0.000000 19.070000 0.490000 ;
     END
   END wbs_adr_i[1]
   PIN wbs_adr_i[0]
@@ -595,271 +615,337 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 13.430000 0.000000 13.570000 0.490000 ;
+        RECT 14.130000 0.000000 14.270000 0.490000 ;
     END
   END wbs_adr_i[0]
   PIN wbs_ack_o
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9448 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.616 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 8.930000 0.000000 9.070000 0.490000 ;
+        RECT 9.330000 0.000000 9.470000 0.490000 ;
     END
   END wbs_ack_o
   PIN wbs_dat_o[31]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 446.830000 0.000000 446.970000 0.490000 ;
+        RECT 472.630000 0.000000 472.770000 0.490000 ;
     END
   END wbs_dat_o[31]
   PIN wbs_dat_o[30]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 442.330000 0.000000 442.470000 0.490000 ;
+        RECT 467.730000 0.000000 467.870000 0.490000 ;
     END
   END wbs_dat_o[30]
   PIN wbs_dat_o[29]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9252 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.518 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 437.830000 0.000000 437.970000 0.490000 ;
+        RECT 463.030000 0.000000 463.170000 0.490000 ;
     END
   END wbs_dat_o[29]
   PIN wbs_dat_o[28]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.928 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.532 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 433.330000 0.000000 433.470000 0.490000 ;
+        RECT 458.230000 0.000000 458.370000 0.490000 ;
     END
   END wbs_dat_o[28]
   PIN wbs_dat_o[27]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 428.830000 0.000000 428.970000 0.490000 ;
+        RECT 453.430000 0.000000 453.570000 0.490000 ;
     END
   END wbs_dat_o[27]
   PIN wbs_dat_o[26]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8762 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.273 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 424.330000 0.000000 424.470000 0.490000 ;
+        RECT 448.630000 0.000000 448.770000 0.490000 ;
     END
   END wbs_dat_o[26]
   PIN wbs_dat_o[25]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 419.730000 0.000000 419.870000 0.490000 ;
+        RECT 443.830000 0.000000 443.970000 0.490000 ;
     END
   END wbs_dat_o[25]
   PIN wbs_dat_o[24]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9364 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.574 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 415.230000 0.000000 415.370000 0.490000 ;
+        RECT 439.030000 0.000000 439.170000 0.490000 ;
     END
   END wbs_dat_o[24]
   PIN wbs_dat_o[23]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9707 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.7455 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 410.730000 0.000000 410.870000 0.490000 ;
+        RECT 434.330000 0.000000 434.470000 0.490000 ;
     END
   END wbs_dat_o[23]
   PIN wbs_dat_o[22]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 406.230000 0.000000 406.370000 0.490000 ;
+        RECT 429.630000 0.000000 429.770000 0.490000 ;
     END
   END wbs_dat_o[22]
   PIN wbs_dat_o[21]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 401.730000 0.000000 401.870000 0.490000 ;
+        RECT 424.830000 0.000000 424.970000 0.490000 ;
     END
   END wbs_dat_o[21]
   PIN wbs_dat_o[20]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8874 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.329 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 397.230000 0.000000 397.370000 0.490000 ;
+        RECT 420.030000 0.000000 420.170000 0.490000 ;
     END
   END wbs_dat_o[20]
   PIN wbs_dat_o[19]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9196 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.49 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 392.730000 0.000000 392.870000 0.490000 ;
+        RECT 415.230000 0.000000 415.370000 0.490000 ;
     END
   END wbs_dat_o[19]
   PIN wbs_dat_o[18]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9336 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.56 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 388.130000 0.000000 388.270000 0.490000 ;
+        RECT 410.430000 0.000000 410.570000 0.490000 ;
     END
   END wbs_dat_o[18]
   PIN wbs_dat_o[17]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9154 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.469 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 383.630000 0.000000 383.770000 0.490000 ;
+        RECT 405.730000 0.000000 405.870000 0.490000 ;
     END
   END wbs_dat_o[17]
   PIN wbs_dat_o[16]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 379.130000 0.000000 379.270000 0.490000 ;
+        RECT 400.930000 0.000000 401.070000 0.490000 ;
     END
   END wbs_dat_o[16]
   PIN wbs_dat_o[15]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 374.630000 0.000000 374.770000 0.490000 ;
+        RECT 396.130000 0.000000 396.270000 0.490000 ;
     END
   END wbs_dat_o[15]
   PIN wbs_dat_o[14]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9126 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.455 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 370.130000 0.000000 370.270000 0.490000 ;
+        RECT 391.330000 0.000000 391.470000 0.490000 ;
     END
   END wbs_dat_o[14]
   PIN wbs_dat_o[13]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9364 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.574 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 365.630000 0.000000 365.770000 0.490000 ;
+        RECT 386.530000 0.000000 386.670000 0.490000 ;
     END
   END wbs_dat_o[13]
   PIN wbs_dat_o[12]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9182 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.483 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 361.130000 0.000000 361.270000 0.490000 ;
+        RECT 381.830000 0.000000 381.970000 0.490000 ;
     END
   END wbs_dat_o[12]
   PIN wbs_dat_o[11]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 356.530000 0.000000 356.670000 0.490000 ;
+        RECT 377.130000 0.000000 377.270000 0.490000 ;
     END
   END wbs_dat_o[11]
   PIN wbs_dat_o[10]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 352.030000 0.000000 352.170000 0.490000 ;
+        RECT 372.330000 0.000000 372.470000 0.490000 ;
     END
   END wbs_dat_o[10]
   PIN wbs_dat_o[9]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 347.530000 0.000000 347.670000 0.490000 ;
+        RECT 367.530000 0.000000 367.670000 0.490000 ;
     END
   END wbs_dat_o[9]
   PIN wbs_dat_o[8]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.928 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.532 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 343.030000 0.000000 343.170000 0.490000 ;
+        RECT 362.730000 0.000000 362.870000 0.490000 ;
     END
   END wbs_dat_o[8]
   PIN wbs_dat_o[7]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9252 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.518 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 338.530000 0.000000 338.670000 0.490000 ;
+        RECT 357.930000 0.000000 358.070000 0.490000 ;
     END
   END wbs_dat_o[7]
   PIN wbs_dat_o[6]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 334.030000 0.000000 334.170000 0.490000 ;
+        RECT 353.130000 0.000000 353.270000 0.490000 ;
     END
   END wbs_dat_o[6]
   PIN wbs_dat_o[5]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 329.430000 0.000000 329.570000 0.490000 ;
+        RECT 348.430000 0.000000 348.570000 0.490000 ;
     END
   END wbs_dat_o[5]
   PIN wbs_dat_o[4]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 324.930000 0.000000 325.070000 0.490000 ;
+        RECT 343.630000 0.000000 343.770000 0.490000 ;
     END
   END wbs_dat_o[4]
   PIN wbs_dat_o[3]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8874 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.329 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 320.430000 0.000000 320.570000 0.490000 ;
+        RECT 338.830000 0.000000 338.970000 0.490000 ;
     END
   END wbs_dat_o[3]
   PIN wbs_dat_o[2]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9392 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.588 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 315.930000 0.000000 316.070000 0.490000 ;
+        RECT 334.130000 0.000000 334.270000 0.490000 ;
     END
   END wbs_dat_o[2]
   PIN wbs_dat_o[1]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 311.430000 0.000000 311.570000 0.490000 ;
+        RECT 329.330000 0.000000 329.470000 0.490000 ;
     END
   END wbs_dat_o[1]
   PIN wbs_dat_o[0]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9266 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.525 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 306.930000 0.000000 307.070000 0.490000 ;
+        RECT 324.530000 0.000000 324.670000 0.490000 ;
     END
   END wbs_dat_o[0]
   PIN la_data_in[127]
@@ -867,7 +953,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1051.830000 0.000000 1051.970000 0.490000 ;
+        RECT 1112.430000 0.000000 1112.570000 0.490000 ;
     END
   END la_data_in[127]
   PIN la_data_in[126]
@@ -875,7 +961,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1047.330000 0.000000 1047.470000 0.490000 ;
+        RECT 1107.730000 0.000000 1107.870000 0.490000 ;
     END
   END la_data_in[126]
   PIN la_data_in[125]
@@ -883,7 +969,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1042.830000 0.000000 1042.970000 0.490000 ;
+        RECT 1103.030000 0.000000 1103.170000 0.490000 ;
     END
   END la_data_in[125]
   PIN la_data_in[124]
@@ -891,7 +977,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1038.330000 0.000000 1038.470000 0.490000 ;
+        RECT 1098.230000 0.000000 1098.370000 0.490000 ;
     END
   END la_data_in[124]
   PIN la_data_in[123]
@@ -899,7 +985,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1033.830000 0.000000 1033.970000 0.490000 ;
+        RECT 1093.430000 0.000000 1093.570000 0.490000 ;
     END
   END la_data_in[123]
   PIN la_data_in[122]
@@ -907,7 +993,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1029.330000 0.000000 1029.470000 0.490000 ;
+        RECT 1088.630000 0.000000 1088.770000 0.490000 ;
     END
   END la_data_in[122]
   PIN la_data_in[121]
@@ -915,7 +1001,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1024.830000 0.000000 1024.970000 0.490000 ;
+        RECT 1083.830000 0.000000 1083.970000 0.490000 ;
     END
   END la_data_in[121]
   PIN la_data_in[120]
@@ -923,7 +1009,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1020.230000 0.000000 1020.370000 0.490000 ;
+        RECT 1079.130000 0.000000 1079.270000 0.490000 ;
     END
   END la_data_in[120]
   PIN la_data_in[119]
@@ -931,7 +1017,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1015.730000 0.000000 1015.870000 0.490000 ;
+        RECT 1074.330000 0.000000 1074.470000 0.490000 ;
     END
   END la_data_in[119]
   PIN la_data_in[118]
@@ -939,7 +1025,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1011.230000 0.000000 1011.370000 0.490000 ;
+        RECT 1069.530000 0.000000 1069.670000 0.490000 ;
     END
   END la_data_in[118]
   PIN la_data_in[117]
@@ -947,7 +1033,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1006.730000 0.000000 1006.870000 0.490000 ;
+        RECT 1064.730000 0.000000 1064.870000 0.490000 ;
     END
   END la_data_in[117]
   PIN la_data_in[116]
@@ -955,7 +1041,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1002.230000 0.000000 1002.370000 0.490000 ;
+        RECT 1059.930000 0.000000 1060.070000 0.490000 ;
     END
   END la_data_in[116]
   PIN la_data_in[115]
@@ -963,7 +1049,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 997.730000 0.000000 997.870000 0.490000 ;
+        RECT 1055.230000 0.000000 1055.370000 0.490000 ;
     END
   END la_data_in[115]
   PIN la_data_in[114]
@@ -971,7 +1057,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 993.230000 0.000000 993.370000 0.490000 ;
+        RECT 1050.530000 0.000000 1050.670000 0.490000 ;
     END
   END la_data_in[114]
   PIN la_data_in[113]
@@ -979,7 +1065,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 988.630000 0.000000 988.770000 0.490000 ;
+        RECT 1045.730000 0.000000 1045.870000 0.490000 ;
     END
   END la_data_in[113]
   PIN la_data_in[112]
@@ -987,7 +1073,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 984.130000 0.000000 984.270000 0.490000 ;
+        RECT 1040.930000 0.000000 1041.070000 0.490000 ;
     END
   END la_data_in[112]
   PIN la_data_in[111]
@@ -995,7 +1081,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 979.630000 0.000000 979.770000 0.490000 ;
+        RECT 1036.130000 0.000000 1036.270000 0.490000 ;
     END
   END la_data_in[111]
   PIN la_data_in[110]
@@ -1003,7 +1089,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 975.130000 0.000000 975.270000 0.490000 ;
+        RECT 1031.330000 0.000000 1031.470000 0.490000 ;
     END
   END la_data_in[110]
   PIN la_data_in[109]
@@ -1011,7 +1097,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 970.630000 0.000000 970.770000 0.490000 ;
+        RECT 1026.530000 0.000000 1026.670000 0.490000 ;
     END
   END la_data_in[109]
   PIN la_data_in[108]
@@ -1019,7 +1105,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 966.130000 0.000000 966.270000 0.490000 ;
+        RECT 1021.830000 0.000000 1021.970000 0.490000 ;
     END
   END la_data_in[108]
   PIN la_data_in[107]
@@ -1027,7 +1113,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 961.530000 0.000000 961.670000 0.490000 ;
+        RECT 1017.030000 0.000000 1017.170000 0.490000 ;
     END
   END la_data_in[107]
   PIN la_data_in[106]
@@ -1035,7 +1121,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 957.030000 0.000000 957.170000 0.490000 ;
+        RECT 1012.230000 0.000000 1012.370000 0.490000 ;
     END
   END la_data_in[106]
   PIN la_data_in[105]
@@ -1043,7 +1129,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 952.530000 0.000000 952.670000 0.490000 ;
+        RECT 1007.530000 0.000000 1007.670000 0.490000 ;
     END
   END la_data_in[105]
   PIN la_data_in[104]
@@ -1051,7 +1137,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 948.030000 0.000000 948.170000 0.490000 ;
+        RECT 1002.730000 0.000000 1002.870000 0.490000 ;
     END
   END la_data_in[104]
   PIN la_data_in[103]
@@ -1059,7 +1145,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 943.530000 0.000000 943.670000 0.490000 ;
+        RECT 997.930000 0.000000 998.070000 0.490000 ;
     END
   END la_data_in[103]
   PIN la_data_in[102]
@@ -1067,7 +1153,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 939.030000 0.000000 939.170000 0.490000 ;
+        RECT 993.230000 0.000000 993.370000 0.490000 ;
     END
   END la_data_in[102]
   PIN la_data_in[101]
@@ -1075,7 +1161,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 934.530000 0.000000 934.670000 0.490000 ;
+        RECT 988.430000 0.000000 988.570000 0.490000 ;
     END
   END la_data_in[101]
   PIN la_data_in[100]
@@ -1083,7 +1169,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 929.930000 0.000000 930.070000 0.490000 ;
+        RECT 983.630000 0.000000 983.770000 0.490000 ;
     END
   END la_data_in[100]
   PIN la_data_in[99]
@@ -1091,7 +1177,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 925.430000 0.000000 925.570000 0.490000 ;
+        RECT 978.830000 0.000000 978.970000 0.490000 ;
     END
   END la_data_in[99]
   PIN la_data_in[98]
@@ -1099,7 +1185,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 920.930000 0.000000 921.070000 0.490000 ;
+        RECT 974.030000 0.000000 974.170000 0.490000 ;
     END
   END la_data_in[98]
   PIN la_data_in[97]
@@ -1107,7 +1193,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 916.430000 0.000000 916.570000 0.490000 ;
+        RECT 969.230000 0.000000 969.370000 0.490000 ;
     END
   END la_data_in[97]
   PIN la_data_in[96]
@@ -1115,7 +1201,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 911.930000 0.000000 912.070000 0.490000 ;
+        RECT 964.530000 0.000000 964.670000 0.490000 ;
     END
   END la_data_in[96]
   PIN la_data_in[95]
@@ -1123,7 +1209,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 907.430000 0.000000 907.570000 0.490000 ;
+        RECT 959.830000 0.000000 959.970000 0.490000 ;
     END
   END la_data_in[95]
   PIN la_data_in[94]
@@ -1131,7 +1217,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 902.930000 0.000000 903.070000 0.490000 ;
+        RECT 955.030000 0.000000 955.170000 0.490000 ;
     END
   END la_data_in[94]
   PIN la_data_in[93]
@@ -1139,7 +1225,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 898.330000 0.000000 898.470000 0.490000 ;
+        RECT 950.230000 0.000000 950.370000 0.490000 ;
     END
   END la_data_in[93]
   PIN la_data_in[92]
@@ -1147,7 +1233,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 893.830000 0.000000 893.970000 0.490000 ;
+        RECT 945.330000 0.000000 945.470000 0.490000 ;
     END
   END la_data_in[92]
   PIN la_data_in[91]
@@ -1155,7 +1241,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 889.330000 0.000000 889.470000 0.490000 ;
+        RECT 940.530000 0.000000 940.670000 0.490000 ;
     END
   END la_data_in[91]
   PIN la_data_in[90]
@@ -1163,7 +1249,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 884.830000 0.000000 884.970000 0.490000 ;
+        RECT 935.730000 0.000000 935.870000 0.490000 ;
     END
   END la_data_in[90]
   PIN la_data_in[89]
@@ -1171,7 +1257,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 880.330000 0.000000 880.470000 0.490000 ;
+        RECT 931.030000 0.000000 931.170000 0.490000 ;
     END
   END la_data_in[89]
   PIN la_data_in[88]
@@ -1179,7 +1265,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 875.830000 0.000000 875.970000 0.490000 ;
+        RECT 926.230000 0.000000 926.370000 0.490000 ;
     END
   END la_data_in[88]
   PIN la_data_in[87]
@@ -1187,7 +1273,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 871.230000 0.000000 871.370000 0.490000 ;
+        RECT 921.430000 0.000000 921.570000 0.490000 ;
     END
   END la_data_in[87]
   PIN la_data_in[86]
@@ -1195,7 +1281,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 866.730000 0.000000 866.870000 0.490000 ;
+        RECT 916.630000 0.000000 916.770000 0.490000 ;
     END
   END la_data_in[86]
   PIN la_data_in[85]
@@ -1203,7 +1289,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 862.230000 0.000000 862.370000 0.490000 ;
+        RECT 911.830000 0.000000 911.970000 0.490000 ;
     END
   END la_data_in[85]
   PIN la_data_in[84]
@@ -1211,7 +1297,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 857.730000 0.000000 857.870000 0.490000 ;
+        RECT 907.130000 0.000000 907.270000 0.490000 ;
     END
   END la_data_in[84]
   PIN la_data_in[83]
@@ -1219,7 +1305,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 853.230000 0.000000 853.370000 0.490000 ;
+        RECT 902.430000 0.000000 902.570000 0.490000 ;
     END
   END la_data_in[83]
   PIN la_data_in[82]
@@ -1227,7 +1313,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 848.730000 0.000000 848.870000 0.490000 ;
+        RECT 897.630000 0.000000 897.770000 0.490000 ;
     END
   END la_data_in[82]
   PIN la_data_in[81]
@@ -1235,7 +1321,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 844.230000 0.000000 844.370000 0.490000 ;
+        RECT 892.830000 0.000000 892.970000 0.490000 ;
     END
   END la_data_in[81]
   PIN la_data_in[80]
@@ -1243,7 +1329,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 839.630000 0.000000 839.770000 0.490000 ;
+        RECT 888.030000 0.000000 888.170000 0.490000 ;
     END
   END la_data_in[80]
   PIN la_data_in[79]
@@ -1251,7 +1337,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 835.130000 0.000000 835.270000 0.490000 ;
+        RECT 883.230000 0.000000 883.370000 0.490000 ;
     END
   END la_data_in[79]
   PIN la_data_in[78]
@@ -1259,7 +1345,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 830.630000 0.000000 830.770000 0.490000 ;
+        RECT 878.430000 0.000000 878.570000 0.490000 ;
     END
   END la_data_in[78]
   PIN la_data_in[77]
@@ -1267,7 +1353,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 826.130000 0.000000 826.270000 0.490000 ;
+        RECT 873.730000 0.000000 873.870000 0.490000 ;
     END
   END la_data_in[77]
   PIN la_data_in[76]
@@ -1275,7 +1361,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 821.630000 0.000000 821.770000 0.490000 ;
+        RECT 868.930000 0.000000 869.070000 0.490000 ;
     END
   END la_data_in[76]
   PIN la_data_in[75]
@@ -1283,7 +1369,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 817.130000 0.000000 817.270000 0.490000 ;
+        RECT 864.130000 0.000000 864.270000 0.490000 ;
     END
   END la_data_in[75]
   PIN la_data_in[74]
@@ -1291,7 +1377,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 812.630000 0.000000 812.770000 0.490000 ;
+        RECT 859.430000 0.000000 859.570000 0.490000 ;
     END
   END la_data_in[74]
   PIN la_data_in[73]
@@ -1299,7 +1385,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 808.030000 0.000000 808.170000 0.490000 ;
+        RECT 854.630000 0.000000 854.770000 0.490000 ;
     END
   END la_data_in[73]
   PIN la_data_in[72]
@@ -1307,7 +1393,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 803.530000 0.000000 803.670000 0.490000 ;
+        RECT 849.830000 0.000000 849.970000 0.490000 ;
     END
   END la_data_in[72]
   PIN la_data_in[71]
@@ -1315,7 +1401,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 799.030000 0.000000 799.170000 0.490000 ;
+        RECT 845.130000 0.000000 845.270000 0.490000 ;
     END
   END la_data_in[71]
   PIN la_data_in[70]
@@ -1323,7 +1409,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 794.530000 0.000000 794.670000 0.490000 ;
+        RECT 840.330000 0.000000 840.470000 0.490000 ;
     END
   END la_data_in[70]
   PIN la_data_in[69]
@@ -1331,7 +1417,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 790.030000 0.000000 790.170000 0.490000 ;
+        RECT 835.530000 0.000000 835.670000 0.490000 ;
     END
   END la_data_in[69]
   PIN la_data_in[68]
@@ -1339,7 +1425,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 785.530000 0.000000 785.670000 0.490000 ;
+        RECT 830.730000 0.000000 830.870000 0.490000 ;
     END
   END la_data_in[68]
   PIN la_data_in[67]
@@ -1347,7 +1433,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 780.930000 0.000000 781.070000 0.490000 ;
+        RECT 825.930000 0.000000 826.070000 0.490000 ;
     END
   END la_data_in[67]
   PIN la_data_in[66]
@@ -1355,7 +1441,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 776.430000 0.000000 776.570000 0.490000 ;
+        RECT 821.130000 0.000000 821.270000 0.490000 ;
     END
   END la_data_in[66]
   PIN la_data_in[65]
@@ -1363,7 +1449,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 771.930000 0.000000 772.070000 0.490000 ;
+        RECT 816.430000 0.000000 816.570000 0.490000 ;
     END
   END la_data_in[65]
   PIN la_data_in[64]
@@ -1371,7 +1457,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 767.430000 0.000000 767.570000 0.490000 ;
+        RECT 811.730000 0.000000 811.870000 0.490000 ;
     END
   END la_data_in[64]
   PIN la_data_in[63]
@@ -1379,7 +1465,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 762.930000 0.000000 763.070000 0.490000 ;
+        RECT 806.930000 0.000000 807.070000 0.490000 ;
     END
   END la_data_in[63]
   PIN la_data_in[62]
@@ -1387,7 +1473,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 758.430000 0.000000 758.570000 0.490000 ;
+        RECT 802.130000 0.000000 802.270000 0.490000 ;
     END
   END la_data_in[62]
   PIN la_data_in[61]
@@ -1395,7 +1481,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 753.930000 0.000000 754.070000 0.490000 ;
+        RECT 797.330000 0.000000 797.470000 0.490000 ;
     END
   END la_data_in[61]
   PIN la_data_in[60]
@@ -1403,7 +1489,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 749.330000 0.000000 749.470000 0.490000 ;
+        RECT 792.530000 0.000000 792.670000 0.490000 ;
     END
   END la_data_in[60]
   PIN la_data_in[59]
@@ -1411,7 +1497,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 744.830000 0.000000 744.970000 0.490000 ;
+        RECT 787.830000 0.000000 787.970000 0.490000 ;
     END
   END la_data_in[59]
   PIN la_data_in[58]
@@ -1419,7 +1505,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 740.330000 0.000000 740.470000 0.490000 ;
+        RECT 783.030000 0.000000 783.170000 0.490000 ;
     END
   END la_data_in[58]
   PIN la_data_in[57]
@@ -1427,7 +1513,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 735.830000 0.000000 735.970000 0.490000 ;
+        RECT 778.230000 0.000000 778.370000 0.490000 ;
     END
   END la_data_in[57]
   PIN la_data_in[56]
@@ -1435,7 +1521,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 731.330000 0.000000 731.470000 0.490000 ;
+        RECT 773.430000 0.000000 773.570000 0.490000 ;
     END
   END la_data_in[56]
   PIN la_data_in[55]
@@ -1443,7 +1529,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 726.830000 0.000000 726.970000 0.490000 ;
+        RECT 768.630000 0.000000 768.770000 0.490000 ;
     END
   END la_data_in[55]
   PIN la_data_in[54]
@@ -1451,7 +1537,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 722.330000 0.000000 722.470000 0.490000 ;
+        RECT 763.930000 0.000000 764.070000 0.490000 ;
     END
   END la_data_in[54]
   PIN la_data_in[53]
@@ -1459,7 +1545,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 717.730000 0.000000 717.870000 0.490000 ;
+        RECT 759.230000 0.000000 759.370000 0.490000 ;
     END
   END la_data_in[53]
   PIN la_data_in[52]
@@ -1467,7 +1553,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 713.230000 0.000000 713.370000 0.490000 ;
+        RECT 754.430000 0.000000 754.570000 0.490000 ;
     END
   END la_data_in[52]
   PIN la_data_in[51]
@@ -1475,7 +1561,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 708.730000 0.000000 708.870000 0.490000 ;
+        RECT 749.630000 0.000000 749.770000 0.490000 ;
     END
   END la_data_in[51]
   PIN la_data_in[50]
@@ -1483,7 +1569,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 704.230000 0.000000 704.370000 0.490000 ;
+        RECT 744.830000 0.000000 744.970000 0.490000 ;
     END
   END la_data_in[50]
   PIN la_data_in[49]
@@ -1491,7 +1577,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 699.730000 0.000000 699.870000 0.490000 ;
+        RECT 740.030000 0.000000 740.170000 0.490000 ;
     END
   END la_data_in[49]
   PIN la_data_in[48]
@@ -1499,7 +1585,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 695.230000 0.000000 695.370000 0.490000 ;
+        RECT 735.230000 0.000000 735.370000 0.490000 ;
     END
   END la_data_in[48]
   PIN la_data_in[47]
@@ -1507,7 +1593,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 690.630000 0.000000 690.770000 0.490000 ;
+        RECT 730.530000 0.000000 730.670000 0.490000 ;
     END
   END la_data_in[47]
   PIN la_data_in[46]
@@ -1515,7 +1601,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 686.130000 0.000000 686.270000 0.490000 ;
+        RECT 725.730000 0.000000 725.870000 0.490000 ;
     END
   END la_data_in[46]
   PIN la_data_in[45]
@@ -1523,7 +1609,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 681.630000 0.000000 681.770000 0.490000 ;
+        RECT 720.930000 0.000000 721.070000 0.490000 ;
     END
   END la_data_in[45]
   PIN la_data_in[44]
@@ -1531,7 +1617,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 677.130000 0.000000 677.270000 0.490000 ;
+        RECT 716.230000 0.000000 716.370000 0.490000 ;
     END
   END la_data_in[44]
   PIN la_data_in[43]
@@ -1539,7 +1625,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 672.630000 0.000000 672.770000 0.490000 ;
+        RECT 711.430000 0.000000 711.570000 0.490000 ;
     END
   END la_data_in[43]
   PIN la_data_in[42]
@@ -1547,7 +1633,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 668.130000 0.000000 668.270000 0.490000 ;
+        RECT 706.530000 0.000000 706.670000 0.490000 ;
     END
   END la_data_in[42]
   PIN la_data_in[41]
@@ -1555,7 +1641,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 663.630000 0.000000 663.770000 0.490000 ;
+        RECT 701.730000 0.000000 701.870000 0.490000 ;
     END
   END la_data_in[41]
   PIN la_data_in[40]
@@ -1563,7 +1649,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 659.030000 0.000000 659.170000 0.490000 ;
+        RECT 697.030000 0.000000 697.170000 0.490000 ;
     END
   END la_data_in[40]
   PIN la_data_in[39]
@@ -1571,7 +1657,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 654.530000 0.000000 654.670000 0.490000 ;
+        RECT 692.230000 0.000000 692.370000 0.490000 ;
     END
   END la_data_in[39]
   PIN la_data_in[38]
@@ -1579,7 +1665,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 650.030000 0.000000 650.170000 0.490000 ;
+        RECT 687.430000 0.000000 687.570000 0.490000 ;
     END
   END la_data_in[38]
   PIN la_data_in[37]
@@ -1587,7 +1673,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 645.530000 0.000000 645.670000 0.490000 ;
+        RECT 682.630000 0.000000 682.770000 0.490000 ;
     END
   END la_data_in[37]
   PIN la_data_in[36]
@@ -1595,7 +1681,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 641.030000 0.000000 641.170000 0.490000 ;
+        RECT 677.830000 0.000000 677.970000 0.490000 ;
     END
   END la_data_in[36]
   PIN la_data_in[35]
@@ -1603,7 +1689,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 636.530000 0.000000 636.670000 0.490000 ;
+        RECT 673.030000 0.000000 673.170000 0.490000 ;
     END
   END la_data_in[35]
   PIN la_data_in[34]
@@ -1611,7 +1697,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 632.030000 0.000000 632.170000 0.490000 ;
+        RECT 668.430000 0.000000 668.570000 0.490000 ;
     END
   END la_data_in[34]
   PIN la_data_in[33]
@@ -1619,7 +1705,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 627.430000 0.000000 627.570000 0.490000 ;
+        RECT 663.630000 0.000000 663.770000 0.490000 ;
     END
   END la_data_in[33]
   PIN la_data_in[32]
@@ -1627,7 +1713,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 622.930000 0.000000 623.070000 0.490000 ;
+        RECT 658.830000 0.000000 658.970000 0.490000 ;
     END
   END la_data_in[32]
   PIN la_data_in[31]
@@ -1635,7 +1721,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 618.430000 0.000000 618.570000 0.490000 ;
+        RECT 654.030000 0.000000 654.170000 0.490000 ;
     END
   END la_data_in[31]
   PIN la_data_in[30]
@@ -1643,7 +1729,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 613.930000 0.000000 614.070000 0.490000 ;
+        RECT 649.230000 0.000000 649.370000 0.490000 ;
     END
   END la_data_in[30]
   PIN la_data_in[29]
@@ -1651,7 +1737,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 609.430000 0.000000 609.570000 0.490000 ;
+        RECT 644.430000 0.000000 644.570000 0.490000 ;
     END
   END la_data_in[29]
   PIN la_data_in[28]
@@ -1659,7 +1745,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 604.930000 0.000000 605.070000 0.490000 ;
+        RECT 639.730000 0.000000 639.870000 0.490000 ;
     END
   END la_data_in[28]
   PIN la_data_in[27]
@@ -1667,7 +1753,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 600.330000 0.000000 600.470000 0.490000 ;
+        RECT 634.930000 0.000000 635.070000 0.490000 ;
     END
   END la_data_in[27]
   PIN la_data_in[26]
@@ -1675,7 +1761,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 595.830000 0.000000 595.970000 0.490000 ;
+        RECT 630.130000 0.000000 630.270000 0.490000 ;
     END
   END la_data_in[26]
   PIN la_data_in[25]
@@ -1683,7 +1769,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 591.330000 0.000000 591.470000 0.490000 ;
+        RECT 625.330000 0.000000 625.470000 0.490000 ;
     END
   END la_data_in[25]
   PIN la_data_in[24]
@@ -1691,7 +1777,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 586.830000 0.000000 586.970000 0.490000 ;
+        RECT 620.630000 0.000000 620.770000 0.490000 ;
     END
   END la_data_in[24]
   PIN la_data_in[23]
@@ -1699,7 +1785,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 582.330000 0.000000 582.470000 0.490000 ;
+        RECT 615.830000 0.000000 615.970000 0.490000 ;
     END
   END la_data_in[23]
   PIN la_data_in[22]
@@ -1707,7 +1793,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 577.830000 0.000000 577.970000 0.490000 ;
+        RECT 611.130000 0.000000 611.270000 0.490000 ;
     END
   END la_data_in[22]
   PIN la_data_in[21]
@@ -1715,7 +1801,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 573.330000 0.000000 573.470000 0.490000 ;
+        RECT 606.330000 0.000000 606.470000 0.490000 ;
     END
   END la_data_in[21]
   PIN la_data_in[20]
@@ -1723,7 +1809,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 568.730000 0.000000 568.870000 0.490000 ;
+        RECT 601.530000 0.000000 601.670000 0.490000 ;
     END
   END la_data_in[20]
   PIN la_data_in[19]
@@ -1731,7 +1817,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 564.230000 0.000000 564.370000 0.490000 ;
+        RECT 596.730000 0.000000 596.870000 0.490000 ;
     END
   END la_data_in[19]
   PIN la_data_in[18]
@@ -1739,7 +1825,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 559.730000 0.000000 559.870000 0.490000 ;
+        RECT 591.930000 0.000000 592.070000 0.490000 ;
     END
   END la_data_in[18]
   PIN la_data_in[17]
@@ -1747,7 +1833,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 555.230000 0.000000 555.370000 0.490000 ;
+        RECT 587.130000 0.000000 587.270000 0.490000 ;
     END
   END la_data_in[17]
   PIN la_data_in[16]
@@ -1755,1159 +1841,1530 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 550.730000 0.000000 550.870000 0.490000 ;
+        RECT 582.430000 0.000000 582.570000 0.490000 ;
     END
   END la_data_in[16]
   PIN la_data_in[15]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.3956 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 16.87 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.126 LAYER met2  ;
+    ANTENNAMAXAREACAR 30.1341 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 140.409 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.407937 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 546.230000 0.000000 546.370000 0.490000 ;
+        RECT 577.630000 0.000000 577.770000 0.490000 ;
     END
   END la_data_in[15]
   PIN la_data_in[14]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9294 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.539 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.126 LAYER met2  ;
+    ANTENNAMAXAREACAR 26.3234 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 121.909 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.407937 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 541.730000 0.000000 541.870000 0.490000 ;
+        RECT 572.930000 0.000000 573.070000 0.490000 ;
     END
   END la_data_in[14]
   PIN la_data_in[13]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.3956 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 16.87 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.126 LAYER met2  ;
+    ANTENNAMAXAREACAR 32.3194 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 151.353 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.407937 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 537.130000 0.000000 537.270000 0.490000 ;
+        RECT 568.130000 0.000000 568.270000 0.490000 ;
     END
   END la_data_in[13]
   PIN la_data_in[12]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.0148 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.966 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 13.5465 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 65.7636 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 532.630000 0.000000 532.770000 0.490000 ;
+        RECT 563.330000 0.000000 563.470000 0.490000 ;
     END
   END la_data_in[12]
   PIN la_data_in[11]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.4026 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 16.905 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 16.601 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 81.0364 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 528.130000 0.000000 528.270000 0.490000 ;
+        RECT 558.530000 0.000000 558.670000 0.490000 ;
     END
   END la_data_in[11]
   PIN la_data_in[10]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 6.0417 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 30.0475 LAYER met2  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via2  ;
+    ANTENNAPARTIALMETALAREA 11.4348 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 61.456 LAYER met3  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met3  ;
+    ANTENNAMAXAREACAR 49.9232 LAYER met3  ;
+    ANTENNAMAXSIDEAREACAR 263.725 LAYER met3  ;
+    ANTENNAMAXCUTCAR 0.369293 LAYER via3  ;
     PORT
       LAYER met2 ;
-        RECT 523.630000 0.000000 523.770000 0.490000 ;
+        RECT 553.830000 0.000000 553.970000 0.490000 ;
     END
   END la_data_in[10]
   PIN la_data_in[9]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9714 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.749 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.126 LAYER met2  ;
+    ANTENNAMAXAREACAR 27.0528 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 125.02 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.407937 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 519.130000 0.000000 519.270000 0.490000 ;
+        RECT 549.030000 0.000000 549.170000 0.490000 ;
     END
   END la_data_in[9]
   PIN la_data_in[8]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 5.5908 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 27.846 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 38.5495 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 189.655 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 514.630000 0.000000 514.770000 0.490000 ;
+        RECT 544.230000 0.000000 544.370000 0.490000 ;
     END
   END la_data_in[8]
   PIN la_data_in[7]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 4.1222 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 20.503 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 18.3994 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 86.4505 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 510.030000 0.000000 510.170000 0.490000 ;
+        RECT 539.430000 0.000000 539.570000 0.490000 ;
     END
   END la_data_in[7]
   PIN la_data_in[6]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.355 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 16.667 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 14.5873 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 70.9677 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 505.530000 0.000000 505.670000 0.490000 ;
+        RECT 534.630000 0.000000 534.770000 0.490000 ;
     END
   END la_data_in[6]
   PIN la_data_in[5]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 5.1862 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 25.823 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 28.4331 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 138.531 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 501.030000 0.000000 501.170000 0.490000 ;
+        RECT 529.830000 0.000000 529.970000 0.490000 ;
     END
   END la_data_in[5]
   PIN la_data_in[4]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 4.9928 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 24.738 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 21.8713 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 103.246 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 496.530000 0.000000 496.670000 0.490000 ;
+        RECT 525.230000 0.000000 525.370000 0.490000 ;
     END
   END la_data_in[4]
   PIN la_data_in[3]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9196 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.49 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 13.5893 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 63.0889 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 492.030000 0.000000 492.170000 0.490000 ;
+        RECT 520.430000 0.000000 520.570000 0.490000 ;
     END
   END la_data_in[3]
   PIN la_data_in[2]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.0414 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 15.099 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 13.3202 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 64.6323 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 487.530000 0.000000 487.670000 0.490000 ;
+        RECT 515.630000 0.000000 515.770000 0.490000 ;
     END
   END la_data_in[2]
   PIN la_data_in[1]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 4.5478 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 22.631 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 21.6279 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 102.624 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 483.030000 0.000000 483.170000 0.490000 ;
+        RECT 510.830000 0.000000 510.970000 0.490000 ;
     END
   END la_data_in[1]
   PIN la_data_in[0]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.4138 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 16.961 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 16.7554 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 80.1111 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 478.430000 0.000000 478.570000 0.490000 ;
+        RECT 506.030000 0.000000 506.170000 0.490000 ;
     END
   END la_data_in[0]
   PIN la_data_out[127]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9196 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.49 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1629.830000 0.000000 1629.970000 0.490000 ;
+        RECT 1723.930000 0.000000 1724.070000 0.490000 ;
     END
   END la_data_out[127]
   PIN la_data_out[126]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9336 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.56 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1625.330000 0.000000 1625.470000 0.490000 ;
+        RECT 1719.130000 0.000000 1719.270000 0.490000 ;
     END
   END la_data_out[126]
   PIN la_data_out[125]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1620.730000 0.000000 1620.870000 0.490000 ;
+        RECT 1714.330000 0.000000 1714.470000 0.490000 ;
     END
   END la_data_out[125]
   PIN la_data_out[124]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1616.230000 0.000000 1616.370000 0.490000 ;
+        RECT 1709.530000 0.000000 1709.670000 0.490000 ;
     END
   END la_data_out[124]
   PIN la_data_out[123]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1611.730000 0.000000 1611.870000 0.490000 ;
+        RECT 1704.730000 0.000000 1704.870000 0.490000 ;
     END
   END la_data_out[123]
   PIN la_data_out[122]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9308 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.546 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1607.230000 0.000000 1607.370000 0.490000 ;
+        RECT 1699.930000 0.000000 1700.070000 0.490000 ;
     END
   END la_data_out[122]
   PIN la_data_out[121]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9364 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.574 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1602.730000 0.000000 1602.870000 0.490000 ;
+        RECT 1695.230000 0.000000 1695.370000 0.490000 ;
     END
   END la_data_out[121]
   PIN la_data_out[120]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9042 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.413 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1598.230000 0.000000 1598.370000 0.490000 ;
+        RECT 1690.430000 0.000000 1690.570000 0.490000 ;
     END
   END la_data_out[120]
   PIN la_data_out[119]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1593.630000 0.000000 1593.770000 0.490000 ;
+        RECT 1685.630000 0.000000 1685.770000 0.490000 ;
     END
   END la_data_out[119]
   PIN la_data_out[118]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1589.130000 0.000000 1589.270000 0.490000 ;
+        RECT 1680.830000 0.000000 1680.970000 0.490000 ;
     END
   END la_data_out[118]
   PIN la_data_out[117]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9098 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.441 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1584.630000 0.000000 1584.770000 0.490000 ;
+        RECT 1676.130000 0.000000 1676.270000 0.490000 ;
     END
   END la_data_out[117]
   PIN la_data_out[116]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1580.130000 0.000000 1580.270000 0.490000 ;
+        RECT 1671.330000 0.000000 1671.470000 0.490000 ;
     END
   END la_data_out[116]
   PIN la_data_out[115]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1575.630000 0.000000 1575.770000 0.490000 ;
+        RECT 1666.630000 0.000000 1666.770000 0.490000 ;
     END
   END la_data_out[115]
   PIN la_data_out[114]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.879 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.287 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1571.130000 0.000000 1571.270000 0.490000 ;
+        RECT 1661.730000 0.000000 1661.870000 0.490000 ;
     END
   END la_data_out[114]
   PIN la_data_out[113]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1566.630000 0.000000 1566.770000 0.490000 ;
+        RECT 1656.930000 0.000000 1657.070000 0.490000 ;
     END
   END la_data_out[113]
   PIN la_data_out[112]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9252 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.518 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1562.030000 0.000000 1562.170000 0.490000 ;
+        RECT 1652.130000 0.000000 1652.270000 0.490000 ;
     END
   END la_data_out[112]
   PIN la_data_out[111]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.928 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.532 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1557.530000 0.000000 1557.670000 0.490000 ;
+        RECT 1647.330000 0.000000 1647.470000 0.490000 ;
     END
   END la_data_out[111]
   PIN la_data_out[110]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1553.030000 0.000000 1553.170000 0.490000 ;
+        RECT 1642.530000 0.000000 1642.670000 0.490000 ;
     END
   END la_data_out[110]
   PIN la_data_out[109]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1548.530000 0.000000 1548.670000 0.490000 ;
+        RECT 1637.730000 0.000000 1637.870000 0.490000 ;
     END
   END la_data_out[109]
   PIN la_data_out[108]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8902 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.343 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1544.030000 0.000000 1544.170000 0.490000 ;
+        RECT 1633.030000 0.000000 1633.170000 0.490000 ;
     END
   END la_data_out[108]
   PIN la_data_out[107]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1539.530000 0.000000 1539.670000 0.490000 ;
+        RECT 1628.330000 0.000000 1628.470000 0.490000 ;
     END
   END la_data_out[107]
   PIN la_data_out[106]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1535.030000 0.000000 1535.170000 0.490000 ;
+        RECT 1623.530000 0.000000 1623.670000 0.490000 ;
     END
   END la_data_out[106]
   PIN la_data_out[105]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1530.430000 0.000000 1530.570000 0.490000 ;
+        RECT 1618.730000 0.000000 1618.870000 0.490000 ;
     END
   END la_data_out[105]
   PIN la_data_out[104]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1525.930000 0.000000 1526.070000 0.490000 ;
+        RECT 1613.930000 0.000000 1614.070000 0.490000 ;
     END
   END la_data_out[104]
   PIN la_data_out[103]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1521.430000 0.000000 1521.570000 0.490000 ;
+        RECT 1609.130000 0.000000 1609.270000 0.490000 ;
     END
   END la_data_out[103]
   PIN la_data_out[102]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1516.930000 0.000000 1517.070000 0.490000 ;
+        RECT 1604.430000 0.000000 1604.570000 0.490000 ;
     END
   END la_data_out[102]
   PIN la_data_out[101]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1512.430000 0.000000 1512.570000 0.490000 ;
+        RECT 1599.630000 0.000000 1599.770000 0.490000 ;
     END
   END la_data_out[101]
   PIN la_data_out[100]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1507.930000 0.000000 1508.070000 0.490000 ;
+        RECT 1594.830000 0.000000 1594.970000 0.490000 ;
     END
   END la_data_out[100]
   PIN la_data_out[99]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1503.330000 0.000000 1503.470000 0.490000 ;
+        RECT 1590.030000 0.000000 1590.170000 0.490000 ;
     END
   END la_data_out[99]
   PIN la_data_out[98]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.928 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.532 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1498.830000 0.000000 1498.970000 0.490000 ;
+        RECT 1585.230000 0.000000 1585.370000 0.490000 ;
     END
   END la_data_out[98]
   PIN la_data_out[97]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1494.330000 0.000000 1494.470000 0.490000 ;
+        RECT 1580.530000 0.000000 1580.670000 0.490000 ;
     END
   END la_data_out[97]
   PIN la_data_out[96]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1489.830000 0.000000 1489.970000 0.490000 ;
+        RECT 1575.830000 0.000000 1575.970000 0.490000 ;
     END
   END la_data_out[96]
   PIN la_data_out[95]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1485.330000 0.000000 1485.470000 0.490000 ;
+        RECT 1571.030000 0.000000 1571.170000 0.490000 ;
     END
   END la_data_out[95]
   PIN la_data_out[94]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9042 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.413 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1480.830000 0.000000 1480.970000 0.490000 ;
+        RECT 1566.230000 0.000000 1566.370000 0.490000 ;
     END
   END la_data_out[94]
   PIN la_data_out[93]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1476.330000 0.000000 1476.470000 0.490000 ;
+        RECT 1561.430000 0.000000 1561.570000 0.490000 ;
     END
   END la_data_out[93]
   PIN la_data_out[92]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1471.730000 0.000000 1471.870000 0.490000 ;
+        RECT 1556.630000 0.000000 1556.770000 0.490000 ;
     END
   END la_data_out[92]
   PIN la_data_out[91]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.928 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.532 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1467.230000 0.000000 1467.370000 0.490000 ;
+        RECT 1551.830000 0.000000 1551.970000 0.490000 ;
     END
   END la_data_out[91]
   PIN la_data_out[90]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1462.730000 0.000000 1462.870000 0.490000 ;
+        RECT 1547.130000 0.000000 1547.270000 0.490000 ;
     END
   END la_data_out[90]
   PIN la_data_out[89]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.907 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.427 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1458.230000 0.000000 1458.370000 0.490000 ;
+        RECT 1542.330000 0.000000 1542.470000 0.490000 ;
     END
   END la_data_out[89]
   PIN la_data_out[88]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.879 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.287 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1453.730000 0.000000 1453.870000 0.490000 ;
+        RECT 1537.530000 0.000000 1537.670000 0.490000 ;
     END
   END la_data_out[88]
   PIN la_data_out[87]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9336 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.56 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1449.230000 0.000000 1449.370000 0.490000 ;
+        RECT 1532.830000 0.000000 1532.970000 0.490000 ;
     END
   END la_data_out[87]
   PIN la_data_out[86]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1444.730000 0.000000 1444.870000 0.490000 ;
+        RECT 1528.030000 0.000000 1528.170000 0.490000 ;
     END
   END la_data_out[86]
   PIN la_data_out[85]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9392 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.588 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1440.130000 0.000000 1440.270000 0.490000 ;
+        RECT 1523.230000 0.000000 1523.370000 0.490000 ;
     END
   END la_data_out[85]
   PIN la_data_out[84]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1435.630000 0.000000 1435.770000 0.490000 ;
+        RECT 1518.530000 0.000000 1518.670000 0.490000 ;
     END
   END la_data_out[84]
   PIN la_data_out[83]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1431.130000 0.000000 1431.270000 0.490000 ;
+        RECT 1513.730000 0.000000 1513.870000 0.490000 ;
     END
   END la_data_out[83]
   PIN la_data_out[82]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9364 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.574 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1426.630000 0.000000 1426.770000 0.490000 ;
+        RECT 1508.930000 0.000000 1509.070000 0.490000 ;
     END
   END la_data_out[82]
   PIN la_data_out[81]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1422.130000 0.000000 1422.270000 0.490000 ;
+        RECT 1504.130000 0.000000 1504.270000 0.490000 ;
     END
   END la_data_out[81]
   PIN la_data_out[80]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1417.630000 0.000000 1417.770000 0.490000 ;
+        RECT 1499.330000 0.000000 1499.470000 0.490000 ;
     END
   END la_data_out[80]
   PIN la_data_out[79]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1413.030000 0.000000 1413.170000 0.490000 ;
+        RECT 1494.530000 0.000000 1494.670000 0.490000 ;
     END
   END la_data_out[79]
   PIN la_data_out[78]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1408.530000 0.000000 1408.670000 0.490000 ;
+        RECT 1489.830000 0.000000 1489.970000 0.490000 ;
     END
   END la_data_out[78]
   PIN la_data_out[77]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.928 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.532 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1404.030000 0.000000 1404.170000 0.490000 ;
+        RECT 1485.130000 0.000000 1485.270000 0.490000 ;
     END
   END la_data_out[77]
   PIN la_data_out[76]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9252 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.518 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1399.530000 0.000000 1399.670000 0.490000 ;
+        RECT 1480.330000 0.000000 1480.470000 0.490000 ;
     END
   END la_data_out[76]
   PIN la_data_out[75]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1395.030000 0.000000 1395.170000 0.490000 ;
+        RECT 1475.530000 0.000000 1475.670000 0.490000 ;
     END
   END la_data_out[75]
   PIN la_data_out[74]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1390.530000 0.000000 1390.670000 0.490000 ;
+        RECT 1470.730000 0.000000 1470.870000 0.490000 ;
     END
   END la_data_out[74]
   PIN la_data_out[73]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1386.030000 0.000000 1386.170000 0.490000 ;
+        RECT 1465.930000 0.000000 1466.070000 0.490000 ;
     END
   END la_data_out[73]
   PIN la_data_out[72]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9252 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.518 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1381.430000 0.000000 1381.570000 0.490000 ;
+        RECT 1461.230000 0.000000 1461.370000 0.490000 ;
     END
   END la_data_out[72]
   PIN la_data_out[71]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.928 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.532 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1376.930000 0.000000 1377.070000 0.490000 ;
+        RECT 1456.430000 0.000000 1456.570000 0.490000 ;
     END
   END la_data_out[71]
   PIN la_data_out[70]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1372.430000 0.000000 1372.570000 0.490000 ;
+        RECT 1451.630000 0.000000 1451.770000 0.490000 ;
     END
   END la_data_out[70]
   PIN la_data_out[69]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1367.930000 0.000000 1368.070000 0.490000 ;
+        RECT 1446.830000 0.000000 1446.970000 0.490000 ;
     END
   END la_data_out[69]
   PIN la_data_out[68]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9042 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.413 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1363.430000 0.000000 1363.570000 0.490000 ;
+        RECT 1442.030000 0.000000 1442.170000 0.490000 ;
     END
   END la_data_out[68]
   PIN la_data_out[67]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9182 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.483 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1358.930000 0.000000 1359.070000 0.490000 ;
+        RECT 1437.330000 0.000000 1437.470000 0.490000 ;
     END
   END la_data_out[67]
   PIN la_data_out[66]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9364 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.574 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1354.430000 0.000000 1354.570000 0.490000 ;
+        RECT 1432.630000 0.000000 1432.770000 0.490000 ;
     END
   END la_data_out[66]
   PIN la_data_out[65]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8958 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.371 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1349.830000 0.000000 1349.970000 0.490000 ;
+        RECT 1427.830000 0.000000 1427.970000 0.490000 ;
     END
   END la_data_out[65]
   PIN la_data_out[64]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1345.330000 0.000000 1345.470000 0.490000 ;
+        RECT 1422.930000 0.000000 1423.070000 0.490000 ;
     END
   END la_data_out[64]
   PIN la_data_out[63]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1340.830000 0.000000 1340.970000 0.490000 ;
+        RECT 1418.130000 0.000000 1418.270000 0.490000 ;
     END
   END la_data_out[63]
   PIN la_data_out[62]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.879 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.287 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1336.330000 0.000000 1336.470000 0.490000 ;
+        RECT 1413.330000 0.000000 1413.470000 0.490000 ;
     END
   END la_data_out[62]
   PIN la_data_out[61]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9154 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.469 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1331.830000 0.000000 1331.970000 0.490000 ;
+        RECT 1408.530000 0.000000 1408.670000 0.490000 ;
     END
   END la_data_out[61]
   PIN la_data_out[60]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1327.330000 0.000000 1327.470000 0.490000 ;
+        RECT 1403.730000 0.000000 1403.870000 0.490000 ;
     END
   END la_data_out[60]
   PIN la_data_out[59]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9392 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.588 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1322.730000 0.000000 1322.870000 0.490000 ;
+        RECT 1399.030000 0.000000 1399.170000 0.490000 ;
     END
   END la_data_out[59]
   PIN la_data_out[58]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1318.230000 0.000000 1318.370000 0.490000 ;
+        RECT 1394.230000 0.000000 1394.370000 0.490000 ;
     END
   END la_data_out[58]
   PIN la_data_out[57]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1313.730000 0.000000 1313.870000 0.490000 ;
+        RECT 1389.530000 0.000000 1389.670000 0.490000 ;
     END
   END la_data_out[57]
   PIN la_data_out[56]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9364 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.574 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1309.230000 0.000000 1309.370000 0.490000 ;
+        RECT 1384.730000 0.000000 1384.870000 0.490000 ;
     END
   END la_data_out[56]
   PIN la_data_out[55]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1304.730000 0.000000 1304.870000 0.490000 ;
+        RECT 1379.930000 0.000000 1380.070000 0.490000 ;
     END
   END la_data_out[55]
   PIN la_data_out[54]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1300.230000 0.000000 1300.370000 0.490000 ;
+        RECT 1375.130000 0.000000 1375.270000 0.490000 ;
     END
   END la_data_out[54]
   PIN la_data_out[53]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1295.730000 0.000000 1295.870000 0.490000 ;
+        RECT 1370.430000 0.000000 1370.570000 0.490000 ;
     END
   END la_data_out[53]
   PIN la_data_out[52]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1291.130000 0.000000 1291.270000 0.490000 ;
+        RECT 1365.630000 0.000000 1365.770000 0.490000 ;
     END
   END la_data_out[52]
   PIN la_data_out[51]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9392 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.588 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1286.630000 0.000000 1286.770000 0.490000 ;
+        RECT 1360.830000 0.000000 1360.970000 0.490000 ;
     END
   END la_data_out[51]
   PIN la_data_out[50]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1282.130000 0.000000 1282.270000 0.490000 ;
+        RECT 1356.030000 0.000000 1356.170000 0.490000 ;
     END
   END la_data_out[50]
   PIN la_data_out[49]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1277.630000 0.000000 1277.770000 0.490000 ;
+        RECT 1351.230000 0.000000 1351.370000 0.490000 ;
     END
   END la_data_out[49]
   PIN la_data_out[48]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1273.130000 0.000000 1273.270000 0.490000 ;
+        RECT 1346.430000 0.000000 1346.570000 0.490000 ;
     END
   END la_data_out[48]
   PIN la_data_out[47]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1268.630000 0.000000 1268.770000 0.490000 ;
+        RECT 1341.830000 0.000000 1341.970000 0.490000 ;
     END
   END la_data_out[47]
   PIN la_data_out[46]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9252 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.518 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1264.130000 0.000000 1264.270000 0.490000 ;
+        RECT 1337.030000 0.000000 1337.170000 0.490000 ;
     END
   END la_data_out[46]
   PIN la_data_out[45]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.928 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.532 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1259.530000 0.000000 1259.670000 0.490000 ;
+        RECT 1332.230000 0.000000 1332.370000 0.490000 ;
     END
   END la_data_out[45]
   PIN la_data_out[44]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1255.030000 0.000000 1255.170000 0.490000 ;
+        RECT 1327.430000 0.000000 1327.570000 0.490000 ;
     END
   END la_data_out[44]
   PIN la_data_out[43]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1250.530000 0.000000 1250.670000 0.490000 ;
+        RECT 1322.630000 0.000000 1322.770000 0.490000 ;
     END
   END la_data_out[43]
   PIN la_data_out[42]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9042 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.413 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1246.030000 0.000000 1246.170000 0.490000 ;
+        RECT 1317.830000 0.000000 1317.970000 0.490000 ;
     END
   END la_data_out[42]
   PIN la_data_out[41]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9196 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.49 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1241.530000 0.000000 1241.670000 0.490000 ;
+        RECT 1313.130000 0.000000 1313.270000 0.490000 ;
     END
   END la_data_out[41]
   PIN la_data_out[40]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1237.030000 0.000000 1237.170000 0.490000 ;
+        RECT 1308.330000 0.000000 1308.470000 0.490000 ;
     END
   END la_data_out[40]
   PIN la_data_out[39]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8986 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.385 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1232.430000 0.000000 1232.570000 0.490000 ;
+        RECT 1303.530000 0.000000 1303.670000 0.490000 ;
     END
   END la_data_out[39]
   PIN la_data_out[38]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1227.930000 0.000000 1228.070000 0.490000 ;
+        RECT 1298.730000 0.000000 1298.870000 0.490000 ;
     END
   END la_data_out[38]
   PIN la_data_out[37]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1223.430000 0.000000 1223.570000 0.490000 ;
+        RECT 1294.030000 0.000000 1294.170000 0.490000 ;
     END
   END la_data_out[37]
   PIN la_data_out[36]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.893 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.357 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1218.930000 0.000000 1219.070000 0.490000 ;
+        RECT 1289.230000 0.000000 1289.370000 0.490000 ;
     END
   END la_data_out[36]
   PIN la_data_out[35]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1214.430000 0.000000 1214.570000 0.490000 ;
+        RECT 1284.530000 0.000000 1284.670000 0.490000 ;
     END
   END la_data_out[35]
   PIN la_data_out[34]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1209.930000 0.000000 1210.070000 0.490000 ;
+        RECT 1279.730000 0.000000 1279.870000 0.490000 ;
     END
   END la_data_out[34]
   PIN la_data_out[33]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9252 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.518 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1205.430000 0.000000 1205.570000 0.490000 ;
+        RECT 1274.930000 0.000000 1275.070000 0.490000 ;
     END
   END la_data_out[33]
   PIN la_data_out[32]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1200.830000 0.000000 1200.970000 0.490000 ;
+        RECT 1270.130000 0.000000 1270.270000 0.490000 ;
     END
   END la_data_out[32]
   PIN la_data_out[31]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1196.330000 0.000000 1196.470000 0.490000 ;
+        RECT 1265.330000 0.000000 1265.470000 0.490000 ;
     END
   END la_data_out[31]
   PIN la_data_out[30]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1191.830000 0.000000 1191.970000 0.490000 ;
+        RECT 1260.530000 0.000000 1260.670000 0.490000 ;
     END
   END la_data_out[30]
   PIN la_data_out[29]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1187.330000 0.000000 1187.470000 0.490000 ;
+        RECT 1255.830000 0.000000 1255.970000 0.490000 ;
     END
   END la_data_out[29]
   PIN la_data_out[28]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1182.830000 0.000000 1182.970000 0.490000 ;
+        RECT 1251.030000 0.000000 1251.170000 0.490000 ;
     END
   END la_data_out[28]
   PIN la_data_out[27]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9364 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.574 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1178.330000 0.000000 1178.470000 0.490000 ;
+        RECT 1246.330000 0.000000 1246.470000 0.490000 ;
     END
   END la_data_out[27]
   PIN la_data_out[26]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1173.830000 0.000000 1173.970000 0.490000 ;
+        RECT 1241.530000 0.000000 1241.670000 0.490000 ;
     END
   END la_data_out[26]
   PIN la_data_out[25]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1169.230000 0.000000 1169.370000 0.490000 ;
+        RECT 1236.730000 0.000000 1236.870000 0.490000 ;
     END
   END la_data_out[25]
   PIN la_data_out[24]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1164.730000 0.000000 1164.870000 0.490000 ;
+        RECT 1231.930000 0.000000 1232.070000 0.490000 ;
     END
   END la_data_out[24]
   PIN la_data_out[23]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1160.230000 0.000000 1160.370000 0.490000 ;
+        RECT 1227.230000 0.000000 1227.370000 0.490000 ;
     END
   END la_data_out[23]
   PIN la_data_out[22]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9336 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.56 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1155.730000 0.000000 1155.870000 0.490000 ;
+        RECT 1222.430000 0.000000 1222.570000 0.490000 ;
     END
   END la_data_out[22]
   PIN la_data_out[21]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9154 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.469 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1151.230000 0.000000 1151.370000 0.490000 ;
+        RECT 1217.630000 0.000000 1217.770000 0.490000 ;
     END
   END la_data_out[21]
   PIN la_data_out[20]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1146.730000 0.000000 1146.870000 0.490000 ;
+        RECT 1212.830000 0.000000 1212.970000 0.490000 ;
     END
   END la_data_out[20]
   PIN la_data_out[19]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8846 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.315 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1142.130000 0.000000 1142.270000 0.490000 ;
+        RECT 1208.030000 0.000000 1208.170000 0.490000 ;
     END
   END la_data_out[19]
   PIN la_data_out[18]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1137.630000 0.000000 1137.770000 0.490000 ;
+        RECT 1203.230000 0.000000 1203.370000 0.490000 ;
     END
   END la_data_out[18]
   PIN la_data_out[17]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1133.130000 0.000000 1133.270000 0.490000 ;
+        RECT 1198.630000 0.000000 1198.770000 0.490000 ;
     END
   END la_data_out[17]
   PIN la_data_out[16]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9364 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.574 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1128.630000 0.000000 1128.770000 0.490000 ;
+        RECT 1193.830000 0.000000 1193.970000 0.490000 ;
     END
   END la_data_out[16]
   PIN la_data_out[15]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1124.130000 0.000000 1124.270000 0.490000 ;
+        RECT 1189.030000 0.000000 1189.170000 0.490000 ;
     END
   END la_data_out[15]
   PIN la_data_out[14]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1119.630000 0.000000 1119.770000 0.490000 ;
+        RECT 1184.130000 0.000000 1184.270000 0.490000 ;
     END
   END la_data_out[14]
   PIN la_data_out[13]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8986 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.385 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1115.130000 0.000000 1115.270000 0.490000 ;
+        RECT 1179.330000 0.000000 1179.470000 0.490000 ;
     END
   END la_data_out[13]
   PIN la_data_out[12]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9392 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.588 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1110.530000 0.000000 1110.670000 0.490000 ;
+        RECT 1174.530000 0.000000 1174.670000 0.490000 ;
     END
   END la_data_out[12]
   PIN la_data_out[11]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1106.030000 0.000000 1106.170000 0.490000 ;
+        RECT 1169.730000 0.000000 1169.870000 0.490000 ;
     END
   END la_data_out[11]
   PIN la_data_out[10]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.893 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.357 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1101.530000 0.000000 1101.670000 0.490000 ;
+        RECT 1165.030000 0.000000 1165.170000 0.490000 ;
     END
   END la_data_out[10]
   PIN la_data_out[9]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1097.030000 0.000000 1097.170000 0.490000 ;
+        RECT 1160.230000 0.000000 1160.370000 0.490000 ;
     END
   END la_data_out[9]
   PIN la_data_out[8]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1092.530000 0.000000 1092.670000 0.490000 ;
+        RECT 1155.430000 0.000000 1155.570000 0.490000 ;
     END
   END la_data_out[8]
   PIN la_data_out[7]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9252 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.518 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1088.030000 0.000000 1088.170000 0.490000 ;
+        RECT 1150.730000 0.000000 1150.870000 0.490000 ;
     END
   END la_data_out[7]
   PIN la_data_out[6]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.928 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.532 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1083.530000 0.000000 1083.670000 0.490000 ;
+        RECT 1145.930000 0.000000 1146.070000 0.490000 ;
     END
   END la_data_out[6]
   PIN la_data_out[5]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1078.930000 0.000000 1079.070000 0.490000 ;
+        RECT 1141.130000 0.000000 1141.270000 0.490000 ;
     END
   END la_data_out[5]
   PIN la_data_out[4]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1074.430000 0.000000 1074.570000 0.490000 ;
+        RECT 1136.430000 0.000000 1136.570000 0.490000 ;
     END
   END la_data_out[4]
   PIN la_data_out[3]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1069.930000 0.000000 1070.070000 0.490000 ;
+        RECT 1131.630000 0.000000 1131.770000 0.490000 ;
     END
   END la_data_out[3]
   PIN la_data_out[2]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9182 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.483 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1065.430000 0.000000 1065.570000 0.490000 ;
+        RECT 1126.830000 0.000000 1126.970000 0.490000 ;
     END
   END la_data_out[2]
   PIN la_data_out[1]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9308 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.546 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1060.930000 0.000000 1061.070000 0.490000 ;
+        RECT 1122.030000 0.000000 1122.170000 0.490000 ;
     END
   END la_data_out[1]
   PIN la_data_out[0]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1056.430000 0.000000 1056.570000 0.490000 ;
+        RECT 1117.230000 0.000000 1117.370000 0.490000 ;
     END
   END la_data_out[0]
   PIN la_oenb[127]
@@ -2915,7 +3372,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2207.730000 0.000000 2207.870000 0.490000 ;
+        RECT 2335.130000 0.000000 2335.270000 0.490000 ;
     END
   END la_oenb[127]
   PIN la_oenb[126]
@@ -2923,7 +3380,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2203.230000 0.000000 2203.370000 0.490000 ;
+        RECT 2330.330000 0.000000 2330.470000 0.490000 ;
     END
   END la_oenb[126]
   PIN la_oenb[125]
@@ -2931,7 +3388,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2198.730000 0.000000 2198.870000 0.490000 ;
+        RECT 2325.530000 0.000000 2325.670000 0.490000 ;
     END
   END la_oenb[125]
   PIN la_oenb[124]
@@ -2939,7 +3396,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2194.130000 0.000000 2194.270000 0.490000 ;
+        RECT 2320.730000 0.000000 2320.870000 0.490000 ;
     END
   END la_oenb[124]
   PIN la_oenb[123]
@@ -2947,7 +3404,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2189.630000 0.000000 2189.770000 0.490000 ;
+        RECT 2315.930000 0.000000 2316.070000 0.490000 ;
     END
   END la_oenb[123]
   PIN la_oenb[122]
@@ -2955,7 +3412,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2185.130000 0.000000 2185.270000 0.490000 ;
+        RECT 2311.130000 0.000000 2311.270000 0.490000 ;
     END
   END la_oenb[122]
   PIN la_oenb[121]
@@ -2963,7 +3420,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2180.630000 0.000000 2180.770000 0.490000 ;
+        RECT 2306.430000 0.000000 2306.570000 0.490000 ;
     END
   END la_oenb[121]
   PIN la_oenb[120]
@@ -2971,7 +3428,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2176.130000 0.000000 2176.270000 0.490000 ;
+        RECT 2301.730000 0.000000 2301.870000 0.490000 ;
     END
   END la_oenb[120]
   PIN la_oenb[119]
@@ -2979,7 +3436,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2171.630000 0.000000 2171.770000 0.490000 ;
+        RECT 2296.930000 0.000000 2297.070000 0.490000 ;
     END
   END la_oenb[119]
   PIN la_oenb[118]
@@ -2987,7 +3444,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2167.130000 0.000000 2167.270000 0.490000 ;
+        RECT 2292.130000 0.000000 2292.270000 0.490000 ;
     END
   END la_oenb[118]
   PIN la_oenb[117]
@@ -2995,7 +3452,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2162.530000 0.000000 2162.670000 0.490000 ;
+        RECT 2287.330000 0.000000 2287.470000 0.490000 ;
     END
   END la_oenb[117]
   PIN la_oenb[116]
@@ -3003,7 +3460,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2158.030000 0.000000 2158.170000 0.490000 ;
+        RECT 2282.530000 0.000000 2282.670000 0.490000 ;
     END
   END la_oenb[116]
   PIN la_oenb[115]
@@ -3011,7 +3468,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2153.530000 0.000000 2153.670000 0.490000 ;
+        RECT 2277.830000 0.000000 2277.970000 0.490000 ;
     END
   END la_oenb[115]
   PIN la_oenb[114]
@@ -3019,7 +3476,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2149.030000 0.000000 2149.170000 0.490000 ;
+        RECT 2273.030000 0.000000 2273.170000 0.490000 ;
     END
   END la_oenb[114]
   PIN la_oenb[113]
@@ -3027,7 +3484,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2144.530000 0.000000 2144.670000 0.490000 ;
+        RECT 2268.230000 0.000000 2268.370000 0.490000 ;
     END
   END la_oenb[113]
   PIN la_oenb[112]
@@ -3035,7 +3492,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2140.030000 0.000000 2140.170000 0.490000 ;
+        RECT 2263.430000 0.000000 2263.570000 0.490000 ;
     END
   END la_oenb[112]
   PIN la_oenb[111]
@@ -3043,7 +3500,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2135.430000 0.000000 2135.570000 0.490000 ;
+        RECT 2258.630000 0.000000 2258.770000 0.490000 ;
     END
   END la_oenb[111]
   PIN la_oenb[110]
@@ -3051,7 +3508,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2130.930000 0.000000 2131.070000 0.490000 ;
+        RECT 2253.930000 0.000000 2254.070000 0.490000 ;
     END
   END la_oenb[110]
   PIN la_oenb[109]
@@ -3059,7 +3516,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2126.430000 0.000000 2126.570000 0.490000 ;
+        RECT 2249.230000 0.000000 2249.370000 0.490000 ;
     END
   END la_oenb[109]
   PIN la_oenb[108]
@@ -3067,7 +3524,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2121.930000 0.000000 2122.070000 0.490000 ;
+        RECT 2244.430000 0.000000 2244.570000 0.490000 ;
     END
   END la_oenb[108]
   PIN la_oenb[107]
@@ -3075,7 +3532,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2117.430000 0.000000 2117.570000 0.490000 ;
+        RECT 2239.630000 0.000000 2239.770000 0.490000 ;
     END
   END la_oenb[107]
   PIN la_oenb[106]
@@ -3083,7 +3540,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2112.930000 0.000000 2113.070000 0.490000 ;
+        RECT 2234.830000 0.000000 2234.970000 0.490000 ;
     END
   END la_oenb[106]
   PIN la_oenb[105]
@@ -3091,7 +3548,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2108.430000 0.000000 2108.570000 0.490000 ;
+        RECT 2230.030000 0.000000 2230.170000 0.490000 ;
     END
   END la_oenb[105]
   PIN la_oenb[104]
@@ -3099,7 +3556,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2103.830000 0.000000 2103.970000 0.490000 ;
+        RECT 2225.230000 0.000000 2225.370000 0.490000 ;
     END
   END la_oenb[104]
   PIN la_oenb[103]
@@ -3107,7 +3564,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2099.330000 0.000000 2099.470000 0.490000 ;
+        RECT 2220.530000 0.000000 2220.670000 0.490000 ;
     END
   END la_oenb[103]
   PIN la_oenb[102]
@@ -3115,7 +3572,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2094.830000 0.000000 2094.970000 0.490000 ;
+        RECT 2215.730000 0.000000 2215.870000 0.490000 ;
     END
   END la_oenb[102]
   PIN la_oenb[101]
@@ -3123,7 +3580,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2090.330000 0.000000 2090.470000 0.490000 ;
+        RECT 2210.930000 0.000000 2211.070000 0.490000 ;
     END
   END la_oenb[101]
   PIN la_oenb[100]
@@ -3131,7 +3588,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2085.830000 0.000000 2085.970000 0.490000 ;
+        RECT 2206.230000 0.000000 2206.370000 0.490000 ;
     END
   END la_oenb[100]
   PIN la_oenb[99]
@@ -3139,7 +3596,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2081.330000 0.000000 2081.470000 0.490000 ;
+        RECT 2201.430000 0.000000 2201.570000 0.490000 ;
     END
   END la_oenb[99]
   PIN la_oenb[98]
@@ -3147,7 +3604,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2076.830000 0.000000 2076.970000 0.490000 ;
+        RECT 2196.630000 0.000000 2196.770000 0.490000 ;
     END
   END la_oenb[98]
   PIN la_oenb[97]
@@ -3155,7 +3612,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2072.230000 0.000000 2072.370000 0.490000 ;
+        RECT 2191.930000 0.000000 2192.070000 0.490000 ;
     END
   END la_oenb[97]
   PIN la_oenb[96]
@@ -3163,7 +3620,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2067.730000 0.000000 2067.870000 0.490000 ;
+        RECT 2187.130000 0.000000 2187.270000 0.490000 ;
     END
   END la_oenb[96]
   PIN la_oenb[95]
@@ -3171,7 +3628,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2063.230000 0.000000 2063.370000 0.490000 ;
+        RECT 2182.330000 0.000000 2182.470000 0.490000 ;
     END
   END la_oenb[95]
   PIN la_oenb[94]
@@ -3179,7 +3636,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2058.730000 0.000000 2058.870000 0.490000 ;
+        RECT 2177.530000 0.000000 2177.670000 0.490000 ;
     END
   END la_oenb[94]
   PIN la_oenb[93]
@@ -3187,7 +3644,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2054.230000 0.000000 2054.370000 0.490000 ;
+        RECT 2172.730000 0.000000 2172.870000 0.490000 ;
     END
   END la_oenb[93]
   PIN la_oenb[92]
@@ -3195,7 +3652,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2049.730000 0.000000 2049.870000 0.490000 ;
+        RECT 2167.930000 0.000000 2168.070000 0.490000 ;
     END
   END la_oenb[92]
   PIN la_oenb[91]
@@ -3203,7 +3660,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2045.130000 0.000000 2045.270000 0.490000 ;
+        RECT 2163.230000 0.000000 2163.370000 0.490000 ;
     END
   END la_oenb[91]
   PIN la_oenb[90]
@@ -3211,7 +3668,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2040.630000 0.000000 2040.770000 0.490000 ;
+        RECT 2158.530000 0.000000 2158.670000 0.490000 ;
     END
   END la_oenb[90]
   PIN la_oenb[89]
@@ -3219,7 +3676,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2036.130000 0.000000 2036.270000 0.490000 ;
+        RECT 2153.730000 0.000000 2153.870000 0.490000 ;
     END
   END la_oenb[89]
   PIN la_oenb[88]
@@ -3227,7 +3684,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2031.630000 0.000000 2031.770000 0.490000 ;
+        RECT 2148.930000 0.000000 2149.070000 0.490000 ;
     END
   END la_oenb[88]
   PIN la_oenb[87]
@@ -3235,7 +3692,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2027.130000 0.000000 2027.270000 0.490000 ;
+        RECT 2144.130000 0.000000 2144.270000 0.490000 ;
     END
   END la_oenb[87]
   PIN la_oenb[86]
@@ -3243,7 +3700,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2022.630000 0.000000 2022.770000 0.490000 ;
+        RECT 2139.230000 0.000000 2139.370000 0.490000 ;
     END
   END la_oenb[86]
   PIN la_oenb[85]
@@ -3251,7 +3708,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2018.130000 0.000000 2018.270000 0.490000 ;
+        RECT 2134.530000 0.000000 2134.670000 0.490000 ;
     END
   END la_oenb[85]
   PIN la_oenb[84]
@@ -3259,7 +3716,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2013.530000 0.000000 2013.670000 0.490000 ;
+        RECT 2129.730000 0.000000 2129.870000 0.490000 ;
     END
   END la_oenb[84]
   PIN la_oenb[83]
@@ -3267,7 +3724,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2009.030000 0.000000 2009.170000 0.490000 ;
+        RECT 2124.930000 0.000000 2125.070000 0.490000 ;
     END
   END la_oenb[83]
   PIN la_oenb[82]
@@ -3275,7 +3732,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2004.530000 0.000000 2004.670000 0.490000 ;
+        RECT 2120.130000 0.000000 2120.270000 0.490000 ;
     END
   END la_oenb[82]
   PIN la_oenb[81]
@@ -3283,7 +3740,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2000.030000 0.000000 2000.170000 0.490000 ;
+        RECT 2115.330000 0.000000 2115.470000 0.490000 ;
     END
   END la_oenb[81]
   PIN la_oenb[80]
@@ -3291,7 +3748,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1995.530000 0.000000 1995.670000 0.490000 ;
+        RECT 2110.530000 0.000000 2110.670000 0.490000 ;
     END
   END la_oenb[80]
   PIN la_oenb[79]
@@ -3299,7 +3756,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1991.030000 0.000000 1991.170000 0.490000 ;
+        RECT 2105.930000 0.000000 2106.070000 0.490000 ;
     END
   END la_oenb[79]
   PIN la_oenb[78]
@@ -3307,7 +3764,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1986.530000 0.000000 1986.670000 0.490000 ;
+        RECT 2101.130000 0.000000 2101.270000 0.490000 ;
     END
   END la_oenb[78]
   PIN la_oenb[77]
@@ -3315,7 +3772,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1981.930000 0.000000 1982.070000 0.490000 ;
+        RECT 2096.330000 0.000000 2096.470000 0.490000 ;
     END
   END la_oenb[77]
   PIN la_oenb[76]
@@ -3323,7 +3780,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1977.430000 0.000000 1977.570000 0.490000 ;
+        RECT 2091.530000 0.000000 2091.670000 0.490000 ;
     END
   END la_oenb[76]
   PIN la_oenb[75]
@@ -3331,7 +3788,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1972.930000 0.000000 1973.070000 0.490000 ;
+        RECT 2086.730000 0.000000 2086.870000 0.490000 ;
     END
   END la_oenb[75]
   PIN la_oenb[74]
@@ -3339,7 +3796,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1968.430000 0.000000 1968.570000 0.490000 ;
+        RECT 2081.930000 0.000000 2082.070000 0.490000 ;
     END
   END la_oenb[74]
   PIN la_oenb[73]
@@ -3347,7 +3804,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1963.930000 0.000000 1964.070000 0.490000 ;
+        RECT 2077.130000 0.000000 2077.270000 0.490000 ;
     END
   END la_oenb[73]
   PIN la_oenb[72]
@@ -3355,7 +3812,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1959.430000 0.000000 1959.570000 0.490000 ;
+        RECT 2072.430000 0.000000 2072.570000 0.490000 ;
     END
   END la_oenb[72]
   PIN la_oenb[71]
@@ -3363,7 +3820,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1954.830000 0.000000 1954.970000 0.490000 ;
+        RECT 2067.630000 0.000000 2067.770000 0.490000 ;
     END
   END la_oenb[71]
   PIN la_oenb[70]
@@ -3371,7 +3828,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1950.330000 0.000000 1950.470000 0.490000 ;
+        RECT 2062.830000 0.000000 2062.970000 0.490000 ;
     END
   END la_oenb[70]
   PIN la_oenb[69]
@@ -3379,7 +3836,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1945.830000 0.000000 1945.970000 0.490000 ;
+        RECT 2058.130000 0.000000 2058.270000 0.490000 ;
     END
   END la_oenb[69]
   PIN la_oenb[68]
@@ -3387,7 +3844,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1941.330000 0.000000 1941.470000 0.490000 ;
+        RECT 2053.330000 0.000000 2053.470000 0.490000 ;
     END
   END la_oenb[68]
   PIN la_oenb[67]
@@ -3395,7 +3852,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1936.830000 0.000000 1936.970000 0.490000 ;
+        RECT 2048.530000 0.000000 2048.670000 0.490000 ;
     END
   END la_oenb[67]
   PIN la_oenb[66]
@@ -3403,7 +3860,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1932.330000 0.000000 1932.470000 0.490000 ;
+        RECT 2043.830000 0.000000 2043.970000 0.490000 ;
     END
   END la_oenb[66]
   PIN la_oenb[65]
@@ -3411,7 +3868,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1927.830000 0.000000 1927.970000 0.490000 ;
+        RECT 2039.030000 0.000000 2039.170000 0.490000 ;
     END
   END la_oenb[65]
   PIN la_oenb[64]
@@ -3419,7 +3876,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1923.230000 0.000000 1923.370000 0.490000 ;
+        RECT 2034.230000 0.000000 2034.370000 0.490000 ;
     END
   END la_oenb[64]
   PIN la_oenb[63]
@@ -3427,7 +3884,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1918.730000 0.000000 1918.870000 0.490000 ;
+        RECT 2029.430000 0.000000 2029.570000 0.490000 ;
     END
   END la_oenb[63]
   PIN la_oenb[62]
@@ -3435,7 +3892,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1914.230000 0.000000 1914.370000 0.490000 ;
+        RECT 2024.630000 0.000000 2024.770000 0.490000 ;
     END
   END la_oenb[62]
   PIN la_oenb[61]
@@ -3443,7 +3900,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1909.730000 0.000000 1909.870000 0.490000 ;
+        RECT 2019.830000 0.000000 2019.970000 0.490000 ;
     END
   END la_oenb[61]
   PIN la_oenb[60]
@@ -3451,7 +3908,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1905.230000 0.000000 1905.370000 0.490000 ;
+        RECT 2015.130000 0.000000 2015.270000 0.490000 ;
     END
   END la_oenb[60]
   PIN la_oenb[59]
@@ -3459,7 +3916,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1900.730000 0.000000 1900.870000 0.490000 ;
+        RECT 2010.430000 0.000000 2010.570000 0.490000 ;
     END
   END la_oenb[59]
   PIN la_oenb[58]
@@ -3467,7 +3924,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1896.230000 0.000000 1896.370000 0.490000 ;
+        RECT 2005.630000 0.000000 2005.770000 0.490000 ;
     END
   END la_oenb[58]
   PIN la_oenb[57]
@@ -3475,7 +3932,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1891.630000 0.000000 1891.770000 0.490000 ;
+        RECT 2000.830000 0.000000 2000.970000 0.490000 ;
     END
   END la_oenb[57]
   PIN la_oenb[56]
@@ -3483,7 +3940,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1887.130000 0.000000 1887.270000 0.490000 ;
+        RECT 1996.030000 0.000000 1996.170000 0.490000 ;
     END
   END la_oenb[56]
   PIN la_oenb[55]
@@ -3491,7 +3948,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1882.630000 0.000000 1882.770000 0.490000 ;
+        RECT 1991.230000 0.000000 1991.370000 0.490000 ;
     END
   END la_oenb[55]
   PIN la_oenb[54]
@@ -3499,7 +3956,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1878.130000 0.000000 1878.270000 0.490000 ;
+        RECT 1986.530000 0.000000 1986.670000 0.490000 ;
     END
   END la_oenb[54]
   PIN la_oenb[53]
@@ -3507,7 +3964,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1873.630000 0.000000 1873.770000 0.490000 ;
+        RECT 1981.730000 0.000000 1981.870000 0.490000 ;
     END
   END la_oenb[53]
   PIN la_oenb[52]
@@ -3515,7 +3972,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1869.130000 0.000000 1869.270000 0.490000 ;
+        RECT 1976.930000 0.000000 1977.070000 0.490000 ;
     END
   END la_oenb[52]
   PIN la_oenb[51]
@@ -3523,7 +3980,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1864.530000 0.000000 1864.670000 0.490000 ;
+        RECT 1972.130000 0.000000 1972.270000 0.490000 ;
     END
   END la_oenb[51]
   PIN la_oenb[50]
@@ -3531,7 +3988,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1860.030000 0.000000 1860.170000 0.490000 ;
+        RECT 1967.330000 0.000000 1967.470000 0.490000 ;
     END
   END la_oenb[50]
   PIN la_oenb[49]
@@ -3539,7 +3996,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1855.530000 0.000000 1855.670000 0.490000 ;
+        RECT 1962.630000 0.000000 1962.770000 0.490000 ;
     END
   END la_oenb[49]
   PIN la_oenb[48]
@@ -3547,7 +4004,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1851.030000 0.000000 1851.170000 0.490000 ;
+        RECT 1957.930000 0.000000 1958.070000 0.490000 ;
     END
   END la_oenb[48]
   PIN la_oenb[47]
@@ -3555,7 +4012,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1846.530000 0.000000 1846.670000 0.490000 ;
+        RECT 1953.130000 0.000000 1953.270000 0.490000 ;
     END
   END la_oenb[47]
   PIN la_oenb[46]
@@ -3563,7 +4020,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1842.030000 0.000000 1842.170000 0.490000 ;
+        RECT 1948.330000 0.000000 1948.470000 0.490000 ;
     END
   END la_oenb[46]
   PIN la_oenb[45]
@@ -3571,7 +4028,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1837.530000 0.000000 1837.670000 0.490000 ;
+        RECT 1943.530000 0.000000 1943.670000 0.490000 ;
     END
   END la_oenb[45]
   PIN la_oenb[44]
@@ -3579,7 +4036,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1832.930000 0.000000 1833.070000 0.490000 ;
+        RECT 1938.730000 0.000000 1938.870000 0.490000 ;
     END
   END la_oenb[44]
   PIN la_oenb[43]
@@ -3587,7 +4044,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1828.430000 0.000000 1828.570000 0.490000 ;
+        RECT 1933.930000 0.000000 1934.070000 0.490000 ;
     END
   END la_oenb[43]
   PIN la_oenb[42]
@@ -3595,7 +4052,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1823.930000 0.000000 1824.070000 0.490000 ;
+        RECT 1929.230000 0.000000 1929.370000 0.490000 ;
     END
   END la_oenb[42]
   PIN la_oenb[41]
@@ -3603,7 +4060,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1819.430000 0.000000 1819.570000 0.490000 ;
+        RECT 1924.430000 0.000000 1924.570000 0.490000 ;
     END
   END la_oenb[41]
   PIN la_oenb[40]
@@ -3611,7 +4068,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1814.930000 0.000000 1815.070000 0.490000 ;
+        RECT 1919.630000 0.000000 1919.770000 0.490000 ;
     END
   END la_oenb[40]
   PIN la_oenb[39]
@@ -3619,7 +4076,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1810.430000 0.000000 1810.570000 0.490000 ;
+        RECT 1914.930000 0.000000 1915.070000 0.490000 ;
     END
   END la_oenb[39]
   PIN la_oenb[38]
@@ -3627,7 +4084,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1805.930000 0.000000 1806.070000 0.490000 ;
+        RECT 1910.130000 0.000000 1910.270000 0.490000 ;
     END
   END la_oenb[38]
   PIN la_oenb[37]
@@ -3635,7 +4092,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1801.330000 0.000000 1801.470000 0.490000 ;
+        RECT 1905.330000 0.000000 1905.470000 0.490000 ;
     END
   END la_oenb[37]
   PIN la_oenb[36]
@@ -3643,7 +4100,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1796.830000 0.000000 1796.970000 0.490000 ;
+        RECT 1900.530000 0.000000 1900.670000 0.490000 ;
     END
   END la_oenb[36]
   PIN la_oenb[35]
@@ -3651,7 +4108,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1792.330000 0.000000 1792.470000 0.490000 ;
+        RECT 1895.730000 0.000000 1895.870000 0.490000 ;
     END
   END la_oenb[35]
   PIN la_oenb[34]
@@ -3659,7 +4116,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1787.830000 0.000000 1787.970000 0.490000 ;
+        RECT 1890.930000 0.000000 1891.070000 0.490000 ;
     END
   END la_oenb[34]
   PIN la_oenb[33]
@@ -3667,7 +4124,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1783.330000 0.000000 1783.470000 0.490000 ;
+        RECT 1886.130000 0.000000 1886.270000 0.490000 ;
     END
   END la_oenb[33]
   PIN la_oenb[32]
@@ -3675,7 +4132,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1778.830000 0.000000 1778.970000 0.490000 ;
+        RECT 1881.330000 0.000000 1881.470000 0.490000 ;
     END
   END la_oenb[32]
   PIN la_oenb[31]
@@ -3683,7 +4140,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1774.230000 0.000000 1774.370000 0.490000 ;
+        RECT 1876.530000 0.000000 1876.670000 0.490000 ;
     END
   END la_oenb[31]
   PIN la_oenb[30]
@@ -3691,7 +4148,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1769.730000 0.000000 1769.870000 0.490000 ;
+        RECT 1871.730000 0.000000 1871.870000 0.490000 ;
     END
   END la_oenb[30]
   PIN la_oenb[29]
@@ -3699,7 +4156,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1765.230000 0.000000 1765.370000 0.490000 ;
+        RECT 1867.130000 0.000000 1867.270000 0.490000 ;
     END
   END la_oenb[29]
   PIN la_oenb[28]
@@ -3707,7 +4164,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1760.730000 0.000000 1760.870000 0.490000 ;
+        RECT 1862.330000 0.000000 1862.470000 0.490000 ;
     END
   END la_oenb[28]
   PIN la_oenb[27]
@@ -3715,7 +4172,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1756.230000 0.000000 1756.370000 0.490000 ;
+        RECT 1857.530000 0.000000 1857.670000 0.490000 ;
     END
   END la_oenb[27]
   PIN la_oenb[26]
@@ -3723,7 +4180,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1751.730000 0.000000 1751.870000 0.490000 ;
+        RECT 1852.730000 0.000000 1852.870000 0.490000 ;
     END
   END la_oenb[26]
   PIN la_oenb[25]
@@ -3731,7 +4188,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1747.230000 0.000000 1747.370000 0.490000 ;
+        RECT 1847.930000 0.000000 1848.070000 0.490000 ;
     END
   END la_oenb[25]
   PIN la_oenb[24]
@@ -3739,7 +4196,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1742.630000 0.000000 1742.770000 0.490000 ;
+        RECT 1843.130000 0.000000 1843.270000 0.490000 ;
     END
   END la_oenb[24]
   PIN la_oenb[23]
@@ -3747,7 +4204,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1738.130000 0.000000 1738.270000 0.490000 ;
+        RECT 1838.430000 0.000000 1838.570000 0.490000 ;
     END
   END la_oenb[23]
   PIN la_oenb[22]
@@ -3755,7 +4212,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1733.630000 0.000000 1733.770000 0.490000 ;
+        RECT 1833.630000 0.000000 1833.770000 0.490000 ;
     END
   END la_oenb[22]
   PIN la_oenb[21]
@@ -3763,7 +4220,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1729.130000 0.000000 1729.270000 0.490000 ;
+        RECT 1828.830000 0.000000 1828.970000 0.490000 ;
     END
   END la_oenb[21]
   PIN la_oenb[20]
@@ -3771,7 +4228,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1724.630000 0.000000 1724.770000 0.490000 ;
+        RECT 1824.030000 0.000000 1824.170000 0.490000 ;
     END
   END la_oenb[20]
   PIN la_oenb[19]
@@ -3779,7 +4236,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1720.130000 0.000000 1720.270000 0.490000 ;
+        RECT 1819.330000 0.000000 1819.470000 0.490000 ;
     END
   END la_oenb[19]
   PIN la_oenb[18]
@@ -3787,7 +4244,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1715.630000 0.000000 1715.770000 0.490000 ;
+        RECT 1814.530000 0.000000 1814.670000 0.490000 ;
     END
   END la_oenb[18]
   PIN la_oenb[17]
@@ -3795,7 +4252,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1711.030000 0.000000 1711.170000 0.490000 ;
+        RECT 1809.830000 0.000000 1809.970000 0.490000 ;
     END
   END la_oenb[17]
   PIN la_oenb[16]
@@ -3803,7 +4260,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1706.530000 0.000000 1706.670000 0.490000 ;
+        RECT 1805.030000 0.000000 1805.170000 0.490000 ;
     END
   END la_oenb[16]
   PIN la_oenb[15]
@@ -3811,7 +4268,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1702.030000 0.000000 1702.170000 0.490000 ;
+        RECT 1800.230000 0.000000 1800.370000 0.490000 ;
     END
   END la_oenb[15]
   PIN la_oenb[14]
@@ -3819,7 +4276,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1697.530000 0.000000 1697.670000 0.490000 ;
+        RECT 1795.430000 0.000000 1795.570000 0.490000 ;
     END
   END la_oenb[14]
   PIN la_oenb[13]
@@ -3827,7 +4284,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1693.030000 0.000000 1693.170000 0.490000 ;
+        RECT 1790.630000 0.000000 1790.770000 0.490000 ;
     END
   END la_oenb[13]
   PIN la_oenb[12]
@@ -3835,7 +4292,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1688.530000 0.000000 1688.670000 0.490000 ;
+        RECT 1785.830000 0.000000 1785.970000 0.490000 ;
     END
   END la_oenb[12]
   PIN la_oenb[11]
@@ -3843,7 +4300,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1683.930000 0.000000 1684.070000 0.490000 ;
+        RECT 1781.130000 0.000000 1781.270000 0.490000 ;
     END
   END la_oenb[11]
   PIN la_oenb[10]
@@ -3851,7 +4308,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1679.430000 0.000000 1679.570000 0.490000 ;
+        RECT 1776.330000 0.000000 1776.470000 0.490000 ;
     END
   END la_oenb[10]
   PIN la_oenb[9]
@@ -3859,7 +4316,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1674.930000 0.000000 1675.070000 0.490000 ;
+        RECT 1771.630000 0.000000 1771.770000 0.490000 ;
     END
   END la_oenb[9]
   PIN la_oenb[8]
@@ -3867,7 +4324,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1670.430000 0.000000 1670.570000 0.490000 ;
+        RECT 1766.830000 0.000000 1766.970000 0.490000 ;
     END
   END la_oenb[8]
   PIN la_oenb[7]
@@ -3875,7 +4332,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1665.930000 0.000000 1666.070000 0.490000 ;
+        RECT 1762.030000 0.000000 1762.170000 0.490000 ;
     END
   END la_oenb[7]
   PIN la_oenb[6]
@@ -3883,7 +4340,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1661.430000 0.000000 1661.570000 0.490000 ;
+        RECT 1757.230000 0.000000 1757.370000 0.490000 ;
     END
   END la_oenb[6]
   PIN la_oenb[5]
@@ -3891,7 +4348,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1656.930000 0.000000 1657.070000 0.490000 ;
+        RECT 1752.530000 0.000000 1752.670000 0.490000 ;
     END
   END la_oenb[5]
   PIN la_oenb[4]
@@ -3899,7 +4356,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1652.330000 0.000000 1652.470000 0.490000 ;
+        RECT 1747.730000 0.000000 1747.870000 0.490000 ;
     END
   END la_oenb[4]
   PIN la_oenb[3]
@@ -3907,7 +4364,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1647.830000 0.000000 1647.970000 0.490000 ;
+        RECT 1742.930000 0.000000 1743.070000 0.490000 ;
     END
   END la_oenb[3]
   PIN la_oenb[2]
@@ -3915,7 +4372,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1643.330000 0.000000 1643.470000 0.490000 ;
+        RECT 1738.130000 0.000000 1738.270000 0.490000 ;
     END
   END la_oenb[2]
   PIN la_oenb[1]
@@ -3923,7 +4380,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1638.830000 0.000000 1638.970000 0.490000 ;
+        RECT 1733.330000 0.000000 1733.470000 0.490000 ;
     END
   END la_oenb[1]
   PIN la_oenb[0]
@@ -3931,7 +4388,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1634.330000 0.000000 1634.470000 0.490000 ;
+        RECT 1728.530000 0.000000 1728.670000 0.490000 ;
     END
   END la_oenb[0]
   PIN io_in[37]
@@ -3939,23 +4396,44 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 108.730000 0.800000 109.030000 ;
+        RECT 0.000000 83.735000 0.800000 84.035000 ;
     END
   END io_in[37]
   PIN io_in[36]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 4.1448 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 22.576 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.159 LAYER met4  ;
+    ANTENNAMAXAREACAR 43.8601 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 214.862 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.826415 LAYER via4  ;
+    ANTENNAPARTIALMETALAREA 2.6214 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.424 LAYER met3  ;
+    ANTENNAGATEAREA 0.159 LAYER met3  ;
+    ANTENNAMAXAREACAR 9.63176 LAYER met3  ;
+    ANTENNAMAXSIDEAREACAR 31.566 LAYER met3  ;
+    ANTENNAMAXCUTCAR 0.574843 LAYER via3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 272.095000 0.800000 272.395000 ;
+        RECT 0.000000 209.800000 0.800000 210.100000 ;
     END
   END io_in[36]
   PIN io_in[35]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.4809 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.56 LAYER met3  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.318 LAYER met3  ;
+    ANTENNAMAXAREACAR 31.3148 LAYER met3  ;
+    ANTENNAMAXSIDEAREACAR 153.978 LAYER met3  ;
+    ANTENNAMAXCUTCAR 0.287421 LAYER via3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 435.460000 0.800000 435.760000 ;
+        RECT 0.000000 335.865000 0.800000 336.165000 ;
     END
   END io_in[35]
   PIN io_in[34]
@@ -3963,87 +4441,178 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 653.315000 0.800000 653.615000 ;
+        RECT 0.000000 504.300000 0.800000 504.600000 ;
     END
   END io_in[34]
   PIN io_in[33]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 48.7819 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 260.632 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNADIFFAREA 2.1735 LAYER met4  ;
+    ANTENNAPARTIALMETALAREA 149.312 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 796.8 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 2.37 LAYER met4  ;
+    ANTENNAMAXAREACAR 68.5579 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 349.012 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.409837 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 871.070000 0.800000 871.370000 ;
+        RECT 0.000000 672.355000 0.800000 672.655000 ;
     END
   END io_in[33]
   PIN io_in[32]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 41.0734 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 219.52 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNADIFFAREA 2.1735 LAYER met4  ;
+    ANTENNAPARTIALMETALAREA 150.02 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 801.52 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 2.2995 LAYER met4  ;
+    ANTENNAMAXAREACAR 70.3389 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 356.901 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.286759 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1088.925000 0.800000 1089.225000 ;
+        RECT 0.000000 840.600000 0.800000 840.900000 ;
     END
   END io_in[32]
   PIN io_in[31]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.8759 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 10.448 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 6.1578 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 33.312 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.495 LAYER met4  ;
+    ANTENNAMAXAREACAR 20.5509 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 103.635 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.460202 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1306.780000 0.800000 1307.080000 ;
+        RECT 0.000000 1008.845000 0.800000 1009.145000 ;
     END
   END io_in[31]
   PIN io_in[30]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.5084 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 8.488 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 6.1578 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 33.312 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.8685 LAYER met4  ;
+    ANTENNAMAXAREACAR 11.6495 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 59.1252 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.500049 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1524.535000 0.800000 1524.835000 ;
+        RECT 0.000000 1176.900000 0.800000 1177.200000 ;
     END
   END io_in[30]
   PIN io_in[29]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.0629 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 6.144 LAYER met3  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.7425 LAYER met3  ;
+    ANTENNAMAXAREACAR 5.36754 LAYER met3  ;
+    ANTENNAMAXSIDEAREACAR 26.5845 LAYER met3  ;
+    ANTENNAMAXCUTCAR 0.123098 LAYER via3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1742.390000 0.800000 1742.690000 ;
+        RECT 0.000000 1345.145000 0.800000 1345.445000 ;
     END
   END io_in[29]
   PIN io_in[28]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.0948 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 6.304 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 3.9618 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 21.6 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.1965 LAYER met4  ;
+    ANTENNAMAXAREACAR 37.4244 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 195.394 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.668702 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1960.240000 0.800000 1960.540000 ;
+        RECT 0.000000 1513.485000 0.800000 1513.785000 ;
     END
   END io_in[28]
   PIN io_in[27]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.5964 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 3.656 LAYER met3  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.7425 LAYER met3  ;
+    ANTENNAMAXAREACAR 5.27663 LAYER met3  ;
+    ANTENNAMAXSIDEAREACAR 25.7791 LAYER met3  ;
+    ANTENNAMAXCUTCAR 0.123098 LAYER via3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2178.000000 0.800000 2178.300000 ;
+        RECT 0.000000 1681.540000 0.800000 1681.840000 ;
     END
   END io_in[27]
   PIN io_in[26]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.5904 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 3.624 LAYER met3  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.7425 LAYER met3  ;
+    ANTENNAMAXAREACAR 5.30572 LAYER met3  ;
+    ANTENNAMAXSIDEAREACAR 25.7643 LAYER met3  ;
+    ANTENNAMAXCUTCAR 0.123098 LAYER via3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2395.850000 0.800000 2396.150000 ;
+        RECT 0.000000 1849.880000 0.800000 1850.180000 ;
     END
   END io_in[26]
   PIN io_in[25]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNADIFFAREA 2.6082 LAYER met3  ;
+    ANTENNAPARTIALMETALAREA 0.3024 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.088 LAYER met3  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 2.8212 LAYER met3  ;
+    ANTENNAMAXAREACAR 86.095 LAYER met3  ;
+    ANTENNAMAXSIDEAREACAR 412.505 LAYER met3  ;
+    ANTENNAMAXCUTCAR 0.172915 LAYER via3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2613.705000 0.800000 2614.005000 ;
+        RECT 0.000000 2018.030000 0.800000 2018.330000 ;
     END
   END io_in[25]
   PIN io_in[24]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.1574 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 6.616 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 4.1448 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 22.576 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met4  ;
+    ANTENNAMAXAREACAR 21.3149 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 111.507 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.530909 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2831.460000 0.800000 2831.760000 ;
+        RECT 0.000000 2186.180000 0.800000 2186.480000 ;
     END
   END io_in[24]
   PIN io_in[23]
@@ -4051,7 +4620,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 127.030000 2894.610000 127.170000 2895.100000 ;
+        RECT 134.330000 2289.750000 134.470000 2290.240000 ;
     END
   END io_in[23]
   PIN io_in[22]
@@ -4059,7 +4628,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 381.430000 2894.610000 381.570000 2895.100000 ;
+        RECT 403.530000 2289.750000 403.670000 2290.240000 ;
     END
   END io_in[22]
   PIN io_in[21]
@@ -4067,7 +4636,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 635.830000 2894.610000 635.970000 2895.100000 ;
+        RECT 672.530000 2289.750000 672.670000 2290.240000 ;
     END
   END io_in[21]
   PIN io_in[20]
@@ -4075,7 +4644,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 890.230000 2894.610000 890.370000 2895.100000 ;
+        RECT 941.730000 2289.750000 941.870000 2290.240000 ;
     END
   END io_in[20]
   PIN io_in[19]
@@ -4083,7 +4652,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1144.630000 2894.610000 1144.770000 2895.100000 ;
+        RECT 1210.830000 2289.750000 1210.970000 2290.240000 ;
     END
   END io_in[19]
   PIN io_in[18]
@@ -4091,7 +4660,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1399.030000 2894.610000 1399.170000 2895.100000 ;
+        RECT 1480.030000 2289.750000 1480.170000 2290.240000 ;
     END
   END io_in[18]
   PIN io_in[17]
@@ -4099,7 +4668,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1653.430000 2894.610000 1653.570000 2895.100000 ;
+        RECT 1749.130000 2289.750000 1749.270000 2290.240000 ;
     END
   END io_in[17]
   PIN io_in[16]
@@ -4107,7 +4676,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1907.830000 2894.610000 1907.970000 2895.100000 ;
+        RECT 2018.330000 2289.750000 2018.470000 2290.240000 ;
     END
   END io_in[16]
   PIN io_in[15]
@@ -4115,7 +4684,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2162.230000 2894.610000 2162.370000 2895.100000 ;
+        RECT 2287.430000 2289.750000 2287.570000 2290.240000 ;
     END
   END io_in[15]
   PIN io_in[14]
@@ -4123,7 +4692,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 2775.015000 2225.940000 2775.315000 ;
+        RECT 2368.660000 2142.575000 2369.460000 2142.875000 ;
     END
   END io_in[14]
   PIN io_in[13]
@@ -4131,7 +4700,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 2552.945000 2225.940000 2553.245000 ;
+        RECT 2368.660000 1971.005000 2369.460000 1971.305000 ;
     END
   END io_in[13]
   PIN io_in[12]
@@ -4139,7 +4708,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 2330.975000 2225.940000 2331.275000 ;
+        RECT 2368.660000 1799.625000 2369.460000 1799.925000 ;
     END
   END io_in[12]
   PIN io_in[11]
@@ -4147,7 +4716,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 2108.910000 2225.940000 2109.210000 ;
+        RECT 2368.660000 1628.245000 2369.460000 1628.545000 ;
     END
   END io_in[11]
   PIN io_in[10]
@@ -4155,7 +4724,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1886.940000 2225.940000 1887.240000 ;
+        RECT 2368.660000 1456.675000 2369.460000 1456.975000 ;
     END
   END io_in[10]
   PIN io_in[9]
@@ -4163,15 +4732,29 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1664.870000 2225.940000 1665.170000 ;
+        RECT 2368.660000 1285.390000 2369.460000 1285.690000 ;
     END
   END io_in[9]
   PIN io_in[8]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 4.1448 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 22.576 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.9555 LAYER met4  ;
+    ANTENNAMAXAREACAR 16.4458 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 82.1642 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.382312 LAYER via4  ;
+    ANTENNAPARTIALMETALAREA 5.5089 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 29.824 LAYER met3  ;
+    ANTENNAGATEAREA 0.9555 LAYER met3  ;
+    ANTENNAMAXAREACAR 79.2681 LAYER met3  ;
+    ANTENNAMAXSIDEAREACAR 386.635 LAYER met3  ;
+    ANTENNAMAXCUTCAR 0.283177 LAYER via3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1442.900000 2225.940000 1443.200000 ;
+        RECT 2368.660000 1113.915000 2369.460000 1114.215000 ;
     END
   END io_in[8]
   PIN io_in[7]
@@ -4179,7 +4762,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1220.830000 2225.940000 1221.130000 ;
+        RECT 2368.660000 942.440000 2369.460000 942.740000 ;
     END
   END io_in[7]
   PIN io_in[6]
@@ -4187,15 +4770,25 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 998.860000 2225.940000 999.160000 ;
+        RECT 2368.660000 770.965000 2369.460000 771.265000 ;
     END
   END io_in[6]
   PIN io_in[5]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.6433 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 3.896 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 4.1448 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 22.576 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.1965 LAYER met4  ;
+    ANTENNAMAXAREACAR 33.1883 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 173.323 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.668702 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 832.360000 2225.940000 832.660000 ;
+        RECT 2368.660000 642.430000 2369.460000 642.730000 ;
     END
   END io_in[5]
   PIN io_in[4]
@@ -4203,7 +4796,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 665.860000 2225.940000 666.160000 ;
+        RECT 2368.660000 513.895000 2369.460000 514.195000 ;
     END
   END io_in[4]
   PIN io_in[3]
@@ -4211,7 +4804,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 499.355000 2225.940000 499.655000 ;
+        RECT 2368.660000 385.360000 2369.460000 385.660000 ;
     END
   END io_in[3]
   PIN io_in[2]
@@ -4219,7 +4812,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 332.855000 2225.940000 333.155000 ;
+        RECT 2368.660000 256.730000 2369.460000 257.030000 ;
     END
   END io_in[2]
   PIN io_in[1]
@@ -4227,7 +4820,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 166.350000 2225.940000 166.650000 ;
+        RECT 2368.660000 128.100000 2369.460000 128.400000 ;
     END
   END io_in[1]
   PIN io_in[0]
@@ -4235,615 +4828,802 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 2.300000 2225.940000 2.600000 ;
+        RECT 2368.660000 2.415000 2369.460000 2.715000 ;
     END
   END io_in[0]
   PIN io_out[37]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNADIFFAREA 0.891 LAYER met3  ;
+    ANTENNAPARTIALMETALAREA 0.3144 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.672 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 54.240000 0.800000 54.540000 ;
+        RECT 0.000000 41.650000 0.800000 41.950000 ;
     END
   END io_out[37]
   PIN io_out[36]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.2019 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.072 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 217.605000 0.800000 217.905000 ;
+        RECT 0.000000 167.715000 0.800000 168.015000 ;
     END
   END io_out[36]
   PIN io_out[35]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.0964 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 11.176 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 380.970000 0.800000 381.270000 ;
+        RECT 0.000000 293.970000 0.800000 294.270000 ;
     END
   END io_out[35]
   PIN io_out[34]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNADIFFAREA 0.4455 LAYER met3  ;
+    ANTENNAPARTIALMETALAREA 5.6544 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 30.152 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 598.825000 0.800000 599.125000 ;
+        RECT 0.000000 462.120000 0.800000 462.420000 ;
     END
   END io_out[34]
   PIN io_out[33]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 7.3044 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 38.952 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 816.680000 0.800000 816.980000 ;
+        RECT 0.000000 630.270000 0.800000 630.570000 ;
     END
   END io_out[33]
   PIN io_out[32]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 7.8669 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 41.952 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1034.435000 0.800000 1034.735000 ;
+        RECT 0.000000 798.515000 0.800000 798.815000 ;
     END
   END io_out[32]
   PIN io_out[31]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 6.3144 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 33.672 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1252.290000 0.800000 1252.590000 ;
+        RECT 0.000000 966.760000 0.800000 967.060000 ;
     END
   END io_out[31]
   PIN io_out[30]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 5.7304 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 31.024 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 63.0708 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 336.848 LAYER met4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1470.145000 0.800000 1470.445000 ;
+        RECT 0.000000 1134.910000 0.800000 1135.210000 ;
     END
   END io_out[30]
   PIN io_out[29]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 152.741 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 815.088 LAYER met4  ;
+    ANTENNAPARTIALMETALAREA 5.3749 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 29.128 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1687.900000 0.800000 1688.200000 ;
+        RECT 0.000000 1303.155000 0.800000 1303.455000 ;
     END
   END io_out[29]
   PIN io_out[28]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.3579 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.904 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1905.755000 0.800000 1906.055000 ;
+        RECT 0.000000 1471.305000 0.800000 1471.605000 ;
     END
   END io_out[28]
   PIN io_out[27]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 9.7905 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 53.152 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2123.610000 0.800000 2123.910000 ;
+        RECT 0.000000 1639.455000 0.800000 1639.755000 ;
     END
   END io_out[27]
   PIN io_out[26]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 7.5679 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 40.824 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 9.0858 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 48.928 LAYER met4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2341.365000 0.800000 2341.665000 ;
+        RECT 0.000000 1807.795000 0.800000 1808.095000 ;
     END
   END io_out[26]
   PIN io_out[25]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.6234 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 3.32 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2559.220000 0.800000 2559.520000 ;
+        RECT 0.000000 1976.040000 0.800000 1976.340000 ;
     END
   END io_out[25]
   PIN io_out[24]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.3314 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 7.096 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2777.070000 0.800000 2777.370000 ;
+        RECT 0.000000 2144.190000 0.800000 2144.490000 ;
     END
   END io_out[24]
   PIN io_out[23]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.4276 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.03 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 63.530000 2894.610000 63.670000 2895.100000 ;
+        RECT 67.030000 2289.750000 67.170000 2290.240000 ;
     END
   END io_out[23]
   PIN io_out[22]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.4696 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 17.122 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 317.830000 2894.610000 317.970000 2895.100000 ;
+        RECT 336.230000 2289.750000 336.370000 2290.240000 ;
     END
   END io_out[22]
   PIN io_out[21]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.1002 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 15.393 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 572.230000 2894.610000 572.370000 2895.100000 ;
+        RECT 605.330000 2289.750000 605.470000 2290.240000 ;
     END
   END io_out[21]
   PIN io_out[20]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.2302 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 15.925 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 826.630000 2894.610000 826.770000 2895.100000 ;
+        RECT 874.430000 2289.750000 874.570000 2290.240000 ;
     END
   END io_out[20]
   PIN io_out[19]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.1574 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 0.679 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1081.030000 2894.610000 1081.170000 2895.100000 ;
+        RECT 1143.530000 2289.750000 1143.670000 2290.240000 ;
     END
   END io_out[19]
   PIN io_out[18]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 4.234 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 20.944 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1335.430000 2894.610000 1335.570000 2895.100000 ;
+        RECT 1412.730000 2289.750000 1412.870000 2290.240000 ;
     END
   END io_out[18]
   PIN io_out[17]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.24 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.092 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1589.830000 2894.610000 1589.970000 2895.100000 ;
+        RECT 1681.830000 2289.750000 1681.970000 2290.240000 ;
     END
   END io_out[17]
   PIN io_out[16]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.107 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 0.427 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1844.230000 2894.610000 1844.370000 2895.100000 ;
+        RECT 1950.930000 2289.750000 1951.070000 2290.240000 ;
     END
   END io_out[16]
   PIN io_out[15]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.275 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 16.149 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 2098.630000 2894.610000 2098.770000 2895.100000 ;
+        RECT 2220.030000 2289.750000 2220.170000 2290.240000 ;
     END
   END io_out[15]
   PIN io_out[14]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.5784 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 3.08 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 2830.480000 2225.940000 2830.780000 ;
+        RECT 2368.660000 2185.420000 2369.460000 2185.720000 ;
     END
   END io_out[14]
   PIN io_out[13]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 7.0389 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 37.536 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 2608.415000 2225.940000 2608.715000 ;
+        RECT 2368.660000 2013.945000 2369.460000 2014.245000 ;
     END
   END io_out[13]
   PIN io_out[12]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 8.5947 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 46.304 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 2386.445000 2225.940000 2386.745000 ;
+        RECT 2368.660000 1842.565000 2369.460000 1842.865000 ;
     END
   END io_out[12]
   PIN io_out[11]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.0674 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 5.688 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 2164.475000 2225.940000 2164.775000 ;
+        RECT 2368.660000 1671.090000 2369.460000 1671.390000 ;
     END
   END io_out[11]
   PIN io_out[10]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 6.4909 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 35.08 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 13.5018 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 72.48 LAYER met4  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1942.405000 2225.940000 1942.705000 ;
+        RECT 2368.660000 1499.615000 2369.460000 1499.915000 ;
     END
   END io_out[10]
   PIN io_out[9]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.3024 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.608 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1720.435000 2225.940000 1720.735000 ;
+        RECT 2368.660000 1328.330000 2369.460000 1328.630000 ;
     END
   END io_out[9]
   PIN io_out[8]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 8.5789 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 46.216 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 66.9378 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 357.472 LAYER met4  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1498.370000 2225.940000 1498.670000 ;
+        RECT 2368.660000 1156.855000 2369.460000 1157.155000 ;
     END
   END io_out[8]
   PIN io_out[7]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.2814 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.496 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1276.400000 2225.940000 1276.700000 ;
+        RECT 2368.660000 985.380000 2369.460000 985.680000 ;
     END
   END io_out[7]
   PIN io_out[6]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNADIFFAREA 0.891 LAYER met3  ;
+    ANTENNAPARTIALMETALAREA 6.0774 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 32.888 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1054.330000 2225.940000 1054.630000 ;
+        RECT 2368.660000 814.000000 2369.460000 814.300000 ;
     END
   END io_out[6]
   PIN io_out[5]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 5.9844 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 31.912 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 887.830000 2225.940000 888.130000 ;
+        RECT 2368.660000 685.370000 2369.460000 685.670000 ;
     END
   END io_out[5]
   PIN io_out[4]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 6.3939 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 34.096 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 721.325000 2225.940000 721.625000 ;
+        RECT 2368.660000 556.645000 2369.460000 556.945000 ;
     END
   END io_out[4]
   PIN io_out[3]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 6.1329 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 32.704 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 554.825000 2225.940000 555.125000 ;
+        RECT 2368.660000 428.205000 2369.460000 428.505000 ;
     END
   END io_out[3]
   PIN io_out[2]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.2319 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.232 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 388.320000 2225.940000 388.620000 ;
+        RECT 2368.660000 299.575000 2369.460000 299.875000 ;
     END
   END io_out[2]
   PIN io_out[1]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.3219 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.712 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 221.820000 2225.940000 222.120000 ;
+        RECT 2368.660000 170.945000 2369.460000 171.245000 ;
     END
   END io_out[1]
   PIN io_out[0]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.2409 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.28 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 55.320000 2225.940000 55.620000 ;
+        RECT 2368.660000 42.505000 2369.460000 42.805000 ;
     END
   END io_out[0]
   PIN io_oeb[37]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.3849 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.048 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1.125000 0.800000 1.425000 ;
+        RECT 0.000000 1.655000 0.800000 1.955000 ;
     END
   END io_oeb[37]
   PIN io_oeb[36]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNADIFFAREA 0.3406 LAYER met3  ;
+    ANTENNAPARTIALMETALAREA 0.3054 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.104 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 163.215000 0.800000 163.515000 ;
+        RECT 0.000000 125.820000 0.800000 126.120000 ;
     END
   END io_oeb[36]
   PIN io_oeb[35]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNADIFFAREA 0.3406 LAYER met3  ;
+    ANTENNAPARTIALMETALAREA 0.2844 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.992 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 326.580000 0.800000 326.880000 ;
+        RECT 0.000000 251.980000 0.800000 252.280000 ;
     END
   END io_oeb[35]
   PIN io_oeb[34]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.2304 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.224 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 544.340000 0.800000 544.640000 ;
+        RECT 0.000000 420.130000 0.800000 420.430000 ;
     END
   END io_oeb[34]
   PIN io_oeb[33]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 10.2582 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 55.176 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 762.190000 0.800000 762.490000 ;
+        RECT 0.000000 588.280000 0.800000 588.580000 ;
     END
   END io_oeb[33]
   PIN io_oeb[32]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.9234 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 4.92 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 980.045000 0.800000 980.345000 ;
+        RECT 0.000000 756.430000 0.800000 756.730000 ;
     END
   END io_oeb[32]
   PIN io_oeb[31]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 7.2819 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 38.832 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1197.800000 0.800000 1198.100000 ;
+        RECT 0.000000 924.675000 0.800000 924.975000 ;
     END
   END io_oeb[31]
   PIN io_oeb[30]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 6.8334 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 36.44 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1415.655000 0.800000 1415.955000 ;
+        RECT 0.000000 1092.920000 0.800000 1093.220000 ;
     END
   END io_oeb[30]
   PIN io_oeb[29]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.4664 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 7.816 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1633.510000 0.800000 1633.810000 ;
+        RECT 0.000000 1261.070000 0.800000 1261.370000 ;
     END
   END io_oeb[29]
   PIN io_oeb[28]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.1514 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 6.136 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1851.265000 0.800000 1851.565000 ;
+        RECT 0.000000 1429.410000 0.800000 1429.710000 ;
     END
   END io_oeb[28]
   PIN io_oeb[27]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNADIFFAREA 0.891 LAYER met4  ;
+    ANTENNAPARTIALMETALAREA 33.9738 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 181.664 LAYER met4  ;
+    ANTENNADIFFAREA 0.891 LAYER met3  ;
+    ANTENNAPARTIALMETALAREA 3.8509 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 21 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2069.120000 0.800000 2069.420000 ;
+        RECT 0.000000 1597.465000 0.800000 1597.765000 ;
     END
   END io_oeb[27]
   PIN io_oeb[26]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 6.7524 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 36.008 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2286.975000 0.800000 2287.275000 ;
+        RECT 0.000000 1765.710000 0.800000 1766.010000 ;
     END
   END io_oeb[26]
   PIN io_oeb[25]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 6.2064 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 33.096 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2504.730000 0.800000 2505.030000 ;
+        RECT 0.000000 1933.860000 0.800000 1934.160000 ;
     END
   END io_oeb[25]
   PIN io_oeb[24]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNADIFFAREA 0.891 LAYER met3  ;
+    ANTENNAPARTIALMETALAREA 0.3969 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.592 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2722.585000 0.800000 2722.885000 ;
+        RECT 0.000000 2102.105000 0.800000 2102.405000 ;
     END
   END io_oeb[24]
   PIN io_oeb[23]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.1154 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 0.469 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 4.230000 2894.615000 4.370000 2895.100000 ;
+        RECT 4.730000 2289.755000 4.870000 2290.240000 ;
     END
   END io_oeb[23]
   PIN io_oeb[22]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.8098 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 18.823 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 254.230000 2894.610000 254.370000 2895.100000 ;
+        RECT 268.930000 2289.750000 269.070000 2290.240000 ;
     END
   END io_oeb[22]
   PIN io_oeb[21]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.0946 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 15.365 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 508.630000 2894.610000 508.770000 2895.100000 ;
+        RECT 538.130000 2289.750000 538.270000 2290.240000 ;
     END
   END io_oeb[21]
   PIN io_oeb[20]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.8264 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 3.906 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 763.030000 2894.610000 763.170000 2895.100000 ;
+        RECT 807.230000 2289.750000 807.370000 2290.240000 ;
     END
   END io_oeb[20]
   PIN io_oeb[19]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.5648 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 17.598 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1017.430000 2894.610000 1017.570000 2895.100000 ;
+        RECT 1076.330000 2289.750000 1076.470000 2290.240000 ;
     END
   END io_oeb[19]
   PIN io_oeb[18]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.1518 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 0.651 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1271.830000 2894.610000 1271.970000 2895.100000 ;
+        RECT 1345.430000 2289.750000 1345.570000 2290.240000 ;
     END
   END io_oeb[18]
   PIN io_oeb[17]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.3058 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 16.303 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1526.230000 2894.610000 1526.370000 2895.100000 ;
+        RECT 1614.630000 2289.750000 1614.770000 2290.240000 ;
     END
   END io_oeb[17]
   PIN io_oeb[16]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9658 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.721 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1780.630000 2894.610000 1780.770000 2895.100000 ;
+        RECT 1883.730000 2289.750000 1883.870000 2290.240000 ;
     END
   END io_oeb[16]
   PIN io_oeb[15]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.184 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 15.694 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 2035.030000 2894.610000 2035.170000 2895.100000 ;
+        RECT 2152.830000 2289.750000 2152.970000 2290.240000 ;
     END
   END io_oeb[15]
   PIN io_oeb[14]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.1769 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 6.272 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 2883.695000 2225.940000 2883.995000 ;
+        RECT 2368.660000 2225.225000 2369.460000 2225.525000 ;
     END
   END io_oeb[14]
   PIN io_oeb[13]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.9849 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 5.248 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 2663.980000 2225.940000 2664.280000 ;
+        RECT 2368.660000 2056.885000 2369.460000 2057.185000 ;
     END
   END io_oeb[13]
   PIN io_oeb[12]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 21.2419 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 113.752 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 14.0268 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 75.28 LAYER met4  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 2441.910000 2225.940000 2442.210000 ;
+        RECT 2368.660000 1885.315000 2369.460000 1885.615000 ;
     END
   END io_oeb[12]
   PIN io_oeb[11]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.8389 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 4.936 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 68.4018 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 365.28 LAYER met4  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 2219.940000 2225.940000 2220.240000 ;
+        RECT 2368.660000 1713.935000 2369.460000 1714.235000 ;
     END
   END io_oeb[11]
   PIN io_oeb[10]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 11.9374 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 64.128 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 45.8688 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 245.104 LAYER met4  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1997.875000 2225.940000 1998.175000 ;
+        RECT 2368.660000 1542.460000 2369.460000 1542.760000 ;
     END
   END io_oeb[10]
   PIN io_oeb[9]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.2424 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.288 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1775.905000 2225.940000 1776.205000 ;
+        RECT 2368.660000 1371.080000 2369.460000 1371.380000 ;
     END
   END io_oeb[9]
   PIN io_oeb[8]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.5994 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 3.192 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1553.935000 2225.940000 1554.235000 ;
+        RECT 2368.660000 1199.700000 2369.460000 1200.000000 ;
     END
   END io_oeb[8]
   PIN io_oeb[7]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 6.4632 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 34.936 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1331.865000 2225.940000 1332.165000 ;
+        RECT 2368.660000 1028.320000 2369.460000 1028.620000 ;
     END
   END io_oeb[7]
   PIN io_oeb[6]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 6.6939 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 35.696 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1109.895000 2225.940000 1110.195000 ;
+        RECT 2368.660000 856.845000 2369.460000 857.145000 ;
     END
   END io_oeb[6]
   PIN io_oeb[5]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.7839 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 4.176 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 943.395000 2225.940000 943.695000 ;
+        RECT 2368.660000 728.215000 2369.460000 728.515000 ;
     END
   END io_oeb[5]
   PIN io_oeb[4]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 5.3169 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 28.352 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 776.890000 2225.940000 777.190000 ;
+        RECT 2368.660000 599.585000 2369.460000 599.885000 ;
     END
   END io_oeb[4]
   PIN io_oeb[3]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.2514 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.336 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 610.290000 2225.940000 610.590000 ;
+        RECT 2368.660000 471.050000 2369.460000 471.350000 ;
     END
   END io_oeb[3]
   PIN io_oeb[2]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.3039 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.616 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 443.790000 2225.940000 444.090000 ;
+        RECT 2368.660000 342.515000 2369.460000 342.815000 ;
     END
   END io_oeb[2]
   PIN io_oeb[1]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.2499 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.328 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 277.290000 2225.940000 277.590000 ;
+        RECT 2368.660000 213.885000 2369.460000 214.185000 ;
     END
   END io_oeb[1]
   PIN io_oeb[0]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.2259 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.2 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 110.785000 2225.940000 111.085000 ;
+        RECT 2368.660000 85.255000 2369.460000 85.555000 ;
     END
   END io_oeb[0]
   PIN analog_io[28]
@@ -4851,7 +5631,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 489.950000 0.800000 490.250000 ;
+        RECT 0.000000 378.045000 0.800000 378.345000 ;
     END
   END analog_io[28]
   PIN analog_io[27]
@@ -4859,7 +5639,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 707.705000 0.800000 708.005000 ;
+        RECT 0.000000 546.290000 0.800000 546.590000 ;
     END
   END analog_io[27]
   PIN analog_io[26]
@@ -4867,7 +5647,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 925.560000 0.800000 925.860000 ;
+        RECT 0.000000 714.535000 0.800000 714.835000 ;
     END
   END analog_io[26]
   PIN analog_io[25]
@@ -4875,7 +5655,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1143.410000 0.800000 1143.710000 ;
+        RECT 0.000000 882.590000 0.800000 882.890000 ;
     END
   END analog_io[25]
   PIN analog_io[24]
@@ -4883,7 +5663,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1361.170000 0.800000 1361.470000 ;
+        RECT 0.000000 1050.835000 0.800000 1051.135000 ;
     END
   END analog_io[24]
   PIN analog_io[23]
@@ -4891,7 +5671,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1579.020000 0.800000 1579.320000 ;
+        RECT 0.000000 1219.080000 0.800000 1219.380000 ;
     END
   END analog_io[23]
   PIN analog_io[22]
@@ -4899,7 +5679,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1796.875000 0.800000 1797.175000 ;
+        RECT 0.000000 1387.325000 0.800000 1387.625000 ;
     END
   END analog_io[22]
   PIN analog_io[21]
@@ -4907,7 +5687,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2014.630000 0.800000 2014.930000 ;
+        RECT 0.000000 1555.475000 0.800000 1555.775000 ;
     END
   END analog_io[21]
   PIN analog_io[20]
@@ -4915,7 +5695,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2232.485000 0.800000 2232.785000 ;
+        RECT 0.000000 1723.625000 0.800000 1723.925000 ;
     END
   END analog_io[20]
   PIN analog_io[19]
@@ -4923,7 +5703,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2450.340000 0.800000 2450.640000 ;
+        RECT 0.000000 1891.775000 0.800000 1892.075000 ;
     END
   END analog_io[19]
   PIN analog_io[18]
@@ -4931,7 +5711,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2668.095000 0.800000 2668.395000 ;
+        RECT 0.000000 2059.925000 0.800000 2060.225000 ;
     END
   END analog_io[18]
   PIN analog_io[17]
@@ -4939,7 +5719,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2883.110000 0.800000 2883.410000 ;
+        RECT 0.000000 2226.175000 0.800000 2226.475000 ;
     END
   END analog_io[17]
   PIN analog_io[16]
@@ -4947,7 +5727,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 190.630000 2894.610000 190.770000 2895.100000 ;
+        RECT 201.630000 2289.750000 201.770000 2290.240000 ;
     END
   END analog_io[16]
   PIN analog_io[15]
@@ -4955,7 +5735,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 445.030000 2894.610000 445.170000 2895.100000 ;
+        RECT 470.730000 2289.750000 470.870000 2290.240000 ;
     END
   END analog_io[15]
   PIN analog_io[14]
@@ -4963,7 +5743,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 699.430000 2894.610000 699.570000 2895.100000 ;
+        RECT 739.830000 2289.750000 739.970000 2290.240000 ;
     END
   END analog_io[14]
   PIN analog_io[13]
@@ -4971,7 +5751,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 953.830000 2894.610000 953.970000 2895.100000 ;
+        RECT 1009.130000 2289.750000 1009.270000 2290.240000 ;
     END
   END analog_io[13]
   PIN analog_io[12]
@@ -4979,7 +5759,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1208.230000 2894.610000 1208.370000 2895.100000 ;
+        RECT 1278.230000 2289.750000 1278.370000 2290.240000 ;
     END
   END analog_io[12]
   PIN analog_io[11]
@@ -4987,7 +5767,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1462.630000 2894.610000 1462.770000 2895.100000 ;
+        RECT 1547.330000 2289.750000 1547.470000 2290.240000 ;
     END
   END analog_io[11]
   PIN analog_io[10]
@@ -4995,7 +5775,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1717.030000 2894.610000 1717.170000 2895.100000 ;
+        RECT 1816.430000 2289.750000 1816.570000 2290.240000 ;
     END
   END analog_io[10]
   PIN analog_io[9]
@@ -5003,7 +5783,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1971.430000 2894.610000 1971.570000 2895.100000 ;
+        RECT 2085.630000 2289.750000 2085.770000 2290.240000 ;
     END
   END analog_io[9]
   PIN analog_io[8]
@@ -5011,7 +5791,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2222.330000 2894.615000 2222.470000 2895.100000 ;
+        RECT 2350.030000 2289.755000 2350.170000 2290.240000 ;
     END
   END analog_io[8]
   PIN analog_io[7]
@@ -5019,7 +5799,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 2719.450000 2225.940000 2719.750000 ;
+        RECT 2368.660000 2099.635000 2369.460000 2099.935000 ;
     END
   END analog_io[7]
   PIN analog_io[6]
@@ -5027,7 +5807,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 2497.480000 2225.940000 2497.780000 ;
+        RECT 2368.660000 1928.255000 2369.460000 1928.555000 ;
     END
   END analog_io[6]
   PIN analog_io[5]
@@ -5035,7 +5815,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 2275.410000 2225.940000 2275.710000 ;
+        RECT 2368.660000 1756.780000 2369.460000 1757.080000 ;
     END
   END analog_io[5]
   PIN analog_io[4]
@@ -5043,7 +5823,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 2053.440000 2225.940000 2053.740000 ;
+        RECT 2368.660000 1585.305000 2369.460000 1585.605000 ;
     END
   END analog_io[4]
   PIN analog_io[3]
@@ -5051,7 +5831,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1831.370000 2225.940000 1831.670000 ;
+        RECT 2368.660000 1413.925000 2369.460000 1414.225000 ;
     END
   END analog_io[3]
   PIN analog_io[2]
@@ -5059,7 +5839,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1609.400000 2225.940000 1609.700000 ;
+        RECT 2368.660000 1242.640000 2369.460000 1242.940000 ;
     END
   END analog_io[2]
   PIN analog_io[1]
@@ -5067,7 +5847,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1387.430000 2225.940000 1387.730000 ;
+        RECT 2368.660000 1071.070000 2369.460000 1071.370000 ;
     END
   END analog_io[1]
   PIN analog_io[0]
@@ -5075,7 +5855,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2225.140000 1165.365000 2225.940000 1165.665000 ;
+        RECT 2368.660000 899.690000 2369.460000 899.990000 ;
     END
   END analog_io[0]
   PIN user_clock2
@@ -5083,31 +5863,37 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2212.230000 0.000000 2212.370000 0.490000 ;
+        RECT 2339.930000 0.000000 2340.070000 0.490000 ;
     END
   END user_clock2
   PIN user_irq[2]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9399 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.5915 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 2224.230000 0.000000 2224.370000 0.485000 ;
+        RECT 2356.730000 0.000000 2356.870000 0.485000 ;
     END
   END user_irq[2]
   PIN user_irq[1]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.4236 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 17.01 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 2221.230000 0.000000 2221.370000 0.490000 ;
+        RECT 2349.430000 0.000000 2349.570000 0.490000 ;
     END
   END user_irq[1]
   PIN user_irq[0]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9154 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.469 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 2216.730000 0.000000 2216.870000 0.490000 ;
+        RECT 2344.630000 0.000000 2344.770000 0.490000 ;
     END
   END user_irq[0]
   PIN vssd1
@@ -5115,57 +5901,57 @@
     USE GROUND ;
     PORT
       LAYER met3 ;
-        RECT 7.980000 8.260000 2217.960000 12.260000 ;
+        RECT 7.980000 8.260000 2361.480000 12.260000 ;
     END
     PORT
       LAYER met3 ;
-        RECT 7.980000 2881.140000 2217.960000 2885.140000 ;
+        RECT 7.980000 2277.300000 2361.480000 2281.300000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2213.960000 8.260000 2217.960000 2885.140000 ;
+        RECT 2357.480000 8.260000 2361.480000 2281.300000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 7.980000 8.260000 11.980000 2885.140000 ;
+        RECT 7.980000 8.260000 11.980000 2281.300000 ;
     END
 
 # P/G pin shape extracted from block 'tcam_32x28'
     PORT
       LAYER met4 ;
-        RECT 1109.555000 2373.635000 1111.295000 2768.415000 ;
+        RECT 668.435000 1811.260000 670.175000 2206.040000 ;
       LAYER met3 ;
-        RECT 1109.555000 2766.675000 1586.615000 2768.415000 ;
+        RECT 668.435000 2204.300000 1145.495000 2206.040000 ;
       LAYER met3 ;
-        RECT 1109.555000 2373.635000 1586.615000 2375.375000 ;
+        RECT 668.435000 1811.260000 1145.495000 1813.000000 ;
       LAYER met4 ;
-        RECT 1584.875000 2373.635000 1586.615000 2768.415000 ;
+        RECT 1143.755000 1811.260000 1145.495000 2206.040000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1671.695000 2373.730000 1673.435000 2768.510000 ;
+        RECT 1230.575000 1811.355000 1232.315000 2206.135000 ;
       LAYER met3 ;
-        RECT 1671.695000 2766.770000 2148.755000 2768.510000 ;
+        RECT 1230.575000 2204.395000 1707.635000 2206.135000 ;
       LAYER met3 ;
-        RECT 1671.695000 2373.730000 2148.755000 2375.470000 ;
+        RECT 1230.575000 1811.355000 1707.635000 1813.095000 ;
       LAYER met4 ;
-        RECT 2147.015000 2373.730000 2148.755000 2768.510000 ;
+        RECT 1705.895000 1811.355000 1707.635000 2206.135000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1083.485000 2334.200000 1085.485000 2804.040000 ;
+        RECT 642.365000 1771.825000 644.365000 2241.665000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2173.025000 2334.200000 2175.025000 2804.040000 ;
+        RECT 1731.905000 1771.825000 1733.905000 2241.665000 ;
     END
     PORT
       LAYER met3 ;
-        RECT 1083.485000 2802.040000 2175.025000 2804.040000 ;
+        RECT 642.365000 2239.665000 1733.905000 2241.665000 ;
     END
     PORT
       LAYER met3 ;
-        RECT 1083.485000 2334.200000 2175.025000 2336.200000 ;
+        RECT 642.365000 1771.825000 1733.905000 1773.825000 ;
     END
 # end of P/G pin shape extracted from block 'tcam_32x28'
 
@@ -5173,13 +5959,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 1556.385000 350.985000 1558.125000 745.765000 ;
+        RECT 513.045000 525.515000 514.785000 920.295000 ;
       LAYER met3 ;
-        RECT 1081.065000 350.985000 1558.125000 352.725000 ;
+        RECT 37.725000 525.515000 514.785000 527.255000 ;
       LAYER met3 ;
-        RECT 1081.065000 744.025000 1558.125000 745.765000 ;
+        RECT 37.725000 918.555000 514.785000 920.295000 ;
       LAYER met4 ;
-        RECT 1081.065000 350.985000 1082.805000 745.765000 ;
+        RECT 37.725000 525.515000 39.465000 920.295000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5187,13 +5973,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 1556.385000 848.485000 1558.125000 1243.265000 ;
+        RECT 513.045000 967.215000 514.785000 1361.995000 ;
       LAYER met3 ;
-        RECT 1081.065000 848.485000 1558.125000 850.225000 ;
+        RECT 37.725000 967.215000 514.785000 968.955000 ;
       LAYER met3 ;
-        RECT 1081.065000 1241.525000 1558.125000 1243.265000 ;
+        RECT 37.725000 1360.255000 514.785000 1361.995000 ;
       LAYER met4 ;
-        RECT 1081.065000 848.485000 1082.805000 1243.265000 ;
+        RECT 37.725000 967.215000 39.465000 1361.995000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5201,13 +5987,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 1556.385000 1843.485000 1558.125000 2238.265000 ;
+        RECT 513.045000 1408.915000 514.785000 1803.695000 ;
       LAYER met3 ;
-        RECT 1081.065000 1843.485000 1558.125000 1845.225000 ;
+        RECT 37.725000 1408.915000 514.785000 1410.655000 ;
       LAYER met3 ;
-        RECT 1081.065000 2236.525000 1558.125000 2238.265000 ;
+        RECT 37.725000 1801.955000 514.785000 1803.695000 ;
       LAYER met4 ;
-        RECT 1081.065000 1843.485000 1082.805000 2238.265000 ;
+        RECT 37.725000 1408.915000 39.465000 1803.695000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5215,13 +6001,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 1556.385000 1345.985000 1558.125000 1740.765000 ;
+        RECT 513.045000 1850.615000 514.785000 2245.395000 ;
       LAYER met3 ;
-        RECT 1081.065000 1345.985000 1558.125000 1347.725000 ;
+        RECT 37.725000 1850.615000 514.785000 1852.355000 ;
       LAYER met3 ;
-        RECT 1081.065000 1739.025000 1558.125000 1740.765000 ;
+        RECT 37.725000 2243.655000 514.785000 2245.395000 ;
       LAYER met4 ;
-        RECT 1081.065000 1345.985000 1082.805000 1740.765000 ;
+        RECT 37.725000 1850.615000 39.465000 2245.395000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5229,13 +6015,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 2176.235000 350.985000 2177.975000 745.765000 ;
+        RECT 2335.145000 525.515000 2336.885000 920.295000 ;
       LAYER met3 ;
-        RECT 1700.915000 350.985000 2177.975000 352.725000 ;
+        RECT 1859.825000 525.515000 2336.885000 527.255000 ;
       LAYER met3 ;
-        RECT 1700.915000 744.025000 2177.975000 745.765000 ;
+        RECT 1859.825000 918.555000 2336.885000 920.295000 ;
       LAYER met4 ;
-        RECT 1700.915000 350.985000 1702.655000 745.765000 ;
+        RECT 1859.825000 525.515000 1861.565000 920.295000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5243,13 +6029,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 2176.235000 848.485000 2177.975000 1243.265000 ;
+        RECT 2335.145000 967.215000 2336.885000 1361.995000 ;
       LAYER met3 ;
-        RECT 1700.915000 848.485000 2177.975000 850.225000 ;
+        RECT 1859.825000 967.215000 2336.885000 968.955000 ;
       LAYER met3 ;
-        RECT 1700.915000 1241.525000 2177.975000 1243.265000 ;
+        RECT 1859.825000 1360.255000 2336.885000 1361.995000 ;
       LAYER met4 ;
-        RECT 1700.915000 848.485000 1702.655000 1243.265000 ;
+        RECT 1859.825000 967.215000 1861.565000 1361.995000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5257,13 +6043,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 2176.235000 1345.985000 2177.975000 1740.765000 ;
+        RECT 2335.145000 1408.915000 2336.885000 1803.695000 ;
       LAYER met3 ;
-        RECT 1700.915000 1345.985000 2177.975000 1347.725000 ;
+        RECT 1859.825000 1408.915000 2336.885000 1410.655000 ;
       LAYER met3 ;
-        RECT 1700.915000 1739.025000 2177.975000 1740.765000 ;
+        RECT 1859.825000 1801.955000 2336.885000 1803.695000 ;
       LAYER met4 ;
-        RECT 1700.915000 1345.985000 1702.655000 1740.765000 ;
+        RECT 1859.825000 1408.915000 1861.565000 1803.695000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5271,13 +6057,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 2176.235000 1843.485000 2177.975000 2238.265000 ;
+        RECT 2335.145000 1850.615000 2336.885000 2245.395000 ;
       LAYER met3 ;
-        RECT 1700.915000 1843.485000 2177.975000 1845.225000 ;
+        RECT 1859.825000 1850.615000 2336.885000 1852.355000 ;
       LAYER met3 ;
-        RECT 1700.915000 2236.525000 2177.975000 2238.265000 ;
+        RECT 1859.825000 2243.655000 2336.885000 2245.395000 ;
       LAYER met4 ;
-        RECT 1700.915000 1843.485000 1702.655000 2238.265000 ;
+        RECT 1859.825000 1850.615000 1861.565000 2245.395000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5287,57 +6073,57 @@
     USE POWER ;
     PORT
       LAYER met3 ;
-        RECT 13.780000 14.060000 2212.160000 18.060000 ;
+        RECT 13.780000 14.060000 2355.680000 18.060000 ;
     END
     PORT
       LAYER met3 ;
-        RECT 13.780000 2875.340000 2212.160000 2879.340000 ;
+        RECT 13.780000 2271.500000 2355.680000 2275.500000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2208.160000 14.060000 2212.160000 2879.340000 ;
+        RECT 2351.680000 14.060000 2355.680000 2275.500000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 13.780000 14.060000 17.780000 2879.340000 ;
+        RECT 13.780000 14.060000 17.780000 2275.500000 ;
     END
 
 # P/G pin shape extracted from block 'tcam_32x28'
     PORT
       LAYER met4 ;
-        RECT 1581.475000 2377.035000 1583.215000 2765.015000 ;
+        RECT 1140.355000 1814.660000 1142.095000 2202.640000 ;
       LAYER met4 ;
-        RECT 1112.955000 2377.035000 1114.695000 2765.015000 ;
+        RECT 671.835000 1814.660000 673.575000 2202.640000 ;
       LAYER met3 ;
-        RECT 1112.955000 2377.035000 1583.215000 2378.775000 ;
+        RECT 671.835000 1814.660000 1142.095000 1816.400000 ;
       LAYER met3 ;
-        RECT 1112.955000 2763.275000 1583.215000 2765.015000 ;
+        RECT 671.835000 2200.900000 1142.095000 2202.640000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2143.615000 2377.130000 2145.355000 2765.110000 ;
+        RECT 1702.495000 1814.755000 1704.235000 2202.735000 ;
       LAYER met4 ;
-        RECT 1675.095000 2377.130000 1676.835000 2765.110000 ;
+        RECT 1233.975000 1814.755000 1235.715000 2202.735000 ;
       LAYER met3 ;
-        RECT 1675.095000 2377.130000 2145.355000 2378.870000 ;
+        RECT 1233.975000 1814.755000 1704.235000 1816.495000 ;
       LAYER met3 ;
-        RECT 1675.095000 2763.370000 2145.355000 2765.110000 ;
+        RECT 1233.975000 2200.995000 1704.235000 2202.735000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1087.285000 2338.000000 1089.285000 2800.240000 ;
+        RECT 646.165000 1775.625000 648.165000 2237.865000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2169.225000 2338.000000 2171.225000 2800.240000 ;
+        RECT 1728.105000 1775.625000 1730.105000 2237.865000 ;
     END
     PORT
       LAYER met3 ;
-        RECT 1087.285000 2798.240000 2171.225000 2800.240000 ;
+        RECT 646.165000 2235.865000 1730.105000 2237.865000 ;
     END
     PORT
       LAYER met3 ;
-        RECT 1087.285000 2338.000000 2171.225000 2340.000000 ;
+        RECT 646.165000 1775.625000 1730.105000 1777.625000 ;
     END
 # end of P/G pin shape extracted from block 'tcam_32x28'
 
@@ -5345,13 +6131,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met3 ;
-        RECT 1084.465000 740.625000 1554.725000 742.365000 ;
+        RECT 41.125000 915.155000 511.385000 916.895000 ;
       LAYER met3 ;
-        RECT 1084.465000 354.385000 1554.725000 356.125000 ;
+        RECT 41.125000 528.915000 511.385000 530.655000 ;
       LAYER met4 ;
-        RECT 1084.465000 354.385000 1086.205000 742.365000 ;
+        RECT 41.125000 528.915000 42.865000 916.895000 ;
       LAYER met4 ;
-        RECT 1552.985000 354.385000 1554.725000 742.365000 ;
+        RECT 509.645000 528.915000 511.385000 916.895000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5359,13 +6145,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met3 ;
-        RECT 1084.465000 1238.125000 1554.725000 1239.865000 ;
+        RECT 41.125000 1356.855000 511.385000 1358.595000 ;
       LAYER met3 ;
-        RECT 1084.465000 851.885000 1554.725000 853.625000 ;
+        RECT 41.125000 970.615000 511.385000 972.355000 ;
       LAYER met4 ;
-        RECT 1084.465000 851.885000 1086.205000 1239.865000 ;
+        RECT 41.125000 970.615000 42.865000 1358.595000 ;
       LAYER met4 ;
-        RECT 1552.985000 851.885000 1554.725000 1239.865000 ;
+        RECT 509.645000 970.615000 511.385000 1358.595000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5373,13 +6159,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met3 ;
-        RECT 1084.465000 2233.125000 1554.725000 2234.865000 ;
+        RECT 41.125000 1798.555000 511.385000 1800.295000 ;
       LAYER met3 ;
-        RECT 1084.465000 1846.885000 1554.725000 1848.625000 ;
+        RECT 41.125000 1412.315000 511.385000 1414.055000 ;
       LAYER met4 ;
-        RECT 1084.465000 1846.885000 1086.205000 2234.865000 ;
+        RECT 41.125000 1412.315000 42.865000 1800.295000 ;
       LAYER met4 ;
-        RECT 1552.985000 1846.885000 1554.725000 2234.865000 ;
+        RECT 509.645000 1412.315000 511.385000 1800.295000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5387,13 +6173,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met3 ;
-        RECT 1084.465000 1735.625000 1554.725000 1737.365000 ;
+        RECT 41.125000 2240.255000 511.385000 2241.995000 ;
       LAYER met3 ;
-        RECT 1084.465000 1349.385000 1554.725000 1351.125000 ;
+        RECT 41.125000 1854.015000 511.385000 1855.755000 ;
       LAYER met4 ;
-        RECT 1084.465000 1349.385000 1086.205000 1737.365000 ;
+        RECT 41.125000 1854.015000 42.865000 2241.995000 ;
       LAYER met4 ;
-        RECT 1552.985000 1349.385000 1554.725000 1737.365000 ;
+        RECT 509.645000 1854.015000 511.385000 2241.995000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5401,13 +6187,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met3 ;
-        RECT 1704.315000 740.625000 2174.575000 742.365000 ;
+        RECT 1863.225000 915.155000 2333.485000 916.895000 ;
       LAYER met3 ;
-        RECT 1704.315000 354.385000 2174.575000 356.125000 ;
+        RECT 1863.225000 528.915000 2333.485000 530.655000 ;
       LAYER met4 ;
-        RECT 1704.315000 354.385000 1706.055000 742.365000 ;
+        RECT 1863.225000 528.915000 1864.965000 916.895000 ;
       LAYER met4 ;
-        RECT 2172.835000 354.385000 2174.575000 742.365000 ;
+        RECT 2331.745000 528.915000 2333.485000 916.895000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5415,13 +6201,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met3 ;
-        RECT 1704.315000 1238.125000 2174.575000 1239.865000 ;
+        RECT 1863.225000 1356.855000 2333.485000 1358.595000 ;
       LAYER met3 ;
-        RECT 1704.315000 851.885000 2174.575000 853.625000 ;
+        RECT 1863.225000 970.615000 2333.485000 972.355000 ;
       LAYER met4 ;
-        RECT 1704.315000 851.885000 1706.055000 1239.865000 ;
+        RECT 1863.225000 970.615000 1864.965000 1358.595000 ;
       LAYER met4 ;
-        RECT 2172.835000 851.885000 2174.575000 1239.865000 ;
+        RECT 2331.745000 970.615000 2333.485000 1358.595000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5429,13 +6215,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met3 ;
-        RECT 1704.315000 1735.625000 2174.575000 1737.365000 ;
+        RECT 1863.225000 1798.555000 2333.485000 1800.295000 ;
       LAYER met3 ;
-        RECT 1704.315000 1349.385000 2174.575000 1351.125000 ;
+        RECT 1863.225000 1412.315000 2333.485000 1414.055000 ;
       LAYER met4 ;
-        RECT 1704.315000 1349.385000 1706.055000 1737.365000 ;
+        RECT 1863.225000 1412.315000 1864.965000 1800.295000 ;
       LAYER met4 ;
-        RECT 2172.835000 1349.385000 2174.575000 1737.365000 ;
+        RECT 2331.745000 1412.315000 2333.485000 1800.295000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5443,798 +6229,798 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met3 ;
-        RECT 1704.315000 2233.125000 2174.575000 2234.865000 ;
+        RECT 1863.225000 2240.255000 2333.485000 2241.995000 ;
       LAYER met3 ;
-        RECT 1704.315000 1846.885000 2174.575000 1848.625000 ;
+        RECT 1863.225000 1854.015000 2333.485000 1855.755000 ;
       LAYER met4 ;
-        RECT 1704.315000 1846.885000 1706.055000 2234.865000 ;
+        RECT 1863.225000 1854.015000 1864.965000 2241.995000 ;
       LAYER met4 ;
-        RECT 2172.835000 1846.885000 2174.575000 2234.865000 ;
+        RECT 2331.745000 1854.015000 2333.485000 2241.995000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
   END vccd1
   OBS
     LAYER li1 ;
-      RECT 0.000000 0.000000 2225.940000 2895.100000 ;
+      RECT 0.000000 0.000000 2369.460000 2290.240000 ;
     LAYER met1 ;
-      RECT 0.000000 0.000000 2225.940000 2895.100000 ;
+      RECT 0.000000 0.000000 2369.460000 2290.240000 ;
     LAYER met2 ;
-      RECT 2222.610000 2894.475000 2225.940000 2895.100000 ;
-      RECT 2162.510000 2894.475000 2222.190000 2895.100000 ;
-      RECT 4.510000 2894.475000 63.390000 2895.100000 ;
-      RECT 0.000000 2894.475000 4.090000 2895.100000 ;
-      RECT 2162.510000 2894.470000 2225.940000 2894.475000 ;
-      RECT 2098.910000 2894.470000 2162.090000 2895.100000 ;
-      RECT 2035.310000 2894.470000 2098.490000 2895.100000 ;
-      RECT 1971.710000 2894.470000 2034.890000 2895.100000 ;
-      RECT 1908.110000 2894.470000 1971.290000 2895.100000 ;
-      RECT 1844.510000 2894.470000 1907.690000 2895.100000 ;
-      RECT 1780.910000 2894.470000 1844.090000 2895.100000 ;
-      RECT 1717.310000 2894.470000 1780.490000 2895.100000 ;
-      RECT 1653.710000 2894.470000 1716.890000 2895.100000 ;
-      RECT 1590.110000 2894.470000 1653.290000 2895.100000 ;
-      RECT 1526.510000 2894.470000 1589.690000 2895.100000 ;
-      RECT 1462.910000 2894.470000 1526.090000 2895.100000 ;
-      RECT 1399.310000 2894.470000 1462.490000 2895.100000 ;
-      RECT 1335.710000 2894.470000 1398.890000 2895.100000 ;
-      RECT 1272.110000 2894.470000 1335.290000 2895.100000 ;
-      RECT 1208.510000 2894.470000 1271.690000 2895.100000 ;
-      RECT 1144.910000 2894.470000 1208.090000 2895.100000 ;
-      RECT 1081.310000 2894.470000 1144.490000 2895.100000 ;
-      RECT 1017.710000 2894.470000 1080.890000 2895.100000 ;
-      RECT 954.110000 2894.470000 1017.290000 2895.100000 ;
-      RECT 890.510000 2894.470000 953.690000 2895.100000 ;
-      RECT 826.910000 2894.470000 890.090000 2895.100000 ;
-      RECT 763.310000 2894.470000 826.490000 2895.100000 ;
-      RECT 699.710000 2894.470000 762.890000 2895.100000 ;
-      RECT 636.110000 2894.470000 699.290000 2895.100000 ;
-      RECT 572.510000 2894.470000 635.690000 2895.100000 ;
-      RECT 508.910000 2894.470000 572.090000 2895.100000 ;
-      RECT 445.310000 2894.470000 508.490000 2895.100000 ;
-      RECT 381.710000 2894.470000 444.890000 2895.100000 ;
-      RECT 318.110000 2894.470000 381.290000 2895.100000 ;
-      RECT 254.510000 2894.470000 317.690000 2895.100000 ;
-      RECT 190.910000 2894.470000 254.090000 2895.100000 ;
-      RECT 127.310000 2894.470000 190.490000 2895.100000 ;
-      RECT 63.810000 2894.470000 126.890000 2895.100000 ;
-      RECT 0.000000 2894.470000 63.390000 2894.475000 ;
-      RECT 0.000000 0.630000 2225.940000 2894.470000 ;
-      RECT 2221.510000 0.625000 2225.940000 0.630000 ;
-      RECT 0.000000 0.625000 4.290000 0.630000 ;
-      RECT 2224.510000 0.000000 2225.940000 0.625000 ;
-      RECT 2221.510000 0.000000 2224.090000 0.625000 ;
-      RECT 2217.010000 0.000000 2221.090000 0.630000 ;
-      RECT 2212.510000 0.000000 2216.590000 0.630000 ;
-      RECT 2208.010000 0.000000 2212.090000 0.630000 ;
-      RECT 2203.510000 0.000000 2207.590000 0.630000 ;
-      RECT 2199.010000 0.000000 2203.090000 0.630000 ;
-      RECT 2194.410000 0.000000 2198.590000 0.630000 ;
-      RECT 2189.910000 0.000000 2193.990000 0.630000 ;
-      RECT 2185.410000 0.000000 2189.490000 0.630000 ;
-      RECT 2180.910000 0.000000 2184.990000 0.630000 ;
-      RECT 2176.410000 0.000000 2180.490000 0.630000 ;
-      RECT 2171.910000 0.000000 2175.990000 0.630000 ;
-      RECT 2167.410000 0.000000 2171.490000 0.630000 ;
-      RECT 2162.810000 0.000000 2166.990000 0.630000 ;
-      RECT 2158.310000 0.000000 2162.390000 0.630000 ;
-      RECT 2153.810000 0.000000 2157.890000 0.630000 ;
-      RECT 2149.310000 0.000000 2153.390000 0.630000 ;
-      RECT 2144.810000 0.000000 2148.890000 0.630000 ;
-      RECT 2140.310000 0.000000 2144.390000 0.630000 ;
-      RECT 2135.710000 0.000000 2139.890000 0.630000 ;
-      RECT 2131.210000 0.000000 2135.290000 0.630000 ;
-      RECT 2126.710000 0.000000 2130.790000 0.630000 ;
-      RECT 2122.210000 0.000000 2126.290000 0.630000 ;
-      RECT 2117.710000 0.000000 2121.790000 0.630000 ;
-      RECT 2113.210000 0.000000 2117.290000 0.630000 ;
-      RECT 2108.710000 0.000000 2112.790000 0.630000 ;
-      RECT 2104.110000 0.000000 2108.290000 0.630000 ;
-      RECT 2099.610000 0.000000 2103.690000 0.630000 ;
-      RECT 2095.110000 0.000000 2099.190000 0.630000 ;
-      RECT 2090.610000 0.000000 2094.690000 0.630000 ;
-      RECT 2086.110000 0.000000 2090.190000 0.630000 ;
-      RECT 2081.610000 0.000000 2085.690000 0.630000 ;
-      RECT 2077.110000 0.000000 2081.190000 0.630000 ;
-      RECT 2072.510000 0.000000 2076.690000 0.630000 ;
-      RECT 2068.010000 0.000000 2072.090000 0.630000 ;
-      RECT 2063.510000 0.000000 2067.590000 0.630000 ;
-      RECT 2059.010000 0.000000 2063.090000 0.630000 ;
-      RECT 2054.510000 0.000000 2058.590000 0.630000 ;
-      RECT 2050.010000 0.000000 2054.090000 0.630000 ;
-      RECT 2045.410000 0.000000 2049.590000 0.630000 ;
-      RECT 2040.910000 0.000000 2044.990000 0.630000 ;
-      RECT 2036.410000 0.000000 2040.490000 0.630000 ;
-      RECT 2031.910000 0.000000 2035.990000 0.630000 ;
-      RECT 2027.410000 0.000000 2031.490000 0.630000 ;
-      RECT 2022.910000 0.000000 2026.990000 0.630000 ;
-      RECT 2018.410000 0.000000 2022.490000 0.630000 ;
-      RECT 2013.810000 0.000000 2017.990000 0.630000 ;
-      RECT 2009.310000 0.000000 2013.390000 0.630000 ;
-      RECT 2004.810000 0.000000 2008.890000 0.630000 ;
-      RECT 2000.310000 0.000000 2004.390000 0.630000 ;
-      RECT 1995.810000 0.000000 1999.890000 0.630000 ;
-      RECT 1991.310000 0.000000 1995.390000 0.630000 ;
-      RECT 1986.810000 0.000000 1990.890000 0.630000 ;
-      RECT 1982.210000 0.000000 1986.390000 0.630000 ;
-      RECT 1977.710000 0.000000 1981.790000 0.630000 ;
-      RECT 1973.210000 0.000000 1977.290000 0.630000 ;
-      RECT 1968.710000 0.000000 1972.790000 0.630000 ;
-      RECT 1964.210000 0.000000 1968.290000 0.630000 ;
-      RECT 1959.710000 0.000000 1963.790000 0.630000 ;
-      RECT 1955.110000 0.000000 1959.290000 0.630000 ;
-      RECT 1950.610000 0.000000 1954.690000 0.630000 ;
-      RECT 1946.110000 0.000000 1950.190000 0.630000 ;
-      RECT 1941.610000 0.000000 1945.690000 0.630000 ;
-      RECT 1937.110000 0.000000 1941.190000 0.630000 ;
-      RECT 1932.610000 0.000000 1936.690000 0.630000 ;
-      RECT 1928.110000 0.000000 1932.190000 0.630000 ;
-      RECT 1923.510000 0.000000 1927.690000 0.630000 ;
-      RECT 1919.010000 0.000000 1923.090000 0.630000 ;
-      RECT 1914.510000 0.000000 1918.590000 0.630000 ;
-      RECT 1910.010000 0.000000 1914.090000 0.630000 ;
-      RECT 1905.510000 0.000000 1909.590000 0.630000 ;
-      RECT 1901.010000 0.000000 1905.090000 0.630000 ;
-      RECT 1896.510000 0.000000 1900.590000 0.630000 ;
-      RECT 1891.910000 0.000000 1896.090000 0.630000 ;
-      RECT 1887.410000 0.000000 1891.490000 0.630000 ;
-      RECT 1882.910000 0.000000 1886.990000 0.630000 ;
-      RECT 1878.410000 0.000000 1882.490000 0.630000 ;
-      RECT 1873.910000 0.000000 1877.990000 0.630000 ;
-      RECT 1869.410000 0.000000 1873.490000 0.630000 ;
-      RECT 1864.810000 0.000000 1868.990000 0.630000 ;
-      RECT 1860.310000 0.000000 1864.390000 0.630000 ;
-      RECT 1855.810000 0.000000 1859.890000 0.630000 ;
-      RECT 1851.310000 0.000000 1855.390000 0.630000 ;
-      RECT 1846.810000 0.000000 1850.890000 0.630000 ;
-      RECT 1842.310000 0.000000 1846.390000 0.630000 ;
-      RECT 1837.810000 0.000000 1841.890000 0.630000 ;
-      RECT 1833.210000 0.000000 1837.390000 0.630000 ;
-      RECT 1828.710000 0.000000 1832.790000 0.630000 ;
-      RECT 1824.210000 0.000000 1828.290000 0.630000 ;
-      RECT 1819.710000 0.000000 1823.790000 0.630000 ;
-      RECT 1815.210000 0.000000 1819.290000 0.630000 ;
-      RECT 1810.710000 0.000000 1814.790000 0.630000 ;
-      RECT 1806.210000 0.000000 1810.290000 0.630000 ;
-      RECT 1801.610000 0.000000 1805.790000 0.630000 ;
-      RECT 1797.110000 0.000000 1801.190000 0.630000 ;
-      RECT 1792.610000 0.000000 1796.690000 0.630000 ;
-      RECT 1788.110000 0.000000 1792.190000 0.630000 ;
-      RECT 1783.610000 0.000000 1787.690000 0.630000 ;
-      RECT 1779.110000 0.000000 1783.190000 0.630000 ;
-      RECT 1774.510000 0.000000 1778.690000 0.630000 ;
-      RECT 1770.010000 0.000000 1774.090000 0.630000 ;
-      RECT 1765.510000 0.000000 1769.590000 0.630000 ;
-      RECT 1761.010000 0.000000 1765.090000 0.630000 ;
-      RECT 1756.510000 0.000000 1760.590000 0.630000 ;
-      RECT 1752.010000 0.000000 1756.090000 0.630000 ;
-      RECT 1747.510000 0.000000 1751.590000 0.630000 ;
-      RECT 1742.910000 0.000000 1747.090000 0.630000 ;
-      RECT 1738.410000 0.000000 1742.490000 0.630000 ;
-      RECT 1733.910000 0.000000 1737.990000 0.630000 ;
-      RECT 1729.410000 0.000000 1733.490000 0.630000 ;
-      RECT 1724.910000 0.000000 1728.990000 0.630000 ;
-      RECT 1720.410000 0.000000 1724.490000 0.630000 ;
-      RECT 1715.910000 0.000000 1719.990000 0.630000 ;
-      RECT 1711.310000 0.000000 1715.490000 0.630000 ;
-      RECT 1706.810000 0.000000 1710.890000 0.630000 ;
-      RECT 1702.310000 0.000000 1706.390000 0.630000 ;
-      RECT 1697.810000 0.000000 1701.890000 0.630000 ;
-      RECT 1693.310000 0.000000 1697.390000 0.630000 ;
-      RECT 1688.810000 0.000000 1692.890000 0.630000 ;
-      RECT 1684.210000 0.000000 1688.390000 0.630000 ;
-      RECT 1679.710000 0.000000 1683.790000 0.630000 ;
-      RECT 1675.210000 0.000000 1679.290000 0.630000 ;
-      RECT 1670.710000 0.000000 1674.790000 0.630000 ;
-      RECT 1666.210000 0.000000 1670.290000 0.630000 ;
-      RECT 1661.710000 0.000000 1665.790000 0.630000 ;
-      RECT 1657.210000 0.000000 1661.290000 0.630000 ;
-      RECT 1652.610000 0.000000 1656.790000 0.630000 ;
-      RECT 1648.110000 0.000000 1652.190000 0.630000 ;
-      RECT 1643.610000 0.000000 1647.690000 0.630000 ;
-      RECT 1639.110000 0.000000 1643.190000 0.630000 ;
-      RECT 1634.610000 0.000000 1638.690000 0.630000 ;
-      RECT 1630.110000 0.000000 1634.190000 0.630000 ;
-      RECT 1625.610000 0.000000 1629.690000 0.630000 ;
-      RECT 1621.010000 0.000000 1625.190000 0.630000 ;
-      RECT 1616.510000 0.000000 1620.590000 0.630000 ;
-      RECT 1612.010000 0.000000 1616.090000 0.630000 ;
-      RECT 1607.510000 0.000000 1611.590000 0.630000 ;
-      RECT 1603.010000 0.000000 1607.090000 0.630000 ;
-      RECT 1598.510000 0.000000 1602.590000 0.630000 ;
-      RECT 1593.910000 0.000000 1598.090000 0.630000 ;
-      RECT 1589.410000 0.000000 1593.490000 0.630000 ;
-      RECT 1584.910000 0.000000 1588.990000 0.630000 ;
-      RECT 1580.410000 0.000000 1584.490000 0.630000 ;
-      RECT 1575.910000 0.000000 1579.990000 0.630000 ;
-      RECT 1571.410000 0.000000 1575.490000 0.630000 ;
-      RECT 1566.910000 0.000000 1570.990000 0.630000 ;
-      RECT 1562.310000 0.000000 1566.490000 0.630000 ;
-      RECT 1557.810000 0.000000 1561.890000 0.630000 ;
-      RECT 1553.310000 0.000000 1557.390000 0.630000 ;
-      RECT 1548.810000 0.000000 1552.890000 0.630000 ;
-      RECT 1544.310000 0.000000 1548.390000 0.630000 ;
-      RECT 1539.810000 0.000000 1543.890000 0.630000 ;
-      RECT 1535.310000 0.000000 1539.390000 0.630000 ;
-      RECT 1530.710000 0.000000 1534.890000 0.630000 ;
-      RECT 1526.210000 0.000000 1530.290000 0.630000 ;
-      RECT 1521.710000 0.000000 1525.790000 0.630000 ;
-      RECT 1517.210000 0.000000 1521.290000 0.630000 ;
-      RECT 1512.710000 0.000000 1516.790000 0.630000 ;
-      RECT 1508.210000 0.000000 1512.290000 0.630000 ;
-      RECT 1503.610000 0.000000 1507.790000 0.630000 ;
-      RECT 1499.110000 0.000000 1503.190000 0.630000 ;
-      RECT 1494.610000 0.000000 1498.690000 0.630000 ;
-      RECT 1490.110000 0.000000 1494.190000 0.630000 ;
-      RECT 1485.610000 0.000000 1489.690000 0.630000 ;
-      RECT 1481.110000 0.000000 1485.190000 0.630000 ;
-      RECT 1476.610000 0.000000 1480.690000 0.630000 ;
-      RECT 1472.010000 0.000000 1476.190000 0.630000 ;
-      RECT 1467.510000 0.000000 1471.590000 0.630000 ;
-      RECT 1463.010000 0.000000 1467.090000 0.630000 ;
-      RECT 1458.510000 0.000000 1462.590000 0.630000 ;
-      RECT 1454.010000 0.000000 1458.090000 0.630000 ;
-      RECT 1449.510000 0.000000 1453.590000 0.630000 ;
-      RECT 1445.010000 0.000000 1449.090000 0.630000 ;
-      RECT 1440.410000 0.000000 1444.590000 0.630000 ;
-      RECT 1435.910000 0.000000 1439.990000 0.630000 ;
-      RECT 1431.410000 0.000000 1435.490000 0.630000 ;
-      RECT 1426.910000 0.000000 1430.990000 0.630000 ;
-      RECT 1422.410000 0.000000 1426.490000 0.630000 ;
-      RECT 1417.910000 0.000000 1421.990000 0.630000 ;
-      RECT 1413.310000 0.000000 1417.490000 0.630000 ;
-      RECT 1408.810000 0.000000 1412.890000 0.630000 ;
-      RECT 1404.310000 0.000000 1408.390000 0.630000 ;
-      RECT 1399.810000 0.000000 1403.890000 0.630000 ;
-      RECT 1395.310000 0.000000 1399.390000 0.630000 ;
-      RECT 1390.810000 0.000000 1394.890000 0.630000 ;
-      RECT 1386.310000 0.000000 1390.390000 0.630000 ;
-      RECT 1381.710000 0.000000 1385.890000 0.630000 ;
-      RECT 1377.210000 0.000000 1381.290000 0.630000 ;
-      RECT 1372.710000 0.000000 1376.790000 0.630000 ;
-      RECT 1368.210000 0.000000 1372.290000 0.630000 ;
-      RECT 1363.710000 0.000000 1367.790000 0.630000 ;
-      RECT 1359.210000 0.000000 1363.290000 0.630000 ;
-      RECT 1354.710000 0.000000 1358.790000 0.630000 ;
-      RECT 1350.110000 0.000000 1354.290000 0.630000 ;
-      RECT 1345.610000 0.000000 1349.690000 0.630000 ;
-      RECT 1341.110000 0.000000 1345.190000 0.630000 ;
-      RECT 1336.610000 0.000000 1340.690000 0.630000 ;
-      RECT 1332.110000 0.000000 1336.190000 0.630000 ;
-      RECT 1327.610000 0.000000 1331.690000 0.630000 ;
-      RECT 1323.010000 0.000000 1327.190000 0.630000 ;
-      RECT 1318.510000 0.000000 1322.590000 0.630000 ;
-      RECT 1314.010000 0.000000 1318.090000 0.630000 ;
-      RECT 1309.510000 0.000000 1313.590000 0.630000 ;
-      RECT 1305.010000 0.000000 1309.090000 0.630000 ;
-      RECT 1300.510000 0.000000 1304.590000 0.630000 ;
-      RECT 1296.010000 0.000000 1300.090000 0.630000 ;
-      RECT 1291.410000 0.000000 1295.590000 0.630000 ;
-      RECT 1286.910000 0.000000 1290.990000 0.630000 ;
-      RECT 1282.410000 0.000000 1286.490000 0.630000 ;
-      RECT 1277.910000 0.000000 1281.990000 0.630000 ;
-      RECT 1273.410000 0.000000 1277.490000 0.630000 ;
-      RECT 1268.910000 0.000000 1272.990000 0.630000 ;
-      RECT 1264.410000 0.000000 1268.490000 0.630000 ;
-      RECT 1259.810000 0.000000 1263.990000 0.630000 ;
-      RECT 1255.310000 0.000000 1259.390000 0.630000 ;
-      RECT 1250.810000 0.000000 1254.890000 0.630000 ;
-      RECT 1246.310000 0.000000 1250.390000 0.630000 ;
-      RECT 1241.810000 0.000000 1245.890000 0.630000 ;
-      RECT 1237.310000 0.000000 1241.390000 0.630000 ;
-      RECT 1232.710000 0.000000 1236.890000 0.630000 ;
-      RECT 1228.210000 0.000000 1232.290000 0.630000 ;
-      RECT 1223.710000 0.000000 1227.790000 0.630000 ;
-      RECT 1219.210000 0.000000 1223.290000 0.630000 ;
-      RECT 1214.710000 0.000000 1218.790000 0.630000 ;
-      RECT 1210.210000 0.000000 1214.290000 0.630000 ;
-      RECT 1205.710000 0.000000 1209.790000 0.630000 ;
-      RECT 1201.110000 0.000000 1205.290000 0.630000 ;
-      RECT 1196.610000 0.000000 1200.690000 0.630000 ;
-      RECT 1192.110000 0.000000 1196.190000 0.630000 ;
-      RECT 1187.610000 0.000000 1191.690000 0.630000 ;
-      RECT 1183.110000 0.000000 1187.190000 0.630000 ;
-      RECT 1178.610000 0.000000 1182.690000 0.630000 ;
-      RECT 1174.110000 0.000000 1178.190000 0.630000 ;
-      RECT 1169.510000 0.000000 1173.690000 0.630000 ;
-      RECT 1165.010000 0.000000 1169.090000 0.630000 ;
-      RECT 1160.510000 0.000000 1164.590000 0.630000 ;
-      RECT 1156.010000 0.000000 1160.090000 0.630000 ;
-      RECT 1151.510000 0.000000 1155.590000 0.630000 ;
-      RECT 1147.010000 0.000000 1151.090000 0.630000 ;
-      RECT 1142.410000 0.000000 1146.590000 0.630000 ;
-      RECT 1137.910000 0.000000 1141.990000 0.630000 ;
-      RECT 1133.410000 0.000000 1137.490000 0.630000 ;
-      RECT 1128.910000 0.000000 1132.990000 0.630000 ;
-      RECT 1124.410000 0.000000 1128.490000 0.630000 ;
-      RECT 1119.910000 0.000000 1123.990000 0.630000 ;
-      RECT 1115.410000 0.000000 1119.490000 0.630000 ;
-      RECT 1110.810000 0.000000 1114.990000 0.630000 ;
-      RECT 1106.310000 0.000000 1110.390000 0.630000 ;
-      RECT 1101.810000 0.000000 1105.890000 0.630000 ;
-      RECT 1097.310000 0.000000 1101.390000 0.630000 ;
-      RECT 1092.810000 0.000000 1096.890000 0.630000 ;
-      RECT 1088.310000 0.000000 1092.390000 0.630000 ;
-      RECT 1083.810000 0.000000 1087.890000 0.630000 ;
-      RECT 1079.210000 0.000000 1083.390000 0.630000 ;
-      RECT 1074.710000 0.000000 1078.790000 0.630000 ;
-      RECT 1070.210000 0.000000 1074.290000 0.630000 ;
-      RECT 1065.710000 0.000000 1069.790000 0.630000 ;
-      RECT 1061.210000 0.000000 1065.290000 0.630000 ;
-      RECT 1056.710000 0.000000 1060.790000 0.630000 ;
-      RECT 1052.110000 0.000000 1056.290000 0.630000 ;
-      RECT 1047.610000 0.000000 1051.690000 0.630000 ;
-      RECT 1043.110000 0.000000 1047.190000 0.630000 ;
-      RECT 1038.610000 0.000000 1042.690000 0.630000 ;
-      RECT 1034.110000 0.000000 1038.190000 0.630000 ;
-      RECT 1029.610000 0.000000 1033.690000 0.630000 ;
-      RECT 1025.110000 0.000000 1029.190000 0.630000 ;
-      RECT 1020.510000 0.000000 1024.690000 0.630000 ;
-      RECT 1016.010000 0.000000 1020.090000 0.630000 ;
-      RECT 1011.510000 0.000000 1015.590000 0.630000 ;
-      RECT 1007.010000 0.000000 1011.090000 0.630000 ;
-      RECT 1002.510000 0.000000 1006.590000 0.630000 ;
-      RECT 998.010000 0.000000 1002.090000 0.630000 ;
-      RECT 993.510000 0.000000 997.590000 0.630000 ;
-      RECT 988.910000 0.000000 993.090000 0.630000 ;
-      RECT 984.410000 0.000000 988.490000 0.630000 ;
-      RECT 979.910000 0.000000 983.990000 0.630000 ;
-      RECT 975.410000 0.000000 979.490000 0.630000 ;
-      RECT 970.910000 0.000000 974.990000 0.630000 ;
-      RECT 966.410000 0.000000 970.490000 0.630000 ;
-      RECT 961.810000 0.000000 965.990000 0.630000 ;
-      RECT 957.310000 0.000000 961.390000 0.630000 ;
-      RECT 952.810000 0.000000 956.890000 0.630000 ;
-      RECT 948.310000 0.000000 952.390000 0.630000 ;
-      RECT 943.810000 0.000000 947.890000 0.630000 ;
-      RECT 939.310000 0.000000 943.390000 0.630000 ;
-      RECT 934.810000 0.000000 938.890000 0.630000 ;
-      RECT 930.210000 0.000000 934.390000 0.630000 ;
-      RECT 925.710000 0.000000 929.790000 0.630000 ;
-      RECT 921.210000 0.000000 925.290000 0.630000 ;
-      RECT 916.710000 0.000000 920.790000 0.630000 ;
-      RECT 912.210000 0.000000 916.290000 0.630000 ;
-      RECT 907.710000 0.000000 911.790000 0.630000 ;
-      RECT 903.210000 0.000000 907.290000 0.630000 ;
-      RECT 898.610000 0.000000 902.790000 0.630000 ;
-      RECT 894.110000 0.000000 898.190000 0.630000 ;
-      RECT 889.610000 0.000000 893.690000 0.630000 ;
-      RECT 885.110000 0.000000 889.190000 0.630000 ;
-      RECT 880.610000 0.000000 884.690000 0.630000 ;
-      RECT 876.110000 0.000000 880.190000 0.630000 ;
-      RECT 871.510000 0.000000 875.690000 0.630000 ;
-      RECT 867.010000 0.000000 871.090000 0.630000 ;
-      RECT 862.510000 0.000000 866.590000 0.630000 ;
-      RECT 858.010000 0.000000 862.090000 0.630000 ;
-      RECT 853.510000 0.000000 857.590000 0.630000 ;
-      RECT 849.010000 0.000000 853.090000 0.630000 ;
-      RECT 844.510000 0.000000 848.590000 0.630000 ;
-      RECT 839.910000 0.000000 844.090000 0.630000 ;
-      RECT 835.410000 0.000000 839.490000 0.630000 ;
-      RECT 830.910000 0.000000 834.990000 0.630000 ;
-      RECT 826.410000 0.000000 830.490000 0.630000 ;
-      RECT 821.910000 0.000000 825.990000 0.630000 ;
-      RECT 817.410000 0.000000 821.490000 0.630000 ;
-      RECT 812.910000 0.000000 816.990000 0.630000 ;
-      RECT 808.310000 0.000000 812.490000 0.630000 ;
-      RECT 803.810000 0.000000 807.890000 0.630000 ;
-      RECT 799.310000 0.000000 803.390000 0.630000 ;
-      RECT 794.810000 0.000000 798.890000 0.630000 ;
-      RECT 790.310000 0.000000 794.390000 0.630000 ;
-      RECT 785.810000 0.000000 789.890000 0.630000 ;
-      RECT 781.210000 0.000000 785.390000 0.630000 ;
-      RECT 776.710000 0.000000 780.790000 0.630000 ;
-      RECT 772.210000 0.000000 776.290000 0.630000 ;
-      RECT 767.710000 0.000000 771.790000 0.630000 ;
-      RECT 763.210000 0.000000 767.290000 0.630000 ;
-      RECT 758.710000 0.000000 762.790000 0.630000 ;
-      RECT 754.210000 0.000000 758.290000 0.630000 ;
-      RECT 749.610000 0.000000 753.790000 0.630000 ;
-      RECT 745.110000 0.000000 749.190000 0.630000 ;
-      RECT 740.610000 0.000000 744.690000 0.630000 ;
-      RECT 736.110000 0.000000 740.190000 0.630000 ;
-      RECT 731.610000 0.000000 735.690000 0.630000 ;
-      RECT 727.110000 0.000000 731.190000 0.630000 ;
-      RECT 722.610000 0.000000 726.690000 0.630000 ;
-      RECT 718.010000 0.000000 722.190000 0.630000 ;
-      RECT 713.510000 0.000000 717.590000 0.630000 ;
-      RECT 709.010000 0.000000 713.090000 0.630000 ;
-      RECT 704.510000 0.000000 708.590000 0.630000 ;
-      RECT 700.010000 0.000000 704.090000 0.630000 ;
-      RECT 695.510000 0.000000 699.590000 0.630000 ;
-      RECT 690.910000 0.000000 695.090000 0.630000 ;
-      RECT 686.410000 0.000000 690.490000 0.630000 ;
-      RECT 681.910000 0.000000 685.990000 0.630000 ;
-      RECT 677.410000 0.000000 681.490000 0.630000 ;
-      RECT 672.910000 0.000000 676.990000 0.630000 ;
-      RECT 668.410000 0.000000 672.490000 0.630000 ;
-      RECT 663.910000 0.000000 667.990000 0.630000 ;
-      RECT 659.310000 0.000000 663.490000 0.630000 ;
-      RECT 654.810000 0.000000 658.890000 0.630000 ;
-      RECT 650.310000 0.000000 654.390000 0.630000 ;
-      RECT 645.810000 0.000000 649.890000 0.630000 ;
-      RECT 641.310000 0.000000 645.390000 0.630000 ;
-      RECT 636.810000 0.000000 640.890000 0.630000 ;
-      RECT 632.310000 0.000000 636.390000 0.630000 ;
-      RECT 627.710000 0.000000 631.890000 0.630000 ;
-      RECT 623.210000 0.000000 627.290000 0.630000 ;
-      RECT 618.710000 0.000000 622.790000 0.630000 ;
-      RECT 614.210000 0.000000 618.290000 0.630000 ;
-      RECT 609.710000 0.000000 613.790000 0.630000 ;
-      RECT 605.210000 0.000000 609.290000 0.630000 ;
-      RECT 600.610000 0.000000 604.790000 0.630000 ;
-      RECT 596.110000 0.000000 600.190000 0.630000 ;
-      RECT 591.610000 0.000000 595.690000 0.630000 ;
-      RECT 587.110000 0.000000 591.190000 0.630000 ;
-      RECT 582.610000 0.000000 586.690000 0.630000 ;
-      RECT 578.110000 0.000000 582.190000 0.630000 ;
-      RECT 573.610000 0.000000 577.690000 0.630000 ;
-      RECT 569.010000 0.000000 573.190000 0.630000 ;
-      RECT 564.510000 0.000000 568.590000 0.630000 ;
-      RECT 560.010000 0.000000 564.090000 0.630000 ;
-      RECT 555.510000 0.000000 559.590000 0.630000 ;
-      RECT 551.010000 0.000000 555.090000 0.630000 ;
-      RECT 546.510000 0.000000 550.590000 0.630000 ;
-      RECT 542.010000 0.000000 546.090000 0.630000 ;
-      RECT 537.410000 0.000000 541.590000 0.630000 ;
-      RECT 532.910000 0.000000 536.990000 0.630000 ;
-      RECT 528.410000 0.000000 532.490000 0.630000 ;
-      RECT 523.910000 0.000000 527.990000 0.630000 ;
-      RECT 519.410000 0.000000 523.490000 0.630000 ;
-      RECT 514.910000 0.000000 518.990000 0.630000 ;
-      RECT 510.310000 0.000000 514.490000 0.630000 ;
-      RECT 505.810000 0.000000 509.890000 0.630000 ;
-      RECT 501.310000 0.000000 505.390000 0.630000 ;
-      RECT 496.810000 0.000000 500.890000 0.630000 ;
-      RECT 492.310000 0.000000 496.390000 0.630000 ;
-      RECT 487.810000 0.000000 491.890000 0.630000 ;
-      RECT 483.310000 0.000000 487.390000 0.630000 ;
-      RECT 478.710000 0.000000 482.890000 0.630000 ;
-      RECT 474.210000 0.000000 478.290000 0.630000 ;
-      RECT 469.710000 0.000000 473.790000 0.630000 ;
-      RECT 465.210000 0.000000 469.290000 0.630000 ;
-      RECT 460.710000 0.000000 464.790000 0.630000 ;
-      RECT 456.210000 0.000000 460.290000 0.630000 ;
-      RECT 451.710000 0.000000 455.790000 0.630000 ;
-      RECT 447.110000 0.000000 451.290000 0.630000 ;
-      RECT 442.610000 0.000000 446.690000 0.630000 ;
-      RECT 438.110000 0.000000 442.190000 0.630000 ;
-      RECT 433.610000 0.000000 437.690000 0.630000 ;
-      RECT 429.110000 0.000000 433.190000 0.630000 ;
-      RECT 424.610000 0.000000 428.690000 0.630000 ;
-      RECT 420.010000 0.000000 424.190000 0.630000 ;
-      RECT 415.510000 0.000000 419.590000 0.630000 ;
-      RECT 411.010000 0.000000 415.090000 0.630000 ;
-      RECT 406.510000 0.000000 410.590000 0.630000 ;
-      RECT 402.010000 0.000000 406.090000 0.630000 ;
-      RECT 397.510000 0.000000 401.590000 0.630000 ;
-      RECT 393.010000 0.000000 397.090000 0.630000 ;
-      RECT 388.410000 0.000000 392.590000 0.630000 ;
-      RECT 383.910000 0.000000 387.990000 0.630000 ;
-      RECT 379.410000 0.000000 383.490000 0.630000 ;
-      RECT 374.910000 0.000000 378.990000 0.630000 ;
-      RECT 370.410000 0.000000 374.490000 0.630000 ;
-      RECT 365.910000 0.000000 369.990000 0.630000 ;
-      RECT 361.410000 0.000000 365.490000 0.630000 ;
-      RECT 356.810000 0.000000 360.990000 0.630000 ;
-      RECT 352.310000 0.000000 356.390000 0.630000 ;
-      RECT 347.810000 0.000000 351.890000 0.630000 ;
-      RECT 343.310000 0.000000 347.390000 0.630000 ;
-      RECT 338.810000 0.000000 342.890000 0.630000 ;
-      RECT 334.310000 0.000000 338.390000 0.630000 ;
-      RECT 329.710000 0.000000 333.890000 0.630000 ;
-      RECT 325.210000 0.000000 329.290000 0.630000 ;
-      RECT 320.710000 0.000000 324.790000 0.630000 ;
-      RECT 316.210000 0.000000 320.290000 0.630000 ;
-      RECT 311.710000 0.000000 315.790000 0.630000 ;
-      RECT 307.210000 0.000000 311.290000 0.630000 ;
-      RECT 302.710000 0.000000 306.790000 0.630000 ;
-      RECT 298.110000 0.000000 302.290000 0.630000 ;
-      RECT 293.610000 0.000000 297.690000 0.630000 ;
-      RECT 289.110000 0.000000 293.190000 0.630000 ;
-      RECT 284.610000 0.000000 288.690000 0.630000 ;
-      RECT 280.110000 0.000000 284.190000 0.630000 ;
-      RECT 275.610000 0.000000 279.690000 0.630000 ;
-      RECT 271.110000 0.000000 275.190000 0.630000 ;
-      RECT 266.510000 0.000000 270.690000 0.630000 ;
-      RECT 262.010000 0.000000 266.090000 0.630000 ;
-      RECT 257.510000 0.000000 261.590000 0.630000 ;
-      RECT 253.010000 0.000000 257.090000 0.630000 ;
-      RECT 248.510000 0.000000 252.590000 0.630000 ;
-      RECT 244.010000 0.000000 248.090000 0.630000 ;
-      RECT 239.410000 0.000000 243.590000 0.630000 ;
-      RECT 234.910000 0.000000 238.990000 0.630000 ;
-      RECT 230.410000 0.000000 234.490000 0.630000 ;
-      RECT 225.910000 0.000000 229.990000 0.630000 ;
-      RECT 221.410000 0.000000 225.490000 0.630000 ;
-      RECT 216.910000 0.000000 220.990000 0.630000 ;
-      RECT 212.410000 0.000000 216.490000 0.630000 ;
-      RECT 207.810000 0.000000 211.990000 0.630000 ;
-      RECT 203.310000 0.000000 207.390000 0.630000 ;
-      RECT 198.810000 0.000000 202.890000 0.630000 ;
-      RECT 194.310000 0.000000 198.390000 0.630000 ;
-      RECT 189.810000 0.000000 193.890000 0.630000 ;
-      RECT 185.310000 0.000000 189.390000 0.630000 ;
-      RECT 180.810000 0.000000 184.890000 0.630000 ;
-      RECT 176.210000 0.000000 180.390000 0.630000 ;
-      RECT 171.710000 0.000000 175.790000 0.630000 ;
-      RECT 167.210000 0.000000 171.290000 0.630000 ;
-      RECT 162.710000 0.000000 166.790000 0.630000 ;
-      RECT 158.210000 0.000000 162.290000 0.630000 ;
-      RECT 153.710000 0.000000 157.790000 0.630000 ;
-      RECT 149.110000 0.000000 153.290000 0.630000 ;
-      RECT 144.610000 0.000000 148.690000 0.630000 ;
-      RECT 140.110000 0.000000 144.190000 0.630000 ;
-      RECT 135.610000 0.000000 139.690000 0.630000 ;
-      RECT 131.110000 0.000000 135.190000 0.630000 ;
-      RECT 126.610000 0.000000 130.690000 0.630000 ;
-      RECT 122.110000 0.000000 126.190000 0.630000 ;
-      RECT 117.510000 0.000000 121.690000 0.630000 ;
-      RECT 113.010000 0.000000 117.090000 0.630000 ;
-      RECT 108.510000 0.000000 112.590000 0.630000 ;
-      RECT 104.010000 0.000000 108.090000 0.630000 ;
-      RECT 99.510000 0.000000 103.590000 0.630000 ;
-      RECT 95.010000 0.000000 99.090000 0.630000 ;
-      RECT 90.510000 0.000000 94.590000 0.630000 ;
-      RECT 85.910000 0.000000 90.090000 0.630000 ;
-      RECT 81.410000 0.000000 85.490000 0.630000 ;
-      RECT 76.910000 0.000000 80.990000 0.630000 ;
-      RECT 72.410000 0.000000 76.490000 0.630000 ;
-      RECT 67.910000 0.000000 71.990000 0.630000 ;
-      RECT 63.410000 0.000000 67.490000 0.630000 ;
-      RECT 58.810000 0.000000 62.990000 0.630000 ;
-      RECT 54.310000 0.000000 58.390000 0.630000 ;
-      RECT 49.810000 0.000000 53.890000 0.630000 ;
-      RECT 45.310000 0.000000 49.390000 0.630000 ;
-      RECT 40.810000 0.000000 44.890000 0.630000 ;
-      RECT 36.310000 0.000000 40.390000 0.630000 ;
-      RECT 31.810000 0.000000 35.890000 0.630000 ;
-      RECT 27.210000 0.000000 31.390000 0.630000 ;
-      RECT 22.710000 0.000000 26.790000 0.630000 ;
-      RECT 18.210000 0.000000 22.290000 0.630000 ;
-      RECT 13.710000 0.000000 17.790000 0.630000 ;
-      RECT 9.210000 0.000000 13.290000 0.630000 ;
-      RECT 4.710000 0.000000 8.790000 0.630000 ;
-      RECT 2.710000 0.000000 4.290000 0.625000 ;
-      RECT 0.000000 0.000000 2.290000 0.625000 ;
+      RECT 2350.310000 2289.615000 2369.460000 2290.240000 ;
+      RECT 2287.710000 2289.615000 2349.890000 2290.240000 ;
+      RECT 5.010000 2289.615000 66.890000 2290.240000 ;
+      RECT 0.000000 2289.615000 4.590000 2290.240000 ;
+      RECT 2287.710000 2289.610000 2369.460000 2289.615000 ;
+      RECT 2220.310000 2289.610000 2287.290000 2290.240000 ;
+      RECT 2153.110000 2289.610000 2219.890000 2290.240000 ;
+      RECT 2085.910000 2289.610000 2152.690000 2290.240000 ;
+      RECT 2018.610000 2289.610000 2085.490000 2290.240000 ;
+      RECT 1951.210000 2289.610000 2018.190000 2290.240000 ;
+      RECT 1884.010000 2289.610000 1950.790000 2290.240000 ;
+      RECT 1816.710000 2289.610000 1883.590000 2290.240000 ;
+      RECT 1749.410000 2289.610000 1816.290000 2290.240000 ;
+      RECT 1682.110000 2289.610000 1748.990000 2290.240000 ;
+      RECT 1614.910000 2289.610000 1681.690000 2290.240000 ;
+      RECT 1547.610000 2289.610000 1614.490000 2290.240000 ;
+      RECT 1480.310000 2289.610000 1547.190000 2290.240000 ;
+      RECT 1413.010000 2289.610000 1479.890000 2290.240000 ;
+      RECT 1345.710000 2289.610000 1412.590000 2290.240000 ;
+      RECT 1278.510000 2289.610000 1345.290000 2290.240000 ;
+      RECT 1211.110000 2289.610000 1278.090000 2290.240000 ;
+      RECT 1143.810000 2289.610000 1210.690000 2290.240000 ;
+      RECT 1076.610000 2289.610000 1143.390000 2290.240000 ;
+      RECT 1009.410000 2289.610000 1076.190000 2290.240000 ;
+      RECT 942.010000 2289.610000 1008.990000 2290.240000 ;
+      RECT 874.710000 2289.610000 941.590000 2290.240000 ;
+      RECT 807.510000 2289.610000 874.290000 2290.240000 ;
+      RECT 740.110000 2289.610000 807.090000 2290.240000 ;
+      RECT 672.810000 2289.610000 739.690000 2290.240000 ;
+      RECT 605.610000 2289.610000 672.390000 2290.240000 ;
+      RECT 538.410000 2289.610000 605.190000 2290.240000 ;
+      RECT 471.010000 2289.610000 537.990000 2290.240000 ;
+      RECT 403.810000 2289.610000 470.590000 2290.240000 ;
+      RECT 336.510000 2289.610000 403.390000 2290.240000 ;
+      RECT 269.210000 2289.610000 336.090000 2290.240000 ;
+      RECT 201.910000 2289.610000 268.790000 2290.240000 ;
+      RECT 134.610000 2289.610000 201.490000 2290.240000 ;
+      RECT 67.310000 2289.610000 134.190000 2290.240000 ;
+      RECT 0.000000 2289.610000 66.890000 2289.615000 ;
+      RECT 0.000000 0.630000 2369.460000 2289.610000 ;
+      RECT 2349.710000 0.625000 2369.460000 0.630000 ;
+      RECT 0.000000 0.625000 4.390000 0.630000 ;
+      RECT 2357.010000 0.000000 2369.460000 0.625000 ;
+      RECT 2349.710000 0.000000 2356.590000 0.625000 ;
+      RECT 2344.910000 0.000000 2349.290000 0.630000 ;
+      RECT 2340.210000 0.000000 2344.490000 0.630000 ;
+      RECT 2335.410000 0.000000 2339.790000 0.630000 ;
+      RECT 2330.610000 0.000000 2334.990000 0.630000 ;
+      RECT 2325.810000 0.000000 2330.190000 0.630000 ;
+      RECT 2321.010000 0.000000 2325.390000 0.630000 ;
+      RECT 2316.210000 0.000000 2320.590000 0.630000 ;
+      RECT 2311.410000 0.000000 2315.790000 0.630000 ;
+      RECT 2306.710000 0.000000 2310.990000 0.630000 ;
+      RECT 2302.010000 0.000000 2306.290000 0.630000 ;
+      RECT 2297.210000 0.000000 2301.590000 0.630000 ;
+      RECT 2292.410000 0.000000 2296.790000 0.630000 ;
+      RECT 2287.610000 0.000000 2291.990000 0.630000 ;
+      RECT 2282.810000 0.000000 2287.190000 0.630000 ;
+      RECT 2278.110000 0.000000 2282.390000 0.630000 ;
+      RECT 2273.310000 0.000000 2277.690000 0.630000 ;
+      RECT 2268.510000 0.000000 2272.890000 0.630000 ;
+      RECT 2263.710000 0.000000 2268.090000 0.630000 ;
+      RECT 2258.910000 0.000000 2263.290000 0.630000 ;
+      RECT 2254.210000 0.000000 2258.490000 0.630000 ;
+      RECT 2249.510000 0.000000 2253.790000 0.630000 ;
+      RECT 2244.710000 0.000000 2249.090000 0.630000 ;
+      RECT 2239.910000 0.000000 2244.290000 0.630000 ;
+      RECT 2235.110000 0.000000 2239.490000 0.630000 ;
+      RECT 2230.310000 0.000000 2234.690000 0.630000 ;
+      RECT 2225.510000 0.000000 2229.890000 0.630000 ;
+      RECT 2220.810000 0.000000 2225.090000 0.630000 ;
+      RECT 2216.010000 0.000000 2220.390000 0.630000 ;
+      RECT 2211.210000 0.000000 2215.590000 0.630000 ;
+      RECT 2206.510000 0.000000 2210.790000 0.630000 ;
+      RECT 2201.710000 0.000000 2206.090000 0.630000 ;
+      RECT 2196.910000 0.000000 2201.290000 0.630000 ;
+      RECT 2192.210000 0.000000 2196.490000 0.630000 ;
+      RECT 2187.410000 0.000000 2191.790000 0.630000 ;
+      RECT 2182.610000 0.000000 2186.990000 0.630000 ;
+      RECT 2177.810000 0.000000 2182.190000 0.630000 ;
+      RECT 2173.010000 0.000000 2177.390000 0.630000 ;
+      RECT 2168.210000 0.000000 2172.590000 0.630000 ;
+      RECT 2163.510000 0.000000 2167.790000 0.630000 ;
+      RECT 2158.810000 0.000000 2163.090000 0.630000 ;
+      RECT 2154.010000 0.000000 2158.390000 0.630000 ;
+      RECT 2149.210000 0.000000 2153.590000 0.630000 ;
+      RECT 2144.410000 0.000000 2148.790000 0.630000 ;
+      RECT 2139.510000 0.000000 2143.990000 0.630000 ;
+      RECT 2134.810000 0.000000 2139.090000 0.630000 ;
+      RECT 2130.010000 0.000000 2134.390000 0.630000 ;
+      RECT 2125.210000 0.000000 2129.590000 0.630000 ;
+      RECT 2120.410000 0.000000 2124.790000 0.630000 ;
+      RECT 2115.610000 0.000000 2119.990000 0.630000 ;
+      RECT 2110.810000 0.000000 2115.190000 0.630000 ;
+      RECT 2106.210000 0.000000 2110.390000 0.630000 ;
+      RECT 2101.410000 0.000000 2105.790000 0.630000 ;
+      RECT 2096.610000 0.000000 2100.990000 0.630000 ;
+      RECT 2091.810000 0.000000 2096.190000 0.630000 ;
+      RECT 2087.010000 0.000000 2091.390000 0.630000 ;
+      RECT 2082.210000 0.000000 2086.590000 0.630000 ;
+      RECT 2077.410000 0.000000 2081.790000 0.630000 ;
+      RECT 2072.710000 0.000000 2076.990000 0.630000 ;
+      RECT 2067.910000 0.000000 2072.290000 0.630000 ;
+      RECT 2063.110000 0.000000 2067.490000 0.630000 ;
+      RECT 2058.410000 0.000000 2062.690000 0.630000 ;
+      RECT 2053.610000 0.000000 2057.990000 0.630000 ;
+      RECT 2048.810000 0.000000 2053.190000 0.630000 ;
+      RECT 2044.110000 0.000000 2048.390000 0.630000 ;
+      RECT 2039.310000 0.000000 2043.690000 0.630000 ;
+      RECT 2034.510000 0.000000 2038.890000 0.630000 ;
+      RECT 2029.710000 0.000000 2034.090000 0.630000 ;
+      RECT 2024.910000 0.000000 2029.290000 0.630000 ;
+      RECT 2020.110000 0.000000 2024.490000 0.630000 ;
+      RECT 2015.410000 0.000000 2019.690000 0.630000 ;
+      RECT 2010.710000 0.000000 2014.990000 0.630000 ;
+      RECT 2005.910000 0.000000 2010.290000 0.630000 ;
+      RECT 2001.110000 0.000000 2005.490000 0.630000 ;
+      RECT 1996.310000 0.000000 2000.690000 0.630000 ;
+      RECT 1991.510000 0.000000 1995.890000 0.630000 ;
+      RECT 1986.810000 0.000000 1991.090000 0.630000 ;
+      RECT 1982.010000 0.000000 1986.390000 0.630000 ;
+      RECT 1977.210000 0.000000 1981.590000 0.630000 ;
+      RECT 1972.410000 0.000000 1976.790000 0.630000 ;
+      RECT 1967.610000 0.000000 1971.990000 0.630000 ;
+      RECT 1962.910000 0.000000 1967.190000 0.630000 ;
+      RECT 1958.210000 0.000000 1962.490000 0.630000 ;
+      RECT 1953.410000 0.000000 1957.790000 0.630000 ;
+      RECT 1948.610000 0.000000 1952.990000 0.630000 ;
+      RECT 1943.810000 0.000000 1948.190000 0.630000 ;
+      RECT 1939.010000 0.000000 1943.390000 0.630000 ;
+      RECT 1934.210000 0.000000 1938.590000 0.630000 ;
+      RECT 1929.510000 0.000000 1933.790000 0.630000 ;
+      RECT 1924.710000 0.000000 1929.090000 0.630000 ;
+      RECT 1919.910000 0.000000 1924.290000 0.630000 ;
+      RECT 1915.210000 0.000000 1919.490000 0.630000 ;
+      RECT 1910.410000 0.000000 1914.790000 0.630000 ;
+      RECT 1905.610000 0.000000 1909.990000 0.630000 ;
+      RECT 1900.810000 0.000000 1905.190000 0.630000 ;
+      RECT 1896.010000 0.000000 1900.390000 0.630000 ;
+      RECT 1891.210000 0.000000 1895.590000 0.630000 ;
+      RECT 1886.410000 0.000000 1890.790000 0.630000 ;
+      RECT 1881.610000 0.000000 1885.990000 0.630000 ;
+      RECT 1876.810000 0.000000 1881.190000 0.630000 ;
+      RECT 1872.010000 0.000000 1876.390000 0.630000 ;
+      RECT 1867.410000 0.000000 1871.590000 0.630000 ;
+      RECT 1862.610000 0.000000 1866.990000 0.630000 ;
+      RECT 1857.810000 0.000000 1862.190000 0.630000 ;
+      RECT 1853.010000 0.000000 1857.390000 0.630000 ;
+      RECT 1848.210000 0.000000 1852.590000 0.630000 ;
+      RECT 1843.410000 0.000000 1847.790000 0.630000 ;
+      RECT 1838.710000 0.000000 1842.990000 0.630000 ;
+      RECT 1833.910000 0.000000 1838.290000 0.630000 ;
+      RECT 1829.110000 0.000000 1833.490000 0.630000 ;
+      RECT 1824.310000 0.000000 1828.690000 0.630000 ;
+      RECT 1819.610000 0.000000 1823.890000 0.630000 ;
+      RECT 1814.810000 0.000000 1819.190000 0.630000 ;
+      RECT 1810.110000 0.000000 1814.390000 0.630000 ;
+      RECT 1805.310000 0.000000 1809.690000 0.630000 ;
+      RECT 1800.510000 0.000000 1804.890000 0.630000 ;
+      RECT 1795.710000 0.000000 1800.090000 0.630000 ;
+      RECT 1790.910000 0.000000 1795.290000 0.630000 ;
+      RECT 1786.110000 0.000000 1790.490000 0.630000 ;
+      RECT 1781.410000 0.000000 1785.690000 0.630000 ;
+      RECT 1776.610000 0.000000 1780.990000 0.630000 ;
+      RECT 1771.910000 0.000000 1776.190000 0.630000 ;
+      RECT 1767.110000 0.000000 1771.490000 0.630000 ;
+      RECT 1762.310000 0.000000 1766.690000 0.630000 ;
+      RECT 1757.510000 0.000000 1761.890000 0.630000 ;
+      RECT 1752.810000 0.000000 1757.090000 0.630000 ;
+      RECT 1748.010000 0.000000 1752.390000 0.630000 ;
+      RECT 1743.210000 0.000000 1747.590000 0.630000 ;
+      RECT 1738.410000 0.000000 1742.790000 0.630000 ;
+      RECT 1733.610000 0.000000 1737.990000 0.630000 ;
+      RECT 1728.810000 0.000000 1733.190000 0.630000 ;
+      RECT 1724.210000 0.000000 1728.390000 0.630000 ;
+      RECT 1719.410000 0.000000 1723.790000 0.630000 ;
+      RECT 1714.610000 0.000000 1718.990000 0.630000 ;
+      RECT 1709.810000 0.000000 1714.190000 0.630000 ;
+      RECT 1705.010000 0.000000 1709.390000 0.630000 ;
+      RECT 1700.210000 0.000000 1704.590000 0.630000 ;
+      RECT 1695.510000 0.000000 1699.790000 0.630000 ;
+      RECT 1690.710000 0.000000 1695.090000 0.630000 ;
+      RECT 1685.910000 0.000000 1690.290000 0.630000 ;
+      RECT 1681.110000 0.000000 1685.490000 0.630000 ;
+      RECT 1676.410000 0.000000 1680.690000 0.630000 ;
+      RECT 1671.610000 0.000000 1675.990000 0.630000 ;
+      RECT 1666.910000 0.000000 1671.190000 0.630000 ;
+      RECT 1662.010000 0.000000 1666.490000 0.630000 ;
+      RECT 1657.210000 0.000000 1661.590000 0.630000 ;
+      RECT 1652.410000 0.000000 1656.790000 0.630000 ;
+      RECT 1647.610000 0.000000 1651.990000 0.630000 ;
+      RECT 1642.810000 0.000000 1647.190000 0.630000 ;
+      RECT 1638.010000 0.000000 1642.390000 0.630000 ;
+      RECT 1633.310000 0.000000 1637.590000 0.630000 ;
+      RECT 1628.610000 0.000000 1632.890000 0.630000 ;
+      RECT 1623.810000 0.000000 1628.190000 0.630000 ;
+      RECT 1619.010000 0.000000 1623.390000 0.630000 ;
+      RECT 1614.210000 0.000000 1618.590000 0.630000 ;
+      RECT 1609.410000 0.000000 1613.790000 0.630000 ;
+      RECT 1604.710000 0.000000 1608.990000 0.630000 ;
+      RECT 1599.910000 0.000000 1604.290000 0.630000 ;
+      RECT 1595.110000 0.000000 1599.490000 0.630000 ;
+      RECT 1590.310000 0.000000 1594.690000 0.630000 ;
+      RECT 1585.510000 0.000000 1589.890000 0.630000 ;
+      RECT 1580.810000 0.000000 1585.090000 0.630000 ;
+      RECT 1576.110000 0.000000 1580.390000 0.630000 ;
+      RECT 1571.310000 0.000000 1575.690000 0.630000 ;
+      RECT 1566.510000 0.000000 1570.890000 0.630000 ;
+      RECT 1561.710000 0.000000 1566.090000 0.630000 ;
+      RECT 1556.910000 0.000000 1561.290000 0.630000 ;
+      RECT 1552.110000 0.000000 1556.490000 0.630000 ;
+      RECT 1547.410000 0.000000 1551.690000 0.630000 ;
+      RECT 1542.610000 0.000000 1546.990000 0.630000 ;
+      RECT 1537.810000 0.000000 1542.190000 0.630000 ;
+      RECT 1533.110000 0.000000 1537.390000 0.630000 ;
+      RECT 1528.310000 0.000000 1532.690000 0.630000 ;
+      RECT 1523.510000 0.000000 1527.890000 0.630000 ;
+      RECT 1518.810000 0.000000 1523.090000 0.630000 ;
+      RECT 1514.010000 0.000000 1518.390000 0.630000 ;
+      RECT 1509.210000 0.000000 1513.590000 0.630000 ;
+      RECT 1504.410000 0.000000 1508.790000 0.630000 ;
+      RECT 1499.610000 0.000000 1503.990000 0.630000 ;
+      RECT 1494.810000 0.000000 1499.190000 0.630000 ;
+      RECT 1490.110000 0.000000 1494.390000 0.630000 ;
+      RECT 1485.410000 0.000000 1489.690000 0.630000 ;
+      RECT 1480.610000 0.000000 1484.990000 0.630000 ;
+      RECT 1475.810000 0.000000 1480.190000 0.630000 ;
+      RECT 1471.010000 0.000000 1475.390000 0.630000 ;
+      RECT 1466.210000 0.000000 1470.590000 0.630000 ;
+      RECT 1461.510000 0.000000 1465.790000 0.630000 ;
+      RECT 1456.710000 0.000000 1461.090000 0.630000 ;
+      RECT 1451.910000 0.000000 1456.290000 0.630000 ;
+      RECT 1447.110000 0.000000 1451.490000 0.630000 ;
+      RECT 1442.310000 0.000000 1446.690000 0.630000 ;
+      RECT 1437.610000 0.000000 1441.890000 0.630000 ;
+      RECT 1432.910000 0.000000 1437.190000 0.630000 ;
+      RECT 1428.110000 0.000000 1432.490000 0.630000 ;
+      RECT 1423.210000 0.000000 1427.690000 0.630000 ;
+      RECT 1418.410000 0.000000 1422.790000 0.630000 ;
+      RECT 1413.610000 0.000000 1417.990000 0.630000 ;
+      RECT 1408.810000 0.000000 1413.190000 0.630000 ;
+      RECT 1404.010000 0.000000 1408.390000 0.630000 ;
+      RECT 1399.310000 0.000000 1403.590000 0.630000 ;
+      RECT 1394.510000 0.000000 1398.890000 0.630000 ;
+      RECT 1389.810000 0.000000 1394.090000 0.630000 ;
+      RECT 1385.010000 0.000000 1389.390000 0.630000 ;
+      RECT 1380.210000 0.000000 1384.590000 0.630000 ;
+      RECT 1375.410000 0.000000 1379.790000 0.630000 ;
+      RECT 1370.710000 0.000000 1374.990000 0.630000 ;
+      RECT 1365.910000 0.000000 1370.290000 0.630000 ;
+      RECT 1361.110000 0.000000 1365.490000 0.630000 ;
+      RECT 1356.310000 0.000000 1360.690000 0.630000 ;
+      RECT 1351.510000 0.000000 1355.890000 0.630000 ;
+      RECT 1346.710000 0.000000 1351.090000 0.630000 ;
+      RECT 1342.110000 0.000000 1346.290000 0.630000 ;
+      RECT 1337.310000 0.000000 1341.690000 0.630000 ;
+      RECT 1332.510000 0.000000 1336.890000 0.630000 ;
+      RECT 1327.710000 0.000000 1332.090000 0.630000 ;
+      RECT 1322.910000 0.000000 1327.290000 0.630000 ;
+      RECT 1318.110000 0.000000 1322.490000 0.630000 ;
+      RECT 1313.410000 0.000000 1317.690000 0.630000 ;
+      RECT 1308.610000 0.000000 1312.990000 0.630000 ;
+      RECT 1303.810000 0.000000 1308.190000 0.630000 ;
+      RECT 1299.010000 0.000000 1303.390000 0.630000 ;
+      RECT 1294.310000 0.000000 1298.590000 0.630000 ;
+      RECT 1289.510000 0.000000 1293.890000 0.630000 ;
+      RECT 1284.810000 0.000000 1289.090000 0.630000 ;
+      RECT 1280.010000 0.000000 1284.390000 0.630000 ;
+      RECT 1275.210000 0.000000 1279.590000 0.630000 ;
+      RECT 1270.410000 0.000000 1274.790000 0.630000 ;
+      RECT 1265.610000 0.000000 1269.990000 0.630000 ;
+      RECT 1260.810000 0.000000 1265.190000 0.630000 ;
+      RECT 1256.110000 0.000000 1260.390000 0.630000 ;
+      RECT 1251.310000 0.000000 1255.690000 0.630000 ;
+      RECT 1246.610000 0.000000 1250.890000 0.630000 ;
+      RECT 1241.810000 0.000000 1246.190000 0.630000 ;
+      RECT 1237.010000 0.000000 1241.390000 0.630000 ;
+      RECT 1232.210000 0.000000 1236.590000 0.630000 ;
+      RECT 1227.510000 0.000000 1231.790000 0.630000 ;
+      RECT 1222.710000 0.000000 1227.090000 0.630000 ;
+      RECT 1217.910000 0.000000 1222.290000 0.630000 ;
+      RECT 1213.110000 0.000000 1217.490000 0.630000 ;
+      RECT 1208.310000 0.000000 1212.690000 0.630000 ;
+      RECT 1203.510000 0.000000 1207.890000 0.630000 ;
+      RECT 1198.910000 0.000000 1203.090000 0.630000 ;
+      RECT 1194.110000 0.000000 1198.490000 0.630000 ;
+      RECT 1189.310000 0.000000 1193.690000 0.630000 ;
+      RECT 1184.410000 0.000000 1188.890000 0.630000 ;
+      RECT 1179.610000 0.000000 1183.990000 0.630000 ;
+      RECT 1174.810000 0.000000 1179.190000 0.630000 ;
+      RECT 1170.010000 0.000000 1174.390000 0.630000 ;
+      RECT 1165.310000 0.000000 1169.590000 0.630000 ;
+      RECT 1160.510000 0.000000 1164.890000 0.630000 ;
+      RECT 1155.710000 0.000000 1160.090000 0.630000 ;
+      RECT 1151.010000 0.000000 1155.290000 0.630000 ;
+      RECT 1146.210000 0.000000 1150.590000 0.630000 ;
+      RECT 1141.410000 0.000000 1145.790000 0.630000 ;
+      RECT 1136.710000 0.000000 1140.990000 0.630000 ;
+      RECT 1131.910000 0.000000 1136.290000 0.630000 ;
+      RECT 1127.110000 0.000000 1131.490000 0.630000 ;
+      RECT 1122.310000 0.000000 1126.690000 0.630000 ;
+      RECT 1117.510000 0.000000 1121.890000 0.630000 ;
+      RECT 1112.710000 0.000000 1117.090000 0.630000 ;
+      RECT 1108.010000 0.000000 1112.290000 0.630000 ;
+      RECT 1103.310000 0.000000 1107.590000 0.630000 ;
+      RECT 1098.510000 0.000000 1102.890000 0.630000 ;
+      RECT 1093.710000 0.000000 1098.090000 0.630000 ;
+      RECT 1088.910000 0.000000 1093.290000 0.630000 ;
+      RECT 1084.110000 0.000000 1088.490000 0.630000 ;
+      RECT 1079.410000 0.000000 1083.690000 0.630000 ;
+      RECT 1074.610000 0.000000 1078.990000 0.630000 ;
+      RECT 1069.810000 0.000000 1074.190000 0.630000 ;
+      RECT 1065.010000 0.000000 1069.390000 0.630000 ;
+      RECT 1060.210000 0.000000 1064.590000 0.630000 ;
+      RECT 1055.510000 0.000000 1059.790000 0.630000 ;
+      RECT 1050.810000 0.000000 1055.090000 0.630000 ;
+      RECT 1046.010000 0.000000 1050.390000 0.630000 ;
+      RECT 1041.210000 0.000000 1045.590000 0.630000 ;
+      RECT 1036.410000 0.000000 1040.790000 0.630000 ;
+      RECT 1031.610000 0.000000 1035.990000 0.630000 ;
+      RECT 1026.810000 0.000000 1031.190000 0.630000 ;
+      RECT 1022.110000 0.000000 1026.390000 0.630000 ;
+      RECT 1017.310000 0.000000 1021.690000 0.630000 ;
+      RECT 1012.510000 0.000000 1016.890000 0.630000 ;
+      RECT 1007.810000 0.000000 1012.090000 0.630000 ;
+      RECT 1003.010000 0.000000 1007.390000 0.630000 ;
+      RECT 998.210000 0.000000 1002.590000 0.630000 ;
+      RECT 993.510000 0.000000 997.790000 0.630000 ;
+      RECT 988.710000 0.000000 993.090000 0.630000 ;
+      RECT 983.910000 0.000000 988.290000 0.630000 ;
+      RECT 979.110000 0.000000 983.490000 0.630000 ;
+      RECT 974.310000 0.000000 978.690000 0.630000 ;
+      RECT 969.510000 0.000000 973.890000 0.630000 ;
+      RECT 964.810000 0.000000 969.090000 0.630000 ;
+      RECT 960.110000 0.000000 964.390000 0.630000 ;
+      RECT 955.310000 0.000000 959.690000 0.630000 ;
+      RECT 950.510000 0.000000 954.890000 0.630000 ;
+      RECT 945.610000 0.000000 950.090000 0.630000 ;
+      RECT 940.810000 0.000000 945.190000 0.630000 ;
+      RECT 936.010000 0.000000 940.390000 0.630000 ;
+      RECT 931.310000 0.000000 935.590000 0.630000 ;
+      RECT 926.510000 0.000000 930.890000 0.630000 ;
+      RECT 921.710000 0.000000 926.090000 0.630000 ;
+      RECT 916.910000 0.000000 921.290000 0.630000 ;
+      RECT 912.110000 0.000000 916.490000 0.630000 ;
+      RECT 907.410000 0.000000 911.690000 0.630000 ;
+      RECT 902.710000 0.000000 906.990000 0.630000 ;
+      RECT 897.910000 0.000000 902.290000 0.630000 ;
+      RECT 893.110000 0.000000 897.490000 0.630000 ;
+      RECT 888.310000 0.000000 892.690000 0.630000 ;
+      RECT 883.510000 0.000000 887.890000 0.630000 ;
+      RECT 878.710000 0.000000 883.090000 0.630000 ;
+      RECT 874.010000 0.000000 878.290000 0.630000 ;
+      RECT 869.210000 0.000000 873.590000 0.630000 ;
+      RECT 864.410000 0.000000 868.790000 0.630000 ;
+      RECT 859.710000 0.000000 863.990000 0.630000 ;
+      RECT 854.910000 0.000000 859.290000 0.630000 ;
+      RECT 850.110000 0.000000 854.490000 0.630000 ;
+      RECT 845.410000 0.000000 849.690000 0.630000 ;
+      RECT 840.610000 0.000000 844.990000 0.630000 ;
+      RECT 835.810000 0.000000 840.190000 0.630000 ;
+      RECT 831.010000 0.000000 835.390000 0.630000 ;
+      RECT 826.210000 0.000000 830.590000 0.630000 ;
+      RECT 821.410000 0.000000 825.790000 0.630000 ;
+      RECT 816.710000 0.000000 820.990000 0.630000 ;
+      RECT 812.010000 0.000000 816.290000 0.630000 ;
+      RECT 807.210000 0.000000 811.590000 0.630000 ;
+      RECT 802.410000 0.000000 806.790000 0.630000 ;
+      RECT 797.610000 0.000000 801.990000 0.630000 ;
+      RECT 792.810000 0.000000 797.190000 0.630000 ;
+      RECT 788.110000 0.000000 792.390000 0.630000 ;
+      RECT 783.310000 0.000000 787.690000 0.630000 ;
+      RECT 778.510000 0.000000 782.890000 0.630000 ;
+      RECT 773.710000 0.000000 778.090000 0.630000 ;
+      RECT 768.910000 0.000000 773.290000 0.630000 ;
+      RECT 764.210000 0.000000 768.490000 0.630000 ;
+      RECT 759.510000 0.000000 763.790000 0.630000 ;
+      RECT 754.710000 0.000000 759.090000 0.630000 ;
+      RECT 749.910000 0.000000 754.290000 0.630000 ;
+      RECT 745.110000 0.000000 749.490000 0.630000 ;
+      RECT 740.310000 0.000000 744.690000 0.630000 ;
+      RECT 735.510000 0.000000 739.890000 0.630000 ;
+      RECT 730.810000 0.000000 735.090000 0.630000 ;
+      RECT 726.010000 0.000000 730.390000 0.630000 ;
+      RECT 721.210000 0.000000 725.590000 0.630000 ;
+      RECT 716.510000 0.000000 720.790000 0.630000 ;
+      RECT 711.710000 0.000000 716.090000 0.630000 ;
+      RECT 706.810000 0.000000 711.290000 0.630000 ;
+      RECT 702.010000 0.000000 706.390000 0.630000 ;
+      RECT 697.310000 0.000000 701.590000 0.630000 ;
+      RECT 692.510000 0.000000 696.890000 0.630000 ;
+      RECT 687.710000 0.000000 692.090000 0.630000 ;
+      RECT 682.910000 0.000000 687.290000 0.630000 ;
+      RECT 678.110000 0.000000 682.490000 0.630000 ;
+      RECT 673.310000 0.000000 677.690000 0.630000 ;
+      RECT 668.710000 0.000000 672.890000 0.630000 ;
+      RECT 663.910000 0.000000 668.290000 0.630000 ;
+      RECT 659.110000 0.000000 663.490000 0.630000 ;
+      RECT 654.310000 0.000000 658.690000 0.630000 ;
+      RECT 649.510000 0.000000 653.890000 0.630000 ;
+      RECT 644.710000 0.000000 649.090000 0.630000 ;
+      RECT 640.010000 0.000000 644.290000 0.630000 ;
+      RECT 635.210000 0.000000 639.590000 0.630000 ;
+      RECT 630.410000 0.000000 634.790000 0.630000 ;
+      RECT 625.610000 0.000000 629.990000 0.630000 ;
+      RECT 620.910000 0.000000 625.190000 0.630000 ;
+      RECT 616.110000 0.000000 620.490000 0.630000 ;
+      RECT 611.410000 0.000000 615.690000 0.630000 ;
+      RECT 606.610000 0.000000 610.990000 0.630000 ;
+      RECT 601.810000 0.000000 606.190000 0.630000 ;
+      RECT 597.010000 0.000000 601.390000 0.630000 ;
+      RECT 592.210000 0.000000 596.590000 0.630000 ;
+      RECT 587.410000 0.000000 591.790000 0.630000 ;
+      RECT 582.710000 0.000000 586.990000 0.630000 ;
+      RECT 577.910000 0.000000 582.290000 0.630000 ;
+      RECT 573.210000 0.000000 577.490000 0.630000 ;
+      RECT 568.410000 0.000000 572.790000 0.630000 ;
+      RECT 563.610000 0.000000 567.990000 0.630000 ;
+      RECT 558.810000 0.000000 563.190000 0.630000 ;
+      RECT 554.110000 0.000000 558.390000 0.630000 ;
+      RECT 549.310000 0.000000 553.690000 0.630000 ;
+      RECT 544.510000 0.000000 548.890000 0.630000 ;
+      RECT 539.710000 0.000000 544.090000 0.630000 ;
+      RECT 534.910000 0.000000 539.290000 0.630000 ;
+      RECT 530.110000 0.000000 534.490000 0.630000 ;
+      RECT 525.510000 0.000000 529.690000 0.630000 ;
+      RECT 520.710000 0.000000 525.090000 0.630000 ;
+      RECT 515.910000 0.000000 520.290000 0.630000 ;
+      RECT 511.110000 0.000000 515.490000 0.630000 ;
+      RECT 506.310000 0.000000 510.690000 0.630000 ;
+      RECT 501.510000 0.000000 505.890000 0.630000 ;
+      RECT 496.810000 0.000000 501.090000 0.630000 ;
+      RECT 492.010000 0.000000 496.390000 0.630000 ;
+      RECT 487.210000 0.000000 491.590000 0.630000 ;
+      RECT 482.410000 0.000000 486.790000 0.630000 ;
+      RECT 477.710000 0.000000 481.990000 0.630000 ;
+      RECT 472.910000 0.000000 477.290000 0.630000 ;
+      RECT 468.010000 0.000000 472.490000 0.630000 ;
+      RECT 463.310000 0.000000 467.590000 0.630000 ;
+      RECT 458.510000 0.000000 462.890000 0.630000 ;
+      RECT 453.710000 0.000000 458.090000 0.630000 ;
+      RECT 448.910000 0.000000 453.290000 0.630000 ;
+      RECT 444.110000 0.000000 448.490000 0.630000 ;
+      RECT 439.310000 0.000000 443.690000 0.630000 ;
+      RECT 434.610000 0.000000 438.890000 0.630000 ;
+      RECT 429.910000 0.000000 434.190000 0.630000 ;
+      RECT 425.110000 0.000000 429.490000 0.630000 ;
+      RECT 420.310000 0.000000 424.690000 0.630000 ;
+      RECT 415.510000 0.000000 419.890000 0.630000 ;
+      RECT 410.710000 0.000000 415.090000 0.630000 ;
+      RECT 406.010000 0.000000 410.290000 0.630000 ;
+      RECT 401.210000 0.000000 405.590000 0.630000 ;
+      RECT 396.410000 0.000000 400.790000 0.630000 ;
+      RECT 391.610000 0.000000 395.990000 0.630000 ;
+      RECT 386.810000 0.000000 391.190000 0.630000 ;
+      RECT 382.110000 0.000000 386.390000 0.630000 ;
+      RECT 377.410000 0.000000 381.690000 0.630000 ;
+      RECT 372.610000 0.000000 376.990000 0.630000 ;
+      RECT 367.810000 0.000000 372.190000 0.630000 ;
+      RECT 363.010000 0.000000 367.390000 0.630000 ;
+      RECT 358.210000 0.000000 362.590000 0.630000 ;
+      RECT 353.410000 0.000000 357.790000 0.630000 ;
+      RECT 348.710000 0.000000 352.990000 0.630000 ;
+      RECT 343.910000 0.000000 348.290000 0.630000 ;
+      RECT 339.110000 0.000000 343.490000 0.630000 ;
+      RECT 334.410000 0.000000 338.690000 0.630000 ;
+      RECT 329.610000 0.000000 333.990000 0.630000 ;
+      RECT 324.810000 0.000000 329.190000 0.630000 ;
+      RECT 320.110000 0.000000 324.390000 0.630000 ;
+      RECT 315.310000 0.000000 319.690000 0.630000 ;
+      RECT 310.510000 0.000000 314.890000 0.630000 ;
+      RECT 305.710000 0.000000 310.090000 0.630000 ;
+      RECT 300.910000 0.000000 305.290000 0.630000 ;
+      RECT 296.110000 0.000000 300.490000 0.630000 ;
+      RECT 291.410000 0.000000 295.690000 0.630000 ;
+      RECT 286.710000 0.000000 290.990000 0.630000 ;
+      RECT 281.910000 0.000000 286.290000 0.630000 ;
+      RECT 277.110000 0.000000 281.490000 0.630000 ;
+      RECT 272.310000 0.000000 276.690000 0.630000 ;
+      RECT 267.510000 0.000000 271.890000 0.630000 ;
+      RECT 262.810000 0.000000 267.090000 0.630000 ;
+      RECT 258.010000 0.000000 262.390000 0.630000 ;
+      RECT 253.210000 0.000000 257.590000 0.630000 ;
+      RECT 248.410000 0.000000 252.790000 0.630000 ;
+      RECT 243.610000 0.000000 247.990000 0.630000 ;
+      RECT 238.910000 0.000000 243.190000 0.630000 ;
+      RECT 234.210000 0.000000 238.490000 0.630000 ;
+      RECT 229.310000 0.000000 233.790000 0.630000 ;
+      RECT 224.510000 0.000000 228.890000 0.630000 ;
+      RECT 219.710000 0.000000 224.090000 0.630000 ;
+      RECT 214.910000 0.000000 219.290000 0.630000 ;
+      RECT 210.110000 0.000000 214.490000 0.630000 ;
+      RECT 205.310000 0.000000 209.690000 0.630000 ;
+      RECT 200.610000 0.000000 204.890000 0.630000 ;
+      RECT 195.810000 0.000000 200.190000 0.630000 ;
+      RECT 191.110000 0.000000 195.390000 0.630000 ;
+      RECT 186.310000 0.000000 190.690000 0.630000 ;
+      RECT 181.510000 0.000000 185.890000 0.630000 ;
+      RECT 176.710000 0.000000 181.090000 0.630000 ;
+      RECT 172.010000 0.000000 176.290000 0.630000 ;
+      RECT 167.210000 0.000000 171.590000 0.630000 ;
+      RECT 162.410000 0.000000 166.790000 0.630000 ;
+      RECT 157.610000 0.000000 161.990000 0.630000 ;
+      RECT 152.810000 0.000000 157.190000 0.630000 ;
+      RECT 148.010000 0.000000 152.390000 0.630000 ;
+      RECT 143.410000 0.000000 147.590000 0.630000 ;
+      RECT 138.610000 0.000000 142.990000 0.630000 ;
+      RECT 133.810000 0.000000 138.190000 0.630000 ;
+      RECT 129.010000 0.000000 133.390000 0.630000 ;
+      RECT 124.210000 0.000000 128.590000 0.630000 ;
+      RECT 119.410000 0.000000 123.790000 0.630000 ;
+      RECT 114.710000 0.000000 118.990000 0.630000 ;
+      RECT 109.910000 0.000000 114.290000 0.630000 ;
+      RECT 105.110000 0.000000 109.490000 0.630000 ;
+      RECT 100.310000 0.000000 104.690000 0.630000 ;
+      RECT 95.610000 0.000000 99.890000 0.630000 ;
+      RECT 90.810000 0.000000 95.190000 0.630000 ;
+      RECT 86.110000 0.000000 90.390000 0.630000 ;
+      RECT 81.310000 0.000000 85.690000 0.630000 ;
+      RECT 76.510000 0.000000 80.890000 0.630000 ;
+      RECT 71.710000 0.000000 76.090000 0.630000 ;
+      RECT 66.910000 0.000000 71.290000 0.630000 ;
+      RECT 62.110000 0.000000 66.490000 0.630000 ;
+      RECT 57.410000 0.000000 61.690000 0.630000 ;
+      RECT 52.610000 0.000000 56.990000 0.630000 ;
+      RECT 47.910000 0.000000 52.190000 0.630000 ;
+      RECT 43.110000 0.000000 47.490000 0.630000 ;
+      RECT 38.310000 0.000000 42.690000 0.630000 ;
+      RECT 33.510000 0.000000 37.890000 0.630000 ;
+      RECT 28.810000 0.000000 33.090000 0.630000 ;
+      RECT 24.010000 0.000000 28.390000 0.630000 ;
+      RECT 19.210000 0.000000 23.590000 0.630000 ;
+      RECT 14.410000 0.000000 18.790000 0.630000 ;
+      RECT 9.610000 0.000000 13.990000 0.630000 ;
+      RECT 4.810000 0.000000 9.190000 0.630000 ;
+      RECT 2.210000 0.000000 4.390000 0.625000 ;
+      RECT 0.000000 0.000000 1.790000 0.625000 ;
     LAYER met3 ;
-      RECT 0.000000 2885.540000 2225.940000 2895.100000 ;
-      RECT 2218.360000 2884.295000 2225.940000 2885.540000 ;
-      RECT 0.000000 2883.710000 7.580000 2885.540000 ;
-      RECT 2218.360000 2883.395000 2224.840000 2884.295000 ;
-      RECT 1.100000 2882.810000 7.580000 2883.710000 ;
-      RECT 2218.360000 2880.740000 2225.940000 2883.395000 ;
-      RECT 0.000000 2880.740000 7.580000 2882.810000 ;
-      RECT 0.000000 2879.740000 2225.940000 2880.740000 ;
-      RECT 2212.560000 2874.940000 2225.940000 2879.740000 ;
-      RECT 0.000000 2874.940000 13.380000 2879.740000 ;
-      RECT 0.000000 2832.060000 2225.940000 2874.940000 ;
-      RECT 1.100000 2831.160000 2225.940000 2832.060000 ;
-      RECT 0.000000 2831.080000 2225.940000 2831.160000 ;
-      RECT 0.000000 2830.180000 2224.840000 2831.080000 ;
-      RECT 0.000000 2777.670000 2225.940000 2830.180000 ;
-      RECT 1.100000 2776.770000 2225.940000 2777.670000 ;
-      RECT 0.000000 2775.615000 2225.940000 2776.770000 ;
-      RECT 0.000000 2774.715000 2224.840000 2775.615000 ;
-      RECT 0.000000 2723.185000 2225.940000 2774.715000 ;
-      RECT 1.100000 2722.285000 2225.940000 2723.185000 ;
-      RECT 0.000000 2720.050000 2225.940000 2722.285000 ;
-      RECT 0.000000 2719.150000 2224.840000 2720.050000 ;
-      RECT 0.000000 2668.695000 2225.940000 2719.150000 ;
-      RECT 1.100000 2667.795000 2225.940000 2668.695000 ;
-      RECT 0.000000 2664.580000 2225.940000 2667.795000 ;
-      RECT 0.000000 2663.680000 2224.840000 2664.580000 ;
-      RECT 0.000000 2614.305000 2225.940000 2663.680000 ;
-      RECT 1.100000 2613.405000 2225.940000 2614.305000 ;
-      RECT 0.000000 2609.015000 2225.940000 2613.405000 ;
-      RECT 0.000000 2608.115000 2224.840000 2609.015000 ;
-      RECT 0.000000 2559.820000 2225.940000 2608.115000 ;
-      RECT 1.100000 2558.920000 2225.940000 2559.820000 ;
-      RECT 0.000000 2553.545000 2225.940000 2558.920000 ;
-      RECT 0.000000 2552.645000 2224.840000 2553.545000 ;
-      RECT 0.000000 2505.330000 2225.940000 2552.645000 ;
-      RECT 1.100000 2504.430000 2225.940000 2505.330000 ;
-      RECT 0.000000 2498.080000 2225.940000 2504.430000 ;
-      RECT 0.000000 2497.180000 2224.840000 2498.080000 ;
-      RECT 0.000000 2450.940000 2225.940000 2497.180000 ;
-      RECT 1.100000 2450.040000 2225.940000 2450.940000 ;
-      RECT 0.000000 2442.510000 2225.940000 2450.040000 ;
-      RECT 0.000000 2441.610000 2224.840000 2442.510000 ;
-      RECT 0.000000 2396.450000 2225.940000 2441.610000 ;
-      RECT 1.100000 2395.550000 2225.940000 2396.450000 ;
-      RECT 0.000000 2387.045000 2225.940000 2395.550000 ;
-      RECT 0.000000 2386.145000 2224.840000 2387.045000 ;
-      RECT 0.000000 2341.965000 2225.940000 2386.145000 ;
-      RECT 1.100000 2341.065000 2225.940000 2341.965000 ;
-      RECT 0.000000 2331.575000 2225.940000 2341.065000 ;
-      RECT 0.000000 2330.675000 2224.840000 2331.575000 ;
-      RECT 0.000000 2287.575000 2225.940000 2330.675000 ;
-      RECT 1.100000 2286.675000 2225.940000 2287.575000 ;
-      RECT 0.000000 2276.010000 2225.940000 2286.675000 ;
-      RECT 0.000000 2275.110000 2224.840000 2276.010000 ;
-      RECT 0.000000 2233.085000 2225.940000 2275.110000 ;
-      RECT 1.100000 2232.185000 2225.940000 2233.085000 ;
-      RECT 0.000000 2220.540000 2225.940000 2232.185000 ;
-      RECT 0.000000 2219.640000 2224.840000 2220.540000 ;
-      RECT 0.000000 2178.600000 2225.940000 2219.640000 ;
-      RECT 1.100000 2177.700000 2225.940000 2178.600000 ;
-      RECT 0.000000 2165.075000 2225.940000 2177.700000 ;
-      RECT 0.000000 2164.175000 2224.840000 2165.075000 ;
-      RECT 0.000000 2124.210000 2225.940000 2164.175000 ;
-      RECT 1.100000 2123.310000 2225.940000 2124.210000 ;
-      RECT 0.000000 2109.510000 2225.940000 2123.310000 ;
-      RECT 0.000000 2108.610000 2224.840000 2109.510000 ;
-      RECT 0.000000 2069.720000 2225.940000 2108.610000 ;
-      RECT 1.100000 2068.820000 2225.940000 2069.720000 ;
-      RECT 0.000000 2054.040000 2225.940000 2068.820000 ;
-      RECT 0.000000 2053.140000 2224.840000 2054.040000 ;
-      RECT 0.000000 2015.230000 2225.940000 2053.140000 ;
-      RECT 1.100000 2014.330000 2225.940000 2015.230000 ;
-      RECT 0.000000 1998.475000 2225.940000 2014.330000 ;
-      RECT 0.000000 1997.575000 2224.840000 1998.475000 ;
-      RECT 0.000000 1960.840000 2225.940000 1997.575000 ;
-      RECT 1.100000 1959.940000 2225.940000 1960.840000 ;
-      RECT 0.000000 1943.005000 2225.940000 1959.940000 ;
-      RECT 0.000000 1942.105000 2224.840000 1943.005000 ;
-      RECT 0.000000 1906.355000 2225.940000 1942.105000 ;
-      RECT 1.100000 1905.455000 2225.940000 1906.355000 ;
-      RECT 0.000000 1887.540000 2225.940000 1905.455000 ;
-      RECT 0.000000 1886.640000 2224.840000 1887.540000 ;
-      RECT 0.000000 1851.865000 2225.940000 1886.640000 ;
-      RECT 1.100000 1850.965000 2225.940000 1851.865000 ;
-      RECT 0.000000 1831.970000 2225.940000 1850.965000 ;
-      RECT 0.000000 1831.070000 2224.840000 1831.970000 ;
-      RECT 0.000000 1797.475000 2225.940000 1831.070000 ;
-      RECT 1.100000 1796.575000 2225.940000 1797.475000 ;
-      RECT 0.000000 1776.505000 2225.940000 1796.575000 ;
-      RECT 0.000000 1775.605000 2224.840000 1776.505000 ;
-      RECT 0.000000 1742.990000 2225.940000 1775.605000 ;
-      RECT 1.100000 1742.090000 2225.940000 1742.990000 ;
-      RECT 0.000000 1721.035000 2225.940000 1742.090000 ;
-      RECT 0.000000 1720.135000 2224.840000 1721.035000 ;
-      RECT 0.000000 1688.500000 2225.940000 1720.135000 ;
-      RECT 1.100000 1687.600000 2225.940000 1688.500000 ;
-      RECT 0.000000 1665.470000 2225.940000 1687.600000 ;
-      RECT 0.000000 1664.570000 2224.840000 1665.470000 ;
-      RECT 0.000000 1634.110000 2225.940000 1664.570000 ;
-      RECT 1.100000 1633.210000 2225.940000 1634.110000 ;
-      RECT 0.000000 1610.000000 2225.940000 1633.210000 ;
-      RECT 0.000000 1609.100000 2224.840000 1610.000000 ;
-      RECT 0.000000 1579.620000 2225.940000 1609.100000 ;
-      RECT 1.100000 1578.720000 2225.940000 1579.620000 ;
-      RECT 0.000000 1554.535000 2225.940000 1578.720000 ;
-      RECT 0.000000 1553.635000 2224.840000 1554.535000 ;
-      RECT 0.000000 1525.135000 2225.940000 1553.635000 ;
-      RECT 1.100000 1524.235000 2225.940000 1525.135000 ;
-      RECT 0.000000 1498.970000 2225.940000 1524.235000 ;
-      RECT 0.000000 1498.070000 2224.840000 1498.970000 ;
-      RECT 0.000000 1470.745000 2225.940000 1498.070000 ;
-      RECT 1.100000 1469.845000 2225.940000 1470.745000 ;
-      RECT 0.000000 1443.500000 2225.940000 1469.845000 ;
-      RECT 0.000000 1442.600000 2224.840000 1443.500000 ;
-      RECT 0.000000 1416.255000 2225.940000 1442.600000 ;
-      RECT 1.100000 1415.355000 2225.940000 1416.255000 ;
-      RECT 0.000000 1388.030000 2225.940000 1415.355000 ;
-      RECT 0.000000 1387.130000 2224.840000 1388.030000 ;
-      RECT 0.000000 1361.770000 2225.940000 1387.130000 ;
-      RECT 1.100000 1360.870000 2225.940000 1361.770000 ;
-      RECT 0.000000 1332.465000 2225.940000 1360.870000 ;
-      RECT 0.000000 1331.565000 2224.840000 1332.465000 ;
-      RECT 0.000000 1307.380000 2225.940000 1331.565000 ;
-      RECT 1.100000 1306.480000 2225.940000 1307.380000 ;
-      RECT 0.000000 1277.000000 2225.940000 1306.480000 ;
-      RECT 0.000000 1276.100000 2224.840000 1277.000000 ;
-      RECT 0.000000 1252.890000 2225.940000 1276.100000 ;
-      RECT 1.100000 1251.990000 2225.940000 1252.890000 ;
-      RECT 0.000000 1221.430000 2225.940000 1251.990000 ;
-      RECT 0.000000 1220.530000 2224.840000 1221.430000 ;
-      RECT 0.000000 1198.400000 2225.940000 1220.530000 ;
-      RECT 1.100000 1197.500000 2225.940000 1198.400000 ;
-      RECT 0.000000 1165.965000 2225.940000 1197.500000 ;
-      RECT 0.000000 1165.065000 2224.840000 1165.965000 ;
-      RECT 0.000000 1144.010000 2225.940000 1165.065000 ;
-      RECT 1.100000 1143.110000 2225.940000 1144.010000 ;
-      RECT 0.000000 1110.495000 2225.940000 1143.110000 ;
-      RECT 0.000000 1109.595000 2224.840000 1110.495000 ;
-      RECT 0.000000 1089.525000 2225.940000 1109.595000 ;
-      RECT 1.100000 1088.625000 2225.940000 1089.525000 ;
-      RECT 0.000000 1054.930000 2225.940000 1088.625000 ;
-      RECT 0.000000 1054.030000 2224.840000 1054.930000 ;
-      RECT 0.000000 1035.035000 2225.940000 1054.030000 ;
-      RECT 1.100000 1034.135000 2225.940000 1035.035000 ;
-      RECT 0.000000 999.460000 2225.940000 1034.135000 ;
-      RECT 0.000000 998.560000 2224.840000 999.460000 ;
-      RECT 0.000000 980.645000 2225.940000 998.560000 ;
-      RECT 1.100000 979.745000 2225.940000 980.645000 ;
-      RECT 0.000000 943.995000 2225.940000 979.745000 ;
-      RECT 0.000000 943.095000 2224.840000 943.995000 ;
-      RECT 0.000000 926.160000 2225.940000 943.095000 ;
-      RECT 1.100000 925.260000 2225.940000 926.160000 ;
-      RECT 0.000000 888.430000 2225.940000 925.260000 ;
-      RECT 0.000000 887.530000 2224.840000 888.430000 ;
-      RECT 0.000000 871.670000 2225.940000 887.530000 ;
-      RECT 1.100000 870.770000 2225.940000 871.670000 ;
-      RECT 0.000000 832.960000 2225.940000 870.770000 ;
-      RECT 0.000000 832.060000 2224.840000 832.960000 ;
-      RECT 0.000000 817.280000 2225.940000 832.060000 ;
-      RECT 1.100000 816.380000 2225.940000 817.280000 ;
-      RECT 0.000000 777.490000 2225.940000 816.380000 ;
-      RECT 0.000000 776.590000 2224.840000 777.490000 ;
-      RECT 0.000000 762.790000 2225.940000 776.590000 ;
-      RECT 1.100000 761.890000 2225.940000 762.790000 ;
-      RECT 0.000000 721.925000 2225.940000 761.890000 ;
-      RECT 0.000000 721.025000 2224.840000 721.925000 ;
-      RECT 0.000000 708.305000 2225.940000 721.025000 ;
-      RECT 1.100000 707.405000 2225.940000 708.305000 ;
-      RECT 0.000000 666.460000 2225.940000 707.405000 ;
-      RECT 0.000000 665.560000 2224.840000 666.460000 ;
-      RECT 0.000000 653.915000 2225.940000 665.560000 ;
-      RECT 1.100000 653.015000 2225.940000 653.915000 ;
-      RECT 0.000000 610.890000 2225.940000 653.015000 ;
-      RECT 0.000000 609.990000 2224.840000 610.890000 ;
-      RECT 0.000000 599.425000 2225.940000 609.990000 ;
-      RECT 1.100000 598.525000 2225.940000 599.425000 ;
-      RECT 0.000000 555.425000 2225.940000 598.525000 ;
-      RECT 0.000000 554.525000 2224.840000 555.425000 ;
-      RECT 0.000000 544.940000 2225.940000 554.525000 ;
-      RECT 1.100000 544.040000 2225.940000 544.940000 ;
-      RECT 0.000000 499.955000 2225.940000 544.040000 ;
-      RECT 0.000000 499.055000 2224.840000 499.955000 ;
-      RECT 0.000000 490.550000 2225.940000 499.055000 ;
-      RECT 1.100000 489.650000 2225.940000 490.550000 ;
-      RECT 0.000000 444.390000 2225.940000 489.650000 ;
-      RECT 0.000000 443.490000 2224.840000 444.390000 ;
-      RECT 0.000000 436.060000 2225.940000 443.490000 ;
-      RECT 1.100000 435.160000 2225.940000 436.060000 ;
-      RECT 0.000000 388.920000 2225.940000 435.160000 ;
-      RECT 0.000000 388.020000 2224.840000 388.920000 ;
-      RECT 0.000000 381.570000 2225.940000 388.020000 ;
-      RECT 1.100000 380.670000 2225.940000 381.570000 ;
-      RECT 0.000000 333.455000 2225.940000 380.670000 ;
-      RECT 0.000000 332.555000 2224.840000 333.455000 ;
-      RECT 0.000000 327.180000 2225.940000 332.555000 ;
-      RECT 1.100000 326.280000 2225.940000 327.180000 ;
-      RECT 0.000000 277.890000 2225.940000 326.280000 ;
-      RECT 0.000000 276.990000 2224.840000 277.890000 ;
-      RECT 0.000000 272.695000 2225.940000 276.990000 ;
-      RECT 1.100000 271.795000 2225.940000 272.695000 ;
-      RECT 0.000000 222.420000 2225.940000 271.795000 ;
-      RECT 0.000000 221.520000 2224.840000 222.420000 ;
-      RECT 0.000000 218.205000 2225.940000 221.520000 ;
-      RECT 1.100000 217.305000 2225.940000 218.205000 ;
-      RECT 0.000000 166.950000 2225.940000 217.305000 ;
-      RECT 0.000000 166.050000 2224.840000 166.950000 ;
-      RECT 0.000000 163.815000 2225.940000 166.050000 ;
-      RECT 1.100000 162.915000 2225.940000 163.815000 ;
-      RECT 0.000000 111.385000 2225.940000 162.915000 ;
-      RECT 0.000000 110.485000 2224.840000 111.385000 ;
-      RECT 0.000000 109.330000 2225.940000 110.485000 ;
-      RECT 1.100000 108.430000 2225.940000 109.330000 ;
-      RECT 0.000000 55.920000 2225.940000 108.430000 ;
-      RECT 0.000000 55.020000 2224.840000 55.920000 ;
-      RECT 0.000000 54.840000 2225.940000 55.020000 ;
-      RECT 1.100000 53.940000 2225.940000 54.840000 ;
-      RECT 0.000000 18.460000 2225.940000 53.940000 ;
-      RECT 2212.560000 13.660000 2225.940000 18.460000 ;
+      RECT 0.000000 2281.700000 2369.460000 2290.240000 ;
+      RECT 2361.880000 2276.900000 2369.460000 2281.700000 ;
+      RECT 0.000000 2276.900000 7.580000 2281.700000 ;
+      RECT 0.000000 2275.900000 2369.460000 2276.900000 ;
+      RECT 2356.080000 2271.100000 2369.460000 2275.900000 ;
+      RECT 0.000000 2271.100000 13.380000 2275.900000 ;
+      RECT 0.000000 2226.775000 2369.460000 2271.100000 ;
+      RECT 1.100000 2225.875000 2369.460000 2226.775000 ;
+      RECT 0.000000 2225.825000 2369.460000 2225.875000 ;
+      RECT 0.000000 2224.925000 2368.360000 2225.825000 ;
+      RECT 0.000000 2186.780000 2369.460000 2224.925000 ;
+      RECT 1.100000 2186.020000 2369.460000 2186.780000 ;
+      RECT 1.100000 2185.880000 2368.360000 2186.020000 ;
+      RECT 0.000000 2185.120000 2368.360000 2185.880000 ;
+      RECT 0.000000 2144.790000 2369.460000 2185.120000 ;
+      RECT 1.100000 2143.890000 2369.460000 2144.790000 ;
+      RECT 0.000000 2143.175000 2369.460000 2143.890000 ;
+      RECT 0.000000 2142.275000 2368.360000 2143.175000 ;
+      RECT 0.000000 2102.705000 2369.460000 2142.275000 ;
+      RECT 1.100000 2101.805000 2369.460000 2102.705000 ;
+      RECT 0.000000 2100.235000 2369.460000 2101.805000 ;
+      RECT 0.000000 2099.335000 2368.360000 2100.235000 ;
+      RECT 0.000000 2060.525000 2369.460000 2099.335000 ;
+      RECT 1.100000 2059.625000 2369.460000 2060.525000 ;
+      RECT 0.000000 2057.485000 2369.460000 2059.625000 ;
+      RECT 0.000000 2056.585000 2368.360000 2057.485000 ;
+      RECT 0.000000 2018.630000 2369.460000 2056.585000 ;
+      RECT 1.100000 2017.730000 2369.460000 2018.630000 ;
+      RECT 0.000000 2014.545000 2369.460000 2017.730000 ;
+      RECT 0.000000 2013.645000 2368.360000 2014.545000 ;
+      RECT 0.000000 1976.640000 2369.460000 2013.645000 ;
+      RECT 1.100000 1975.740000 2369.460000 1976.640000 ;
+      RECT 0.000000 1971.605000 2369.460000 1975.740000 ;
+      RECT 0.000000 1970.705000 2368.360000 1971.605000 ;
+      RECT 0.000000 1934.460000 2369.460000 1970.705000 ;
+      RECT 1.100000 1933.560000 2369.460000 1934.460000 ;
+      RECT 0.000000 1928.855000 2369.460000 1933.560000 ;
+      RECT 0.000000 1927.955000 2368.360000 1928.855000 ;
+      RECT 0.000000 1892.375000 2369.460000 1927.955000 ;
+      RECT 1.100000 1891.475000 2369.460000 1892.375000 ;
+      RECT 0.000000 1885.915000 2369.460000 1891.475000 ;
+      RECT 0.000000 1885.015000 2368.360000 1885.915000 ;
+      RECT 0.000000 1850.480000 2369.460000 1885.015000 ;
+      RECT 1.100000 1849.580000 2369.460000 1850.480000 ;
+      RECT 0.000000 1843.165000 2369.460000 1849.580000 ;
+      RECT 0.000000 1842.265000 2368.360000 1843.165000 ;
+      RECT 0.000000 1808.395000 2369.460000 1842.265000 ;
+      RECT 1.100000 1807.495000 2369.460000 1808.395000 ;
+      RECT 0.000000 1800.225000 2369.460000 1807.495000 ;
+      RECT 0.000000 1799.325000 2368.360000 1800.225000 ;
+      RECT 0.000000 1766.310000 2369.460000 1799.325000 ;
+      RECT 1.100000 1765.410000 2369.460000 1766.310000 ;
+      RECT 0.000000 1757.380000 2369.460000 1765.410000 ;
+      RECT 0.000000 1756.480000 2368.360000 1757.380000 ;
+      RECT 0.000000 1724.225000 2369.460000 1756.480000 ;
+      RECT 1.100000 1723.325000 2369.460000 1724.225000 ;
+      RECT 0.000000 1714.535000 2369.460000 1723.325000 ;
+      RECT 0.000000 1713.635000 2368.360000 1714.535000 ;
+      RECT 0.000000 1682.140000 2369.460000 1713.635000 ;
+      RECT 1.100000 1681.240000 2369.460000 1682.140000 ;
+      RECT 0.000000 1671.690000 2369.460000 1681.240000 ;
+      RECT 0.000000 1670.790000 2368.360000 1671.690000 ;
+      RECT 0.000000 1640.055000 2369.460000 1670.790000 ;
+      RECT 1.100000 1639.155000 2369.460000 1640.055000 ;
+      RECT 0.000000 1628.845000 2369.460000 1639.155000 ;
+      RECT 0.000000 1627.945000 2368.360000 1628.845000 ;
+      RECT 0.000000 1598.065000 2369.460000 1627.945000 ;
+      RECT 1.100000 1597.165000 2369.460000 1598.065000 ;
+      RECT 0.000000 1585.905000 2369.460000 1597.165000 ;
+      RECT 0.000000 1585.005000 2368.360000 1585.905000 ;
+      RECT 0.000000 1556.075000 2369.460000 1585.005000 ;
+      RECT 1.100000 1555.175000 2369.460000 1556.075000 ;
+      RECT 0.000000 1543.060000 2369.460000 1555.175000 ;
+      RECT 0.000000 1542.160000 2368.360000 1543.060000 ;
+      RECT 0.000000 1514.085000 2369.460000 1542.160000 ;
+      RECT 1.100000 1513.185000 2369.460000 1514.085000 ;
+      RECT 0.000000 1500.215000 2369.460000 1513.185000 ;
+      RECT 0.000000 1499.315000 2368.360000 1500.215000 ;
+      RECT 0.000000 1471.905000 2369.460000 1499.315000 ;
+      RECT 1.100000 1471.005000 2369.460000 1471.905000 ;
+      RECT 0.000000 1457.275000 2369.460000 1471.005000 ;
+      RECT 0.000000 1456.375000 2368.360000 1457.275000 ;
+      RECT 0.000000 1430.010000 2369.460000 1456.375000 ;
+      RECT 1.100000 1429.110000 2369.460000 1430.010000 ;
+      RECT 0.000000 1414.525000 2369.460000 1429.110000 ;
+      RECT 0.000000 1413.625000 2368.360000 1414.525000 ;
+      RECT 0.000000 1387.925000 2369.460000 1413.625000 ;
+      RECT 1.100000 1387.025000 2369.460000 1387.925000 ;
+      RECT 0.000000 1371.680000 2369.460000 1387.025000 ;
+      RECT 0.000000 1370.780000 2368.360000 1371.680000 ;
+      RECT 0.000000 1345.745000 2369.460000 1370.780000 ;
+      RECT 1.100000 1344.845000 2369.460000 1345.745000 ;
+      RECT 0.000000 1328.930000 2369.460000 1344.845000 ;
+      RECT 0.000000 1328.030000 2368.360000 1328.930000 ;
+      RECT 0.000000 1303.755000 2369.460000 1328.030000 ;
+      RECT 1.100000 1302.855000 2369.460000 1303.755000 ;
+      RECT 0.000000 1285.990000 2369.460000 1302.855000 ;
+      RECT 0.000000 1285.090000 2368.360000 1285.990000 ;
+      RECT 0.000000 1261.670000 2369.460000 1285.090000 ;
+      RECT 1.100000 1260.770000 2369.460000 1261.670000 ;
+      RECT 0.000000 1243.240000 2369.460000 1260.770000 ;
+      RECT 0.000000 1242.340000 2368.360000 1243.240000 ;
+      RECT 0.000000 1219.680000 2369.460000 1242.340000 ;
+      RECT 1.100000 1218.780000 2369.460000 1219.680000 ;
+      RECT 0.000000 1200.300000 2369.460000 1218.780000 ;
+      RECT 0.000000 1199.400000 2368.360000 1200.300000 ;
+      RECT 0.000000 1177.500000 2369.460000 1199.400000 ;
+      RECT 1.100000 1176.600000 2369.460000 1177.500000 ;
+      RECT 0.000000 1157.455000 2369.460000 1176.600000 ;
+      RECT 0.000000 1156.555000 2368.360000 1157.455000 ;
+      RECT 0.000000 1135.510000 2369.460000 1156.555000 ;
+      RECT 1.100000 1134.610000 2369.460000 1135.510000 ;
+      RECT 0.000000 1114.515000 2369.460000 1134.610000 ;
+      RECT 0.000000 1113.615000 2368.360000 1114.515000 ;
+      RECT 0.000000 1093.520000 2369.460000 1113.615000 ;
+      RECT 1.100000 1092.620000 2369.460000 1093.520000 ;
+      RECT 0.000000 1071.670000 2369.460000 1092.620000 ;
+      RECT 0.000000 1070.770000 2368.360000 1071.670000 ;
+      RECT 0.000000 1051.435000 2369.460000 1070.770000 ;
+      RECT 1.100000 1050.535000 2369.460000 1051.435000 ;
+      RECT 0.000000 1028.920000 2369.460000 1050.535000 ;
+      RECT 0.000000 1028.020000 2368.360000 1028.920000 ;
+      RECT 0.000000 1009.445000 2369.460000 1028.020000 ;
+      RECT 1.100000 1008.545000 2369.460000 1009.445000 ;
+      RECT 0.000000 985.980000 2369.460000 1008.545000 ;
+      RECT 0.000000 985.080000 2368.360000 985.980000 ;
+      RECT 0.000000 967.360000 2369.460000 985.080000 ;
+      RECT 1.100000 966.460000 2369.460000 967.360000 ;
+      RECT 0.000000 943.040000 2369.460000 966.460000 ;
+      RECT 0.000000 942.140000 2368.360000 943.040000 ;
+      RECT 0.000000 925.275000 2369.460000 942.140000 ;
+      RECT 1.100000 924.375000 2369.460000 925.275000 ;
+      RECT 0.000000 900.290000 2369.460000 924.375000 ;
+      RECT 0.000000 899.390000 2368.360000 900.290000 ;
+      RECT 0.000000 883.190000 2369.460000 899.390000 ;
+      RECT 1.100000 882.290000 2369.460000 883.190000 ;
+      RECT 0.000000 857.445000 2369.460000 882.290000 ;
+      RECT 0.000000 856.545000 2368.360000 857.445000 ;
+      RECT 0.000000 841.200000 2369.460000 856.545000 ;
+      RECT 1.100000 840.300000 2369.460000 841.200000 ;
+      RECT 0.000000 814.600000 2369.460000 840.300000 ;
+      RECT 0.000000 813.700000 2368.360000 814.600000 ;
+      RECT 0.000000 799.115000 2369.460000 813.700000 ;
+      RECT 1.100000 798.215000 2369.460000 799.115000 ;
+      RECT 0.000000 771.565000 2369.460000 798.215000 ;
+      RECT 0.000000 770.665000 2368.360000 771.565000 ;
+      RECT 0.000000 757.030000 2369.460000 770.665000 ;
+      RECT 1.100000 756.130000 2369.460000 757.030000 ;
+      RECT 0.000000 728.815000 2369.460000 756.130000 ;
+      RECT 0.000000 727.915000 2368.360000 728.815000 ;
+      RECT 0.000000 715.135000 2369.460000 727.915000 ;
+      RECT 1.100000 714.235000 2369.460000 715.135000 ;
+      RECT 0.000000 685.970000 2369.460000 714.235000 ;
+      RECT 0.000000 685.070000 2368.360000 685.970000 ;
+      RECT 0.000000 672.955000 2369.460000 685.070000 ;
+      RECT 1.100000 672.055000 2369.460000 672.955000 ;
+      RECT 0.000000 643.030000 2369.460000 672.055000 ;
+      RECT 0.000000 642.130000 2368.360000 643.030000 ;
+      RECT 0.000000 630.870000 2369.460000 642.130000 ;
+      RECT 1.100000 629.970000 2369.460000 630.870000 ;
+      RECT 0.000000 600.185000 2369.460000 629.970000 ;
+      RECT 0.000000 599.285000 2368.360000 600.185000 ;
+      RECT 0.000000 588.880000 2369.460000 599.285000 ;
+      RECT 1.100000 587.980000 2369.460000 588.880000 ;
+      RECT 0.000000 557.245000 2369.460000 587.980000 ;
+      RECT 0.000000 556.345000 2368.360000 557.245000 ;
+      RECT 0.000000 546.890000 2369.460000 556.345000 ;
+      RECT 1.100000 545.990000 2369.460000 546.890000 ;
+      RECT 0.000000 514.495000 2369.460000 545.990000 ;
+      RECT 0.000000 513.595000 2368.360000 514.495000 ;
+      RECT 0.000000 504.900000 2369.460000 513.595000 ;
+      RECT 1.100000 504.000000 2369.460000 504.900000 ;
+      RECT 0.000000 471.650000 2369.460000 504.000000 ;
+      RECT 0.000000 470.750000 2368.360000 471.650000 ;
+      RECT 0.000000 462.720000 2369.460000 470.750000 ;
+      RECT 1.100000 461.820000 2369.460000 462.720000 ;
+      RECT 0.000000 428.805000 2369.460000 461.820000 ;
+      RECT 0.000000 427.905000 2368.360000 428.805000 ;
+      RECT 0.000000 420.730000 2369.460000 427.905000 ;
+      RECT 1.100000 419.830000 2369.460000 420.730000 ;
+      RECT 0.000000 385.960000 2369.460000 419.830000 ;
+      RECT 0.000000 385.060000 2368.360000 385.960000 ;
+      RECT 0.000000 378.645000 2369.460000 385.060000 ;
+      RECT 1.100000 377.745000 2369.460000 378.645000 ;
+      RECT 0.000000 343.115000 2369.460000 377.745000 ;
+      RECT 0.000000 342.215000 2368.360000 343.115000 ;
+      RECT 0.000000 336.465000 2369.460000 342.215000 ;
+      RECT 1.100000 335.565000 2369.460000 336.465000 ;
+      RECT 0.000000 300.175000 2369.460000 335.565000 ;
+      RECT 0.000000 299.275000 2368.360000 300.175000 ;
+      RECT 0.000000 294.570000 2369.460000 299.275000 ;
+      RECT 1.100000 293.670000 2369.460000 294.570000 ;
+      RECT 0.000000 257.330000 2369.460000 293.670000 ;
+      RECT 0.000000 256.430000 2368.360000 257.330000 ;
+      RECT 0.000000 252.580000 2369.460000 256.430000 ;
+      RECT 1.100000 251.680000 2369.460000 252.580000 ;
+      RECT 0.000000 214.485000 2369.460000 251.680000 ;
+      RECT 0.000000 213.585000 2368.360000 214.485000 ;
+      RECT 0.000000 210.400000 2369.460000 213.585000 ;
+      RECT 1.100000 209.500000 2369.460000 210.400000 ;
+      RECT 0.000000 171.545000 2369.460000 209.500000 ;
+      RECT 0.000000 170.645000 2368.360000 171.545000 ;
+      RECT 0.000000 168.315000 2369.460000 170.645000 ;
+      RECT 1.100000 167.415000 2369.460000 168.315000 ;
+      RECT 0.000000 128.700000 2369.460000 167.415000 ;
+      RECT 0.000000 127.800000 2368.360000 128.700000 ;
+      RECT 0.000000 126.420000 2369.460000 127.800000 ;
+      RECT 1.100000 125.520000 2369.460000 126.420000 ;
+      RECT 0.000000 85.855000 2369.460000 125.520000 ;
+      RECT 0.000000 84.955000 2368.360000 85.855000 ;
+      RECT 0.000000 84.335000 2369.460000 84.955000 ;
+      RECT 1.100000 83.435000 2369.460000 84.335000 ;
+      RECT 0.000000 43.105000 2369.460000 83.435000 ;
+      RECT 0.000000 42.250000 2368.360000 43.105000 ;
+      RECT 1.100000 42.205000 2368.360000 42.250000 ;
+      RECT 1.100000 41.350000 2369.460000 42.205000 ;
+      RECT 0.000000 18.460000 2369.460000 41.350000 ;
+      RECT 2356.080000 13.660000 2369.460000 18.460000 ;
       RECT 0.000000 13.660000 13.380000 18.460000 ;
-      RECT 0.000000 12.660000 2225.940000 13.660000 ;
-      RECT 2218.360000 7.860000 2225.940000 12.660000 ;
+      RECT 0.000000 12.660000 2369.460000 13.660000 ;
+      RECT 2361.880000 7.860000 2369.460000 12.660000 ;
       RECT 0.000000 7.860000 7.580000 12.660000 ;
-      RECT 0.000000 2.900000 2225.940000 7.860000 ;
-      RECT 0.000000 2.000000 2224.840000 2.900000 ;
-      RECT 0.000000 1.725000 2225.940000 2.000000 ;
-      RECT 1.100000 0.825000 2225.940000 1.725000 ;
-      RECT 0.000000 0.000000 2225.940000 0.825000 ;
+      RECT 0.000000 3.015000 2369.460000 7.860000 ;
+      RECT 0.000000 2.255000 2368.360000 3.015000 ;
+      RECT 1.100000 2.115000 2368.360000 2.255000 ;
+      RECT 1.100000 1.355000 2369.460000 2.115000 ;
+      RECT 0.000000 0.000000 2369.460000 1.355000 ;
     LAYER met4 ;
-      RECT 0.000000 2885.540000 2225.940000 2895.100000 ;
-      RECT 12.380000 2879.740000 2213.560000 2885.540000 ;
-      RECT 2212.560000 13.660000 2213.560000 2879.740000 ;
-      RECT 18.180000 13.660000 2207.760000 2879.740000 ;
-      RECT 12.380000 13.660000 13.380000 2879.740000 ;
-      RECT 2218.360000 7.860000 2225.940000 2885.540000 ;
-      RECT 12.380000 7.860000 2213.560000 13.660000 ;
-      RECT 0.000000 7.860000 7.580000 2885.540000 ;
-      RECT 0.000000 0.000000 2225.940000 7.860000 ;
+      RECT 0.000000 2281.700000 2369.460000 2290.240000 ;
+      RECT 12.380000 2275.900000 2357.080000 2281.700000 ;
+      RECT 2356.080000 13.660000 2357.080000 2275.900000 ;
+      RECT 18.180000 13.660000 2351.280000 2275.900000 ;
+      RECT 12.380000 13.660000 13.380000 2275.900000 ;
+      RECT 2361.880000 7.860000 2369.460000 2281.700000 ;
+      RECT 12.380000 7.860000 2357.080000 13.660000 ;
+      RECT 0.000000 7.860000 7.580000 2281.700000 ;
+      RECT 0.000000 0.000000 2369.460000 7.860000 ;
   END
 END rest_top
 
diff --git a/lef/user_project_wrapper.lef b/lef/user_project_wrapper.lef
index 7f37529..380355b 100644
--- a/lef/user_project_wrapper.lef
+++ b/lef/user_project_wrapper.lef
@@ -4400,6 +4400,10 @@
     END
     PORT
       LAYER met4 ;
+        RECT 2708.970 -9.470 2712.070 290.000 ;
+    END
+    PORT
+      LAYER met4 ;
         RECT -10.030 -4.670 -6.930 3524.350 ;
     END
     PORT
@@ -4416,59 +4420,59 @@
     END
     PORT
       LAYER met4 ;
-        RECT 368.970 3205.100 372.070 3529.150 ;
+        RECT 368.970 2600.240 372.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 548.970 3205.100 552.070 3529.150 ;
+        RECT 548.970 2600.240 552.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 728.970 3205.100 732.070 3529.150 ;
+        RECT 728.970 2600.240 732.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 908.970 3205.100 912.070 3529.150 ;
+        RECT 908.970 2600.240 912.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1088.970 3205.100 1092.070 3529.150 ;
+        RECT 1088.970 2600.240 1092.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1268.970 3205.100 1272.070 3529.150 ;
+        RECT 1268.970 2600.240 1272.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1448.970 3205.100 1452.070 3529.150 ;
+        RECT 1448.970 2600.240 1452.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1628.970 3205.100 1632.070 3529.150 ;
+        RECT 1628.970 2600.240 1632.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1808.970 3205.100 1812.070 3529.150 ;
+        RECT 1808.970 2600.240 1812.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1988.970 3205.100 1992.070 3529.150 ;
+        RECT 1988.970 2600.240 1992.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2168.970 3205.100 2172.070 3529.150 ;
+        RECT 2168.970 2600.240 2172.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2348.970 3205.100 2352.070 3529.150 ;
+        RECT 2348.970 2600.240 2352.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2528.970 3205.100 2532.070 3529.150 ;
+        RECT 2528.970 2600.240 2532.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2708.970 -9.470 2712.070 3529.150 ;
+        RECT 2708.970 2600.240 2712.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
@@ -4620,6 +4624,10 @@
     END
     PORT
       LAYER met4 ;
+        RECT 2727.570 -19.070 2730.670 290.000 ;
+    END
+    PORT
+      LAYER met4 ;
         RECT -19.630 -14.270 -16.530 3533.950 ;
     END
     PORT
@@ -4636,59 +4644,59 @@
     END
     PORT
       LAYER met4 ;
-        RECT 387.570 3205.100 390.670 3538.750 ;
+        RECT 387.570 2600.240 390.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 567.570 3205.100 570.670 3538.750 ;
+        RECT 567.570 2600.240 570.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 747.570 3205.100 750.670 3538.750 ;
+        RECT 747.570 2600.240 750.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 927.570 3205.100 930.670 3538.750 ;
+        RECT 927.570 2600.240 930.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1107.570 3205.100 1110.670 3538.750 ;
+        RECT 1107.570 2600.240 1110.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1287.570 3205.100 1290.670 3538.750 ;
+        RECT 1287.570 2600.240 1290.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1467.570 3205.100 1470.670 3538.750 ;
+        RECT 1467.570 2600.240 1470.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1647.570 3205.100 1650.670 3538.750 ;
+        RECT 1647.570 2600.240 1650.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1827.570 3205.100 1830.670 3538.750 ;
+        RECT 1827.570 2600.240 1830.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2007.570 3205.100 2010.670 3538.750 ;
+        RECT 2007.570 2600.240 2010.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2187.570 3205.100 2190.670 3538.750 ;
+        RECT 2187.570 2600.240 2190.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2367.570 3205.100 2370.670 3538.750 ;
+        RECT 2367.570 2600.240 2370.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2547.570 3205.100 2550.670 3538.750 ;
+        RECT 2547.570 2600.240 2550.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2727.570 -19.070 2730.670 3538.750 ;
+        RECT 2727.570 2600.240 2730.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
@@ -4856,55 +4864,55 @@
     END
     PORT
       LAYER met4 ;
-        RECT 406.170 3205.100 409.270 3548.350 ;
+        RECT 406.170 2600.240 409.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 586.170 3205.100 589.270 3548.350 ;
+        RECT 586.170 2600.240 589.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 766.170 3205.100 769.270 3548.350 ;
+        RECT 766.170 2600.240 769.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 946.170 3205.100 949.270 3548.350 ;
+        RECT 946.170 2600.240 949.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1126.170 3205.100 1129.270 3548.350 ;
+        RECT 1126.170 2600.240 1129.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1306.170 3205.100 1309.270 3548.350 ;
+        RECT 1306.170 2600.240 1309.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1486.170 3205.100 1489.270 3548.350 ;
+        RECT 1486.170 2600.240 1489.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1666.170 3205.100 1669.270 3548.350 ;
+        RECT 1666.170 2600.240 1669.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1846.170 3205.100 1849.270 3548.350 ;
+        RECT 1846.170 2600.240 1849.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2026.170 3205.100 2029.270 3548.350 ;
+        RECT 2026.170 2600.240 2029.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2206.170 3205.100 2209.270 3548.350 ;
+        RECT 2206.170 2600.240 2209.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2386.170 3205.100 2389.270 3548.350 ;
+        RECT 2386.170 2600.240 2389.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2566.170 3205.100 2569.270 3548.350 ;
+        RECT 2566.170 2600.240 2569.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
@@ -5072,55 +5080,55 @@
     END
     PORT
       LAYER met4 ;
-        RECT 424.770 3205.100 427.870 3557.950 ;
+        RECT 424.770 2600.240 427.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 604.770 3205.100 607.870 3557.950 ;
+        RECT 604.770 2600.240 607.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 784.770 3205.100 787.870 3557.950 ;
+        RECT 784.770 2600.240 787.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 964.770 3205.100 967.870 3557.950 ;
+        RECT 964.770 2600.240 967.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1144.770 3205.100 1147.870 3557.950 ;
+        RECT 1144.770 2600.240 1147.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1324.770 3205.100 1327.870 3557.950 ;
+        RECT 1324.770 2600.240 1327.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1504.770 3205.100 1507.870 3557.950 ;
+        RECT 1504.770 2600.240 1507.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1684.770 3205.100 1687.870 3557.950 ;
+        RECT 1684.770 2600.240 1687.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1864.770 3205.100 1867.870 3557.950 ;
+        RECT 1864.770 2600.240 1867.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2044.770 3205.100 2047.870 3557.950 ;
+        RECT 2044.770 2600.240 2047.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2224.770 3205.100 2227.870 3557.950 ;
+        RECT 2224.770 2600.240 2227.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2404.770 3205.100 2407.870 3557.950 ;
+        RECT 2404.770 2600.240 2407.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2584.770 3205.100 2587.870 3557.950 ;
+        RECT 2584.770 2600.240 2587.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
@@ -5264,6 +5272,10 @@
     END
     PORT
       LAYER met4 ;
+        RECT 2656.170 -28.670 2659.270 290.000 ;
+    END
+    PORT
+      LAYER met4 ;
         RECT -34.030 -28.670 -30.930 3548.350 ;
     END
     PORT
@@ -5276,55 +5288,55 @@
     END
     PORT
       LAYER met4 ;
-        RECT 496.170 3205.100 499.270 3548.350 ;
+        RECT 496.170 2600.240 499.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 676.170 3205.100 679.270 3548.350 ;
+        RECT 676.170 2600.240 679.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 856.170 3205.100 859.270 3548.350 ;
+        RECT 856.170 2600.240 859.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1036.170 3205.100 1039.270 3548.350 ;
+        RECT 1036.170 2600.240 1039.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1216.170 3205.100 1219.270 3548.350 ;
+        RECT 1216.170 2600.240 1219.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1396.170 3205.100 1399.270 3548.350 ;
+        RECT 1396.170 2600.240 1399.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1576.170 3205.100 1579.270 3548.350 ;
+        RECT 1576.170 2600.240 1579.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1756.170 3205.100 1759.270 3548.350 ;
+        RECT 1756.170 2600.240 1759.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1936.170 3205.100 1939.270 3548.350 ;
+        RECT 1936.170 2600.240 1939.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2116.170 3205.100 2119.270 3548.350 ;
+        RECT 2116.170 2600.240 2119.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2296.170 3205.100 2299.270 3548.350 ;
+        RECT 2296.170 2600.240 2299.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2476.170 3205.100 2479.270 3548.350 ;
+        RECT 2476.170 2600.240 2479.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2656.170 -28.670 2659.270 3548.350 ;
+        RECT 2656.170 2600.240 2659.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
@@ -5472,6 +5484,10 @@
     END
     PORT
       LAYER met4 ;
+        RECT 2674.770 -38.270 2677.870 290.000 ;
+    END
+    PORT
+      LAYER met4 ;
         RECT -43.630 -38.270 -40.530 3557.950 ;
     END
     PORT
@@ -5484,55 +5500,55 @@
     END
     PORT
       LAYER met4 ;
-        RECT 514.770 3205.100 517.870 3557.950 ;
+        RECT 514.770 2600.240 517.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 694.770 3205.100 697.870 3557.950 ;
+        RECT 694.770 2600.240 697.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 874.770 3205.100 877.870 3557.950 ;
+        RECT 874.770 2600.240 877.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1054.770 3205.100 1057.870 3557.950 ;
+        RECT 1054.770 2600.240 1057.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1234.770 3205.100 1237.870 3557.950 ;
+        RECT 1234.770 2600.240 1237.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1414.770 3205.100 1417.870 3557.950 ;
+        RECT 1414.770 2600.240 1417.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1594.770 3205.100 1597.870 3557.950 ;
+        RECT 1594.770 2600.240 1597.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1774.770 3205.100 1777.870 3557.950 ;
+        RECT 1774.770 2600.240 1777.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1954.770 3205.100 1957.870 3557.950 ;
+        RECT 1954.770 2600.240 1957.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2134.770 3205.100 2137.870 3557.950 ;
+        RECT 2134.770 2600.240 2137.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2314.770 3205.100 2317.870 3557.950 ;
+        RECT 2314.770 2600.240 2317.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2494.770 3205.100 2497.870 3557.950 ;
+        RECT 2494.770 2600.240 2497.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2674.770 -38.270 2677.870 3557.950 ;
+        RECT 2674.770 2600.240 2677.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
@@ -5680,6 +5696,10 @@
     END
     PORT
       LAYER met4 ;
+        RECT 2618.970 -9.470 2622.070 290.000 ;
+    END
+    PORT
+      LAYER met4 ;
         RECT -14.830 -9.470 -11.730 3529.150 ;
     END
     PORT
@@ -5692,55 +5712,55 @@
     END
     PORT
       LAYER met4 ;
-        RECT 458.970 3205.100 462.070 3529.150 ;
+        RECT 458.970 2600.240 462.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 638.970 3205.100 642.070 3529.150 ;
+        RECT 638.970 2600.240 642.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 818.970 3205.100 822.070 3529.150 ;
+        RECT 818.970 2600.240 822.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 998.970 3205.100 1002.070 3529.150 ;
+        RECT 998.970 2600.240 1002.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1178.970 3205.100 1182.070 3529.150 ;
+        RECT 1178.970 2600.240 1182.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1358.970 3205.100 1362.070 3529.150 ;
+        RECT 1358.970 2600.240 1362.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1538.970 3205.100 1542.070 3529.150 ;
+        RECT 1538.970 2600.240 1542.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1718.970 3205.100 1722.070 3529.150 ;
+        RECT 1718.970 2600.240 1722.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1898.970 3205.100 1902.070 3529.150 ;
+        RECT 1898.970 2600.240 1902.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2078.970 3205.100 2082.070 3529.150 ;
+        RECT 2078.970 2600.240 2082.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2258.970 3205.100 2262.070 3529.150 ;
+        RECT 2258.970 2600.240 2262.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2438.970 3205.100 2442.070 3529.150 ;
+        RECT 2438.970 2600.240 2442.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2618.970 -9.470 2622.070 3529.150 ;
+        RECT 2618.970 2600.240 2622.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
@@ -5888,6 +5908,10 @@
     END
     PORT
       LAYER met4 ;
+        RECT 2637.570 -19.070 2640.670 290.000 ;
+    END
+    PORT
+      LAYER met4 ;
         RECT -24.430 -19.070 -21.330 3538.750 ;
     END
     PORT
@@ -5900,55 +5924,55 @@
     END
     PORT
       LAYER met4 ;
-        RECT 477.570 3205.100 480.670 3538.750 ;
+        RECT 477.570 2600.240 480.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 657.570 3205.100 660.670 3538.750 ;
+        RECT 657.570 2600.240 660.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 837.570 3205.100 840.670 3538.750 ;
+        RECT 837.570 2600.240 840.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1017.570 3205.100 1020.670 3538.750 ;
+        RECT 1017.570 2600.240 1020.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1197.570 3205.100 1200.670 3538.750 ;
+        RECT 1197.570 2600.240 1200.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1377.570 3205.100 1380.670 3538.750 ;
+        RECT 1377.570 2600.240 1380.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1557.570 3205.100 1560.670 3538.750 ;
+        RECT 1557.570 2600.240 1560.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1737.570 3205.100 1740.670 3538.750 ;
+        RECT 1737.570 2600.240 1740.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1917.570 3205.100 1920.670 3538.750 ;
+        RECT 1917.570 2600.240 1920.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2097.570 3205.100 2100.670 3538.750 ;
+        RECT 2097.570 2600.240 2100.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2277.570 3205.100 2280.670 3538.750 ;
+        RECT 2277.570 2600.240 2280.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2457.570 3205.100 2460.670 3538.750 ;
+        RECT 2457.570 2600.240 2460.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2637.570 -19.070 2640.670 3538.750 ;
+        RECT 2637.570 2600.240 2640.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
@@ -6809,9 +6833,9 @@
   END wbs_we_i
   OBS
       LAYER li1 ;
-        RECT 337.785 14.365 2585.940 3195.100 ;
+        RECT 172.645 15.045 2912.115 2590.240 ;
       LAYER met1 ;
-        RECT 2.830 9.560 2911.270 3502.640 ;
+        RECT 2.830 13.700 2917.250 3502.300 ;
       LAYER met2 ;
         RECT 2.860 3517.320 40.150 3518.050 ;
         RECT 41.270 3517.320 121.110 3518.050 ;
@@ -6849,8 +6873,8 @@
         RECT 2636.590 3517.320 2716.890 3518.050 ;
         RECT 2718.010 3517.320 2797.850 3518.050 ;
         RECT 2798.970 3517.320 2878.810 3518.050 ;
-        RECT 2879.930 3517.320 2917.230 3518.050 ;
-        RECT 2.860 2.680 2917.230 3517.320 ;
+        RECT 2879.930 3517.320 2917.220 3518.050 ;
+        RECT 2.860 2.680 2917.220 3517.320 ;
         RECT 3.550 2.310 7.950 2.680 ;
         RECT 9.070 2.310 13.930 2.680 ;
         RECT 15.050 2.310 19.910 2.680 ;
@@ -7559,7 +7583,7 @@
         RECT 2.800 31.300 2917.600 31.980 ;
         RECT 2.400 16.495 2917.600 31.300 ;
       LAYER met4 ;
-        RECT 360.000 300.000 2585.940 3195.100 ;
+        RECT 360.000 300.000 2729.460 2590.240 ;
   END
 END user_project_wrapper
 END LIBRARY
diff --git a/verilog/gl/rest_top.v b/verilog/gl/rest_top.v
index 7b8fd7d..db1caa3 100644
--- a/verilog/gl/rest_top.v
+++ b/verilog/gl/rest_top.v
@@ -1,291344 +1,73432 @@
-/**
- * Copyright 2020 MERL
- *
- * Licensed under the Apache License, Version 2.0 (the "License");
- * you may not use this file except in compliance with the License.
- * You may obtain a copy of the License at
- *
- *      https://www.apache.org/licenses/LICENSE-2.0
- *
- * Unless required by applicable law or agreed to in writing, software
- * distributed under the License is distributed on an "AS IS" BASIS,
- * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
- * See the License for the specific language governing permissions and
- * limitations under the License.
- */
-module iccm_controller (
-	clk_i, 
-	rst_ni, 
-	prog_i, 
-	rx_dv_i, 
-	rx_byte_i, 
-	we_o, 
-	addr_o, 
-	wdata_o, 
-	reset_o, 
-	clk_i_clone2, 
-	clk_i_clone1, 
-	FE_PDN38_FE_OFN231_n_0, 
-	vccd1, 
-	vssd1);
-   input clk_i;
-   input rst_ni;
-   input prog_i;
-   input rx_dv_i;
-   input [7:0] rx_byte_i;
-   output we_o;
-   output [11:0] addr_o;
-   output [31:0] wdata_o;
-   output reset_o;
-   input clk_i_clone2;
-   input clk_i_clone1;
-   input FE_PDN38_FE_OFN231_n_0;
-   inout vccd1;
-   inout vssd1;
 
-   // Internal wires
-   wire FE_OFN1071_n_57;
-   wire [1:0] byte_count;
-   wire [1:0] ctrl_fsm_cs;
-   wire n_0;
-   wire n_1;
-   wire n_26;
-   wire n_47;
-   wire n_48;
-   wire n_49;
-   wire n_50;
-   wire n_51;
-   wire n_52;
-   wire n_53;
-   wire n_54;
-   wire n_55;
-   wire n_56;
-   wire n_57;
-   wire n_58;
-   wire n_59;
-   wire n_60;
-   wire n_61;
-   wire n_62;
-   wire n_63;
-   wire n_64;
-   wire n_65;
-   wire n_66;
-   wire n_67;
-   wire n_68;
-   wire n_69;
-   wire n_70;
-   wire n_71;
-   wire n_72;
-   wire n_73;
-   wire n_74;
-   wire n_75;
-   wire n_76;
-   wire n_77;
-   wire n_78;
-   wire n_79;
-   wire n_80;
-   wire n_81;
-   wire n_82;
-   wire n_83;
-   wire n_84;
-   wire n_85;
-   wire n_86;
-   wire n_87;
-   wire n_88;
-   wire n_89;
-   wire n_90;
-   wire n_91;
-   wire n_92;
-   wire n_93;
-   wire n_94;
-   wire n_95;
-   wire n_96;
-   wire n_97;
-   wire n_98;
-   wire n_99;
-   wire n_100;
-   wire n_101;
-   wire n_102;
-   wire n_103;
-   wire n_104;
-   wire n_105;
-   wire n_106;
-   wire n_107;
-   wire n_108;
-   wire n_109;
-   wire n_110;
-   wire n_111;
-   wire n_112;
-   wire n_113;
-   wire n_114;
-   wire n_115;
-   wire n_116;
-   wire n_117;
-   wire n_118;
-   wire n_119;
-   wire n_120;
-   wire n_121;
-   wire n_122;
-   wire n_123;
-   wire n_124;
-   wire n_125;
-   wire n_126;
-   wire n_127;
-   wire n_128;
-   wire n_129;
-   wire n_130;
-   wire n_131;
-   wire n_132;
-   wire n_133;
-   wire n_134;
-   wire n_135;
-   wire n_136;
-   wire n_137;
-   wire n_138;
-   wire n_139;
-   wire n_140;
-   wire n_141;
-   wire n_142;
-   wire n_143;
-   wire n_144;
-   wire n_145;
-   wire n_146;
-   wire n_147;
-   wire n_148;
-   wire n_149;
-   wire n_150;
-   wire n_151;
-   wire n_152;
-   wire n_153;
-   wire n_154;
-   wire n_155;
-   wire n_156;
-   wire n_157;
-   wire n_158;
-   wire n_159;
-   wire n_160;
-   wire n_161;
-   wire n_162;
-   wire n_163;
-   wire n_164;
-   wire n_165;
-   wire n_166;
-   wire n_167;
-   wire n_168;
-   wire n_169;
-   wire n_170;
-   wire n_171;
-   wire n_172;
-   wire n_173;
-   wire n_174;
-   wire n_175;
-   wire n_176;
-   wire n_177;
-   wire n_178;
-   wire n_179;
-   wire n_180;
-   wire n_181;
-   wire n_182;
-   wire n_183;
-   wire n_184;
-   wire n_185;
-   wire n_186;
-   wire n_187;
-   wire n_188;
-   wire n_189;
-   wire n_190;
-   wire n_191;
-   wire n_192;
-   wire n_193;
-   wire n_194;
-   wire n_195;
-   wire n_196;
-   wire n_197;
-   wire n_198;
-   wire n_199;
-   wire n_200;
-   wire n_201;
-   wire n_202;
+// Generated by Cadence Genus(TM) Synthesis Solution 20.11-s111_1
+// Generated on: Dec 26 2021 17:08:14 PKT (Dec 26 2021 12:08:14 UTC)
 
-   // Module instantiations
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1071_n_57 (
-	.A(n_57),
-	.X(FE_OFN1071_n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[0]  (
-	.CLK(clk_i_clone2),
-	.D(n_154),
-	.Q(addr_o[0]),
-	.RESET_B(FE_PDN38_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[1]  (
-	.CLK(clk_i),
-	.D(n_162),
-	.Q(addr_o[1]),
-	.RESET_B(FE_PDN38_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[2]  (
-	.CLK(clk_i_clone2),
-	.D(n_170),
-	.Q(addr_o[2]),
-	.RESET_B(FE_PDN38_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[3]  (
-	.CLK(clk_i_clone2),
-	.D(n_175),
-	.Q(addr_o[3]),
-	.RESET_B(FE_PDN38_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[4]  (
-	.CLK(clk_i_clone2),
-	.D(n_180),
-	.Q(addr_o[4]),
-	.RESET_B(FE_PDN38_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[5]  (
-	.CLK(clk_i_clone2),
-	.D(n_186),
-	.Q(addr_o[5]),
-	.RESET_B(FE_PDN38_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[6]  (
-	.CLK(clk_i_clone2),
-	.D(n_192),
-	.Q(addr_o[6]),
-	.RESET_B(FE_PDN38_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[7]  (
-	.CLK(clk_i_clone2),
-	.D(n_197),
-	.Q(addr_o[7]),
-	.RESET_B(FE_PDN38_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[8]  (
-	.CLK(clk_i_clone2),
-	.D(n_200),
-	.Q(addr_o[8]),
-	.RESET_B(FE_PDN38_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[9]  (
-	.CLK(clk_i_clone2),
-	.D(n_202),
-	.Q(addr_o[9]),
-	.RESET_B(FE_PDN38_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \byte_count_reg[1]  (
-	.CLK(clk_i_clone1),
-	.D(n_119),
-	.Q(byte_count[1]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 reset_q_reg (
-	.CLK(clk_i_clone1),
-	.D(n_191),
-	.Q(reset_o),
-	.SET_B(FE_PDN38_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[0]  (
-	.CLK(clk_i_clone1),
-	.D(n_131),
-	.Q(wdata_o[24]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[1]  (
-	.CLK(clk_i_clone1),
-	.D(n_130),
-	.Q(wdata_o[25]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[2]  (
-	.CLK(clk_i_clone1),
-	.D(n_128),
-	.Q(wdata_o[26]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[3]  (
-	.CLK(clk_i_clone1),
-	.D(n_127),
-	.Q(wdata_o[27]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[4]  (
-	.CLK(clk_i_clone1),
-	.D(n_126),
-	.Q(wdata_o[28]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[5]  (
-	.CLK(clk_i_clone1),
-	.D(n_124),
-	.Q(wdata_o[29]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[6]  (
-	.CLK(clk_i_clone1),
-	.D(n_123),
-	.Q(wdata_o[30]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[7]  (
-	.CLK(clk_i_clone1),
-	.D(n_122),
-	.Q(wdata_o[31]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[0]  (
-	.CLK(clk_i_clone1),
-	.D(n_138),
-	.Q(wdata_o[16]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[1]  (
-	.CLK(clk_i_clone1),
-	.D(n_152),
-	.Q(wdata_o[17]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[2]  (
-	.CLK(clk_i_clone1),
-	.D(n_150),
-	.Q(wdata_o[18]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[3]  (
-	.CLK(clk_i_clone1),
-	.D(n_149),
-	.Q(wdata_o[19]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[4]  (
-	.CLK(clk_i_clone1),
-	.D(n_148),
-	.Q(wdata_o[20]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[5]  (
-	.CLK(clk_i_clone1),
-	.D(n_147),
-	.Q(wdata_o[21]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[6]  (
-	.CLK(clk_i_clone1),
-	.D(n_146),
-	.Q(wdata_o[22]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[7]  (
-	.CLK(clk_i_clone1),
-	.D(n_145),
-	.Q(wdata_o[23]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[0]  (
-	.CLK(clk_i_clone1),
-	.D(n_144),
-	.Q(wdata_o[8]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[1]  (
-	.CLK(clk_i_clone1),
-	.D(n_143),
-	.Q(wdata_o[9]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[2]  (
-	.CLK(clk_i_clone1),
-	.D(n_142),
-	.Q(wdata_o[10]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[3]  (
-	.CLK(clk_i_clone1),
-	.D(n_133),
-	.Q(wdata_o[11]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[4]  (
-	.CLK(clk_i_clone1),
-	.D(n_141),
-	.Q(wdata_o[12]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[5]  (
-	.CLK(clk_i_clone1),
-	.D(n_140),
-	.Q(wdata_o[13]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[6]  (
-	.CLK(clk_i_clone1),
-	.D(n_139),
-	.Q(wdata_o[14]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[7]  (
-	.CLK(clk_i_clone1),
-	.D(n_153),
-	.Q(wdata_o[15]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[0]  (
-	.CLK(clk_i_clone1),
-	.D(n_137),
-	.Q(wdata_o[0]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[1]  (
-	.CLK(clk_i_clone1),
-	.D(n_129),
-	.Q(wdata_o[1]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[2]  (
-	.CLK(clk_i_clone1),
-	.D(n_136),
-	.Q(wdata_o[2]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[3]  (
-	.CLK(clk_i_clone1),
-	.D(n_135),
-	.Q(wdata_o[3]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[4]  (
-	.CLK(clk_i_clone1),
-	.D(n_125),
-	.Q(wdata_o[4]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[5]  (
-	.CLK(clk_i_clone1),
-	.D(n_132),
-	.Q(wdata_o[5]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[6]  (
-	.CLK(clk_i_clone1),
-	.D(n_134),
-	.Q(wdata_o[6]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[7]  (
-	.CLK(clk_i_clone1),
-	.D(n_151),
-	.Q(wdata_o[7]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 we_q_reg (
-	.CLK(clk_i_clone1),
-	.D(n_168),
-	.Q(we_o),
-	.RESET_B(FE_PDN38_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5426__2398 (
-	.A(n_201),
-	.B(prog_i),
-	.Y(n_202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5428__5107 (
-	.A1(n_199),
-	.A2(n_56),
-	.B1(FE_OFN1071_n_57),
-	.B2(addr_o[9]),
-	.Y(n_201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5429__6260 (
-	.A1(n_198),
-	.A2(n_73),
-	.B1(prog_i),
-	.Y(n_200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g5430__4319 (
-	.A1_N(addr_o[9]),
-	.A2_N(n_196),
-	.B1(addr_o[9]),
-	.B2(n_196),
-	.Y(n_199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g5432__8428 (
-	.A1(addr_o[8]),
-	.A2(n_194),
-	.B1(n_56),
-	.C1(n_196),
-	.Y(n_198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5433__5526 (
-	.A1(n_195),
-	.A2(n_72),
-	.B1(prog_i),
-	.Y(n_197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5435__6783 (
-	.A(n_193),
-	.B(n_56),
-	.Y(n_195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5436__3680 (
-	.A(n_194),
-	.B(addr_o[8]),
-	.Y(n_196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g5437__1617 (
-	.A(addr_o[7]),
-	.B(n_187),
-	.COUT(n_194),
-	.SUM(n_193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5438__2802 (
-	.A1(n_190),
-	.A2(n_64),
-	.B1(prog_i),
-	.Y(n_192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5441__1705 (
-	.A1(n_184),
-	.A2(n_55),
-	.B1(prog_i),
-	.Y(n_191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5443__5122 (
-	.A(n_188),
-	.B(n_56),
-	.Y(n_190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5444__8246 (
-	.A1(n_185),
-	.A2(n_57),
-	.B1(prog_i),
-	.Y(n_189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g5445__7098 (
-	.A(addr_o[6]),
-	.B(n_181),
-	.COUT(n_187),
-	.SUM(n_188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5446__6131 (
-	.A1(n_183),
-	.A2(n_62),
-	.B1(prog_i),
-	.Y(n_186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g5447__1881 (
-	.A1(n_47),
-	.A2(n_179),
-	.B1(ctrl_fsm_cs[1]),
-	.Y(n_185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g5448__5115 (
-	.A(n_179),
-	.B(ctrl_fsm_cs[0]),
-	.C(ctrl_fsm_cs[1]),
-	.Y(n_184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5450__7482 (
-	.A(n_182),
-	.B(n_56),
-	.Y(n_183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g5451__4733 (
-	.A(addr_o[5]),
-	.B(n_176),
-	.COUT(n_181),
-	.SUM(n_182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5452__6161 (
-	.A1(n_178),
-	.A2(n_70),
-	.B1(prog_i),
-	.Y(n_180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g5453__9315 (
-	.A1(wdata_o[29]),
-	.A2(wdata_o[28]),
-	.A3(wdata_o[27]),
-	.A4(n_174),
-	.B1(rst_ni),
-	.Y(n_179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5455__9945 (
-	.A(n_177),
-	.B(n_56),
-	.Y(n_178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g5456__2883 (
-	.A(addr_o[4]),
-	.B(n_171),
-	.COUT(n_176),
-	.SUM(n_177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5457__2346 (
-	.A1(n_173),
-	.A2(n_74),
-	.B1(prog_i),
-	.Y(n_175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g5458__1666 (
-	.A(wdata_o[26]),
-	.B(wdata_o[24]),
-	.C(wdata_o[25]),
-	.D(n_169),
-	.X(n_174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5460__7410 (
-	.A(n_172),
-	.B(n_56),
-	.Y(n_173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g5461__6417 (
-	.A(addr_o[3]),
-	.B(n_163),
-	.COUT(n_171),
-	.SUM(n_172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5462__5477 (
-	.A1(n_167),
-	.A2(n_71),
-	.B1(prog_i),
-	.Y(n_170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5464__2398 (
-	.A(n_166),
-	.B(wdata_o[4]),
-	.Y(n_169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5466__5107 (
-	.A(n_161),
-	.B(prog_i),
-	.Y(n_168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5468__6260 (
-	.A(n_164),
-	.B(n_56),
-	.Y(n_167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g5469__4319 (
-	.A(wdata_o[23]),
-	.B(wdata_o[31]),
-	.C(wdata_o[30]),
-	.D(n_158),
-	.Y(n_166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g5470__8428 (
-	.A(n_52),
-	.B(n_160),
-	.C(prog_i),
-	.Y(n_165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g5471__5526 (
-	.A(addr_o[2]),
-	.B(n_156),
-	.COUT(n_163),
-	.SUM(n_164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5472__6783 (
-	.A1(n_159),
-	.A2(n_75),
-	.B1(prog_i),
-	.Y(n_162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5473__3680 (
-	.A1(we_o),
-	.A2(ctrl_fsm_cs[0]),
-	.B1(n_160),
-	.Y(n_161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a311oi_1 g5474__1617 (
-	.A1(n_116),
-	.A2(rx_byte_i[1]),
-	.A3(rx_byte_i[0]),
-	.B1(n_66),
-	.C1(n_155),
-	.Y(n_160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5476__2802 (
-	.A(n_157),
-	.B(n_56),
-	.Y(n_159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g5477__1705 (
-	.A_N(n_117),
-	.B(n_155),
-	.C(n_121),
-	.D(wdata_o[6]),
-	.Y(n_158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g5478__5122 (
-	.A(addr_o[1]),
-	.B(n_82),
-	.COUT(n_156),
-	.SUM(n_157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5496__8246 (
-	.A1(n_118),
-	.A2(n_63),
-	.B1(prog_i),
-	.Y(n_154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g5497__7098 (
-	.A(wdata_o[15]),
-	.B(wdata_o[14]),
-	.C(n_115),
-	.Y(n_155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5513__6131 (
-	.A(n_87),
-	.B(prog_i),
-	.Y(n_153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5514__1881 (
-	.A(n_97),
-	.B(prog_i),
-	.Y(n_152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5515__5115 (
-	.A(n_100),
-	.B(prog_i),
-	.Y(n_151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5516__7482 (
-	.A(n_96),
-	.B(prog_i),
-	.Y(n_150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5517__4733 (
-	.A(n_95),
-	.B(prog_i),
-	.Y(n_149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5518__6161 (
-	.A(n_94),
-	.B(prog_i),
-	.Y(n_148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5519__9315 (
-	.A(n_102),
-	.B(prog_i),
-	.Y(n_147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5520__9945 (
-	.A(n_92),
-	.B(prog_i),
-	.Y(n_146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5521__2883 (
-	.A(n_99),
-	.B(prog_i),
-	.Y(n_145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5522__2346 (
-	.A(n_91),
-	.B(prog_i),
-	.Y(n_144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5523__1666 (
-	.A(n_90),
-	.B(prog_i),
-	.Y(n_143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5524__7410 (
-	.A(n_89),
-	.B(prog_i),
-	.Y(n_142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5525__6417 (
-	.A(n_85),
-	.B(prog_i),
-	.Y(n_141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5526__5477 (
-	.A(n_88),
-	.B(prog_i),
-	.Y(n_140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5527__2398 (
-	.A(n_84),
-	.B(prog_i),
-	.Y(n_139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5528__5107 (
-	.A(n_98),
-	.B(prog_i),
-	.Y(n_138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5530__6260 (
-	.A(n_93),
-	.B(prog_i),
-	.Y(n_137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5531__4319 (
-	.A(n_105),
-	.B(prog_i),
-	.Y(n_136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5532__8428 (
-	.A(n_104),
-	.B(prog_i),
-	.Y(n_135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5533__5526 (
-	.A(n_106),
-	.B(prog_i),
-	.Y(n_134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5534__6783 (
-	.A(n_86),
-	.B(prog_i),
-	.Y(n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5535__3680 (
-	.A(n_103),
-	.B(prog_i),
-	.Y(n_132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5536__1617 (
-	.A(n_113),
-	.B(prog_i),
-	.Y(n_131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5537__2802 (
-	.A(n_112),
-	.B(prog_i),
-	.Y(n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5538__1705 (
-	.A(n_111),
-	.B(prog_i),
-	.Y(n_129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5539__5122 (
-	.A(n_120),
-	.B(prog_i),
-	.Y(n_128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5540__8246 (
-	.A(n_110),
-	.B(prog_i),
-	.Y(n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5541__7098 (
-	.A(n_109),
-	.B(prog_i),
-	.Y(n_126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5542__6131 (
-	.A(n_101),
-	.B(prog_i),
-	.Y(n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5543__1881 (
-	.A(n_108),
-	.B(prog_i),
-	.Y(n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5544__5115 (
-	.A(n_107),
-	.B(prog_i),
-	.Y(n_123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5545__7482 (
-	.A(n_114),
-	.B(prog_i),
-	.Y(n_122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g5546__4733 (
-	.A(wdata_o[21]),
-	.B(wdata_o[22]),
-	.C(wdata_o[20]),
-	.D(n_60),
-	.Y(n_121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5547__6161 (
-	.A1(n_69),
-	.A2(rx_byte_i[2]),
-	.B1(n_68),
-	.B2(wdata_o[26]),
-	.Y(n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5548__9315 (
-	.A(n_80),
-	.B(prog_i),
-	.Y(n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5549__9945 (
-	.A(n_83),
-	.B(n_56),
-	.Y(n_118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g5550__2883 (
-	.A(n_53),
-	.B(wdata_o[0]),
-	.C(wdata_o[5]),
-	.D(wdata_o[7]),
-	.Y(n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4b_1 g5551__2346 (
-	.A_N(n_54),
-	.B(rx_byte_i[2]),
-	.C(rx_byte_i[4]),
-	.D(rx_byte_i[3]),
-	.X(n_116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g5552__1666 (
-	.A(wdata_o[13]),
-	.B(wdata_o[12]),
-	.C(n_61),
-	.X(n_115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5553__7410 (
-	.A1(n_69),
-	.A2(rx_byte_i[7]),
-	.B1(n_68),
-	.B2(wdata_o[31]),
-	.Y(n_114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5554__6417 (
-	.A1(n_69),
-	.A2(rx_byte_i[0]),
-	.B1(n_68),
-	.B2(wdata_o[24]),
-	.Y(n_113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5555__5477 (
-	.A1(n_69),
-	.A2(rx_byte_i[1]),
-	.B1(n_68),
-	.B2(wdata_o[25]),
-	.Y(n_112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5556__2398 (
-	.A1(n_67),
-	.A2(rx_byte_i[1]),
-	.B1(n_66),
-	.B2(wdata_o[1]),
-	.Y(n_111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5557__5107 (
-	.A1(n_69),
-	.A2(rx_byte_i[3]),
-	.B1(n_68),
-	.B2(wdata_o[27]),
-	.Y(n_110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5558__6260 (
-	.A1(n_69),
-	.A2(rx_byte_i[4]),
-	.B1(n_68),
-	.B2(wdata_o[28]),
-	.Y(n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5559__4319 (
-	.A1(n_69),
-	.A2(rx_byte_i[5]),
-	.B1(n_68),
-	.B2(wdata_o[29]),
-	.Y(n_108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5560__8428 (
-	.A1(n_69),
-	.A2(rx_byte_i[6]),
-	.B1(n_68),
-	.B2(wdata_o[30]),
-	.Y(n_107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5561__5526 (
-	.A1(n_67),
-	.A2(rx_byte_i[6]),
-	.B1(n_66),
-	.B2(wdata_o[6]),
-	.Y(n_106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5562__6783 (
-	.A1(n_67),
-	.A2(rx_byte_i[2]),
-	.B1(n_66),
-	.B2(wdata_o[2]),
-	.Y(n_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5563__3680 (
-	.A1(n_67),
-	.A2(rx_byte_i[3]),
-	.B1(n_66),
-	.B2(wdata_o[3]),
-	.Y(n_104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5564__1617 (
-	.A1(n_67),
-	.A2(rx_byte_i[5]),
-	.B1(n_66),
-	.B2(wdata_o[5]),
-	.Y(n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5566__2802 (
-	.A1(n_78),
-	.A2(rx_byte_i[5]),
-	.B1(n_79),
-	.B2(wdata_o[21]),
-	.Y(n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5567__1705 (
-	.A1(n_67),
-	.A2(rx_byte_i[4]),
-	.B1(n_66),
-	.B2(wdata_o[4]),
-	.Y(n_101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5568__5122 (
-	.A1(n_67),
-	.A2(rx_byte_i[7]),
-	.B1(n_66),
-	.B2(wdata_o[7]),
-	.Y(n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5569__8246 (
-	.A1(n_78),
-	.A2(rx_byte_i[7]),
-	.B1(n_79),
-	.B2(wdata_o[23]),
-	.Y(n_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5570__7098 (
-	.A1(n_78),
-	.A2(rx_byte_i[0]),
-	.B1(n_79),
-	.B2(wdata_o[16]),
-	.Y(n_98), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5571__6131 (
-	.A1(n_78),
-	.A2(rx_byte_i[1]),
-	.B1(n_79),
-	.B2(wdata_o[17]),
-	.Y(n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5572__1881 (
-	.A1(n_78),
-	.A2(rx_byte_i[2]),
-	.B1(n_79),
-	.B2(wdata_o[18]),
-	.Y(n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5573__5115 (
-	.A1(n_78),
-	.A2(rx_byte_i[3]),
-	.B1(n_79),
-	.B2(wdata_o[19]),
-	.Y(n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5574__7482 (
-	.A1(n_78),
-	.A2(rx_byte_i[4]),
-	.B1(n_79),
-	.B2(wdata_o[20]),
-	.Y(n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5575__4733 (
-	.A1(n_67),
-	.A2(rx_byte_i[0]),
-	.B1(n_66),
-	.B2(wdata_o[0]),
-	.Y(n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5576__6161 (
-	.A1(n_78),
-	.A2(rx_byte_i[6]),
-	.B1(n_79),
-	.B2(wdata_o[22]),
-	.Y(n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5577__9315 (
-	.A1(n_77),
-	.A2(rx_byte_i[0]),
-	.B1(n_76),
-	.B2(wdata_o[8]),
-	.Y(n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5578__9945 (
-	.A1(n_77),
-	.A2(rx_byte_i[1]),
-	.B1(n_76),
-	.B2(wdata_o[9]),
-	.Y(n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5579__2883 (
-	.A1(n_77),
-	.A2(rx_byte_i[2]),
-	.B1(n_76),
-	.B2(wdata_o[10]),
-	.Y(n_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5580__2346 (
-	.A1(n_77),
-	.A2(rx_byte_i[5]),
-	.B1(n_76),
-	.B2(wdata_o[13]),
-	.Y(n_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5581__1666 (
-	.A1(n_77),
-	.A2(rx_byte_i[7]),
-	.B1(n_76),
-	.B2(wdata_o[15]),
-	.Y(n_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5582__7410 (
-	.A1(n_77),
-	.A2(rx_byte_i[3]),
-	.B1(n_76),
-	.B2(wdata_o[11]),
-	.Y(n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5583__6417 (
-	.A1(n_77),
-	.A2(rx_byte_i[4]),
-	.B1(n_76),
-	.B2(wdata_o[12]),
-	.Y(n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5584__5477 (
-	.A1(n_77),
-	.A2(rx_byte_i[6]),
-	.B1(n_76),
-	.B2(wdata_o[14]),
-	.Y(n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g5585__2398 (
-	.A(addr_o[0]),
-	.B(n_48),
-	.COUT(n_82),
-	.SUM(n_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5586__5107 (
-	.A(n_65),
-	.B(prog_i),
-	.Y(n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g5587__6260 (
-	.A1_N(n_49),
-	.A2_N(n_51),
-	.B1(byte_count[1]),
-	.B2(n_49),
-	.Y(n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g5588 (
-	.A(n_79),
-	.Y(n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g5589 (
-	.A(n_77),
-	.Y(n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5590__4319 (
-	.A(FE_OFN1071_n_57),
-	.B(addr_o[1]),
-	.Y(n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5591__8428 (
-	.A(FE_OFN1071_n_57),
-	.B(addr_o[3]),
-	.Y(n_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5592__5526 (
-	.A(FE_OFN1071_n_57),
-	.B(addr_o[8]),
-	.Y(n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5593__6783 (
-	.A(FE_OFN1071_n_57),
-	.B(addr_o[7]),
-	.Y(n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5594__3680 (
-	.A(FE_OFN1071_n_57),
-	.B(addr_o[2]),
-	.Y(n_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5595__1617 (
-	.A(FE_OFN1071_n_57),
-	.B(addr_o[4]),
-	.Y(n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5596__2802 (
-	.A(n_58),
-	.B(byte_count[0]),
-	.Y(n_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5597__1705 (
-	.A(byte_count[0]),
-	.B(n_59),
-	.Y(n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g5598 (
-	.A(n_69),
-	.Y(n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g5599 (
-	.A(n_67),
-	.Y(n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g5600__5122 (
-	.A(n_49),
-	.B(byte_count[0]),
-	.X(n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5601__8246 (
-	.A(FE_OFN1071_n_57),
-	.B(addr_o[6]),
-	.Y(n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5602__7098 (
-	.A(FE_OFN1071_n_57),
-	.B(addr_o[0]),
-	.Y(n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5603__6131 (
-	.A(FE_OFN1071_n_57),
-	.B(addr_o[5]),
-	.Y(n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g5604__1881 (
-	.A(wdata_o[8]),
-	.B(wdata_o[10]),
-	.C(wdata_o[9]),
-	.D(wdata_o[11]),
-	.Y(n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g5605__5115 (
-	.A(wdata_o[19]),
-	.B(wdata_o[17]),
-	.C(wdata_o[16]),
-	.D(wdata_o[18]),
-	.X(n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g5606__7482 (
-	.A(byte_count[0]),
-	.B_N(n_58),
-	.Y(n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5607__4733 (
-	.A(n_0),
-	.B(n_59),
-	.Y(n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g5608 (
-	.A(FE_OFN1071_n_57),
-	.Y(n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g5609__6161 (
-	.A1(ctrl_fsm_cs[0]),
-	.A2(ctrl_fsm_cs[1]),
-	.B1(reset_o),
-	.Y(n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5610__9315 (
-	.A(n_50),
-	.B(byte_count[1]),
-	.Y(n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5611__9945 (
-	.A(byte_count[1]),
-	.B(n_49),
-	.Y(n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5612__2883 (
-	.A(n_50),
-	.B(n_48),
-	.Y(n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g5613__2346 (
-	.A(rx_byte_i[7]),
-	.B(rx_byte_i[5]),
-	.C(rx_byte_i[6]),
-	.Y(n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g5614__1666 (
-	.A(wdata_o[3]),
-	.B(wdata_o[2]),
-	.C(wdata_o[1]),
-	.X(n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g5615__7410 (
-	.A(rx_dv_i),
-	.B(ctrl_fsm_cs[0]),
-	.C(ctrl_fsm_cs[1]),
-	.Y(n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g5616__6417 (
-	.A(n_0),
-	.B(byte_count[1]),
-	.X(n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g5617 (
-	.A(n_50),
-	.Y(n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5618__5477 (
-	.A(ctrl_fsm_cs[1]),
-	.B(n_26),
-	.Y(n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5619__2398 (
-	.A(ctrl_fsm_cs[0]),
-	.B(n_1),
-	.Y(n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g5620 (
-	.A(rx_dv_i),
-	.Y(n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfsbp_1 \ctrl_fsm_cs_reg[0]  (
-	.CLK(clk_i_clone1),
-	.D(n_165),
-	.Q(ctrl_fsm_cs[0]),
-	.Q_N(n_26),
-	.SET_B(FE_PDN38_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfsbp_1 \ctrl_fsm_cs_reg[1]  (
-	.CLK(clk_i_clone1),
-	.D(n_189),
-	.Q(ctrl_fsm_cs[1]),
-	.Q_N(n_1),
-	.SET_B(FE_PDN38_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \byte_count_reg[0]  (
-	.CLK(clk_i_clone1),
-	.D(n_81),
-	.Q(byte_count[0]),
-	.Q_N(n_0),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
+// Verification Directory fv/rest_top 
+
+module iccm_controller(clk_i, rst_ni, prog_i, rx_dv_i, rx_byte_i, we_o,
+     addr_o, wdata_o, reset_o);
+  input clk_i, rst_ni, prog_i, rx_dv_i;
+  input [7:0] rx_byte_i;
+  output we_o, reset_o;
+  output [11:0] addr_o;
+  output [31:0] wdata_o;
+  wire clk_i, rst_ni, prog_i, rx_dv_i;
+  wire [7:0] rx_byte_i;
+  wire we_o, reset_o;
+  wire [11:0] addr_o;
+  wire [31:0] wdata_o;
+  wire [1:0] byte_count;
+  wire [1:0] ctrl_fsm_cs;
+  wire n_0, n_1, n_26, n_47, n_48, n_49, n_50, n_51;
+  wire n_52, n_53, n_54, n_55, n_56, n_57, n_58, n_59;
+  wire n_60, n_61, n_62, n_63, n_64, n_65, n_66, n_67;
+  wire n_68, n_69, n_70, n_71, n_72, n_73, n_74, n_75;
+  wire n_76, n_77, n_78, n_79, n_80, n_81, n_82, n_83;
+  wire n_84, n_85, n_86, n_87, n_88, n_89, n_90, n_91;
+  wire n_92, n_93, n_94, n_95, n_96, n_97, n_98, n_99;
+  wire n_100, n_101, n_102, n_103, n_104, n_105, n_106, n_107;
+  wire n_108, n_109, n_110, n_111, n_112, n_113, n_114, n_115;
+  wire n_116, n_117, n_118, n_119, n_120, n_121, n_122, n_123;
+  wire n_124, n_125, n_126, n_127, n_128, n_129, n_130, n_131;
+  wire n_132, n_133, n_134, n_135, n_136, n_137, n_138, n_139;
+  wire n_140, n_141, n_142, n_143, n_144, n_145, n_146, n_147;
+  wire n_148, n_149, n_150, n_151, n_152, n_153, n_154, n_155;
+  wire n_156, n_157, n_158, n_159, n_160, n_161, n_162, n_163;
+  wire n_164, n_165, n_166, n_167, n_168, n_169, n_170, n_171;
+  wire n_172, n_173, n_174, n_175, n_176, n_177, n_178, n_179;
+  wire n_180, n_181, n_182, n_183, n_184, n_185, n_186, n_187;
+  wire n_188, n_189, n_190, n_191, n_192, n_193, n_194, n_195;
+  wire n_196, n_197, n_198, n_199, n_200, n_201, n_202;
+  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[0] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_154), .Q (addr_o[0]));
+  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[1] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_162), .Q (addr_o[1]));
+  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[2] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_170), .Q (addr_o[2]));
+  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[3] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_175), .Q (addr_o[3]));
+  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[4] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_180), .Q (addr_o[4]));
+  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[5] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_186), .Q (addr_o[5]));
+  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[6] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_192), .Q (addr_o[6]));
+  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[7] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_197), .Q (addr_o[7]));
+  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[8] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_200), .Q (addr_o[8]));
+  sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[9] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_202), .Q (addr_o[9]));
+  sky130_fd_sc_hd__dfrtp_1 \byte_count_reg[1] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_119), .Q (byte_count[1]));
+  sky130_fd_sc_hd__dfstp_2 reset_q_reg(.SET_B (rst_ni), .CLK (clk_i),
+       .D (n_191), .Q (reset_o));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[0] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_131), .Q (wdata_o[24]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[1] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_130), .Q (wdata_o[25]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[2] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_128), .Q (wdata_o[26]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[3] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_127), .Q (wdata_o[27]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[4] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_126), .Q (wdata_o[28]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[5] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_124), .Q (wdata_o[29]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[6] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_123), .Q (wdata_o[30]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[7] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_122), .Q (wdata_o[31]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[0] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_138), .Q (wdata_o[16]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[1] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_152), .Q (wdata_o[17]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[2] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_150), .Q (wdata_o[18]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[3] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_149), .Q (wdata_o[19]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[4] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_148), .Q (wdata_o[20]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[5] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_147), .Q (wdata_o[21]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[6] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_146), .Q (wdata_o[22]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[7] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_145), .Q (wdata_o[23]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[0] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_144), .Q (wdata_o[8]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[1] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_143), .Q (wdata_o[9]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[2] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_142), .Q (wdata_o[10]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[3] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_133), .Q (wdata_o[11]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[4] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_141), .Q (wdata_o[12]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[5] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_140), .Q (wdata_o[13]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[6] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_139), .Q (wdata_o[14]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[7] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_153), .Q (wdata_o[15]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[0] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_137), .Q (wdata_o[0]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[1] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_129), .Q (wdata_o[1]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[2] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_136), .Q (wdata_o[2]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[3] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_135), .Q (wdata_o[3]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[4] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_125), .Q (wdata_o[4]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[5] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_132), .Q (wdata_o[5]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[6] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_134), .Q (wdata_o[6]));
+  sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[7] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_151), .Q (wdata_o[7]));
+  sky130_fd_sc_hd__dfrtp_1 we_q_reg(.RESET_B (rst_ni), .CLK (clk_i), .D
+       (n_168), .Q (we_o));
+  sky130_fd_sc_hd__nor2_1 g5426__2398(.A (n_201), .B (prog_i), .Y
+       (n_202));
+  sky130_fd_sc_hd__a22oi_1 g5428__5107(.A1 (n_199), .A2 (n_56), .B1
+       (n_57), .B2 (addr_o[9]), .Y (n_201));
+  sky130_fd_sc_hd__a21oi_1 g5429__6260(.A1 (n_198), .A2 (n_73), .B1
+       (prog_i), .Y (n_200));
+  sky130_fd_sc_hd__o2bb2ai_1 g5430__4319(.A1_N (addr_o[9]), .A2_N
+       (n_196), .B1 (addr_o[9]), .B2 (n_196), .Y (n_199));
+  sky130_fd_sc_hd__o211ai_1 g5432__8428(.A1 (addr_o[8]), .A2 (n_194),
+       .B1 (n_56), .C1 (n_196), .Y (n_198));
+  sky130_fd_sc_hd__a21oi_1 g5433__5526(.A1 (n_195), .A2 (n_72), .B1
+       (prog_i), .Y (n_197));
+  sky130_fd_sc_hd__nand2_1 g5435__6783(.A (n_193), .B (n_56), .Y
+       (n_195));
+  sky130_fd_sc_hd__nand2_1 g5436__3680(.A (n_194), .B (addr_o[8]), .Y
+       (n_196));
+  sky130_fd_sc_hd__ha_1 g5437__1617(.A (addr_o[7]), .B (n_187), .COUT
+       (n_194), .SUM (n_193));
+  sky130_fd_sc_hd__a21oi_1 g5438__2802(.A1 (n_190), .A2 (n_64), .B1
+       (prog_i), .Y (n_192));
+  sky130_fd_sc_hd__a21oi_1 g5441__1705(.A1 (n_184), .A2 (n_55), .B1
+       (prog_i), .Y (n_191));
+  sky130_fd_sc_hd__nand2_1 g5443__5122(.A (n_188), .B (n_56), .Y
+       (n_190));
+  sky130_fd_sc_hd__a21oi_1 g5444__8246(.A1 (n_185), .A2 (n_57), .B1
+       (prog_i), .Y (n_189));
+  sky130_fd_sc_hd__ha_1 g5445__7098(.A (addr_o[6]), .B (n_181), .COUT
+       (n_187), .SUM (n_188));
+  sky130_fd_sc_hd__a21oi_1 g5446__6131(.A1 (n_183), .A2 (n_62), .B1
+       (prog_i), .Y (n_186));
+  sky130_fd_sc_hd__o21ai_1 g5447__1881(.A1 (n_47), .A2 (n_179), .B1
+       (ctrl_fsm_cs[1]), .Y (n_185));
+  sky130_fd_sc_hd__nand3_1 g5448__5115(.A (n_179), .B (ctrl_fsm_cs[0]),
+       .C (ctrl_fsm_cs[1]), .Y (n_184));
+  sky130_fd_sc_hd__nand2_1 g5450__7482(.A (n_182), .B (n_56), .Y
+       (n_183));
+  sky130_fd_sc_hd__ha_1 g5451__4733(.A (addr_o[5]), .B (n_176), .COUT
+       (n_181), .SUM (n_182));
+  sky130_fd_sc_hd__a21oi_1 g5452__6161(.A1 (n_178), .A2 (n_70), .B1
+       (prog_i), .Y (n_180));
+  sky130_fd_sc_hd__o41ai_1 g5453__9315(.A1 (wdata_o[29]), .A2
+       (wdata_o[28]), .A3 (wdata_o[27]), .A4 (n_174), .B1 (rst_ni), .Y
+       (n_179));
+  sky130_fd_sc_hd__nand2_1 g5455__9945(.A (n_177), .B (n_56), .Y
+       (n_178));
+  sky130_fd_sc_hd__ha_1 g5456__2883(.A (addr_o[4]), .B (n_171), .COUT
+       (n_176), .SUM (n_177));
+  sky130_fd_sc_hd__a21oi_1 g5457__2346(.A1 (n_173), .A2 (n_74), .B1
+       (prog_i), .Y (n_175));
+  sky130_fd_sc_hd__or4_1 g5458__1666(.A (wdata_o[26]), .B
+       (wdata_o[24]), .C (wdata_o[25]), .D (n_169), .X (n_174));
+  sky130_fd_sc_hd__nand2_1 g5460__7410(.A (n_172), .B (n_56), .Y
+       (n_173));
+  sky130_fd_sc_hd__ha_1 g5461__6417(.A (addr_o[3]), .B (n_163), .COUT
+       (n_171), .SUM (n_172));
+  sky130_fd_sc_hd__a21oi_1 g5462__5477(.A1 (n_167), .A2 (n_71), .B1
+       (prog_i), .Y (n_170));
+  sky130_fd_sc_hd__nand2_1 g5464__2398(.A (n_166), .B (wdata_o[4]), .Y
+       (n_169));
+  sky130_fd_sc_hd__nor2_1 g5466__5107(.A (n_161), .B (prog_i), .Y
+       (n_168));
+  sky130_fd_sc_hd__nand2_1 g5468__6260(.A (n_164), .B (n_56), .Y
+       (n_167));
+  sky130_fd_sc_hd__nor4_1 g5469__4319(.A (wdata_o[23]), .B
+       (wdata_o[31]), .C (wdata_o[30]), .D (n_158), .Y (n_166));
+  sky130_fd_sc_hd__nor3_1 g5470__8428(.A (n_52), .B (n_160), .C
+       (prog_i), .Y (n_165));
+  sky130_fd_sc_hd__ha_1 g5471__5526(.A (addr_o[2]), .B (n_156), .COUT
+       (n_163), .SUM (n_164));
+  sky130_fd_sc_hd__a21oi_1 g5472__6783(.A1 (n_159), .A2 (n_75), .B1
+       (prog_i), .Y (n_162));
+  sky130_fd_sc_hd__a21oi_1 g5473__3680(.A1 (we_o), .A2
+       (ctrl_fsm_cs[0]), .B1 (n_160), .Y (n_161));
+  sky130_fd_sc_hd__a311oi_1 g5474__1617(.A1 (n_116), .A2
+       (rx_byte_i[1]), .A3 (rx_byte_i[0]), .B1 (n_66), .C1 (n_155), .Y
+       (n_160));
+  sky130_fd_sc_hd__nand2_1 g5476__2802(.A (n_157), .B (n_56), .Y
+       (n_159));
+  sky130_fd_sc_hd__nand4b_1 g5477__1705(.A_N (n_117), .B (n_155), .C
+       (n_121), .D (wdata_o[6]), .Y (n_158));
+  sky130_fd_sc_hd__ha_1 g5478__5122(.A (addr_o[1]), .B (n_82), .COUT
+       (n_156), .SUM (n_157));
+  sky130_fd_sc_hd__a21oi_1 g5496__8246(.A1 (n_118), .A2 (n_63), .B1
+       (prog_i), .Y (n_154));
+  sky130_fd_sc_hd__nor3_1 g5497__7098(.A (wdata_o[15]), .B
+       (wdata_o[14]), .C (n_115), .Y (n_155));
+  sky130_fd_sc_hd__nor2_1 g5513__6131(.A (n_87), .B (prog_i), .Y
+       (n_153));
+  sky130_fd_sc_hd__nor2_1 g5514__1881(.A (n_97), .B (prog_i), .Y
+       (n_152));
+  sky130_fd_sc_hd__nor2_1 g5515__5115(.A (n_100), .B (prog_i), .Y
+       (n_151));
+  sky130_fd_sc_hd__nor2_1 g5516__7482(.A (n_96), .B (prog_i), .Y
+       (n_150));
+  sky130_fd_sc_hd__nor2_1 g5517__4733(.A (n_95), .B (prog_i), .Y
+       (n_149));
+  sky130_fd_sc_hd__nor2_1 g5518__6161(.A (n_94), .B (prog_i), .Y
+       (n_148));
+  sky130_fd_sc_hd__nor2_1 g5519__9315(.A (n_102), .B (prog_i), .Y
+       (n_147));
+  sky130_fd_sc_hd__nor2_1 g5520__9945(.A (n_92), .B (prog_i), .Y
+       (n_146));
+  sky130_fd_sc_hd__nor2_1 g5521__2883(.A (n_99), .B (prog_i), .Y
+       (n_145));
+  sky130_fd_sc_hd__nor2_1 g5522__2346(.A (n_91), .B (prog_i), .Y
+       (n_144));
+  sky130_fd_sc_hd__nor2_1 g5523__1666(.A (n_90), .B (prog_i), .Y
+       (n_143));
+  sky130_fd_sc_hd__nor2_1 g5524__7410(.A (n_89), .B (prog_i), .Y
+       (n_142));
+  sky130_fd_sc_hd__nor2_1 g5525__6417(.A (n_85), .B (prog_i), .Y
+       (n_141));
+  sky130_fd_sc_hd__nor2_1 g5526__5477(.A (n_88), .B (prog_i), .Y
+       (n_140));
+  sky130_fd_sc_hd__nor2_1 g5527__2398(.A (n_84), .B (prog_i), .Y
+       (n_139));
+  sky130_fd_sc_hd__nor2_1 g5528__5107(.A (n_98), .B (prog_i), .Y
+       (n_138));
+  sky130_fd_sc_hd__nor2_1 g5530__6260(.A (n_93), .B (prog_i), .Y
+       (n_137));
+  sky130_fd_sc_hd__nor2_1 g5531__4319(.A (n_105), .B (prog_i), .Y
+       (n_136));
+  sky130_fd_sc_hd__nor2_1 g5532__8428(.A (n_104), .B (prog_i), .Y
+       (n_135));
+  sky130_fd_sc_hd__nor2_1 g5533__5526(.A (n_106), .B (prog_i), .Y
+       (n_134));
+  sky130_fd_sc_hd__nor2_1 g5534__6783(.A (n_86), .B (prog_i), .Y
+       (n_133));
+  sky130_fd_sc_hd__nor2_1 g5535__3680(.A (n_103), .B (prog_i), .Y
+       (n_132));
+  sky130_fd_sc_hd__nor2_1 g5536__1617(.A (n_113), .B (prog_i), .Y
+       (n_131));
+  sky130_fd_sc_hd__nor2_1 g5537__2802(.A (n_112), .B (prog_i), .Y
+       (n_130));
+  sky130_fd_sc_hd__nor2_1 g5538__1705(.A (n_111), .B (prog_i), .Y
+       (n_129));
+  sky130_fd_sc_hd__nor2_1 g5539__5122(.A (n_120), .B (prog_i), .Y
+       (n_128));
+  sky130_fd_sc_hd__nor2_1 g5540__8246(.A (n_110), .B (prog_i), .Y
+       (n_127));
+  sky130_fd_sc_hd__nor2_1 g5541__7098(.A (n_109), .B (prog_i), .Y
+       (n_126));
+  sky130_fd_sc_hd__nor2_1 g5542__6131(.A (n_101), .B (prog_i), .Y
+       (n_125));
+  sky130_fd_sc_hd__nor2_1 g5543__1881(.A (n_108), .B (prog_i), .Y
+       (n_124));
+  sky130_fd_sc_hd__nor2_1 g5544__5115(.A (n_107), .B (prog_i), .Y
+       (n_123));
+  sky130_fd_sc_hd__nor2_1 g5545__7482(.A (n_114), .B (prog_i), .Y
+       (n_122));
+  sky130_fd_sc_hd__nor4_1 g5546__4733(.A (wdata_o[21]), .B
+       (wdata_o[22]), .C (wdata_o[20]), .D (n_60), .Y (n_121));
+  sky130_fd_sc_hd__a22oi_1 g5547__6161(.A1 (n_69), .A2 (rx_byte_i[2]),
+       .B1 (n_68), .B2 (wdata_o[26]), .Y (n_120));
+  sky130_fd_sc_hd__nor2_1 g5548__9315(.A (n_80), .B (prog_i), .Y
+       (n_119));
+  sky130_fd_sc_hd__nand2_1 g5549__9945(.A (n_83), .B (n_56), .Y
+       (n_118));
+  sky130_fd_sc_hd__nand4_1 g5550__2883(.A (n_53), .B (wdata_o[0]), .C
+       (wdata_o[5]), .D (wdata_o[7]), .Y (n_117));
+  sky130_fd_sc_hd__and4b_1 g5551__2346(.A_N (n_54), .B (rx_byte_i[2]),
+       .C (rx_byte_i[4]), .D (rx_byte_i[3]), .X (n_116));
+  sky130_fd_sc_hd__or3_1 g5552__1666(.A (wdata_o[13]), .B
+       (wdata_o[12]), .C (n_61), .X (n_115));
+  sky130_fd_sc_hd__a22oi_1 g5553__7410(.A1 (n_69), .A2 (rx_byte_i[7]),
+       .B1 (n_68), .B2 (wdata_o[31]), .Y (n_114));
+  sky130_fd_sc_hd__a22oi_1 g5554__6417(.A1 (n_69), .A2 (rx_byte_i[0]),
+       .B1 (n_68), .B2 (wdata_o[24]), .Y (n_113));
+  sky130_fd_sc_hd__a22oi_1 g5555__5477(.A1 (n_69), .A2 (rx_byte_i[1]),
+       .B1 (n_68), .B2 (wdata_o[25]), .Y (n_112));
+  sky130_fd_sc_hd__a22oi_1 g5556__2398(.A1 (n_67), .A2 (rx_byte_i[1]),
+       .B1 (n_66), .B2 (wdata_o[1]), .Y (n_111));
+  sky130_fd_sc_hd__a22oi_1 g5557__5107(.A1 (n_69), .A2 (rx_byte_i[3]),
+       .B1 (n_68), .B2 (wdata_o[27]), .Y (n_110));
+  sky130_fd_sc_hd__a22oi_1 g5558__6260(.A1 (n_69), .A2 (rx_byte_i[4]),
+       .B1 (n_68), .B2 (wdata_o[28]), .Y (n_109));
+  sky130_fd_sc_hd__a22oi_1 g5559__4319(.A1 (n_69), .A2 (rx_byte_i[5]),
+       .B1 (n_68), .B2 (wdata_o[29]), .Y (n_108));
+  sky130_fd_sc_hd__a22oi_1 g5560__8428(.A1 (n_69), .A2 (rx_byte_i[6]),
+       .B1 (n_68), .B2 (wdata_o[30]), .Y (n_107));
+  sky130_fd_sc_hd__a22oi_1 g5561__5526(.A1 (n_67), .A2 (rx_byte_i[6]),
+       .B1 (n_66), .B2 (wdata_o[6]), .Y (n_106));
+  sky130_fd_sc_hd__a22oi_1 g5562__6783(.A1 (n_67), .A2 (rx_byte_i[2]),
+       .B1 (n_66), .B2 (wdata_o[2]), .Y (n_105));
+  sky130_fd_sc_hd__a22oi_1 g5563__3680(.A1 (n_67), .A2 (rx_byte_i[3]),
+       .B1 (n_66), .B2 (wdata_o[3]), .Y (n_104));
+  sky130_fd_sc_hd__a22oi_1 g5564__1617(.A1 (n_67), .A2 (rx_byte_i[5]),
+       .B1 (n_66), .B2 (wdata_o[5]), .Y (n_103));
+  sky130_fd_sc_hd__a22oi_1 g5566__2802(.A1 (n_78), .A2 (rx_byte_i[5]),
+       .B1 (n_79), .B2 (wdata_o[21]), .Y (n_102));
+  sky130_fd_sc_hd__a22oi_1 g5567__1705(.A1 (n_67), .A2 (rx_byte_i[4]),
+       .B1 (n_66), .B2 (wdata_o[4]), .Y (n_101));
+  sky130_fd_sc_hd__a22oi_1 g5568__5122(.A1 (n_67), .A2 (rx_byte_i[7]),
+       .B1 (n_66), .B2 (wdata_o[7]), .Y (n_100));
+  sky130_fd_sc_hd__a22oi_1 g5569__8246(.A1 (n_78), .A2 (rx_byte_i[7]),
+       .B1 (n_79), .B2 (wdata_o[23]), .Y (n_99));
+  sky130_fd_sc_hd__a22oi_1 g5570__7098(.A1 (n_78), .A2 (rx_byte_i[0]),
+       .B1 (n_79), .B2 (wdata_o[16]), .Y (n_98));
+  sky130_fd_sc_hd__a22oi_1 g5571__6131(.A1 (n_78), .A2 (rx_byte_i[1]),
+       .B1 (n_79), .B2 (wdata_o[17]), .Y (n_97));
+  sky130_fd_sc_hd__a22oi_1 g5572__1881(.A1 (n_78), .A2 (rx_byte_i[2]),
+       .B1 (n_79), .B2 (wdata_o[18]), .Y (n_96));
+  sky130_fd_sc_hd__a22oi_1 g5573__5115(.A1 (n_78), .A2 (rx_byte_i[3]),
+       .B1 (n_79), .B2 (wdata_o[19]), .Y (n_95));
+  sky130_fd_sc_hd__a22oi_1 g5574__7482(.A1 (n_78), .A2 (rx_byte_i[4]),
+       .B1 (n_79), .B2 (wdata_o[20]), .Y (n_94));
+  sky130_fd_sc_hd__a22oi_1 g5575__4733(.A1 (n_67), .A2 (rx_byte_i[0]),
+       .B1 (n_66), .B2 (wdata_o[0]), .Y (n_93));
+  sky130_fd_sc_hd__a22oi_1 g5576__6161(.A1 (n_78), .A2 (rx_byte_i[6]),
+       .B1 (n_79), .B2 (wdata_o[22]), .Y (n_92));
+  sky130_fd_sc_hd__a22oi_1 g5577__9315(.A1 (n_77), .A2 (rx_byte_i[0]),
+       .B1 (n_76), .B2 (wdata_o[8]), .Y (n_91));
+  sky130_fd_sc_hd__a22oi_1 g5578__9945(.A1 (n_77), .A2 (rx_byte_i[1]),
+       .B1 (n_76), .B2 (wdata_o[9]), .Y (n_90));
+  sky130_fd_sc_hd__a22oi_1 g5579__2883(.A1 (n_77), .A2 (rx_byte_i[2]),
+       .B1 (n_76), .B2 (wdata_o[10]), .Y (n_89));
+  sky130_fd_sc_hd__a22oi_1 g5580__2346(.A1 (n_77), .A2 (rx_byte_i[5]),
+       .B1 (n_76), .B2 (wdata_o[13]), .Y (n_88));
+  sky130_fd_sc_hd__a22oi_1 g5581__1666(.A1 (n_77), .A2 (rx_byte_i[7]),
+       .B1 (n_76), .B2 (wdata_o[15]), .Y (n_87));
+  sky130_fd_sc_hd__a22oi_1 g5582__7410(.A1 (n_77), .A2 (rx_byte_i[3]),
+       .B1 (n_76), .B2 (wdata_o[11]), .Y (n_86));
+  sky130_fd_sc_hd__a22oi_1 g5583__6417(.A1 (n_77), .A2 (rx_byte_i[4]),
+       .B1 (n_76), .B2 (wdata_o[12]), .Y (n_85));
+  sky130_fd_sc_hd__a22oi_1 g5584__5477(.A1 (n_77), .A2 (rx_byte_i[6]),
+       .B1 (n_76), .B2 (wdata_o[14]), .Y (n_84));
+  sky130_fd_sc_hd__ha_1 g5585__2398(.A (addr_o[0]), .B (n_48), .COUT
+       (n_82), .SUM (n_83));
+  sky130_fd_sc_hd__nor2_1 g5586__5107(.A (n_65), .B (prog_i), .Y
+       (n_81));
+  sky130_fd_sc_hd__a2bb2oi_1 g5587__6260(.A1_N (n_49), .A2_N (n_51),
+       .B1 (byte_count[1]), .B2 (n_49), .Y (n_80));
+  sky130_fd_sc_hd__inv_2 g5588(.A (n_79), .Y (n_78));
+  sky130_fd_sc_hd__inv_2 g5589(.A (n_77), .Y (n_76));
+  sky130_fd_sc_hd__nand2_1 g5590__4319(.A (n_57), .B (addr_o[1]), .Y
+       (n_75));
+  sky130_fd_sc_hd__nand2_1 g5591__8428(.A (n_57), .B (addr_o[3]), .Y
+       (n_74));
+  sky130_fd_sc_hd__nand2_1 g5592__5526(.A (n_57), .B (addr_o[8]), .Y
+       (n_73));
+  sky130_fd_sc_hd__nand2_1 g5593__6783(.A (n_57), .B (addr_o[7]), .Y
+       (n_72));
+  sky130_fd_sc_hd__nand2_1 g5594__3680(.A (n_57), .B (addr_o[2]), .Y
+       (n_71));
+  sky130_fd_sc_hd__nand2_1 g5595__1617(.A (n_57), .B (addr_o[4]), .Y
+       (n_70));
+  sky130_fd_sc_hd__nand2_1 g5596__2802(.A (n_58), .B (byte_count[0]),
+       .Y (n_79));
+  sky130_fd_sc_hd__nor2_1 g5597__1705(.A (byte_count[0]), .B (n_59), .Y
+       (n_77));
+  sky130_fd_sc_hd__inv_2 g5598(.A (n_69), .Y (n_68));
+  sky130_fd_sc_hd__inv_2 g5599(.A (n_67), .Y (n_66));
+  sky130_fd_sc_hd__xor2_1 g5600__5122(.A (n_49), .B (byte_count[0]), .X
+       (n_65));
+  sky130_fd_sc_hd__nand2_1 g5601__8246(.A (n_57), .B (addr_o[6]), .Y
+       (n_64));
+  sky130_fd_sc_hd__nand2_1 g5602__7098(.A (n_57), .B (addr_o[0]), .Y
+       (n_63));
+  sky130_fd_sc_hd__nand2_1 g5603__6131(.A (n_57), .B (addr_o[5]), .Y
+       (n_62));
+  sky130_fd_sc_hd__nand4_1 g5604__1881(.A (wdata_o[8]), .B
+       (wdata_o[10]), .C (wdata_o[9]), .D (wdata_o[11]), .Y (n_61));
+  sky130_fd_sc_hd__or4_1 g5605__5115(.A (wdata_o[19]), .B
+       (wdata_o[17]), .C (wdata_o[16]), .D (wdata_o[18]), .X (n_60));
+  sky130_fd_sc_hd__nor2b_1 g5606__7482(.A (byte_count[0]), .B_N (n_58),
+       .Y (n_69));
+  sky130_fd_sc_hd__nor2_1 g5607__4733(.A (n_0), .B (n_59), .Y (n_67));
+  sky130_fd_sc_hd__inv_2 g5608(.A (n_57), .Y (n_56));
+  sky130_fd_sc_hd__o21ai_1 g5609__6161(.A1 (ctrl_fsm_cs[0]), .A2
+       (ctrl_fsm_cs[1]), .B1 (reset_o), .Y (n_55));
+  sky130_fd_sc_hd__nand2_1 g5610__9315(.A (n_50), .B (byte_count[1]),
+       .Y (n_59));
+  sky130_fd_sc_hd__nor2_1 g5611__9945(.A (byte_count[1]), .B (n_49), .Y
+       (n_58));
+  sky130_fd_sc_hd__nor2_1 g5612__2883(.A (n_50), .B (n_48), .Y (n_57));
+  sky130_fd_sc_hd__nand3_1 g5613__2346(.A (rx_byte_i[7]), .B
+       (rx_byte_i[5]), .C (rx_byte_i[6]), .Y (n_54));
+  sky130_fd_sc_hd__and3_1 g5614__1666(.A (wdata_o[3]), .B (wdata_o[2]),
+       .C (wdata_o[1]), .X (n_53));
+  sky130_fd_sc_hd__nor3_1 g5615__7410(.A (rx_dv_i), .B
+       (ctrl_fsm_cs[0]), .C (ctrl_fsm_cs[1]), .Y (n_52));
+  sky130_fd_sc_hd__xor2_1 g5616__6417(.A (n_0), .B (byte_count[1]), .X
+       (n_51));
+  sky130_fd_sc_hd__inv_2 g5617(.A (n_50), .Y (n_49));
+  sky130_fd_sc_hd__nor2_1 g5618__5477(.A (ctrl_fsm_cs[1]), .B (n_26),
+       .Y (n_50));
+  sky130_fd_sc_hd__nor2_1 g5619__2398(.A (ctrl_fsm_cs[0]), .B (n_1), .Y
+       (n_48));
+  sky130_fd_sc_hd__inv_1 g5620(.A (rx_dv_i), .Y (n_47));
+  sky130_fd_sc_hd__dfsbp_1 \ctrl_fsm_cs_reg[0] (.SET_B (rst_ni), .CLK
+       (clk_i), .D (n_165), .Q (ctrl_fsm_cs[0]), .Q_N (n_26));
+  sky130_fd_sc_hd__dfsbp_1 \ctrl_fsm_cs_reg[1] (.SET_B (rst_ni), .CLK
+       (clk_i), .D (n_189), .Q (ctrl_fsm_cs[1]), .Q_N (n_1));
+  sky130_fd_sc_hd__dfrbp_1 \byte_count_reg[0] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_81), .Q (byte_count[0]), .Q_N (n_0));
 endmodule
 
-module tcam_top (
-	rst_ni, 
-	csb_i, 
-	web_i, 
-	wmask_i, 
-	addr_i, 
-	wdata_i, 
-	rdata_o, 
-	FE_OFN15_system_rst_ni, 
-	FE_OFN10_system_rst_ni, 
-	FE_OFN8_system_rst_ni, 
-	clk_i_clone4, 
-	clk_i_clone3, 
-	clk_i_clone2, 
-	clk_i_clone1, 
-	clk_i, 
-	vccd1, 
-	vssd1);
-   input rst_ni;
-   input csb_i;
-   input web_i;
-   input [3:0] wmask_i;
-   input [31:0] addr_i;
-   input [31:0] wdata_i;
-   output [31:0] rdata_o;
-   input FE_OFN15_system_rst_ni;
-   input FE_OFN10_system_rst_ni;
-   input FE_OFN8_system_rst_ni;
-   input clk_i_clone4;
-   input clk_i_clone3;
-   input clk_i_clone2;
-   input clk_i_clone1;
-   input clk_i;
-   inout vccd1;
-   inout vssd1;
-
-   // Internal wires
-   wire FE_USKN3959_CTS_79;
-   wire FE_PSN3923_FE_OFN15880_u_tcam_data_wdata_30;
-   wire FE_PSN3922_FE_OFN15881_u_tcam_data_wdata_24;
-   wire FE_PSN3918_FE_OFN15881_u_tcam_data_wdata_24;
-   wire FE_USKN3913_CTS_3;
-   wire FE_USKN3912_CTS_3;
-   wire FE_USKN3911_CTS_3;
-   wire FE_USKN3910_CTS_3;
-   wire FE_USKN3867_CTS_3;
-   wire FE_USKN3866_CTS_3;
-   wire FE_USKN3865_CTS_79;
-   wire FE_USKN3858_CTS_3;
-   wire FE_PDN3805_FE_OFN1094_addrn_11;
-   wire FE_PDN3803_FE_OFN1092_addrn_12;
-   wire FE_PDN3802_addrn_2;
-   wire FE_PDN3801_wdatan_20;
-   wire FE_PDN3796_wmaskn_0;
-   wire FE_PDN3792_wdatan_25;
-   wire FE_PDN3791_wdatan_24;
-   wire FE_PDN3777_wdatan_26;
-   wire FE_PDN3776_addrn_12;
-   wire FE_PDN3771_addrn_6;
-   wire FE_PDN3759_addrn_11;
-   wire FE_PDN3750_FE_OFN16082_u_tcam_data_wdata_9;
-   wire FE_PDN3736_FE_OFN1084_addrn_16;
-   wire FE_PDN3727_wdatan_30;
-   wire FE_PDN3705_wdatan_10;
-   wire FE_PDN3702_wdatan_31;
-   wire FE_PDN3671_FE_OFN1392_addrn_22;
-   wire FE_PDN3670_FE_OFN1556_addrn_18;
-   wire FE_OFN16809_u_tcam_data_wdata_2;
-   wire FE_OFN16761_u_tcam_data_addr_23;
-   wire FE_OFN16758_u_tcam_data_addr_25;
-   wire FE_OFN16756_u_tcam_data_addr_21;
-   wire FE_OFN16738_FE_OFN1112_wdatan_29;
-   wire FE_OFN16732_addrn_22;
-   wire FE_OFN16723_wdatan_10;
-   wire CTS_3;
-   wire CTS_2;
-   wire FE_OFN16678_wdatan_23;
-   wire FE_OFN16552_addrn_24;
-   wire FE_OFN16525_addrn_17;
-   wire FE_OFN16214_FE_OFN1726_n;
-   wire FE_OFN16204_wdatan_22;
-   wire FE_OFN16166_wdatan_14;
-   wire FE_OFN16138_wdatan_15;
-   wire FE_OFN16116_FE_OFN1084_addrn_16;
-   wire FE_OFN16082_u_tcam_data_wdata_9;
-   wire FE_OFN16057_u_tcam_data_wdata_20;
-   wire FE_OFN15906_u_tcam_data_wdata_3;
-   wire FE_OFN15903_u_tcam_data_wdata_5;
-   wire FE_OFN15901_u_tcam_data_wdata_6;
-   wire FE_OFN15900_u_tcam_data_wdata_8;
-   wire FE_OFN15898_u_tcam_data_wdata_16;
-   wire FE_OFN15895_u_tcam_data_wdata_17;
-   wire FE_OFN15893_u_tcam_data_wdata_7;
-   wire FE_OFN15882_u_tcam_data_wdata_29;
-   wire FE_OFN15881_u_tcam_data_wdata_24;
-   wire FE_OFN15880_u_tcam_data_wdata_30;
-   wire FE_OFN15876_u_tcam_data_wdata_26;
-   wire FE_OFN15875_u_tcam_data_wdata_27;
-   wire FE_OFN15874_u_tcam_data_wdata_25;
-   wire FE_OFN15872_u_tcam_data_wdata_31;
-   wire FE_OFN15870_u_tcam_data_wdata_28;
-   wire FE_OFN15866_u_tcam_data_wdata_18;
-   wire FE_OFN1731_n;
-   wire FE_OFN1730_n;
-   wire FE_OFN1729_n;
-   wire FE_OFN1728_n;
-   wire FE_OFN1727_n;
-   wire FE_OFN1725_n;
-   wire FE_OFN1724_n;
-   wire FE_OFN1557_addrn_18;
-   wire FE_OFN1556_addrn_18;
-   wire FE_OFN1393_addrn_22;
-   wire FE_OFN1392_addrn_22;
-   wire FE_OFN1391_addrn_23;
-   wire FE_OFN1390_addrn_24;
-   wire FE_OFN1224_u_tcam_data_addr_6;
-   wire FE_OFN1223_u_tcam_data_addr_7;
-   wire FE_OFN1222_u_tcam_data_addr_15;
-   wire FE_OFN1221_u_tcam_data_addr_16;
-   wire FE_OFN1220_u_tcam_data_addr_17;
-   wire FE_OFN1219_u_tcam_data_addr_18;
-   wire FE_OFN1218_u_tcam_data_addr_19;
-   wire FE_OFN1217_u_tcam_data_addr_20;
-   wire FE_OFN1216_u_tcam_data_addr_22;
-   wire FE_OFN1156_webn;
-   wire FE_OFN1154_wdatan_0;
-   wire FE_OFN1153_wdatan_0;
-   wire FE_OFN1152_wdatan_1;
-   wire FE_OFN1151_wdatan_2;
-   wire FE_OFN1150_wdatan_2;
-   wire FE_OFN1149_wdatan_3;
-   wire FE_OFN1148_wdatan_4;
-   wire FE_OFN1147_wdatan_4;
-   wire FE_OFN1146_wdatan_5;
-   wire FE_OFN1145_wdatan_6;
-   wire FE_OFN1144_wdatan_7;
-   wire FE_OFN1143_wdatan_8;
-   wire FE_OFN1142_wdatan_9;
-   wire FE_OFN1140_wdatan_10;
-   wire FE_OFN1139_wdatan_11;
-   wire FE_OFN1138_wdatan_11;
-   wire FE_OFN1136_wdatan_12;
-   wire FE_OFN1135_wdatan_13;
-   wire FE_OFN1134_wdatan_13;
-   wire FE_OFN1129_wdatan_16;
-   wire FE_OFN1128_wdatan_17;
-   wire FE_OFN1127_wdatan_18;
-   wire FE_OFN1126_wdatan_19;
-   wire FE_OFN1125_wdatan_20;
-   wire FE_OFN1124_wdatan_21;
-   wire FE_OFN1119_wdatan_24;
-   wire FE_OFN1118_wdatan_25;
-   wire FE_OFN1117_wdatan_26;
-   wire FE_OFN1116_wdatan_27;
-   wire FE_OFN1115_wdatan_27;
-   wire FE_OFN1114_wdatan_28;
-   wire FE_OFN1113_wdatan_28;
-   wire FE_OFN1110_wdatan_30;
-   wire FE_OFN1108_wdatan_31;
-   wire FE_OFN1106_addrn_0;
-   wire FE_OFN1105_addrn_1;
-   wire FE_OFN1104_addrn_2;
-   wire FE_OFN1103_addrn_3;
-   wire FE_OFN1102_addrn_4;
-   wire FE_OFN1101_addrn_5;
-   wire FE_OFN1100_addrn_6;
-   wire FE_OFN1099_addrn_7;
-   wire FE_OFN1098_addrn_8;
-   wire FE_OFN1097_addrn_9;
-   wire FE_OFN1096_addrn_10;
-   wire FE_OFN1095_addrn_11;
-   wire FE_OFN1094_addrn_11;
-   wire FE_OFN1093_addrn_12;
-   wire FE_OFN1092_addrn_12;
-   wire FE_OFN1091_addrn_13;
-   wire FE_OFN1090_addrn_13;
-   wire FE_OFN1089_addrn_14;
-   wire FE_OFN1088_addrn_14;
-   wire FE_OFN1087_addrn_15;
-   wire FE_OFN1086_addrn_15;
-   wire FE_OFN1085_addrn_16;
-   wire FE_OFN1084_addrn_16;
-   wire FE_OFN1083_addrn_17;
-   wire FE_OFN1082_addrn_17;
-   wire FE_OFN1081_addrn_19;
-   wire FE_OFN1080_addrn_19;
-   wire FE_OFN1079_addrn_20;
-   wire FE_OFN1078_addrn_20;
-   wire FE_OFN1077_addrn_21;
-   wire FE_OFN1076_addrn_21;
-   wire FE_OFN1075_addrn_25;
-   wire FE_OFN1074_wmaskn_0;
-   wire FE_OFN1073_wmaskn_1;
-   wire FE_OFN1072_wmaskn_2;
-   wire FE_OFN805_u_tcam_u_tcam_adapter_wmask_int_0__30;
-   wire FE_OFN182_system_rst_ni;
-   wire FE_OFN95_system_rst_ni;
-   wire [3:0] wmaskn;
-   wire [31:0] addrn;
-   wire [31:0] wdatan;
-   wire csbn;
-   wire logic_1_1_net;
-   wire webn;
-
-   assign addrn[26] = 1'b0 ;
-   assign addrn[27] = 1'b0 ;
-
-   // Module instantiations
-   sky130_fd_sc_hd__clkbuf_1 FE_USKC3959_CTS_79 (
-	.A(clk_i_clone3),
-	.X(FE_USKN3959_CTS_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_98 (
-	.DIODE(addrn[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC3923_FE_OFN15880_u_tcam_data_wdata_30 (
-	.A(FE_OFN15880_u_tcam_data_wdata_30),
-	.X(FE_PSN3923_FE_OFN15880_u_tcam_data_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC3922_FE_OFN15881_u_tcam_data_wdata_24 (
-	.A(FE_OFN15881_u_tcam_data_wdata_24),
-	.X(FE_PSN3922_FE_OFN15881_u_tcam_data_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC3918_FE_OFN15881_u_tcam_data_wdata_24 (
-	.A(FE_PSN3922_FE_OFN15881_u_tcam_data_wdata_24),
-	.X(FE_PSN3918_FE_OFN15881_u_tcam_data_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_USKC3913_CTS_3 (
-	.A(FE_USKN3913_CTS_3),
-	.X(CTS_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3912_CTS_3 (
-	.A(FE_USKN3912_CTS_3),
-	.X(FE_USKN3858_CTS_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3911_CTS_3 (
-	.A(FE_USKN3911_CTS_3),
-	.X(FE_USKN3866_CTS_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3910_CTS_3 (
-	.A(FE_USKN3910_CTS_3),
-	.X(FE_USKN3867_CTS_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_USKC3867_CTS_3 (
-	.A(FE_USKN3867_CTS_3),
-	.X(FE_USKN3913_CTS_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_USKC3866_CTS_3 (
-	.A(FE_USKN3866_CTS_3),
-	.X(FE_USKN3912_CTS_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_USKC3865_CTS_79 (
-	.A(clk_i_clone3),
-	.X(FE_USKN3865_CTS_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_USKC3858_CTS_3 (
-	.A(FE_USKN3858_CTS_3),
-	.X(FE_USKN3910_CTS_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3805_FE_OFN1094_addrn_11 (
-	.A(FE_OFN1094_addrn_11),
-	.X(FE_PDN3805_FE_OFN1094_addrn_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3803_FE_OFN1092_addrn_12 (
-	.A(FE_OFN1092_addrn_12),
-	.X(FE_PDN3803_FE_OFN1092_addrn_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3802_addrn_2 (
-	.A(addrn[2]),
-	.X(FE_PDN3802_addrn_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3801_wdatan_20 (
-	.A(wdatan[20]),
-	.X(FE_PDN3801_wdatan_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3796_wmaskn_0 (
-	.A(wmaskn[0]),
-	.X(FE_PDN3796_wmaskn_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3792_wdatan_25 (
-	.A(wdatan[25]),
-	.X(FE_PDN3792_wdatan_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3791_wdatan_24 (
-	.A(wdatan[24]),
-	.X(FE_PDN3791_wdatan_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3777_wdatan_26 (
-	.A(wdatan[26]),
-	.X(FE_PDN3777_wdatan_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3776_addrn_12 (
-	.A(addrn[12]),
-	.X(FE_PDN3776_addrn_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3771_addrn_6 (
-	.A(addrn[6]),
-	.X(FE_PDN3771_addrn_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3759_addrn_11 (
-	.A(addrn[11]),
-	.X(FE_PDN3759_addrn_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3750_FE_OFN16082_u_tcam_data_wdata_9 (
-	.A(FE_OFN16082_u_tcam_data_wdata_9),
-	.X(FE_PDN3750_FE_OFN16082_u_tcam_data_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3736_FE_OFN1084_addrn_16 (
-	.A(FE_OFN1084_addrn_16),
-	.X(FE_PDN3736_FE_OFN1084_addrn_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3727_wdatan_30 (
-	.A(wdatan[30]),
-	.X(FE_PDN3727_wdatan_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3705_wdatan_10 (
-	.A(wdatan[10]),
-	.X(FE_PDN3705_wdatan_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3702_wdatan_31 (
-	.A(wdatan[31]),
-	.X(FE_PDN3702_wdatan_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3671_FE_OFN1392_addrn_22 (
-	.A(FE_OFN1392_addrn_22),
-	.X(FE_PDN3671_FE_OFN1392_addrn_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC3670_FE_OFN1556_addrn_18 (
-	.A(FE_OFN1556_addrn_18),
-	.X(FE_PDN3670_FE_OFN1556_addrn_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_60 (
-	.DIODE(FE_OFN1218_u_tcam_data_addr_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_58 (
-	.DIODE(FE_OFN1221_u_tcam_data_addr_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_31 (
-	.DIODE(FE_OFN15895_u_tcam_data_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_29 (
-	.DIODE(wdata_i[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_28 (
-	.DIODE(FE_OFN15866_u_tcam_data_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_26 (
-	.DIODE(FE_OFN15893_u_tcam_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_25 (
-	.DIODE(FE_OFN15901_u_tcam_data_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_24 (
-	.DIODE(FE_OFN15903_u_tcam_data_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3483_u_tcam_data_wdata_2 (
-	.A(wdata_i[2]),
-	.X(FE_OFN16809_u_tcam_data_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3470_u_tcam_data_wdata_25 (
-	.A(wdata_i[25]),
-	.X(FE_OFN15874_u_tcam_data_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3460_u_tcam_data_wdata_26 (
-	.A(wdata_i[26]),
-	.X(FE_OFN15876_u_tcam_data_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3364_u_tcam_data_addr_23 (
-	.A(addr_i[23]),
-	.X(FE_OFN16761_u_tcam_data_addr_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3361_u_tcam_data_addr_25 (
-	.A(addr_i[25]),
-	.X(FE_OFN16758_u_tcam_data_addr_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3359_u_tcam_data_addr_21 (
-	.A(addr_i[21]),
-	.X(FE_OFN16756_u_tcam_data_addr_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3353_u_tcam_data_wdata_16 (
-	.A(wdata_i[16]),
-	.X(FE_OFN15898_u_tcam_data_wdata_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3352_u_tcam_data_wdata_17 (
-	.A(wdata_i[17]),
-	.X(FE_OFN15895_u_tcam_data_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3351_u_tcam_data_wdata_20 (
-	.A(wdata_i[20]),
-	.X(FE_OFN16057_u_tcam_data_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3346_u_tcam_data_wdata_28 (
-	.A(wdata_i[28]),
-	.X(FE_OFN15870_u_tcam_data_wdata_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3342_u_tcam_data_wdata_31 (
-	.A(wdata_i[31]),
-	.X(FE_OFN15872_u_tcam_data_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3338_u_tcam_data_wdata_29 (
-	.A(wdata_i[29]),
-	.X(FE_OFN15882_u_tcam_data_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3337_u_tcam_data_wdata_24 (
-	.A(wdata_i[24]),
-	.X(FE_OFN15881_u_tcam_data_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3328_u_tcam_data_addr_19 (
-	.A(addr_i[19]),
-	.X(FE_OFN1218_u_tcam_data_addr_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3327_u_tcam_data_addr_16 (
-	.A(addr_i[16]),
-	.X(FE_OFN1221_u_tcam_data_addr_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3317_u_tcam_data_wdata_30 (
-	.A(wdata_i[30]),
-	.X(FE_OFN15880_u_tcam_data_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3313_u_tcam_data_wdata_27 (
-	.A(wdata_i[27]),
-	.X(FE_OFN15875_u_tcam_data_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3292_u_tcam_data_addr_22 (
-	.A(addr_i[22]),
-	.X(FE_OFN1216_u_tcam_data_addr_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3290_u_tcam_data_addr_17 (
-	.A(addr_i[17]),
-	.X(FE_OFN1220_u_tcam_data_addr_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC3238_FE_OFN1112_wdatan_29 (
-	.A(wdatan[29]),
-	.X(FE_OFN16738_FE_OFN1112_wdatan_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3232_addrn_22 (
-	.A(addrn[22]),
-	.X(FE_OFN16732_addrn_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3223_wdatan_10 (
-	.A(FE_PDN3705_wdatan_10),
-	.X(FE_OFN16723_wdatan_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 CTS_ccl_a_buf_00784 (
-	.A(clk_i_clone2),
-	.X(FE_USKN3911_CTS_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00782 (
-	.A(clk_i_clone2),
-	.X(CTS_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3178_wdatan_23 (
-	.A(wdatan[23]),
-	.X(FE_OFN16678_wdatan_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3052_addrn_24 (
-	.A(addrn[24]),
-	.X(FE_OFN16552_addrn_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3025_addrn_17 (
-	.A(addrn[17]),
-	.X(FE_OFN16525_addrn_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC2714_FE_OFN1726_n (
-	.A(FE_OFN1136_wdatan_12),
-	.X(FE_OFN16214_FE_OFN1726_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2704_wdatan_22 (
-	.A(wdatan[22]),
-	.X(FE_OFN16204_wdatan_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2666_wdatan_14 (
-	.A(wdatan[14]),
-	.X(FE_OFN16166_wdatan_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2638_wdatan_15 (
-	.A(wdatan[15]),
-	.X(FE_OFN16138_wdatan_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC2616_FE_OFN1084_addrn_16 (
-	.A(FE_PDN3736_FE_OFN1084_addrn_16),
-	.X(FE_OFN16116_FE_OFN1084_addrn_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2567_u_tcam_data_wdata_9 (
-	.A(wdata_i[9]),
-	.X(FE_OFN16082_u_tcam_data_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2561_u_tcam_data_wdata_3 (
-	.A(wdata_i[3]),
-	.X(FE_OFN15906_u_tcam_data_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2556_u_tcam_data_wdata_5 (
-	.A(wdata_i[5]),
-	.X(FE_OFN15903_u_tcam_data_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2539_u_tcam_data_wdata_6 (
-	.A(wdata_i[6]),
-	.X(FE_OFN15901_u_tcam_data_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2505_u_tcam_data_wdata_7 (
-	.A(wdata_i[7]),
-	.X(FE_OFN15893_u_tcam_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2259_u_tcam_data_wdata_8 (
-	.A(wdata_i[8]),
-	.X(FE_OFN15900_u_tcam_data_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2225_u_tcam_data_wdata_18 (
-	.A(wdata_i[18]),
-	.X(FE_OFN15866_u_tcam_data_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1952_u_tcam_u_tcam_adapter_wmask_int_0__30 (
-	.A(wmask_i[3]),
-	.X(FE_OFN805_u_tcam_u_tcam_adapter_wmask_int_0__30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1951_u_tcam_data_addr_6 (
-	.A(addr_i[6]),
-	.X(FE_OFN1224_u_tcam_data_addr_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1950_u_tcam_data_addr_15 (
-	.A(addr_i[15]),
-	.X(FE_OFN1222_u_tcam_data_addr_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1944_u_tcam_data_addr_18 (
-	.A(addr_i[18]),
-	.X(FE_OFN1219_u_tcam_data_addr_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1941_u_tcam_data_addr_7 (
-	.A(addr_i[7]),
-	.X(FE_OFN1223_u_tcam_data_addr_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1940_u_tcam_data_addr_20 (
-	.A(addr_i[20]),
-	.X(FE_OFN1217_u_tcam_data_addr_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1731_addrn_25 (
-	.A(FE_OFN1075_addrn_25),
-	.X(FE_OFN1731_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1730_wdatan_23 (
-	.A(FE_OFN16678_wdatan_23),
-	.X(FE_OFN1730_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1729_wdatan_22 (
-	.A(FE_OFN16204_wdatan_22),
-	.X(FE_OFN1729_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1728_wdatan_15 (
-	.A(FE_OFN16138_wdatan_15),
-	.X(FE_OFN1728_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1727_wdatan_14 (
-	.A(FE_OFN16166_wdatan_14),
-	.X(FE_OFN1727_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1725_wdatan_10 (
-	.A(FE_OFN1140_wdatan_10),
-	.X(FE_OFN1725_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC1724_csbn (
-	.A(csbn),
-	.X(FE_OFN1724_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1557_addrn_18 (
-	.A(FE_PDN3670_FE_OFN1556_addrn_18),
-	.Y(FE_OFN1557_addrn_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_OFC1556_addrn_18 (
-	.A(addrn[18]),
-	.Y(FE_OFN1556_addrn_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1393_addrn_22 (
-	.A(FE_PDN3671_FE_OFN1392_addrn_22),
-	.Y(FE_OFN1393_addrn_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1392_addrn_22 (
-	.A(FE_OFN16732_addrn_22),
-	.Y(FE_OFN1392_addrn_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1391_addrn_23 (
-	.A(addrn[23]),
-	.X(FE_OFN1391_addrn_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1390_addrn_24 (
-	.A(FE_OFN16552_addrn_24),
-	.X(FE_OFN1390_addrn_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1156_webn (
-	.A(webn),
-	.X(FE_OFN1156_webn), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC1154_wdatan_0 (
-	.A(FE_OFN1153_wdatan_0),
-	.Y(FE_OFN1154_wdatan_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1153_wdatan_0 (
-	.A(wdatan[0]),
-	.Y(FE_OFN1153_wdatan_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1152_wdatan_1 (
-	.A(wdatan[1]),
-	.X(FE_OFN1152_wdatan_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_OFC1151_wdatan_2 (
-	.A(FE_OFN1150_wdatan_2),
-	.Y(FE_OFN1151_wdatan_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1150_wdatan_2 (
-	.A(wdatan[2]),
-	.Y(FE_OFN1150_wdatan_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1149_wdatan_3 (
-	.A(wdatan[3]),
-	.X(FE_OFN1149_wdatan_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1148_wdatan_4 (
-	.A(FE_OFN1147_wdatan_4),
-	.X(FE_OFN1148_wdatan_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1147_wdatan_4 (
-	.A(wdatan[4]),
-	.X(FE_OFN1147_wdatan_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1146_wdatan_5 (
-	.A(wdatan[5]),
-	.X(FE_OFN1146_wdatan_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1145_wdatan_6 (
-	.A(wdatan[6]),
-	.X(FE_OFN1145_wdatan_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1144_wdatan_7 (
-	.A(wdatan[7]),
-	.X(FE_OFN1144_wdatan_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1143_wdatan_8 (
-	.A(wdatan[8]),
-	.X(FE_OFN1143_wdatan_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1142_wdatan_9 (
-	.A(wdatan[9]),
-	.X(FE_OFN1142_wdatan_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1140_wdatan_10 (
-	.A(FE_OFN16723_wdatan_10),
-	.X(FE_OFN1140_wdatan_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC1139_wdatan_11 (
-	.A(FE_OFN1138_wdatan_11),
-	.Y(FE_OFN1139_wdatan_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1138_wdatan_11 (
-	.A(wdatan[11]),
-	.Y(FE_OFN1138_wdatan_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1136_wdatan_12 (
-	.A(wdatan[12]),
-	.X(FE_OFN1136_wdatan_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1135_wdatan_13 (
-	.A(FE_OFN1134_wdatan_13),
-	.X(FE_OFN1135_wdatan_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1134_wdatan_13 (
-	.A(wdatan[13]),
-	.X(FE_OFN1134_wdatan_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1129_wdatan_16 (
-	.A(wdatan[16]),
-	.X(FE_OFN1129_wdatan_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1128_wdatan_17 (
-	.A(wdatan[17]),
-	.X(FE_OFN1128_wdatan_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1127_wdatan_18 (
-	.A(wdatan[18]),
-	.X(FE_OFN1127_wdatan_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1126_wdatan_19 (
-	.A(wdatan[19]),
-	.X(FE_OFN1126_wdatan_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1125_wdatan_20 (
-	.A(FE_PDN3801_wdatan_20),
-	.X(FE_OFN1125_wdatan_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1124_wdatan_21 (
-	.A(wdatan[21]),
-	.X(FE_OFN1124_wdatan_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1119_wdatan_24 (
-	.A(FE_PDN3791_wdatan_24),
-	.X(FE_OFN1119_wdatan_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1118_wdatan_25 (
-	.A(FE_PDN3792_wdatan_25),
-	.X(FE_OFN1118_wdatan_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1117_wdatan_26 (
-	.A(FE_PDN3777_wdatan_26),
-	.X(FE_OFN1117_wdatan_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC1116_wdatan_27 (
-	.A(FE_OFN1115_wdatan_27),
-	.Y(FE_OFN1116_wdatan_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1115_wdatan_27 (
-	.A(wdatan[27]),
-	.Y(FE_OFN1115_wdatan_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC1114_wdatan_28 (
-	.A(FE_OFN1113_wdatan_28),
-	.Y(FE_OFN1114_wdatan_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1113_wdatan_28 (
-	.A(wdatan[28]),
-	.Y(FE_OFN1113_wdatan_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1110_wdatan_30 (
-	.A(FE_PDN3727_wdatan_30),
-	.X(FE_OFN1110_wdatan_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1108_wdatan_31 (
-	.A(FE_PDN3702_wdatan_31),
-	.X(FE_OFN1108_wdatan_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1106_addrn_0 (
-	.A(addrn[0]),
-	.X(FE_OFN1106_addrn_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1105_addrn_1 (
-	.A(addrn[1]),
-	.X(FE_OFN1105_addrn_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1104_addrn_2 (
-	.A(FE_PDN3802_addrn_2),
-	.X(FE_OFN1104_addrn_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1103_addrn_3 (
-	.A(addrn[3]),
-	.X(FE_OFN1103_addrn_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1102_addrn_4 (
-	.A(addrn[4]),
-	.X(FE_OFN1102_addrn_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1101_addrn_5 (
-	.A(addrn[5]),
-	.X(FE_OFN1101_addrn_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1100_addrn_6 (
-	.A(FE_PDN3771_addrn_6),
-	.X(FE_OFN1100_addrn_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1099_addrn_7 (
-	.A(addrn[7]),
-	.X(FE_OFN1099_addrn_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1098_addrn_8 (
-	.A(addrn[8]),
-	.X(FE_OFN1098_addrn_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1097_addrn_9 (
-	.A(addrn[9]),
-	.X(FE_OFN1097_addrn_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1096_addrn_10 (
-	.A(addrn[10]),
-	.X(FE_OFN1096_addrn_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1095_addrn_11 (
-	.A(FE_PDN3805_FE_OFN1094_addrn_11),
-	.Y(FE_OFN1095_addrn_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1094_addrn_11 (
-	.A(FE_PDN3759_addrn_11),
-	.Y(FE_OFN1094_addrn_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1093_addrn_12 (
-	.A(FE_PDN3803_FE_OFN1092_addrn_12),
-	.Y(FE_OFN1093_addrn_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1092_addrn_12 (
-	.A(FE_PDN3776_addrn_12),
-	.Y(FE_OFN1092_addrn_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC1091_addrn_13 (
-	.A(FE_OFN1090_addrn_13),
-	.Y(FE_OFN1091_addrn_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1090_addrn_13 (
-	.A(addrn[13]),
-	.Y(FE_OFN1090_addrn_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1089_addrn_14 (
-	.A(FE_OFN1088_addrn_14),
-	.Y(FE_OFN1089_addrn_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1088_addrn_14 (
-	.A(addrn[14]),
-	.Y(FE_OFN1088_addrn_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC1087_addrn_15 (
-	.A(FE_OFN1086_addrn_15),
-	.Y(FE_OFN1087_addrn_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1086_addrn_15 (
-	.A(addrn[15]),
-	.Y(FE_OFN1086_addrn_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC1085_addrn_16 (
-	.A(FE_OFN16116_FE_OFN1084_addrn_16),
-	.Y(FE_OFN1085_addrn_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1084_addrn_16 (
-	.A(addrn[16]),
-	.Y(FE_OFN1084_addrn_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC1083_addrn_17 (
-	.A(FE_OFN1082_addrn_17),
-	.Y(FE_OFN1083_addrn_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1082_addrn_17 (
-	.A(FE_OFN16525_addrn_17),
-	.Y(FE_OFN1082_addrn_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1081_addrn_19 (
-	.A(FE_OFN1080_addrn_19),
-	.Y(FE_OFN1081_addrn_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC1080_addrn_19 (
-	.A(addrn[19]),
-	.Y(FE_OFN1080_addrn_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1079_addrn_20 (
-	.A(FE_OFN1078_addrn_20),
-	.Y(FE_OFN1079_addrn_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC1078_addrn_20 (
-	.A(addrn[20]),
-	.Y(FE_OFN1078_addrn_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1077_addrn_21 (
-	.A(FE_OFN1076_addrn_21),
-	.Y(FE_OFN1077_addrn_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC1076_addrn_21 (
-	.A(addrn[21]),
-	.Y(FE_OFN1076_addrn_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1075_addrn_25 (
-	.A(addrn[25]),
-	.X(FE_OFN1075_addrn_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1074_wmaskn_0 (
-	.A(FE_PDN3796_wmaskn_0),
-	.X(FE_OFN1074_wmaskn_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1073_wmaskn_1 (
-	.A(wmaskn[1]),
-	.X(FE_OFN1073_wmaskn_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1072_wmaskn_2 (
-	.A(wmaskn[2]),
-	.X(FE_OFN1072_wmaskn_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC182_system_rst_ni (
-	.A(FE_OFN10_system_rst_ni),
-	.X(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC95_system_rst_ni (
-	.A(rst_ni),
-	.X(FE_OFN95_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   tcam_32x28 u_tcam (
-	.rdata_o({ rdata_o[5],
-		rdata_o[4],
-		rdata_o[3],
-		rdata_o[2],
-		rdata_o[1],
-		rdata_o[0] }),
-	.wdata_i({ FE_OFN1108_wdatan_31,
-		FE_OFN1110_wdatan_30,
-		FE_OFN16738_FE_OFN1112_wdatan_29,
-		FE_OFN1114_wdatan_28,
-		FE_OFN1116_wdatan_27,
-		FE_OFN1117_wdatan_26,
-		FE_OFN1118_wdatan_25,
-		FE_OFN1119_wdatan_24,
-		FE_OFN1730_n,
-		FE_OFN1729_n,
-		FE_OFN1124_wdatan_21,
-		FE_OFN1125_wdatan_20,
-		FE_OFN1126_wdatan_19,
-		FE_OFN1127_wdatan_18,
-		FE_OFN1128_wdatan_17,
-		FE_OFN1129_wdatan_16,
-		FE_OFN1728_n,
-		FE_OFN1727_n,
-		FE_OFN1135_wdatan_13,
-		FE_OFN16214_FE_OFN1726_n,
-		FE_OFN1139_wdatan_11,
-		FE_OFN1725_n,
-		FE_OFN1142_wdatan_9,
-		FE_OFN1143_wdatan_8,
-		FE_OFN1144_wdatan_7,
-		FE_OFN1145_wdatan_6,
-		FE_OFN1146_wdatan_5,
-		FE_OFN1148_wdatan_4,
-		FE_OFN1149_wdatan_3,
-		FE_OFN1151_wdatan_2,
-		FE_OFN1152_wdatan_1,
-		FE_OFN1154_wdatan_0 }),
-	.addr_i({ addrn[27],
-		addrn[26],
-		FE_OFN1731_n,
-		FE_OFN1390_addrn_24,
-		FE_OFN1391_addrn_23,
-		FE_OFN1393_addrn_22,
-		FE_OFN1077_addrn_21,
-		FE_OFN1079_addrn_20,
-		FE_OFN1081_addrn_19,
-		FE_OFN1557_addrn_18,
-		FE_OFN1083_addrn_17,
-		FE_OFN1085_addrn_16,
-		FE_OFN1087_addrn_15,
-		FE_OFN1089_addrn_14,
-		FE_OFN1091_addrn_13,
-		FE_OFN1093_addrn_12,
-		FE_OFN1095_addrn_11,
-		FE_OFN1096_addrn_10,
-		FE_OFN1097_addrn_9,
-		FE_OFN1098_addrn_8,
-		FE_OFN1099_addrn_7,
-		FE_OFN1100_addrn_6,
-		FE_OFN1101_addrn_5,
-		FE_OFN1102_addrn_4,
-		FE_OFN1103_addrn_3,
-		FE_OFN1104_addrn_2,
-		FE_OFN1105_addrn_1,
-		FE_OFN1106_addrn_0 }),
-	.wmask_i({ wmaskn[3],
-		FE_OFN1072_wmaskn_2,
-		FE_OFN1073_wmaskn_1,
-		FE_OFN1074_wmaskn_0 }),
-	.web_i(FE_OFN1156_webn),
-	.csb_i(FE_OFN1724_n),
-	.clk_i(CTS_2), 
-	.vssd1(vssd1), 
-	.vccd1(vccd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[19]  (
-	.CLK_N(clk_i_clone3),
-	.D(FE_OFN1218_u_tcam_data_addr_19),
-	.Q(addrn[19]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[1]  (
-	.CLK_N(clk_i_clone4),
-	.D(addr_i[1]),
-	.Q(addrn[1]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[5]  (
-	.CLK_N(clk_i_clone4),
-	.D(addr_i[5]),
-	.Q(addrn[5]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[6]  (
-	.CLK_N(CTS_3),
-	.D(FE_OFN1224_u_tcam_data_addr_6),
-	.Q(addrn[6]),
-	.RESET_B(FE_OFN95_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[7]  (
-	.CLK_N(CTS_3),
-	.D(FE_OFN1223_u_tcam_data_addr_7),
-	.Q(addrn[7]),
-	.RESET_B(FE_OFN95_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[8]  (
-	.CLK_N(clk_i_clone4),
-	.D(addr_i[8]),
-	.Q(addrn[8]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[9]  (
-	.CLK_N(clk_i_clone4),
-	.D(addr_i[9]),
-	.Q(addrn[9]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[10]  (
-	.CLK_N(clk_i_clone4),
-	.D(addr_i[10]),
-	.Q(addrn[10]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[11]  (
-	.CLK_N(clk_i_clone1),
-	.D(addr_i[11]),
-	.Q(addrn[11]),
-	.RESET_B(FE_OFN15_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[12]  (
-	.CLK_N(clk_i_clone1),
-	.D(addr_i[12]),
-	.Q(addrn[12]),
-	.RESET_B(FE_OFN15_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[13]  (
-	.CLK_N(clk_i_clone1),
-	.D(addr_i[13]),
-	.Q(addrn[13]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[14]  (
-	.CLK_N(clk_i_clone1),
-	.D(addr_i[14]),
-	.Q(addrn[14]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[15]  (
-	.CLK_N(CTS_3),
-	.D(FE_OFN1222_u_tcam_data_addr_15),
-	.Q(addrn[15]),
-	.RESET_B(FE_OFN95_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[2]  (
-	.CLK_N(clk_i_clone4),
-	.D(addr_i[2]),
-	.Q(addrn[2]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[17]  (
-	.CLK_N(clk_i),
-	.D(FE_OFN1220_u_tcam_data_addr_17),
-	.Q(addrn[17]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[18]  (
-	.CLK_N(clk_i),
-	.D(FE_OFN1219_u_tcam_data_addr_18),
-	.Q(addrn[18]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[0]  (
-	.CLK_N(clk_i_clone4),
-	.D(addr_i[0]),
-	.Q(addrn[0]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[20]  (
-	.CLK_N(clk_i_clone3),
-	.D(FE_OFN1217_u_tcam_data_addr_20),
-	.Q(addrn[20]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[21]  (
-	.CLK_N(clk_i),
-	.D(FE_OFN16756_u_tcam_data_addr_21),
-	.Q(addrn[21]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[22]  (
-	.CLK_N(clk_i),
-	.D(FE_OFN1216_u_tcam_data_addr_22),
-	.Q(addrn[22]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[23]  (
-	.CLK_N(clk_i),
-	.D(FE_OFN16761_u_tcam_data_addr_23),
-	.Q(addrn[23]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[3]  (
-	.CLK_N(clk_i_clone4),
-	.D(addr_i[3]),
-	.Q(addrn[3]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[24]  (
-	.CLK_N(clk_i),
-	.D(addr_i[24]),
-	.Q(addrn[24]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[25]  (
-	.CLK_N(clk_i),
-	.D(FE_OFN16758_u_tcam_data_addr_25),
-	.Q(addrn[25]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[3]  (
-	.CLK_N(CTS_3),
-	.D(FE_OFN805_u_tcam_u_tcam_adapter_wmask_int_0__30),
-	.Q(wmaskn[3]),
-	.RESET_B(FE_OFN95_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[4]  (
-	.CLK_N(clk_i_clone4),
-	.D(addr_i[4]),
-	.Q(addrn[4]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[2]  (
-	.CLK_N(clk_i),
-	.D(wmask_i[2]),
-	.Q(wmaskn[2]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \addrn_reg[16]  (
-	.CLK_N(FE_USKN3959_CTS_79),
-	.D(FE_OFN1221_u_tcam_data_addr_16),
-	.Q(addrn[16]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[1]  (
-	.CLK_N(clk_i_clone4),
-	.D(wmask_i[1]),
-	.Q(wmaskn[1]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 webn_reg (
-	.CLK_N(clk_i_clone1),
-	.D(web_i),
-	.Q(webn),
-	.RESET_B(FE_OFN8_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[31]  (
-	.CLK_N(clk_i_clone3),
-	.D(FE_OFN15872_u_tcam_data_wdata_31),
-	.Q(wdatan[31]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[30]  (
-	.CLK_N(clk_i_clone3),
-	.D(FE_PSN3923_FE_OFN15880_u_tcam_data_wdata_30),
-	.Q(wdatan[30]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[14]  (
-	.CLK_N(clk_i),
-	.D(wdata_i[14]),
-	.Q(wdatan[14]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[29]  (
-	.CLK_N(clk_i_clone3),
-	.D(FE_OFN15882_u_tcam_data_wdata_29),
-	.Q(wdatan[29]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[28]  (
-	.CLK_N(clk_i_clone3),
-	.D(FE_OFN15870_u_tcam_data_wdata_28),
-	.Q(wdatan[28]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[27]  (
-	.CLK_N(clk_i_clone3),
-	.D(FE_OFN15875_u_tcam_data_wdata_27),
-	.Q(wdatan[27]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[26]  (
-	.CLK_N(clk_i_clone3),
-	.D(FE_OFN15876_u_tcam_data_wdata_26),
-	.Q(wdatan[26]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[25]  (
-	.CLK_N(clk_i_clone3),
-	.D(FE_OFN15874_u_tcam_data_wdata_25),
-	.Q(wdatan[25]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[24]  (
-	.CLK_N(FE_USKN3865_CTS_79),
-	.D(FE_PSN3918_FE_OFN15881_u_tcam_data_wdata_24),
-	.Q(wdatan[24]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[23]  (
-	.CLK_N(clk_i),
-	.D(wdata_i[23]),
-	.Q(wdatan[23]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[22]  (
-	.CLK_N(clk_i),
-	.D(wdata_i[22]),
-	.Q(wdatan[22]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[21]  (
-	.CLK_N(clk_i_clone3),
-	.D(wdata_i[21]),
-	.Q(wdatan[21]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[20]  (
-	.CLK_N(clk_i_clone3),
-	.D(FE_OFN16057_u_tcam_data_wdata_20),
-	.Q(wdatan[20]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[19]  (
-	.CLK_N(clk_i_clone3),
-	.D(wdata_i[19]),
-	.Q(wdatan[19]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[18]  (
-	.CLK_N(CTS_3),
-	.D(FE_OFN15866_u_tcam_data_wdata_18),
-	.Q(wdatan[18]),
-	.RESET_B(FE_OFN95_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[16]  (
-	.CLK_N(CTS_3),
-	.D(FE_OFN15898_u_tcam_data_wdata_16),
-	.Q(wdatan[16]),
-	.RESET_B(FE_OFN95_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[15]  (
-	.CLK_N(clk_i),
-	.D(wdata_i[15]),
-	.Q(wdatan[15]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[17]  (
-	.CLK_N(CTS_3),
-	.D(FE_OFN15895_u_tcam_data_wdata_17),
-	.Q(wdatan[17]),
-	.RESET_B(FE_OFN95_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[0]  (
-	.CLK_N(clk_i),
-	.D(wmask_i[0]),
-	.Q(wmaskn[0]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[13]  (
-	.CLK_N(clk_i),
-	.D(wdata_i[13]),
-	.Q(wdatan[13]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[12]  (
-	.CLK_N(clk_i),
-	.D(wdata_i[12]),
-	.Q(wdatan[12]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[11]  (
-	.CLK_N(clk_i),
-	.D(wdata_i[11]),
-	.Q(wdatan[11]),
-	.RESET_B(FE_OFN15_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[10]  (
-	.CLK_N(clk_i),
-	.D(wdata_i[10]),
-	.Q(wdatan[10]),
-	.RESET_B(FE_OFN15_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[9]  (
-	.CLK_N(CTS_3),
-	.D(FE_PDN3750_FE_OFN16082_u_tcam_data_wdata_9),
-	.Q(wdatan[9]),
-	.RESET_B(FE_OFN95_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[8]  (
-	.CLK_N(CTS_3),
-	.D(FE_OFN15900_u_tcam_data_wdata_8),
-	.Q(wdatan[8]),
-	.RESET_B(FE_OFN95_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[7]  (
-	.CLK_N(CTS_3),
-	.D(FE_OFN15893_u_tcam_data_wdata_7),
-	.Q(wdatan[7]),
-	.RESET_B(FE_OFN95_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[6]  (
-	.CLK_N(CTS_3),
-	.D(FE_OFN15901_u_tcam_data_wdata_6),
-	.Q(wdatan[6]),
-	.RESET_B(FE_OFN95_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[5]  (
-	.CLK_N(CTS_3),
-	.D(FE_OFN15903_u_tcam_data_wdata_5),
-	.Q(wdatan[5]),
-	.RESET_B(FE_OFN95_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[4]  (
-	.CLK_N(clk_i_clone3),
-	.D(wdata_i[4]),
-	.Q(wdatan[4]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[3]  (
-	.CLK_N(CTS_3),
-	.D(FE_OFN15906_u_tcam_data_wdata_3),
-	.Q(wdatan[3]),
-	.RESET_B(FE_OFN95_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[2]  (
-	.CLK_N(clk_i_clone3),
-	.D(FE_OFN16809_u_tcam_data_wdata_2),
-	.Q(wdatan[2]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfbbn_1 csbn_reg (
-	.CLK_N(clk_i_clone4),
-	.D(csb_i),
-	.Q(csbn),
-	.RESET_B(logic_1_1_net),
-	.SET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[1]  (
-	.CLK_N(CTS_3),
-	.D(wdata_i[1]),
-	.Q(wdatan[1]),
-	.RESET_B(FE_OFN95_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[0]  (
-	.CLK_N(clk_i_clone3),
-	.D(wdata_i[0]),
-	.Q(wdatan[0]),
-	.RESET_B(FE_OFN182_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_1_cell (
-	.HI(logic_1_1_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
+module tcam_top(clk_i, rst_ni, csb_i, web_i, wmask_i, addr_i, wdata_i,
+     rdata_o);
+  input clk_i, rst_ni, csb_i, web_i;
+  input [3:0] wmask_i;
+  input [27:0] addr_i;
+  input [31:0] wdata_i;
+  output [31:0] rdata_o;
+  wire clk_i, rst_ni, csb_i, web_i;
+  wire [3:0] wmask_i;
+  wire [27:0] addr_i;
+  wire [31:0] wdata_i;
+  wire [31:0] rdata_o;
+  wire [3:0] wmaskn;
+  wire [27:0] addrn;
+  wire [31:0] wdatan;
+  wire csbn, logic_1_1_net, webn;
+  tcam_32x28 u_tcam(.clk_i (clk_i), .csb_i (csbn), .web_i (webn),
+       .wmask_i (wmaskn), .addr_i (addrn), .wdata_i (wdatan), .rdata_o
+       (rdata_o[5:0]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[20] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[20]), .Q (addrn[20]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[5] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[5]), .Q (addrn[5]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[6] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[6]), .Q (addrn[6]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[7] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[7]), .Q (addrn[7]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[8] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[8]), .Q (addrn[8]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[9] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[9]), .Q (addrn[9]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[10] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[10]), .Q (addrn[10]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[11] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[11]), .Q (addrn[11]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[12] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[12]), .Q (addrn[12]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[13] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[13]), .Q (addrn[13]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[14] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[14]), .Q (addrn[14]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[15] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[15]), .Q (addrn[15]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[16] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[16]), .Q (addrn[16]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[17] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[17]), .Q (addrn[17]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[18] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[18]), .Q (addrn[18]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[19] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[19]), .Q (addrn[19]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[4] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[4]), .Q (addrn[4]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[21] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[21]), .Q (addrn[21]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[22] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[22]), .Q (addrn[22]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[23] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[23]), .Q (addrn[23]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[24] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[24]), .Q (addrn[24]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[0] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[0]), .Q (addrn[0]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[26] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[26]), .Q (addrn[26]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[27] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[27]), .Q (addrn[27]));
+  sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[2] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wmask_i[2]), .Q (wmaskn[2]));
+  sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[3] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wmask_i[3]), .Q (wmaskn[3]));
+  sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[1] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wmask_i[1]), .Q (wmaskn[1]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[1] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[1]), .Q (addrn[1]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[25] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[25]), .Q (addrn[25]));
+  sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[0] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wmask_i[0]), .Q (wmaskn[0]));
+  sky130_fd_sc_hd__dfrtn_1 webn_reg(.RESET_B (rst_ni), .CLK_N (clk_i),
+       .D (web_i), .Q (webn));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[2] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[2]), .Q (addrn[2]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[31] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[31]), .Q (wdatan[31]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[15] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[15]), .Q (wdatan[15]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[30] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[30]), .Q (wdatan[30]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[29] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[29]), .Q (wdatan[29]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[28] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[28]), .Q (wdatan[28]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[27] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[27]), .Q (wdatan[27]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[26] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[26]), .Q (wdatan[26]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[25] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[25]), .Q (wdatan[25]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[24] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[24]), .Q (wdatan[24]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[23] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[23]), .Q (wdatan[23]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[22] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[22]), .Q (wdatan[22]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[20] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[20]), .Q (wdatan[20]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[19] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[19]), .Q (wdatan[19]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[21] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[21]), .Q (wdatan[21]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[18] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[18]), .Q (wdatan[18]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[17] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[17]), .Q (wdatan[17]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[16] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[16]), .Q (wdatan[16]));
+  sky130_fd_sc_hd__dfrtn_1 \addrn_reg[3] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (addr_i[3]), .Q (addrn[3]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[14] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[14]), .Q (wdatan[14]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[13] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[13]), .Q (wdatan[13]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[12] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[12]), .Q (wdatan[12]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[11] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[11]), .Q (wdatan[11]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[10] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[10]), .Q (wdatan[10]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[9] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[9]), .Q (wdatan[9]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[8] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[8]), .Q (wdatan[8]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[7] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[7]), .Q (wdatan[7]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[6] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[6]), .Q (wdatan[6]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[5] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[5]), .Q (wdatan[5]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[4] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[4]), .Q (wdatan[4]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[3] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[3]), .Q (wdatan[3]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[2] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[2]), .Q (wdatan[2]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[1] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[1]), .Q (wdatan[1]));
+  sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[0] (.RESET_B (rst_ni), .CLK_N
+       (clk_i), .D (wdata_i[0]), .Q (wdatan[0]));
+  sky130_fd_sc_hd__dfbbn_1 csbn_reg(.RESET_B (logic_1_1_net), .SET_B
+       (rst_ni), .CLK_N (clk_i), .D (csb_i), .Q (csbn));
+  sky130_fd_sc_hd__conb_1 tie_1_cell(.HI (logic_1_1_net));
 endmodule
 
-module ibex_controller_WritebackStage1_BranchPredictor0 (
-	rst_ni, 
-	ctrl_busy_o, 
-	illegal_insn_i, 
-	ecall_insn_i, 
-	mret_insn_i, 
-	dret_insn_i, 
-	wfi_insn_i, 
-	ebrk_insn_i, 
-	csr_pipe_flush_i, 
-	instr_valid_i, 
-	instr_i, 
-	instr_compressed_i, 
-	instr_is_compressed_i, 
-	instr_bp_taken_i, 
-	instr_fetch_err_i, 
-	instr_fetch_err_plus2_i, 
-	pc_id_i, 
-	instr_valid_clear_o, 
-	id_in_ready_o, 
-	controller_run_o, 
-	instr_req_o, 
-	pc_set_o, 
-	pc_set_spec_o, 
-	pc_mux_o, 
-	nt_branch_mispredict_o, 
-	exc_pc_mux_o, 
-	exc_cause_o, 
-	lsu_addr_last_i, 
-	load_err_i, 
-	store_err_i, 
-	wb_exception_o, 
-	branch_set_i, 
-	branch_set_spec_i, 
-	branch_not_set_i, 
-	jump_set_i, 
-	csr_mstatus_mie_i, 
-	irq_pending_i, 
-	\irqs_i[irq_fast] , 
-	\irqs_i[irq_external] , 
-	\irqs_i[irq_timer] , 
-	\irqs_i[irq_software] , 
-	irq_nm_i, 
-	nmi_mode_o, 
-	debug_req_i, 
-	debug_cause_o, 
-	debug_csr_save_o, 
-	debug_mode_o, 
-	debug_single_step_i, 
-	debug_ebreakm_i, 
-	debug_ebreaku_i, 
-	trigger_match_i, 
-	csr_save_if_o, 
-	csr_save_id_o, 
-	csr_save_wb_o, 
-	csr_restore_mret_id_o, 
-	csr_restore_dret_id_o, 
-	csr_save_cause_o, 
-	csr_mtval_o, 
-	priv_mode_i, 
-	csr_mstatus_tw_i, 
-	stall_id_i, 
-	stall_wb_i, 
-	flush_id_o, 
-	ready_wb_i, 
-	perf_jump_o, 
-	perf_tbranch_o, 
-	FE_OFN7_system_rst_ni, 
-	clk_i, 
-	FE_OFN1872_u_top_u_core_instr_rdata_id_24, 
-	vccd1, 
-	vssd1);
-   input rst_ni;
-   output ctrl_busy_o;
-   input illegal_insn_i;
-   input ecall_insn_i;
-   input mret_insn_i;
-   input dret_insn_i;
-   input wfi_insn_i;
-   input ebrk_insn_i;
-   input csr_pipe_flush_i;
-   input instr_valid_i;
-   input [31:0] instr_i;
-   input [15:0] instr_compressed_i;
-   input instr_is_compressed_i;
-   input instr_bp_taken_i;
-   input instr_fetch_err_i;
-   input instr_fetch_err_plus2_i;
-   input [31:0] pc_id_i;
-   output instr_valid_clear_o;
-   output id_in_ready_o;
-   output controller_run_o;
-   output instr_req_o;
-   output pc_set_o;
-   output pc_set_spec_o;
-   output [2:0] pc_mux_o;
-   output nt_branch_mispredict_o;
-   output [1:0] exc_pc_mux_o;
-   output [5:0] exc_cause_o;
-   input [31:0] lsu_addr_last_i;
-   input load_err_i;
-   input store_err_i;
-   output wb_exception_o;
-   input branch_set_i;
-   input branch_set_spec_i;
-   input branch_not_set_i;
-   input jump_set_i;
-   input csr_mstatus_mie_i;
-   input irq_pending_i;
-   input [14:0] \irqs_i[irq_fast] ;
-   input \irqs_i[irq_external] ;
-   input \irqs_i[irq_timer] ;
-   input \irqs_i[irq_software] ;
-   input irq_nm_i;
-   output nmi_mode_o;
-   input debug_req_i;
-   output [2:0] debug_cause_o;
-   output debug_csr_save_o;
-   output debug_mode_o;
-   input debug_single_step_i;
-   input debug_ebreakm_i;
-   input debug_ebreaku_i;
-   input trigger_match_i;
-   output csr_save_if_o;
-   output csr_save_id_o;
-   output csr_save_wb_o;
-   output csr_restore_mret_id_o;
-   output csr_restore_dret_id_o;
-   output csr_save_cause_o;
-   output [31:0] csr_mtval_o;
-   input [1:0] priv_mode_i;
-   input csr_mstatus_tw_i;
-   input stall_id_i;
-   input stall_wb_i;
-   output flush_id_o;
-   input ready_wb_i;
-   output perf_jump_o;
-   output perf_tbranch_o;
-   input FE_OFN7_system_rst_ni;
-   input clk_i;
-   input FE_OFN1872_u_top_u_core_instr_rdata_id_24;
-   inout vccd1;
-   inout vssd1;
-
-   // Internal wires
-   wire FE_RN_171_0;
-   wire FE_RN_170_0;
-   wire FE_OFN1789_special_req_all;
-   wire [3:0] ctrl_fsm_cs;
-   wire exc_req_q;
-   wire illegal_insn_q;
-   wire illegal_umode;
-   wire n_0;
-   wire n_1;
-   wire n_2;
-   wire n_4;
-   wire n_6;
-   wire n_7;
-   wire n_8;
-   wire n_9;
-   wire n_10;
-   wire n_11;
-   wire n_12;
-   wire n_14;
-   wire n_15;
-   wire n_16;
-   wire n_17;
-   wire n_18;
-   wire n_19;
-   wire n_20;
-   wire n_21;
-   wire n_22;
-   wire n_23;
-   wire n_25;
-   wire n_26;
-   wire n_27;
-   wire n_28;
-   wire n_29;
-   wire n_30;
-   wire n_31;
-   wire n_32;
-   wire n_34;
-   wire n_35;
-   wire n_36;
-   wire n_37;
-   wire n_39;
-   wire n_40;
-   wire n_41;
-   wire n_42;
-   wire n_44;
-   wire n_45;
-   wire n_46;
-   wire n_47;
-   wire n_48;
-   wire n_49;
-   wire n_50;
-   wire n_51;
-   wire n_52;
-   wire n_53;
-   wire n_54;
-   wire n_55;
-   wire n_56;
-   wire n_58;
-   wire n_59;
-   wire n_60;
-   wire n_61;
-   wire n_62;
-   wire n_63;
-   wire n_64;
-   wire n_65;
-   wire n_66;
-   wire n_67;
-   wire n_68;
-   wire n_69;
-   wire n_70;
-   wire n_71;
-   wire n_72;
-   wire n_73;
-   wire n_74;
-   wire n_75;
-   wire n_76;
-   wire n_78;
-   wire n_82;
-   wire n_83;
-   wire n_84;
-   wire n_85;
-   wire n_86;
-   wire n_87;
-   wire n_88;
-   wire n_90;
-   wire n_91;
-   wire n_92;
-   wire n_93;
-   wire n_95;
-   wire n_96;
-   wire n_97;
-   wire n_98;
-   wire n_99;
-   wire n_100;
-   wire n_151;
-   wire n_160;
-   wire n_292;
-   wire n_293;
-   wire n_294;
-   wire n_295;
-   wire n_296;
-   wire n_297;
-   wire n_298;
-   wire n_299;
-   wire n_3934_BAR;
-   wire n_3939_BAR;
-   wire n_3947_BAR;
-   wire special_req_all;
-   wire stall;
-
-   // Module instantiations
-   sky130_fd_sc_hd__inv_2 FE_RC_268_0 (
-	.A(n_299),
-	.Y(FE_RN_170_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_267_0 (
-	.A(n_78),
-	.B(controller_run_o),
-	.Y(FE_RN_171_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 FE_RC_266_0 (
-	.A(FE_RN_171_0),
-	.B(FE_RN_170_0),
-	.Y(pc_set_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 FE_RC_0_0 (
-	.A(n_36),
-	.B(n_44),
-	.X(n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1881_special_req_all (
-	.A(n_75),
-	.Y(FE_OFN1789_special_req_all), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1880_special_req_all (
-	.A(special_req_all),
-	.Y(n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1825_u_top_u_core_id_in_ready (
-	.A(id_in_ready_o),
-	.Y(n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g5349 (
-	.A(n_83),
-	.Y(pc_mux_o[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_4 g5363__6260 (
-	.A(jump_set_i),
-	.B(branch_set_spec_i),
-	.X(n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g5364 (
-	.A(n_98),
-	.Y(controller_run_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_1 g5442__4319 (
-	.A_N(n_76),
-	.B(n_3947_BAR),
-	.C(n_97),
-	.X(id_in_ready_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5443__8428 (
-	.A_N(flush_id_o),
-	.B(n_76),
-	.Y(instr_valid_clear_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g5444__5526 (
-	.A1(n_98),
-	.A2(n_75),
-	.B1(n_73),
-	.Y(n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g5446__6783 (
-	.A(n_293),
-	.B(n_292),
-	.C(n_3939_BAR),
-	.Y(special_req_all), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5447__3680 (
-	.A(n_74),
-	.B(n_97),
-	.Y(n_293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5448__1617 (
-	.A(n_294),
-	.B(n_3934_BAR),
-	.Y(n_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g5449__2802 (
-	.A1(n_100),
-	.A2(illegal_umode),
-	.A3(illegal_insn_i),
-	.B1(n_97),
-	.Y(n_294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g5450 (
-	.A(n_73),
-	.Y(stall), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5451__1705 (
-	.A(stall_id_i),
-	.B(stall_wb_i),
-	.Y(n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5452__5122 (
-	.A(csr_pipe_flush_i),
-	.B(instr_valid_i),
-	.Y(n_292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g6651__8246 (
-	.A(debug_cause_o[0]),
-	.B(n_72),
-	.C(n_70),
-	.Y(flush_id_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g6653__7098 (
-	.A1(n_59),
-	.A2(illegal_insn_q),
-	.B1(exc_cause_o[0]),
-	.X(exc_cause_o[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g6654__6131 (
-	.A(csr_save_id_o),
-	.B(csr_save_if_o),
-	.X(csr_save_cause_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g6655__1881 (
-	.A1(n_50),
-	.A2(n_62),
-	.A3(n_67),
-	.B1(n_51),
-	.Y(n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g6656__5115 (
-	.A1(n_47),
-	.A2(n_65),
-	.B1(n_61),
-	.C1(n_70),
-	.Y(exc_cause_o[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g6657__7482 (
-	.A1(exc_req_q),
-	.A2(n_99),
-	.B1(n_49),
-	.C1(n_82),
-	.Y(n_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g6658__4733 (
-	.A1(n_68),
-	.A2(n_59),
-	.B1(n_69),
-	.X(csr_save_id_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g6659__6161 (
-	.A1(n_63),
-	.A2(instr_i[13]),
-	.B1(n_64),
-	.B2(instr_compressed_i[13]),
-	.X(csr_mtval_o[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g6660__9315 (
-	.A1(n_49),
-	.A2(n_39),
-	.B1(n_59),
-	.C1(n_151),
-	.X(pc_mux_o[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g6661__9945 (
-	.A1(n_160),
-	.A2(n_55),
-	.B1(n_50),
-	.Y(n_3947_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g6662__2883 (
-	.A1(n_63),
-	.A2(instr_i[14]),
-	.B1(n_64),
-	.B2(instr_compressed_i[14]),
-	.X(csr_mtval_o[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g6663__2346 (
-	.A_N(n_69),
-	.B(debug_cause_o[0]),
-	.Y(debug_csr_save_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g6664__1666 (
-	.A1(n_63),
-	.A2(instr_i[12]),
-	.B1(n_64),
-	.B2(instr_compressed_i[12]),
-	.X(csr_mtval_o[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g6665__7410 (
-	.A1(n_63),
-	.A2(instr_i[11]),
-	.B1(n_64),
-	.B2(instr_compressed_i[11]),
-	.X(csr_mtval_o[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g6666__6417 (
-	.A1(n_63),
-	.A2(instr_i[10]),
-	.B1(n_64),
-	.B2(instr_compressed_i[10]),
-	.X(csr_mtval_o[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g6667__5477 (
-	.A(n_66),
-	.B(n_60),
-	.C(n_87),
-	.X(n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g6668__2398 (
-	.A_N(n_68),
-	.B(exc_req_q),
-	.Y(n_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g6669__5107 (
-	.A1(n_63),
-	.A2(instr_i[4]),
-	.B1(n_64),
-	.B2(instr_compressed_i[4]),
-	.X(csr_mtval_o[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g6670__6260 (
-	.A1(n_63),
-	.A2(instr_i[8]),
-	.B1(n_64),
-	.B2(instr_compressed_i[8]),
-	.X(csr_mtval_o[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g6671__4319 (
-	.A1(n_63),
-	.A2(instr_i[7]),
-	.B1(n_64),
-	.B2(instr_compressed_i[7]),
-	.X(csr_mtval_o[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g6672__8428 (
-	.A1(n_63),
-	.A2(instr_i[6]),
-	.B1(n_64),
-	.B2(instr_compressed_i[6]),
-	.X(csr_mtval_o[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g6673__5526 (
-	.A1(n_63),
-	.A2(instr_i[5]),
-	.B1(n_64),
-	.B2(instr_compressed_i[5]),
-	.X(csr_mtval_o[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g6674__6783 (
-	.A1(n_63),
-	.A2(instr_i[9]),
-	.B1(n_64),
-	.B2(instr_compressed_i[9]),
-	.X(csr_mtval_o[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g6675__3680 (
-	.A1(n_63),
-	.A2(instr_i[3]),
-	.B1(n_64),
-	.B2(instr_compressed_i[3]),
-	.X(csr_mtval_o[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g6676__1617 (
-	.A1(n_63),
-	.A2(instr_i[1]),
-	.B1(n_64),
-	.B2(instr_compressed_i[1]),
-	.X(csr_mtval_o[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g6677__2802 (
-	.A1(n_63),
-	.A2(instr_i[0]),
-	.B1(n_64),
-	.B2(instr_compressed_i[0]),
-	.X(csr_mtval_o[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g6678__1705 (
-	.A1(n_63),
-	.A2(instr_i[2]),
-	.B1(n_64),
-	.B2(instr_compressed_i[2]),
-	.X(csr_mtval_o[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g6679__5122 (
-	.A1(n_63),
-	.A2(instr_i[15]),
-	.B1(n_64),
-	.B2(instr_compressed_i[15]),
-	.X(csr_mtval_o[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6680__8246 (
-	.A(n_63),
-	.B(instr_i[26]),
-	.X(csr_mtval_o[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6681__7098 (
-	.A(n_63),
-	.B(instr_i[30]),
-	.X(csr_mtval_o[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6682__6131 (
-	.A(n_63),
-	.B(instr_i[29]),
-	.X(csr_mtval_o[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6683__1881 (
-	.A(n_63),
-	.B(instr_i[28]),
-	.X(csr_mtval_o[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6684__5115 (
-	.A(n_63),
-	.B(instr_i[27]),
-	.X(csr_mtval_o[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6685__7482 (
-	.A(n_63),
-	.B(instr_i[31]),
-	.X(csr_mtval_o[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6686__4733 (
-	.A(n_63),
-	.B(instr_i[25]),
-	.X(csr_mtval_o[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6687__6161 (
-	.A(n_63),
-	.B(FE_OFN1872_u_top_u_core_instr_rdata_id_24),
-	.X(csr_mtval_o[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6688__9315 (
-	.A(n_63),
-	.B(instr_i[23]),
-	.X(csr_mtval_o[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6689__9945 (
-	.A(n_63),
-	.B(instr_i[21]),
-	.X(csr_mtval_o[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6690__2883 (
-	.A(n_63),
-	.B(instr_i[22]),
-	.X(csr_mtval_o[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6691__2346 (
-	.A(n_90),
-	.B(n_50),
-	.Y(ctrl_busy_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6692__1666 (
-	.A(n_63),
-	.B(instr_i[19]),
-	.X(csr_mtval_o[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6693__7410 (
-	.A(n_63),
-	.B(instr_i[18]),
-	.X(csr_mtval_o[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6694__6417 (
-	.A(n_63),
-	.B(instr_i[17]),
-	.X(csr_mtval_o[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6695__5477 (
-	.A(n_63),
-	.B(instr_i[16]),
-	.X(csr_mtval_o[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6696__2398 (
-	.A(n_63),
-	.B(instr_i[20]),
-	.X(csr_mtval_o[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6697__5107 (
-	.A(n_65),
-	.B(n_61),
-	.Y(exc_cause_o[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g6698__6260 (
-	.A1(n_60),
-	.A2(n_87),
-	.B1(n_49),
-	.X(n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g6699__4319 (
-	.A1(n_59),
-	.A2(debug_mode_o),
-	.B1(n_62),
-	.X(exc_pc_mux_o[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g6700__8428 (
-	.A(debug_mode_o),
-	.B(n_88),
-	.C(n_58),
-	.Y(n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g6701__5526 (
-	.A_N(n_87),
-	.B(n_66),
-	.Y(n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g6702__6783 (
-	.A_N(csr_restore_mret_id_o),
-	.B(n_98),
-	.Y(pc_mux_o[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g6703__3680 (
-	.A(debug_cause_o[0]),
-	.B_N(trigger_match_i),
-	.Y(debug_cause_o[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6704__1617 (
-	.A(trigger_match_i),
-	.B(debug_cause_o[0]),
-	.Y(debug_cause_o[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6705__2802 (
-	.A(n_58),
-	.B(n_37),
-	.Y(n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g6706__1705 (
-	.A_N(n_95),
-	.B(n_91),
-	.Y(n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g6707__5122 (
-	.A_N(n_62),
-	.B(n_56),
-	.Y(n_151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6708__8246 (
-	.A(debug_cause_o[0]),
-	.B(n_61),
-	.Y(csr_save_if_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g6709__7098 (
-	.A1(n_52),
-	.A2(n_40),
-	.B1_N(n_47),
-	.Y(illegal_umode), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g6710__6131 (
-	.A1(n_44),
-	.A2(ctrl_fsm_cs[3]),
-	.B1(n_50),
-	.C1(n_54),
-	.Y(instr_req_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g6711__1881 (
-	.A(exc_req_q),
-	.B(n_41),
-	.C(n_39),
-	.D(n_97),
-	.X(n_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g6712__5115 (
-	.A(n_59),
-	.B(ecall_insn_i),
-	.C(n_297),
-	.Y(n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6713__7482 (
-	.A(n_92),
-	.B(n_93),
-	.Y(n_160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g6714__4733 (
-	.A(n_59),
-	.B(illegal_insn_q),
-	.C(instr_is_compressed_i),
-	.X(n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_2 g6715__6161 (
-	.A_N(instr_is_compressed_i),
-	.B(n_59),
-	.C(illegal_insn_q),
-	.X(n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g6716 (
-	.A(exc_cause_o[5]),
-	.Y(n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g6717 (
-	.A(n_60),
-	.Y(n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g6718__9315 (
-	.A(debug_mode_o),
-	.B(n_53),
-	.X(n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6719__9945 (
-	.A(n_50),
-	.B(ctrl_fsm_cs[0]),
-	.Y(n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6720__2883 (
-	.A(n_55),
-	.B(ctrl_fsm_cs[0]),
-	.Y(n_98), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6721__2346 (
-	.A(n_51),
-	.B(n_88),
-	.Y(n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6722__1666 (
-	.A(n_56),
-	.B(n_93),
-	.Y(exc_cause_o[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6723__7410 (
-	.A(n_49),
-	.B(exc_req_q),
-	.Y(n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6725__6417 (
-	.A(n_55),
-	.B(n_36),
-	.Y(n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g6727__5477 (
-	.A(n_99),
-	.B_N(n_96),
-	.Y(n_3939_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g6728__2398 (
-	.A(exc_req_q),
-	.B(n_40),
-	.C(n_97),
-	.Y(csr_restore_mret_id_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g6729__5107 (
-	.A1(n_46),
-	.A2(debug_ebreakm_i),
-	.B1(n_48),
-	.Y(n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g6730__6260 (
-	.A_N(ecall_insn_i),
-	.B(ebrk_insn_i),
-	.C(n_297),
-	.Y(n_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g6731__4319 (
-	.A1(debug_single_step_i),
-	.A2(trigger_match_i),
-	.B1_N(n_51),
-	.Y(debug_cause_o[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g6732 (
-	.A(n_54),
-	.Y(n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g6733__8428 (
-	.A1(debug_single_step_i),
-	.A2(instr_valid_i),
-	.B1(trigger_match_i),
-	.Y(n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g6734__5526 (
-	.A1(ecall_insn_i),
-	.A2(ebrk_insn_i),
-	.B1(instr_valid_i),
-	.Y(n_3934_BAR), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g6735__6783 (
-	.A_N(n_96),
-	.B(csr_mstatus_tw_i),
-	.Y(n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6736__3680 (
-	.A(debug_mode_o),
-	.B(n_41),
-	.Y(n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g6737__1617 (
-	.A(n_42),
-	.B(ctrl_fsm_cs[0]),
-	.C(ctrl_fsm_cs[1]),
-	.Y(n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g6738__2802 (
-	.A(ctrl_fsm_cs[1]),
-	.B(ctrl_fsm_cs[3]),
-	.C_N(ctrl_fsm_cs[2]),
-	.Y(n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g6739__1705 (
-	.A(ctrl_fsm_cs[0]),
-	.B(ctrl_fsm_cs[3]),
-	.C(n_44),
-	.Y(n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6740__5122 (
-	.A(n_40),
-	.B(n_41),
-	.Y(n_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g6742 (
-	.A(n_97),
-	.Y(n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g6743__8246 (
-	.A(priv_mode_i[1]),
-	.B(priv_mode_i[0]),
-	.C_N(debug_ebreaku_i),
-	.Y(n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g6745__6131 (
-	.A(debug_single_step_i),
-	.B(debug_mode_o),
-	.C(\irqs_i[irq_external] ),
-	.X(n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g6746__1881 (
-	.A(n_45),
-	.B(n_36),
-	.C(ctrl_fsm_cs[3]),
-	.Y(n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g6747__5115 (
-	.A(\irqs_i[irq_external] ),
-	.B(n_37),
-	.C(csr_mstatus_mie_i),
-	.Y(n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g6748__7482 (
-	.A(n_45),
-	.B(ctrl_fsm_cs[3]),
-	.C(ctrl_fsm_cs[0]),
-	.Y(n_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g6749__4733 (
-	.A(ctrl_fsm_cs[2]),
-	.B(ctrl_fsm_cs[3]),
-	.C(n_35),
-	.Y(n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g6750__6161 (
-	.A(n_42),
-	.B(n_36),
-	.C(ctrl_fsm_cs[1]),
-	.Y(n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g6751 (
-	.A(n_47),
-	.Y(n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g6752 (
-	.A(n_45),
-	.Y(n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6753__9315 (
-	.A(priv_mode_i[1]),
-	.B(priv_mode_i[0]),
-	.Y(n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6754__9945 (
-	.A(wfi_insn_i),
-	.B(instr_valid_i),
-	.Y(n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6755__2883 (
-	.A(ctrl_fsm_cs[1]),
-	.B(ctrl_fsm_cs[2]),
-	.Y(n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g6756 (
-	.A(n_40),
-	.Y(n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g6758__2346 (
-	.A(ctrl_fsm_cs[3]),
-	.B_N(ctrl_fsm_cs[2]),
-	.Y(n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6759__1666 (
-	.A(dret_insn_i),
-	.B(instr_valid_i),
-	.Y(n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6760__7410 (
-	.A(mret_insn_i),
-	.B(instr_valid_i),
-	.Y(n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \ctrl_fsm_cs_reg[2]  (
-	.CLK(clk_i),
-	.D(n_34),
-	.Q(ctrl_fsm_cs[2]),
-	.RESET_B(FE_OFN7_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g5876__6417 (
-	.A(n_30),
-	.B(n_19),
-	.C(n_9),
-	.Y(n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g5878__5477 (
-	.A(n_296),
-	.B(n_6),
-	.C(n_25),
-	.D(n_26),
-	.X(n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g5879__2398 (
-	.A(n_296),
-	.B(n_6),
-	.C(n_28),
-	.Y(n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g5880__5107 (
-	.A(n_29),
-	.B(n_90),
-	.C(n_84),
-	.X(n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5881__6260 (
-	.A1(n_23),
-	.A2(n_82),
-	.B1(n_27),
-	.Y(n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \ctrl_fsm_cs_reg[3]  (
-	.CLK(clk_i),
-	.D(n_22),
-	.Q(ctrl_fsm_cs[3]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g5883__4319 (
-	.A1(n_98),
-	.A2(n_20),
-	.B1(n_85),
-	.Y(n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5884__8428 (
-	.A1(n_21),
-	.A2(n_11),
-	.B1(n_98),
-	.Y(n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g5885__5526 (
-	.A1(n_98),
-	.A2(n_21),
-	.B1(n_86),
-	.B2(n_4),
-	.Y(n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g5886__6783 (
-	.A1(n_97),
-	.A2(n_295),
-	.A3(n_16),
-	.B1(n_97),
-	.B2(n_17),
-	.Y(n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g5888__3680 (
-	.A(n_97),
-	.B(n_295),
-	.C_N(n_17),
-	.Y(n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g5889__1617 (
-	.A1_N(n_18),
-	.A2_N(n_15),
-	.B1(n_86),
-	.B2(n_92),
-	.Y(n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g5890__2802 (
-	.A1(n_11),
-	.A2(n_92),
-	.B1_N(n_7),
-	.Y(n_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5891__1705 (
-	.A(n_14),
-	.B(n_7),
-	.Y(n_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5892__5122 (
-	.A_N(n_295),
-	.B(n_82),
-	.Y(n_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5894__8246 (
-	.A(n_151),
-	.B(n_12),
-	.Y(n_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g5895__7098 (
-	.A(exc_req_q),
-	.B(n_99),
-	.C(n_93),
-	.D(n_292),
-	.X(n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g5896__6131 (
-	.A1(n_98),
-	.A2(stall),
-	.A3(FE_OFN1789_special_req_all),
-	.B1(n_97),
-	.Y(n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5897__1881 (
-	.A(n_11),
-	.B(n_4),
-	.Y(n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g5898__5115 (
-	.A(exc_req_q),
-	.B(n_99),
-	.C(n_96),
-	.D(n_295),
-	.X(n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5899__7482 (
-	.A1(n_0),
-	.A2(n_93),
-	.B1(n_9),
-	.Y(n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g5901__4733 (
-	.A(debug_cause_o[0]),
-	.B(n_8),
-	.C(n_88),
-	.Y(n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g5902__6161 (
-	.A(stall),
-	.B(FE_OFN1789_special_req_all),
-	.C_N(n_160),
-	.Y(n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5903__9315 (
-	.A(n_83),
-	.B(debug_mode_o),
-	.Y(n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 illegal_insn_q_reg (
-	.CLK(clk_i),
-	.D(n_2),
-	.Q(illegal_insn_q),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5905__9945 (
-	.A_N(n_86),
-	.B(n_92),
-	.Y(n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 exc_req_q_reg (
-	.CLK(clk_i),
-	.D(n_1),
-	.Q(exc_req_q),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5907__2883 (
-	.A(FE_OFN1789_special_req_all),
-	.B(ready_wb_i),
-	.Y(n_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5908__2346 (
-	.A(n_95),
-	.B(n_91),
-	.Y(n_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5910__1666 (
-	.A_N(n_93),
-	.B(n_92),
-	.Y(n_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g5912 (
-	.A(n_294),
-	.Y(n_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g5913 (
-	.A(n_293),
-	.Y(n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 debug_mode_q_reg (
-	.CLK(clk_i),
-	.D(n_10),
-	.Q(debug_mode_o),
-	.Q_N(n_37),
-	.RESET_B(FE_OFN7_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \ctrl_fsm_cs_reg[0]  (
-	.CLK(clk_i),
-	.D(n_298),
-	.Q(ctrl_fsm_cs[0]),
-	.Q_N(n_36),
-	.RESET_B(FE_OFN7_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \ctrl_fsm_cs_reg[1]  (
-	.CLK(clk_i),
-	.D(n_32),
-	.Q(ctrl_fsm_cs[1]),
-	.Q_N(n_35),
-	.RESET_B(FE_OFN7_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ba_2 g2__7410 (
-	.A1(n_87),
-	.A2(n_58),
-	.B1_N(n_92),
-	.X(n_295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g6771__6417 (
-	.A(ctrl_fsm_cs[0]),
-	.B_N(n_50),
-	.Y(n_296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g6772__5477 (
-	.A(illegal_insn_q),
-	.B_N(instr_valid_i),
-	.Y(n_297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g6773__2398 (
-	.A_N(n_23),
-	.B(n_31),
-	.C(n_19),
-	.Y(n_298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g6774__5107 (
-	.A_N(csr_save_if_o),
-	.B(n_71),
-	.C(n_85),
-	.D(n_84),
-	.Y(n_299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
+module ibex_controller_WritebackStage1_BranchPredictor0(clk_i, rst_ni,
+     ctrl_busy_o, illegal_insn_i, ecall_insn_i, mret_insn_i,
+     dret_insn_i, wfi_insn_i, ebrk_insn_i, csr_pipe_flush_i,
+     instr_valid_i, instr_i, instr_compressed_i, instr_is_compressed_i,
+     instr_bp_taken_i, instr_fetch_err_i, instr_fetch_err_plus2_i,
+     pc_id_i, instr_valid_clear_o, id_in_ready_o, controller_run_o,
+     instr_req_o, pc_set_o, pc_set_spec_o, pc_mux_o,
+     nt_branch_mispredict_o, exc_pc_mux_o, exc_cause_o,
+     lsu_addr_last_i, load_err_i, store_err_i, wb_exception_o,
+     branch_set_i, branch_set_spec_i, branch_not_set_i, jump_set_i,
+     csr_mstatus_mie_i, irq_pending_i, \irqs_i[irq_fast] ,
+     \irqs_i[irq_external] , \irqs_i[irq_timer] , \irqs_i[irq_software]
+     , irq_nm_i, nmi_mode_o, debug_req_i, debug_cause_o,
+     debug_csr_save_o, debug_mode_o, debug_single_step_i,
+     debug_ebreakm_i, debug_ebreaku_i, trigger_match_i, csr_save_if_o,
+     csr_save_id_o, csr_save_wb_o, csr_restore_mret_id_o,
+     csr_restore_dret_id_o, csr_save_cause_o, csr_mtval_o, priv_mode_i,
+     csr_mstatus_tw_i, stall_id_i, stall_wb_i, flush_id_o, ready_wb_i,
+     perf_jump_o, perf_tbranch_o);
+  input clk_i, rst_ni, illegal_insn_i, ecall_insn_i, mret_insn_i,
+       dret_insn_i, wfi_insn_i, ebrk_insn_i, csr_pipe_flush_i,
+       instr_valid_i, instr_is_compressed_i, instr_bp_taken_i,
+       instr_fetch_err_i, instr_fetch_err_plus2_i, load_err_i,
+       store_err_i, branch_set_i, branch_set_spec_i, branch_not_set_i,
+       jump_set_i, csr_mstatus_mie_i, irq_pending_i,
+       \irqs_i[irq_external] , \irqs_i[irq_timer] ,
+       \irqs_i[irq_software] , irq_nm_i, debug_req_i,
+       debug_single_step_i, debug_ebreakm_i, debug_ebreaku_i,
+       trigger_match_i, csr_mstatus_tw_i, stall_id_i, stall_wb_i,
+       ready_wb_i;
+  input [31:0] instr_i, pc_id_i, lsu_addr_last_i;
+  input [15:0] instr_compressed_i;
+  input [14:0] \irqs_i[irq_fast] ;
+  input [1:0] priv_mode_i;
+  output ctrl_busy_o, instr_valid_clear_o, id_in_ready_o,
+       controller_run_o, instr_req_o, pc_set_o, pc_set_spec_o,
+       nt_branch_mispredict_o, wb_exception_o, nmi_mode_o,
+       debug_csr_save_o, debug_mode_o, csr_save_if_o, csr_save_id_o,
+       csr_save_wb_o, csr_restore_mret_id_o, csr_restore_dret_id_o,
+       csr_save_cause_o, flush_id_o, perf_jump_o, perf_tbranch_o;
+  output [2:0] pc_mux_o, debug_cause_o;
+  output [1:0] exc_pc_mux_o;
+  output [5:0] exc_cause_o;
+  output [31:0] csr_mtval_o;
+  wire clk_i, rst_ni, illegal_insn_i, ecall_insn_i, mret_insn_i,
+       dret_insn_i, wfi_insn_i, ebrk_insn_i, csr_pipe_flush_i,
+       instr_valid_i, instr_is_compressed_i, instr_bp_taken_i,
+       instr_fetch_err_i, instr_fetch_err_plus2_i, load_err_i,
+       store_err_i, branch_set_i, branch_set_spec_i, branch_not_set_i,
+       jump_set_i, csr_mstatus_mie_i, irq_pending_i,
+       \irqs_i[irq_external] , \irqs_i[irq_timer] ,
+       \irqs_i[irq_software] , irq_nm_i, debug_req_i,
+       debug_single_step_i, debug_ebreakm_i, debug_ebreaku_i,
+       trigger_match_i, csr_mstatus_tw_i, stall_id_i, stall_wb_i,
+       ready_wb_i;
+  wire [31:0] instr_i, pc_id_i, lsu_addr_last_i;
+  wire [15:0] instr_compressed_i;
+  wire [14:0] \irqs_i[irq_fast] ;
+  wire [1:0] priv_mode_i;
+  wire ctrl_busy_o, instr_valid_clear_o, id_in_ready_o,
+       controller_run_o, instr_req_o, pc_set_o, pc_set_spec_o,
+       nt_branch_mispredict_o, wb_exception_o, nmi_mode_o,
+       debug_csr_save_o, debug_mode_o, csr_save_if_o, csr_save_id_o,
+       csr_save_wb_o, csr_restore_mret_id_o, csr_restore_dret_id_o,
+       csr_save_cause_o, flush_id_o, perf_jump_o, perf_tbranch_o;
+  wire [2:0] pc_mux_o, debug_cause_o;
+  wire [1:0] exc_pc_mux_o;
+  wire [5:0] exc_cause_o;
+  wire [31:0] csr_mtval_o;
+  wire [3:0] ctrl_fsm_cs;
+  wire enter_debug_mode, exc_req_q, handle_irq, illegal_insn_q, n_1,
+       n_2, n_3, n_4;
+  wire n_5, n_6, n_8, n_9, n_10, n_11, n_12, n_13;
+  wire n_15, n_16, n_17, n_18, n_19, n_20, n_21, n_22;
+  wire n_23, n_24, n_25, n_26, n_27, n_28, n_29, n_30;
+  wire n_31, n_32, n_34, n_35, n_36, n_37, n_38, n_39;
+  wire n_40, n_41, n_42, n_44, n_45, n_46, n_47, n_48;
+  wire n_49, n_50, n_51, n_54, n_55, n_56, n_57, n_59;
+  wire n_60, n_61, n_62, n_63, n_64, n_66, n_67, n_68;
+  wire n_69, n_71, n_72, n_73, n_74, n_75, n_76, n_77;
+  wire n_78, n_79, n_80, n_81, n_82, n_83, n_84, n_87;
+  wire n_89, n_90, n_91, n_92, n_93, n_95, n_96, n_97;
+  wire n_98, n_99, n_100, n_101, n_102, n_103, n_104, n_105;
+  wire n_106, n_154, n_155, n_160, n_299, n_300, n_301, n_302;
+  wire n_303, n_304, n_305, n_307, n_308, n_309, n_4292_BAR,
+       special_req_all;
+  sky130_fd_sc_hd__inv_1 g6089(.A (n_154), .Y (n_87));
+  sky130_fd_sc_hd__inv_1 g6090(.A (n_301), .Y (id_in_ready_o));
+  sky130_fd_sc_hd__inv_2 g6091(.A (n_93), .Y (pc_mux_o[2]));
+  sky130_fd_sc_hd__nor2_1 g6118__5107(.A (n_160), .B (n_302), .Y
+       (controller_run_o));
+  sky130_fd_sc_hd__nand2b_1 g6119__6260(.A_N (ctrl_fsm_cs[3]), .B
+       (ctrl_fsm_cs[2]), .Y (n_160));
+  sky130_fd_sc_hd__nand2b_1 g6120__4319(.A_N (ctrl_fsm_cs[1]), .B
+       (ctrl_fsm_cs[0]), .Y (n_302));
+  sky130_fd_sc_hd__o2111ai_1 g6215__8428(.A1 (n_4292_BAR), .A2 (n_84),
+       .B1 (n_103), .C1 (n_101), .D1 (n_80), .Y (n_301));
+  sky130_fd_sc_hd__a21o_1 g6216__5526(.A1 (n_83), .A2 (n_80), .B1
+       (flush_id_o), .X (instr_valid_clear_o));
+  sky130_fd_sc_hd__nor2b_1 g6217__6783(.A (n_92), .B_N (n_83), .Y
+       (n_84));
+  sky130_fd_sc_hd__nand2_1 g6218__3680(.A (special_req_all), .B
+       (controller_run_o), .Y (n_83));
+  sky130_fd_sc_hd__nand2_1 g6219__1617(.A (n_299), .B (n_82), .Y
+       (special_req_all));
+  sky130_fd_sc_hd__nand2_1 g6220__2802(.A (n_81), .B (n_101), .Y
+       (n_299));
+  sky130_fd_sc_hd__o31ai_1 g6221__1705(.A1 (n_99), .A2 (wfi_insn_i),
+       .A3 (csr_pipe_flush_i), .B1 (instr_valid_i), .Y (n_82));
+  sky130_fd_sc_hd__nand3_1 g6222__5122(.A (n_300), .B (n_105), .C
+       (n_106), .Y (n_81));
+  sky130_fd_sc_hd__o21ai_1 g6223__8246(.A1 (n_308), .A2
+       (illegal_insn_i), .B1 (n_101), .Y (n_300));
+  sky130_fd_sc_hd__nor2_1 g6225__7098(.A (stall_id_i), .B (stall_wb_i),
+       .Y (n_80));
+  sky130_fd_sc_hd__nand2_4 g7335__6131(.A (n_45), .B (n_79), .Y
+       (pc_set_o));
+  sky130_fd_sc_hd__or4_1 g7336__1881(.A (exc_cause_o[5]), .B (n_66), .C
+       (n_63), .D (n_75), .X (exc_cause_o[1]));
+  sky130_fd_sc_hd__nand3_1 g7337__5115(.A (debug_cause_o[0]), .B
+       (n_78), .C (n_76), .Y (flush_id_o));
+  sky130_fd_sc_hd__or2_1 g7338__7482(.A (csr_save_id_o), .B
+       (csr_save_if_o), .X (csr_save_cause_o));
+  sky130_fd_sc_hd__o211ai_1 g7339__4733(.A1 (n_41), .A2 (n_64), .B1
+       (n_55), .C1 (n_76), .Y (exc_cause_o[0]));
+  sky130_fd_sc_hd__nor3_1 g7340__6161(.A (n_68), .B (n_77), .C
+       (csr_save_if_o), .Y (n_79));
+  sky130_fd_sc_hd__a22o_1 g7341__9315(.A1 (n_73), .A2 (instr_i[9]), .B1
+       (n_74), .B2 (instr_compressed_i[9]), .X (csr_mtval_o[9]));
+  sky130_fd_sc_hd__o21ai_1 g7342__9945(.A1 (n_309), .A2 (n_69), .B1
+       (n_307), .Y (n_78));
+  sky130_fd_sc_hd__a22o_1 g7343__2883(.A1 (n_73), .A2 (instr_i[15]),
+       .B1 (n_74), .B2 (instr_compressed_i[15]), .X (csr_mtval_o[15]));
+  sky130_fd_sc_hd__a22o_1 g7344__2346(.A1 (n_73), .A2 (instr_i[14]),
+       .B1 (n_74), .B2 (instr_compressed_i[14]), .X (csr_mtval_o[14]));
+  sky130_fd_sc_hd__a22o_1 g7345__1666(.A1 (n_73), .A2 (instr_i[13]),
+       .B1 (n_74), .B2 (instr_compressed_i[13]), .X (csr_mtval_o[13]));
+  sky130_fd_sc_hd__a22o_1 g7346__7410(.A1 (n_73), .A2 (instr_i[12]),
+       .B1 (n_74), .B2 (instr_compressed_i[12]), .X (csr_mtval_o[12]));
+  sky130_fd_sc_hd__a22o_1 g7347__6417(.A1 (n_73), .A2 (instr_i[11]),
+       .B1 (n_74), .B2 (instr_compressed_i[11]), .X (csr_mtval_o[11]));
+  sky130_fd_sc_hd__a22o_1 g7348__5477(.A1 (n_73), .A2 (instr_i[10]),
+       .B1 (n_74), .B2 (instr_compressed_i[10]), .X (csr_mtval_o[10]));
+  sky130_fd_sc_hd__or2_0 g7349__2398(.A (n_72), .B (n_77), .X
+       (csr_save_id_o));
+  sky130_fd_sc_hd__a22o_1 g7350__5107(.A1 (n_73), .A2 (instr_i[0]), .B1
+       (n_74), .B2 (instr_compressed_i[0]), .X (csr_mtval_o[0]));
+  sky130_fd_sc_hd__a22o_1 g7351__6260(.A1 (n_73), .A2 (instr_i[7]), .B1
+       (n_74), .B2 (instr_compressed_i[7]), .X (csr_mtval_o[7]));
+  sky130_fd_sc_hd__a22o_1 g7352__4319(.A1 (n_73), .A2 (instr_i[6]), .B1
+       (n_74), .B2 (instr_compressed_i[6]), .X (csr_mtval_o[6]));
+  sky130_fd_sc_hd__a22o_1 g7353__8428(.A1 (n_73), .A2 (instr_i[5]), .B1
+       (n_74), .B2 (instr_compressed_i[5]), .X (csr_mtval_o[5]));
+  sky130_fd_sc_hd__a22o_1 g7354__5526(.A1 (n_73), .A2 (instr_i[4]), .B1
+       (n_74), .B2 (instr_compressed_i[4]), .X (csr_mtval_o[4]));
+  sky130_fd_sc_hd__a22o_1 g7355__6783(.A1 (n_73), .A2 (instr_i[3]), .B1
+       (n_74), .B2 (instr_compressed_i[3]), .X (csr_mtval_o[3]));
+  sky130_fd_sc_hd__a22o_1 g7356__3680(.A1 (n_73), .A2 (instr_i[2]), .B1
+       (n_74), .B2 (instr_compressed_i[2]), .X (csr_mtval_o[2]));
+  sky130_fd_sc_hd__a22o_1 g7357__1617(.A1 (n_73), .A2 (instr_i[1]), .B1
+       (n_74), .B2 (instr_compressed_i[1]), .X (csr_mtval_o[1]));
+  sky130_fd_sc_hd__a22o_1 g7358__2802(.A1 (n_73), .A2 (instr_i[8]), .B1
+       (n_74), .B2 (instr_compressed_i[8]), .X (csr_mtval_o[8]));
+  sky130_fd_sc_hd__and2_0 g7359__1705(.A (n_73), .B (instr_i[22]), .X
+       (csr_mtval_o[22]));
+  sky130_fd_sc_hd__and2_1 g7360__5122(.A (n_73), .B (instr_i[21]), .X
+       (csr_mtval_o[21]));
+  sky130_fd_sc_hd__and2_1 g7361__8246(.A (n_73), .B (instr_i[20]), .X
+       (csr_mtval_o[20]));
+  sky130_fd_sc_hd__and2_0 g7362__7098(.A (n_73), .B (instr_i[19]), .X
+       (csr_mtval_o[19]));
+  sky130_fd_sc_hd__and2_0 g7363__6131(.A (n_73), .B (instr_i[18]), .X
+       (csr_mtval_o[18]));
+  sky130_fd_sc_hd__and2_1 g7364__1881(.A (n_73), .B (instr_i[17]), .X
+       (csr_mtval_o[17]));
+  sky130_fd_sc_hd__and2_0 g7365__5115(.A (n_73), .B (instr_i[16]), .X
+       (csr_mtval_o[16]));
+  sky130_fd_sc_hd__and2_0 g7366__7482(.A (n_73), .B (instr_i[23]), .X
+       (csr_mtval_o[23]));
+  sky130_fd_sc_hd__and2_0 g7367__4733(.A (n_73), .B (instr_i[31]), .X
+       (csr_mtval_o[31]));
+  sky130_fd_sc_hd__and2_0 g7368__6161(.A (n_73), .B (instr_i[29]), .X
+       (csr_mtval_o[29]));
+  sky130_fd_sc_hd__clkinv_1 g7369(.A (n_75), .Y (n_76));
+  sky130_fd_sc_hd__and2_1 g7370__9315(.A (n_73), .B (instr_i[30]), .X
+       (csr_mtval_o[30]));
+  sky130_fd_sc_hd__and2_0 g7371__9945(.A (n_73), .B (instr_i[28]), .X
+       (csr_mtval_o[28]));
+  sky130_fd_sc_hd__and2_0 g7372__2883(.A (n_73), .B (instr_i[27]), .X
+       (csr_mtval_o[27]));
+  sky130_fd_sc_hd__and2_0 g7373__2346(.A (n_73), .B (instr_i[26]), .X
+       (csr_mtval_o[26]));
+  sky130_fd_sc_hd__and2_0 g7374__1666(.A (n_73), .B (instr_i[25]), .X
+       (csr_mtval_o[25]));
+  sky130_fd_sc_hd__and2_0 g7375__7410(.A (n_73), .B (instr_i[24]), .X
+       (csr_mtval_o[24]));
+  sky130_fd_sc_hd__nand2b_1 g7376__6417(.A_N (n_72), .B
+       (debug_cause_o[0]), .Y (debug_csr_save_o));
+  sky130_fd_sc_hd__nand2_1 g7377__5477(.A (n_71), .B (n_98), .Y
+       (pc_mux_o[1]));
+  sky130_fd_sc_hd__nor2b_1 g7378__2398(.A (n_98), .B_N (n_97), .Y
+       (n_77));
+  sky130_fd_sc_hd__nor3_1 g7379__5107(.A (n_67), .B (n_98), .C (n_100),
+       .Y (n_75));
+  sky130_fd_sc_hd__or2_1 g7382__6260(.A (controller_run_o), .B
+       (csr_restore_mret_id_o), .X (pc_mux_o[0]));
+  sky130_fd_sc_hd__and2_0 g7383__4319(.A (n_61), .B (n_103), .X
+       (instr_req_o));
+  sky130_fd_sc_hd__nand2_1 g7384__8428(.A (n_64), .B (n_55), .Y
+       (exc_cause_o[3]));
+  sky130_fd_sc_hd__and2_1 g7385__5526(.A (n_66), .B
+       (instr_is_compressed_i), .X (n_74));
+  sky130_fd_sc_hd__nor2_1 g7386__6783(.A (instr_is_compressed_i), .B
+       (n_304), .Y (n_73));
+  sky130_fd_sc_hd__o21ai_1 g7387__3680(.A1 (n_36), .A2 (n_98), .B1
+       (n_56), .Y (exc_pc_mux_o[1]));
+  sky130_fd_sc_hd__a31oi_1 g7388__1617(.A1 (n_48), .A2 (mret_insn_i),
+       .A3 (instr_valid_i), .B1 (n_95), .Y (n_71));
+  sky130_fd_sc_hd__nor2_1 g7390__1705(.A (n_101), .B (n_60), .Y (n_69));
+  sky130_fd_sc_hd__o221ai_1 g7391__5122(.A1 (n_38), .A2 (n_59), .B1
+       (n_155), .B2 (n_51), .C1 (n_104), .Y (n_68));
+  sky130_fd_sc_hd__nand2b_1 g7392__8246(.A_N (n_100), .B (n_67), .Y
+       (n_97));
+  sky130_fd_sc_hd__nor3_1 g7393__7098(.A (debug_mode_o), .B (n_104), .C
+       (n_57), .Y (n_72));
+  sky130_fd_sc_hd__inv_1 g7394(.A (n_304), .Y (n_66));
+  sky130_fd_sc_hd__nand2_1 g7395__6131(.A (enter_debug_mode), .B
+       (handle_irq), .Y (n_92));
+  sky130_fd_sc_hd__nor2_1 g7396__1881(.A (trigger_match_i), .B
+       (debug_cause_o[0]), .Y (debug_cause_o[2]));
+  sky130_fd_sc_hd__nor2b_1 g7397__5115(.A (debug_cause_o[0]), .B_N
+       (trigger_match_i), .Y (debug_cause_o[1]));
+  sky130_fd_sc_hd__nor2_1 g7398__7482(.A (n_35), .B (n_59), .Y
+       (csr_restore_mret_id_o));
+  sky130_fd_sc_hd__nand2_1 g7399__4733(.A (n_57), .B (n_36), .Y (n_67));
+  sky130_fd_sc_hd__nand2_1 g7400__6161(.A (n_154), .B (n_102), .Y
+       (n_89));
+  sky130_fd_sc_hd__nor3_1 g7402__9315(.A (n_41), .B (n_98), .C (n_106),
+       .Y (n_63));
+  sky130_fd_sc_hd__a22oi_1 g7403__9945(.A1 (n_47), .A2 (n_41), .B1
+       (dret_insn_i), .B2 (n_36), .Y (n_62));
+  sky130_fd_sc_hd__o32ai_1 g7404__2883(.A1 (ctrl_fsm_cs[1]), .A2
+       (ctrl_fsm_cs[2]), .A3 (n_39), .B1 (ctrl_fsm_cs[3]), .B2 (n_54),
+       .Y (n_61));
+  sky130_fd_sc_hd__nand3b_1 g7405__2346(.A_N (n_59), .B (n_35), .C
+       (dret_insn_i), .Y (n_93));
+  sky130_fd_sc_hd__nor2_1 g7406__1666(.A (n_98), .B (n_100), .Y (n_60));
+  sky130_fd_sc_hd__or3_1 g7407__7410(.A (illegal_insn_q), .B (n_98), .C
+       (n_106), .X (n_64));
+  sky130_fd_sc_hd__nand2_1 g7408__6417(.A (n_56), .B (n_49), .Y (n_95));
+  sky130_fd_sc_hd__nand2_1 g7409__5477(.A (debug_cause_o[0]), .B
+       (n_55), .Y (csr_save_if_o));
+  sky130_fd_sc_hd__nor2b_1 g7411__2398(.A (controller_run_o), .B_N
+       (n_96), .Y (n_4292_BAR));
+  sky130_fd_sc_hd__nor2b_1 g7412__5107(.A (n_103), .B_N
+       (ctrl_fsm_cs[0]), .Y (n_154));
+  sky130_fd_sc_hd__nand2b_1 g7413__6260(.A_N (n_46), .B (n_36), .Y
+       (enter_debug_mode));
+  sky130_fd_sc_hd__nand2b_1 g7414__4319(.A_N (n_90), .B (n_48), .Y
+       (n_59));
+  sky130_fd_sc_hd__nand2_1 g7415__8428(.A (n_48), .B (exc_req_q), .Y
+       (n_98));
+  sky130_fd_sc_hd__clkinv_1 g7417(.A (exc_cause_o[5]), .Y (n_55));
+  sky130_fd_sc_hd__a21oi_1 g7418__5526(.A1 (n_40), .A2
+       (debug_ebreakm_i), .B1 (n_44), .Y (n_57));
+  sky130_fd_sc_hd__and2_1 g7419__6783(.A (n_104), .B (n_307), .X
+       (n_56));
+  sky130_fd_sc_hd__nand2_1 g7420__3680(.A (n_50), .B (n_106), .Y
+       (n_100));
+  sky130_fd_sc_hd__nor2_1 g7421__1617(.A (n_49), .B (handle_irq), .Y
+       (exc_cause_o[5]));
+  sky130_fd_sc_hd__o21bai_1 g7422__2802(.A1 (debug_single_step_i), .A2
+       (trigger_match_i), .B1_N (n_307), .Y (debug_cause_o[0]));
+  sky130_fd_sc_hd__clkinv_1 g7423(.A (n_91), .Y (n_54));
+  sky130_fd_sc_hd__nor2b_1 g7425__1705(.A (n_37), .B_N (n_302), .Y
+       (n_51));
+  sky130_fd_sc_hd__nor2_1 g7426__5122(.A (illegal_insn_q), .B (n_105),
+       .Y (n_50));
+  sky130_fd_sc_hd__nand2_1 g7427__8246(.A (n_39), .B (n_37), .Y (n_91));
+  sky130_fd_sc_hd__nand2b_1 g7428__7098(.A_N (n_160), .B (n_37), .Y
+       (n_96));
+  sky130_fd_sc_hd__or2_2 g7430__6131(.A (n_42), .B (n_302), .X (n_104));
+  sky130_fd_sc_hd__nand2_1 g7431__1881(.A (n_39), .B (ctrl_fsm_cs[1]),
+       .Y (n_103));
+  sky130_fd_sc_hd__inv_2 g7432(.A (n_48), .Y (n_101));
+  sky130_fd_sc_hd__a21o_1 g7433__5115(.A1 (wfi_insn_i), .A2
+       (csr_mstatus_tw_i), .B1 (mret_insn_i), .X (n_47));
+  sky130_fd_sc_hd__a21oi_1 g7434__7482(.A1 (debug_single_step_i), .A2
+       (instr_valid_i), .B1 (trigger_match_i), .Y (n_46));
+  sky130_fd_sc_hd__o21ai_1 g7435__4733(.A1 (jump_set_i), .A2
+       (branch_set_spec_i), .B1 (controller_run_o), .Y (n_45));
+  sky130_fd_sc_hd__nor3b_1 g7436__6161(.A (priv_mode_i[1]), .B
+       (priv_mode_i[0]), .C_N (debug_ebreaku_i), .Y (n_44));
+  sky130_fd_sc_hd__nand3b_1 g7437__9315(.A_N (n_160), .B
+       (ctrl_fsm_cs[0]), .C (ctrl_fsm_cs[1]), .Y (n_49));
+  sky130_fd_sc_hd__or3_1 g7438__9945(.A (debug_single_step_i), .B
+       (debug_mode_o), .C (\irqs_i[irq_external] ), .X (n_102));
+  sky130_fd_sc_hd__nand3_1 g7439__2883(.A (\irqs_i[irq_external] ), .B
+       (n_36), .C (csr_mstatus_mie_i), .Y (handle_irq));
+  sky130_fd_sc_hd__nor3_1 g7440__2346(.A (ctrl_fsm_cs[0]), .B (n_34),
+       .C (n_160), .Y (n_48));
+  sky130_fd_sc_hd__clkinv_1 g7442(.A (n_41), .Y (n_40));
+  sky130_fd_sc_hd__clkinv_1 g7443(.A (n_39), .Y (n_155));
+  sky130_fd_sc_hd__nand2_1 g7444__1666(.A (ebrk_insn_i), .B
+       (instr_valid_i), .Y (n_105));
+  sky130_fd_sc_hd__nand2b_1 g7445__7410(.A_N (ctrl_fsm_cs[2]), .B
+       (ctrl_fsm_cs[3]), .Y (n_42));
+  sky130_fd_sc_hd__nand2_1 g7446__6417(.A (priv_mode_i[1]), .B
+       (priv_mode_i[0]), .Y (n_41));
+  sky130_fd_sc_hd__nor2_1 g7447__5477(.A (ctrl_fsm_cs[3]), .B
+       (ctrl_fsm_cs[2]), .Y (n_39));
+  sky130_fd_sc_hd__inv_1 g7448(.A (n_38), .Y (n_99));
+  sky130_fd_sc_hd__nand2b_1 g7449__2398(.A_N (exc_req_q), .B
+       (instr_valid_i), .Y (n_90));
+  sky130_fd_sc_hd__nor2_1 g7450__5107(.A (dret_insn_i), .B
+       (mret_insn_i), .Y (n_38));
+  sky130_fd_sc_hd__nand2_1 g7451__6260(.A (ecall_insn_i), .B
+       (instr_valid_i), .Y (n_106));
+  sky130_fd_sc_hd__nor2_1 g7452__4319(.A (ctrl_fsm_cs[1]), .B
+       (ctrl_fsm_cs[0]), .Y (n_37));
+  sky130_fd_sc_hd__inv_2 g7454(.A (mret_insn_i), .Y (n_35));
+  sky130_fd_sc_hd__dfrtp_1 \ctrl_fsm_cs_reg[2] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_32), .Q (ctrl_fsm_cs[2]));
+  sky130_fd_sc_hd__nand4b_1 g6543__8428(.A_N (n_30), .B (n_18), .C
+       (n_24), .D (n_8), .Y (n_32));
+  sky130_fd_sc_hd__dfrtp_1 \ctrl_fsm_cs_reg[0] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_31), .Q (ctrl_fsm_cs[0]));
+  sky130_fd_sc_hd__or4b_1 g6545__5526(.A (n_11), .B (n_23), .C (n_26),
+       .D_N (n_18), .X (n_31));
+  sky130_fd_sc_hd__o2111ai_1 g6547__6783(.A1 (n_302), .A2 (n_155), .B1
+       (n_89), .C1 (n_27), .D1 (n_21), .Y (n_30));
+  sky130_fd_sc_hd__dfrtp_1 \ctrl_fsm_cs_reg[3] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_25), .Q (ctrl_fsm_cs[3]));
+  sky130_fd_sc_hd__nand2_1 g6549__3680(.A (n_24), .B (n_28), .Y (n_29));
+  sky130_fd_sc_hd__nor2_1 g6550__1617(.A (n_11), .B (n_22), .Y (n_28));
+  sky130_fd_sc_hd__o21ai_1 g6551__2802(.A1 (n_5), .A2 (n_97), .B1
+       (n_23), .Y (n_27));
+  sky130_fd_sc_hd__o21ai_1 g6552__1705(.A1 (n_4), .A2 (n_19), .B1
+       (n_91), .Y (n_26));
+  sky130_fd_sc_hd__o221ai_1 g6553__5122(.A1 (n_98), .A2 (n_97), .B1
+       (n_101), .B2 (n_13), .C1 (n_20), .Y (n_25));
+  sky130_fd_sc_hd__a211o_1 g6554__8246(.A1 (n_303), .A2 (n_3), .B1
+       (n_4), .C1 (n_15), .X (n_24));
+  sky130_fd_sc_hd__o22ai_1 g6555__7098(.A1 (n_101), .A2 (n_17), .B1
+       (handle_irq), .B2 (n_8), .Y (n_22));
+  sky130_fd_sc_hd__nor3_1 g6556__6131(.A (n_101), .B (n_305), .C
+       (n_16), .Y (n_23));
+  sky130_fd_sc_hd__nand2_1 g6557__1881(.A (n_15), .B
+       (controller_run_o), .Y (n_21));
+  sky130_fd_sc_hd__a21o_1 g6558__5115(.A1 (n_12), .A2 (n_96), .B1
+       (enter_debug_mode), .X (n_20));
+  sky130_fd_sc_hd__a21oi_1 g6559__7482(.A1 (n_303), .A2
+       (enter_debug_mode), .B1 (n_15), .Y (n_19));
+  sky130_fd_sc_hd__nor2_1 g6561__4733(.A (n_95), .B (n_10), .Y (n_18));
+  sky130_fd_sc_hd__inv_1 g6562(.A (n_16), .Y (n_17));
+  sky130_fd_sc_hd__nor4b_1 g6563__6161(.A (n_90), .B (n_99), .C
+       (n_305), .D_N (wfi_insn_i), .Y (n_16));
+  sky130_fd_sc_hd__a22oi_1 g6564__9315(.A1 (n_303), .A2 (n_92), .B1
+       (special_req_all), .B2 (ready_wb_i), .Y (n_15));
+  sky130_fd_sc_hd__inv_1 g6565(.A (n_305), .Y (n_13));
+  sky130_fd_sc_hd__nand2_1 g6566__9945(.A (n_303), .B
+       (controller_run_o), .Y (n_12));
+  sky130_fd_sc_hd__a21oi_1 g6568__2883(.A1 (n_301), .A2 (handle_irq),
+       .B1 (n_8), .Y (n_10));
+  sky130_fd_sc_hd__nand3_1 g6569__2346(.A (debug_cause_o[0]), .B (n_6),
+       .C (n_104), .Y (n_9));
+  sky130_fd_sc_hd__o22ai_1 g6570__1666(.A1 (ctrl_fsm_cs[0]), .A2
+       (n_103), .B1 (n_102), .B2 (n_87), .Y (n_11));
+  sky130_fd_sc_hd__dfrtp_1 illegal_insn_q_reg(.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_1), .Q (illegal_insn_q));
+  sky130_fd_sc_hd__nand2_1 g6573__7410(.A (n_93), .B (debug_mode_o), .Y
+       (n_6));
+  sky130_fd_sc_hd__nand2b_1 g6574__6417(.A_N (n_96), .B
+       (enter_debug_mode), .Y (n_8));
+  sky130_fd_sc_hd__clkinv_1 g6577(.A (controller_run_o), .Y (n_4));
+  sky130_fd_sc_hd__inv_1 g6578(.A (enter_debug_mode), .Y (n_3));
+  sky130_fd_sc_hd__inv_1 g6579(.A (n_299), .Y (n_2));
+  sky130_fd_sc_hd__inv_1 g6580(.A (n_300), .Y (n_1));
+  sky130_fd_sc_hd__dfrbp_1 debug_mode_q_reg(.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_9), .Q (debug_mode_o), .Q_N (n_36));
+  sky130_fd_sc_hd__dfrbp_1 \ctrl_fsm_cs_reg[1] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_29), .Q (ctrl_fsm_cs[1]), .Q_N (n_34));
+  sky130_fd_sc_hd__dfrbp_1 exc_req_q_reg(.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_2), .Q (exc_req_q), .Q_N (n_5));
+  sky130_fd_sc_hd__nor2b_1 g2__5477(.A (special_req_all), .B_N (n_80),
+       .Y (n_303));
+  sky130_fd_sc_hd__nand2b_1 g7463__2398(.A_N (n_98), .B
+       (illegal_insn_q), .Y (n_304));
+  sky130_fd_sc_hd__o21ba_2 g7464__5107(.A1 (n_100), .A2 (n_57), .B1_N
+       (enter_debug_mode), .X (n_305));
+  sky130_fd_sc_hd__nand2b_1 g7465__6260(.A_N (n_103), .B (n_89), .Y
+       (ctrl_busy_o));
+  sky130_fd_sc_hd__nand2b_1 g7466__4319(.A_N (n_42), .B (n_37), .Y
+       (n_307));
+  sky130_fd_sc_hd__nor2b_1 g7467__8428(.A (n_62), .B_N (instr_valid_i),
+       .Y (n_308));
+  sky130_fd_sc_hd__nand2_1 g2(.A (n_56), .B (n_103), .Y (n_309));
 endmodule
 
-module ibex_decoder_RV32E0_RV32M1_RV32B0_BranchTargetALU1 (
-	clk_i, 
-	rst_ni, 
-	illegal_insn_o, 
-	ebrk_insn_o, 
-	mret_insn_o, 
-	dret_insn_o, 
-	ecall_insn_o, 
-	wfi_insn_o, 
-	jump_set_o, 
-	branch_taken_i, 
-	icache_inval_o, 
-	instr_first_cycle_i, 
-	instr_rdata_i, 
-	instr_rdata_alu_i, 
-	illegal_c_insn_i, 
-	imm_a_mux_sel_o, 
-	imm_b_mux_sel_o, 
-	bt_a_mux_sel_o, 
-	bt_b_mux_sel_o, 
-	imm_i_type_o, 
-	imm_s_type_o, 
-	imm_b_type_o, 
-	imm_u_type_o, 
-	imm_j_type_o, 
-	zimm_rs1_type_o, 
-	rf_wdata_sel_o, 
-	rf_we_o, 
-	rf_raddr_a_o, 
-	rf_raddr_b_o, 
-	rf_waddr_o, 
-	rf_ren_a_o, 
-	rf_ren_b_o, 
-	alu_operator_o, 
-	alu_op_a_mux_sel_o, 
-	alu_op_b_mux_sel_o, 
-	alu_multicycle_o, 
-	mult_en_o, 
-	div_en_o, 
-	mult_sel_o, 
-	div_sel_o, 
-	multdiv_operator_o, 
-	multdiv_signed_mode_o, 
-	csr_access_o, 
-	csr_op_o, 
-	data_req_o, 
-	data_we_o, 
-	data_type_o, 
-	data_sign_extension_o, 
-	jump_in_dec_o, 
-	branch_in_dec_o, 
-	FE_OFN20_u_top_u_core_instr_rdata_id_25, 
-	FE_OFN22_u_top_u_core_instr_rdata_id_30, 
-	FE_OFN21_u_top_u_core_instr_rdata_id_30, 
-	FE_OFN23_u_top_u_core_instr_rdata_id_26, 
-	FE_OFN24_u_top_u_core_instr_rdata_id_4, 
-	FE_OFN25_u_top_u_core_instr_rdata_id_27, 
-	FE_OFN26_u_top_u_core_instr_rdata_id_29, 
-	FE_OFN27_u_top_u_core_instr_rdata_id_28, 
-	FE_OFN28_u_top_u_core_instr_rdata_id_14, 
-	FE_OFN30_n, 
-	FE_OFN29_n, 
-	FE_OFN31_u_top_u_core_instr_rdata_id_13, 
-	FE_OFN32_n, 
-	FE_OFN33_u_top_u_core_instr_rdata_id_12, 
-	FE_OFN15998_u_top_u_core_instr_rdata_id_14, 
-	FE_OCPN34_u_top_u_core_instr_rdata_id_0, 
-	FE_OFN35_u_top_u_core_instr_rdata_id_12, 
-	FE_OFN36_n, 
-	FE_OFN37_n, 
-	vccd1, 
-	vssd1);
-   input clk_i;
-   input rst_ni;
-   output illegal_insn_o;
-   output ebrk_insn_o;
-   output mret_insn_o;
-   output dret_insn_o;
-   output ecall_insn_o;
-   output wfi_insn_o;
-   output jump_set_o;
-   input branch_taken_i;
-   output icache_inval_o;
-   input instr_first_cycle_i;
-   input [31:0] instr_rdata_i;
-   input [31:0] instr_rdata_alu_i;
-   input illegal_c_insn_i;
-   output imm_a_mux_sel_o;
-   output [2:0] imm_b_mux_sel_o;
-   output [1:0] bt_a_mux_sel_o;
-   output [2:0] bt_b_mux_sel_o;
-   output [31:0] imm_i_type_o;
-   output [31:0] imm_s_type_o;
-   output [31:0] imm_b_type_o;
-   output [31:0] imm_u_type_o;
-   output [31:0] imm_j_type_o;
-   output [31:0] zimm_rs1_type_o;
-   output rf_wdata_sel_o;
-   output rf_we_o;
-   output [4:0] rf_raddr_a_o;
-   output [4:0] rf_raddr_b_o;
-   output [4:0] rf_waddr_o;
-   output rf_ren_a_o;
-   output rf_ren_b_o;
-   output [5:0] alu_operator_o;
-   output [1:0] alu_op_a_mux_sel_o;
-   output alu_op_b_mux_sel_o;
-   output alu_multicycle_o;
-   output mult_en_o;
-   output div_en_o;
-   output mult_sel_o;
-   output div_sel_o;
-   output [1:0] multdiv_operator_o;
-   output [1:0] multdiv_signed_mode_o;
-   output csr_access_o;
-   output [1:0] csr_op_o;
-   output data_req_o;
-   output data_we_o;
-   output [1:0] data_type_o;
-   output data_sign_extension_o;
-   output jump_in_dec_o;
-   output branch_in_dec_o;
-   input FE_OFN20_u_top_u_core_instr_rdata_id_25;
-   input FE_OFN22_u_top_u_core_instr_rdata_id_30;
-   input FE_OFN21_u_top_u_core_instr_rdata_id_30;
-   input FE_OFN23_u_top_u_core_instr_rdata_id_26;
-   input FE_OFN24_u_top_u_core_instr_rdata_id_4;
-   input FE_OFN25_u_top_u_core_instr_rdata_id_27;
-   input FE_OFN26_u_top_u_core_instr_rdata_id_29;
-   input FE_OFN27_u_top_u_core_instr_rdata_id_28;
-   input FE_OFN28_u_top_u_core_instr_rdata_id_14;
-   input FE_OFN30_n;
-   input FE_OFN29_n;
-   input FE_OFN31_u_top_u_core_instr_rdata_id_13;
-   input FE_OFN32_n;
-   input FE_OFN33_u_top_u_core_instr_rdata_id_12;
-   input FE_OFN15998_u_top_u_core_instr_rdata_id_14;
-   input FE_OCPN34_u_top_u_core_instr_rdata_id_0;
-   input FE_OFN35_u_top_u_core_instr_rdata_id_12;
-   input FE_OFN36_n;
-   input FE_OFN37_n;
-   inout vccd1;
-   inout vssd1;
-
-   // Internal wires
-   wire FE_RN_236_0;
-   wire FE_RN_235_0;
-   wire FE_OFN16788_n_241;
-   wire FE_RN_141_0;
-   wire FE_RN_140_0;
-   wire FE_RN_116_0;
-   wire FE_RN_115_0;
-   wire FE_RN_114_0;
-   wire FE_RN_113_0;
-   wire FE_OFN15948_n_107;
-   wire FE_OFN15935_u_top_u_core_id_stage_i_bt_b_mux_sel_1;
-   wire FE_OFN1864_n_117;
-   wire FE_OFN1837_n_34;
-   wire FE_OFN1819_n_96;
-   wire n_14;
-   wire n_15;
-   wire n_17;
-   wire n_27;
-   wire n_29;
-   wire n_30;
-   wire n_31;
-   wire n_33;
-   wire n_34;
-   wire n_35;
-   wire n_36;
-   wire n_37;
-   wire n_38;
-   wire n_39;
-   wire n_43;
-   wire n_45;
-   wire n_46;
-   wire n_47;
-   wire n_48;
-   wire n_49;
-   wire n_52;
-   wire n_53;
-   wire n_54;
-   wire n_56;
-   wire n_57;
-   wire n_58;
-   wire n_59;
-   wire n_63;
-   wire n_64;
-   wire n_66;
-   wire n_67;
-   wire n_68;
-   wire n_69;
-   wire n_70;
-   wire n_71;
-   wire n_72;
-   wire n_73;
-   wire n_74;
-   wire n_75;
-   wire n_77;
-   wire n_78;
-   wire n_79;
-   wire n_80;
-   wire n_81;
-   wire n_82;
-   wire n_83;
-   wire n_84;
-   wire n_85;
-   wire n_86;
-   wire n_88;
-   wire n_89;
-   wire n_90;
-   wire n_91;
-   wire n_92;
-   wire n_93;
-   wire n_95;
-   wire n_96;
-   wire n_97;
-   wire n_101;
-   wire n_102;
-   wire n_103;
-   wire n_104;
-   wire n_105;
-   wire n_106;
-   wire n_107;
-   wire n_110;
-   wire n_111;
-   wire n_112;
-   wire n_113;
-   wire n_114;
-   wire n_115;
-   wire n_116;
-   wire n_117;
-   wire n_119;
-   wire n_120;
-   wire n_122;
-   wire n_123;
-   wire n_124;
-   wire n_126;
-   wire n_127;
-   wire n_128;
-   wire n_129;
-   wire n_132;
-   wire n_133;
-   wire n_134;
-   wire n_137;
-   wire n_139;
-   wire n_141;
-   wire n_142;
-   wire n_143;
-   wire n_144;
-   wire n_145;
-   wire n_146;
-   wire n_147;
-   wire n_150;
-   wire n_151;
-   wire n_154;
-   wire n_155;
-   wire n_156;
-   wire n_157;
-   wire n_158;
-   wire n_159;
-   wire n_160;
-   wire n_161;
-   wire n_162;
-   wire n_163;
-   wire n_166;
-   wire n_168;
-   wire n_170;
-   wire n_172;
-   wire n_173;
-   wire n_175;
-   wire n_176;
-   wire n_177;
-   wire n_178;
-   wire n_181;
-   wire n_182;
-   wire n_183;
-   wire n_184;
-   wire n_185;
-   wire n_188;
-   wire n_189;
-   wire n_190;
-   wire n_191;
-   wire n_194;
-   wire n_195;
-   wire n_197;
-   wire n_201;
-   wire n_203;
-   wire n_204;
-   wire n_206;
-   wire n_207;
-   wire n_208;
-   wire n_210;
-   wire n_212;
-   wire n_215;
-   wire n_217;
-   wire n_224;
-   wire n_226;
-   wire n_240;
-   wire n_241;
-   wire n_242;
-   wire n_243;
-   wire n_245;
-   wire n_247;
-   wire n_249;
-   wire n_252;
-   wire n_253;
-   wire n_254;
-   wire n_255;
-   wire n_256;
-
-   // Module instantiations
-   sky130_fd_sc_hd__nor2_1 FE_RC_397_0 (
-	.A(instr_rdata_alu_i[3]),
-	.B(n_35),
-	.Y(FE_RN_235_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_396_0 (
-	.A(FE_RN_236_0),
-	.Y(n_254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_395_0 (
-	.A(FE_RN_235_0),
-	.B(instr_rdata_alu_i[6]),
-	.Y(FE_RN_236_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3468_n_160 (
-	.A(n_160),
-	.Y(n_161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3430_n_97 (
-	.A(n_97),
-	.Y(bt_a_mux_sel_o[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC3429_n_241 (
-	.A(FE_RN_140_0),
-	.Y(FE_OFN16788_n_241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3428_n_241 (
-	.A(n_241),
-	.Y(FE_RN_140_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3322_u_top_u_core_instr_rdata_id_31 (
-	.A(instr_rdata_alu_i[31]),
-	.Y(n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3309_u_top_u_core_instr_rdata_id_25 (
-	.A(FE_OFN20_u_top_u_core_instr_rdata_id_25),
-	.Y(n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3293_u_top_u_core_instr_rdata_id_12 (
-	.A(instr_rdata_alu_i[12]),
-	.Y(n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_216_0 (
-	.A(n_195),
-	.Y(FE_RN_141_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_4 FE_RC_215_0 (
-	.A(FE_RN_140_0),
-	.B(FE_RN_141_0),
-	.C(n_185),
-	.Y(n_253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_180_0 (
-	.A(instr_rdata_alu_i[6]),
-	.Y(FE_RN_113_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_179_0 (
-	.A(FE_RN_113_0),
-	.B(n_77),
-	.Y(FE_RN_114_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_178_0 (
-	.A(instr_rdata_alu_i[3]),
-	.Y(FE_RN_115_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_177_0 (
-	.A(FE_RN_115_0),
-	.B(n_35),
-	.Y(FE_RN_116_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_176_0 (
-	.A(FE_RN_116_0),
-	.B(FE_RN_114_0),
-	.Y(n_247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2470_n_106 (
-	.A(n_106),
-	.Y(FE_OFN15948_n_107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2469_n_82 (
-	.A(n_82),
-	.Y(n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2412_n_254 (
-	.A(n_254),
-	.Y(n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2351_n_107 (
-	.A(n_107),
-	.Y(n_106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2321_u_top_u_core_id_stage_i_bt_b_mux_sel_1 (
-	.A(bt_b_mux_sel_o[1]),
-	.Y(FE_OFN15935_u_top_u_core_id_stage_i_bt_b_mux_sel_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2319_u_top_u_core_id_stage_i_bt_b_mux_sel_1 (
-	.A(n_93),
-	.Y(bt_b_mux_sel_o[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2295_n_117 (
-	.A(n_117),
-	.Y(FE_OFN1864_n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2080_n_34 (
-	.A(n_33),
-	.Y(FE_OFN1837_n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2079_n_34 (
-	.A(n_34),
-	.Y(n_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2032_n_96 (
-	.A(n_95),
-	.Y(FE_OFN1819_n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2031_n_96 (
-	.A(n_96),
-	.Y(n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g5913__6260 (
-	.A(jump_in_dec_o),
-	.B(instr_first_cycle_i),
-	.X(jump_set_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5914__4319 (
-	.A(n_111),
-	.B(illegal_insn_o),
-	.Y(data_we_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5915__8428 (
-	.A(n_114),
-	.B(illegal_insn_o),
-	.Y(data_req_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5916__5526 (
-	.A(div_sel_o),
-	.B(illegal_insn_o),
-	.Y(div_en_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5917__6783 (
-	.A(bt_b_mux_sel_o[1]),
-	.B(illegal_insn_o),
-	.Y(branch_in_dec_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5918__3680 (
-	.A(imm_a_mux_sel_o),
-	.B(illegal_insn_o),
-	.Y(csr_access_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g5919__1617 (
-	.A(illegal_insn_o),
-	.B_N(mult_sel_o),
-	.Y(mult_en_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5920__2802 (
-	.A1(n_161),
-	.A2(imm_a_mux_sel_o),
-	.B1(illegal_insn_o),
-	.Y(rf_we_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5921__1705 (
-	.A(n_170),
-	.B(illegal_insn_o),
-	.Y(jump_in_dec_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5922__5122 (
-	.A(n_226),
-	.B(n_245),
-	.Y(illegal_insn_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g5923__8246 (
-	.A(illegal_c_insn_i),
-	.B(n_249),
-	.C(n_210),
-	.D(n_224),
-	.Y(n_226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5924__7098 (
-	.A(n_217),
-	.B(n_203),
-	.Y(alu_op_b_mux_sel_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g5925__6131 (
-	.A1(n_163),
-	.A2(n_207),
-	.A3(n_181),
-	.B1(n_81),
-	.Y(n_224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5926__1881 (
-	.A(instr_rdata_alu_i[29]),
-	.B(n_215),
-	.Y(wfi_insn_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g5927__5115 (
-	.A(n_253),
-	.B(n_188),
-	.Y(alu_operator_o[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g5928__7482 (
-	.A(n_243),
-	.B(n_212),
-	.Y(alu_operator_o[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5930__4733 (
-	.A(n_253),
-	.B(n_206),
-	.Y(alu_operator_o[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5931__6161 (
-	.A(n_217),
-	.B(n_191),
-	.Y(alu_op_a_mux_sel_o[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5932__9315 (
-	.A(n_245),
-	.B(n_189),
-	.Y(alu_op_a_mux_sel_o[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5933__9945 (
-	.A(n_201),
-	.B(FE_OFN27_u_top_u_core_instr_rdata_id_28),
-	.Y(n_215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_4 g5935__2883 (
-	.A(n_122),
-	.B_N(n_245),
-	.Y(n_217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g5936__2346 (
-	.A1(n_183),
-	.A2(n_17),
-	.A3(FE_OFN31_u_top_u_core_instr_rdata_id_13),
-	.B1(n_175),
-	.Y(n_212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g5937__1666 (
-	.A(n_204),
-	.B(n_208),
-	.Y(alu_operator_o[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g5938__7410 (
-	.A1(n_120),
-	.A2(n_168),
-	.B1(n_176),
-	.C1(n_182),
-	.D1(n_197),
-	.Y(n_210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5940__6417 (
-	.A1(n_158),
-	.A2(instr_rdata_alu_i[30]),
-	.B1(n_154),
-	.Y(n_208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g5941__5477 (
-	.A1(instr_rdata_i[9]),
-	.A2(n_151),
-	.B1(n_74),
-	.Y(n_207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5942__2398 (
-	.A(n_162),
-	.B(n_177),
-	.Y(n_206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g5945__5107 (
-	.A(n_85),
-	.B(imm_b_mux_sel_o[2]),
-	.C(n_106),
-	.D(n_184),
-	.Y(n_203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g5947__6260 (
-	.A(FE_OFN25_u_top_u_core_instr_rdata_id_27),
-	.B(n_48),
-	.C(n_157),
-	.Y(n_201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31a_1 g5948__4319 (
-	.A1(n_58),
-	.A2(n_101),
-	.A3(FE_OFN15948_n_107),
-	.B1(n_194),
-	.X(n_204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5950__8428 (
-	.A(n_129),
-	.B(n_173),
-	.Y(n_197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g5951__5526 (
-	.A(n_66),
-	.B(n_156),
-	.Y(multdiv_operator_o[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5952__6783 (
-	.A(n_172),
-	.B(n_31),
-	.Y(n_195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5953__3680 (
-	.A(n_158),
-	.B(instr_rdata_alu_i[14]),
-	.Y(n_194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5954__1617 (
-	.A(n_80),
-	.B(n_156),
-	.Y(multdiv_signed_mode_o[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5955__2802 (
-	.A1(n_56),
-	.A2(n_29),
-	.B1(n_156),
-	.Y(multdiv_signed_mode_o[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5956__1705 (
-	.A(n_146),
-	.B(n_159),
-	.Y(n_191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5957__5122 (
-	.A(n_172),
-	.B(n_57),
-	.Y(n_190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5958__8246 (
-	.A(n_89),
-	.B(n_159),
-	.Y(n_189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5959__7098 (
-	.A1(n_115),
-	.A2(n_31),
-	.B1(n_173),
-	.Y(n_188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5960__6131 (
-	.A(instr_rdata_alu_i[14]),
-	.B(n_156),
-	.Y(mult_sel_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5961__1881 (
-	.A(n_155),
-	.B(instr_rdata_alu_i[14]),
-	.Y(div_sel_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g5964__5115 (
-	.A(n_144),
-	.B(n_145),
-	.C(n_84),
-	.D(n_81),
-	.Y(n_184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g5965__7482 (
-	.A1(n_45),
-	.A2(n_142),
-	.B1(FE_OFN15948_n_107),
-	.Y(n_183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g5966__4733 (
-	.A1(n_143),
-	.A2(FE_OFN15998_u_top_u_core_instr_rdata_id_14),
-	.A3(FE_OFN31_u_top_u_core_instr_rdata_id_13),
-	.B1(n_133),
-	.B2(FE_OFN20_u_top_u_core_instr_rdata_id_25),
-	.Y(n_182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g5967__6161 (
-	.A1(n_103),
-	.A2(n_79),
-	.B1(n_104),
-	.B2(n_74),
-	.C1(n_75),
-	.C2(n_36),
-	.Y(n_181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4bb_1 g5968__9315 (
-	.A(instr_rdata_i[22]),
-	.B(n_157),
-	.C_N(instr_rdata_i[20]),
-	.D_N(n_255),
-	.Y(ebrk_insn_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5969__9945 (
-	.A(n_91),
-	.B(n_157),
-	.Y(ecall_insn_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5970__2883 (
-	.A(n_128),
-	.B(n_166),
-	.Y(n_178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g5971__2346 (
-	.A1(n_37),
-	.A2(n_147),
-	.B1(n_150),
-	.Y(n_177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221a_1 g5972__1666 (
-	.A1(bt_a_mux_sel_o[1]),
-	.A2(n_74),
-	.B1(n_37),
-	.B2(n_114),
-	.C1(n_139),
-	.X(n_176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g5973__7410 (
-	.A1_N(n_66),
-	.A2_N(n_115),
-	.B1(n_126),
-	.B2(n_141),
-	.Y(n_175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5975__6417 (
-	.A(n_134),
-	.B(n_160),
-	.Y(n_185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5976__5477 (
-	.A_N(bt_b_mux_sel_o[0]),
-	.B(n_247),
-	.Y(bt_b_mux_sel_o[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5977__2398 (
-	.A(imm_b_mux_sel_o[2]),
-	.B(bt_b_mux_sel_o[0]),
-	.Y(n_170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g5978__5107 (
-	.A(n_69),
-	.B(n_59),
-	.C(n_45),
-	.D(n_132),
-	.Y(mret_insn_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5979__6260 (
-	.A(FE_OFN1864_n_117),
-	.B(n_133),
-	.Y(n_168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5980__4319 (
-	.A(FE_OFN37_n),
-	.B(n_144),
-	.Y(data_sign_extension_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5981__8428 (
-	.A(n_43),
-	.B(n_141),
-	.Y(n_166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5982__5526 (
-	.A(imm_a_mux_sel_o),
-	.B(n_123),
-	.Y(csr_op_o[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5983__6783 (
-	.A_N(n_146),
-	.B(n_145),
-	.Y(imm_b_mux_sel_o[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g5984__3680 (
-	.A1(n_86),
-	.A2(instr_rdata_i[7]),
-	.A3(instr_rdata_i[8]),
-	.A4(instr_rdata_i[11]),
-	.B1(n_74),
-	.Y(n_163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5985__1617 (
-	.A(n_92),
-	.B(n_141),
-	.Y(n_162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5986__2802 (
-	.A(n_90),
-	.B(n_141),
-	.Y(n_173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5987__1705 (
-	.A(n_147),
-	.B(FE_OFN15948_n_107),
-	.Y(n_172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g5989 (
-	.A(n_155),
-	.Y(n_156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5990__5122 (
-	.A1(n_116),
-	.A2(FE_OFN15948_n_107),
-	.B1(n_56),
-	.Y(n_154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g5992__8246 (
-	.A1(FE_OFN15998_u_top_u_core_instr_rdata_id_14),
-	.A2(imm_a_mux_sel_o),
-	.B1(n_240),
-	.B2(n_63),
-	.C1(FE_OFN1819_n_96),
-	.Y(rf_ren_a_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g5993__7098 (
-	.A1(n_59),
-	.A2(instr_rdata_alu_i[29]),
-	.B1(n_88),
-	.B2(FE_OFN22_u_top_u_core_instr_rdata_id_30),
-	.C1(instr_rdata_i[10]),
-	.X(n_151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g5994__6131 (
-	.A1(n_106),
-	.A2(n_58),
-	.A3(n_39),
-	.B1(n_124),
-	.Y(n_150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g5995__1881 (
-	.A(instr_rdata_alu_i[30]),
-	.B(n_59),
-	.C(n_88),
-	.D(n_132),
-	.Y(dret_insn_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g5997__5115 (
-	.A(n_107),
-	.B(n_117),
-	.C(n_247),
-	.D(n_105),
-	.Y(n_160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g5998__7482 (
-	.A1(FE_OFN28_u_top_u_core_instr_rdata_id_14),
-	.A2(imm_a_mux_sel_o),
-	.B1(n_112),
-	.Y(n_159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5999__4733 (
-	.A(n_67),
-	.B(n_142),
-	.Y(n_158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g6000__6161 (
-	.A(instr_rdata_i[21]),
-	.B(instr_rdata_i[24]),
-	.C(n_45),
-	.D(n_132),
-	.X(n_157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g6001__9315 (
-	.A(n_27),
-	.B(n_142),
-	.Y(n_155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g6002 (
-	.A(n_143),
-	.Y(n_144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6003__9945 (
-	.A(n_34),
-	.B(n_114),
-	.Y(data_type_o[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g6004__2883 (
-	.A(FE_OFN28_u_top_u_core_instr_rdata_id_14),
-	.B(n_111),
-	.X(n_139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g6005__2346 (
-	.A(n_114),
-	.B_N(n_36),
-	.Y(data_type_o[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6006__1666 (
-	.A(FE_OFN1864_n_117),
-	.B(n_119),
-	.Y(n_137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g6007__7410 (
-	.A(n_85),
-	.B(n_122),
-	.X(imm_b_mux_sel_o[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6008__6417 (
-	.A(FE_OFN1864_n_117),
-	.B(n_14),
-	.Y(n_147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g6009__5477 (
-	.A(n_85),
-	.B(imm_b_mux_sel_o[2]),
-	.X(n_146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6010__2398 (
-	.A(n_110),
-	.B(FE_OFN28_u_top_u_core_instr_rdata_id_14),
-	.Y(n_145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6011__5107 (
-	.A(instr_rdata_alu_i[5]),
-	.B(n_114),
-	.Y(n_143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g6012__6260 (
-	.A(n_120),
-	.B(FE_OFN1864_n_117),
-	.Y(n_142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6013__4319 (
-	.A(FE_OFN1864_n_117),
-	.B(FE_OFN21_u_top_u_core_instr_rdata_id_30),
-	.Y(n_141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g6015__8428 (
-	.A(n_114),
-	.B(bt_b_mux_sel_o[1]),
-	.C(n_84),
-	.Y(n_134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g6016__5526 (
-	.A1(FE_OFN29_n),
-	.A2(FE_OFN1819_n_96),
-	.B1(n_35),
-	.B2(n_256),
-	.Y(rf_ren_b_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g6017__6783 (
-	.A1_N(n_31),
-	.A2_N(FE_OFN15935_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
-	.B1(n_242),
-	.B2(n_84),
-	.Y(n_129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g6018__3680 (
-	.A1(n_68),
-	.A2(n_37),
-	.B1(n_116),
-	.Y(n_128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6019__1617 (
-	.A(n_106),
-	.B(n_113),
-	.Y(n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g6020__2802 (
-	.A(n_120),
-	.B(n_43),
-	.C(n_38),
-	.Y(n_126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6021__1705 (
-	.A(n_31),
-	.B(n_116),
-	.Y(alu_operator_o[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g6022__5122 (
-	.A1(n_57),
-	.A2(instr_rdata_alu_i[13]),
-	.B1(n_116),
-	.Y(n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g6023__8246 (
-	.A1(n_86),
-	.A2(FE_OFN33_u_top_u_core_instr_rdata_id_12),
-	.B1_N(FE_OFN1837_n_34),
-	.Y(n_123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6024__7098 (
-	.A(FE_OFN1837_n_34),
-	.B(FE_OFN15948_n_107),
-	.Y(n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g6025__6131 (
-	.A(n_74),
-	.B(n_64),
-	.C(n_82),
-	.Y(n_132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6026__1881 (
-	.A(n_84),
-	.B(n_56),
-	.Y(bt_b_mux_sel_o[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g6027 (
-	.A(n_119),
-	.Y(n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g6029 (
-	.A(n_116),
-	.Y(n_115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6030__5115 (
-	.A(n_58),
-	.B(n_102),
-	.Y(n_113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6031__7482 (
-	.A(bt_b_mux_sel_o[1]),
-	.B(instr_first_cycle_i),
-	.Y(n_122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g6032__4733 (
-	.A(bt_a_mux_sel_o[1]),
-	.B(n_247),
-	.Y(imm_b_mux_sel_o[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6033__6161 (
-	.A(n_102),
-	.B(FE_OFN23_u_top_u_core_instr_rdata_id_26),
-	.Y(n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6034__9315 (
-	.A(n_95),
-	.B(n_47),
-	.Y(n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g6035__9945 (
-	.A(FE_OFN15935_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
-	.B(instr_first_cycle_i),
-	.Y(n_116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g6036__2883 (
-	.A(n_95),
-	.B(FE_OFN24_u_top_u_core_instr_rdata_id_4),
-	.Y(n_114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g6037 (
-	.A(n_110),
-	.Y(n_111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g6040__2346 (
-	.A(n_85),
-	.B(n_97),
-	.Y(n_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g6041__1666 (
-	.A1(FE_OFN22_u_top_u_core_instr_rdata_id_30),
-	.A2(n_72),
-	.B1(n_64),
-	.Y(n_104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g6042__7410 (
-	.A1(FE_OFN26_u_top_u_core_instr_rdata_id_29),
-	.A2(n_73),
-	.B1(FE_OFN27_u_top_u_core_instr_rdata_id_28),
-	.Y(n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6043__6417 (
-	.A(n_75),
-	.B(n_83),
-	.Y(n_112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6044__5477 (
-	.A(n_35),
-	.B(FE_OFN1819_n_96),
-	.Y(n_110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g6045__2398 (
-	.A(n_82),
-	.B(n_75),
-	.Y(imm_a_mux_sel_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6046__5107 (
-	.A(n_95),
-	.B(n_30),
-	.Y(n_107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g6047 (
-	.A(n_101),
-	.Y(n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6053__6260 (
-	.A(FE_OFN20_u_top_u_core_instr_rdata_id_25),
-	.B(n_57),
-	.Y(n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6054__4319 (
-	.A(n_255),
-	.B(n_49),
-	.Y(n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g6055__8428 (
-	.A(n_57),
-	.B_N(n_43),
-	.Y(n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6056__5526 (
-	.A(n_46),
-	.B(n_77),
-	.Y(n_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g6057__6783 (
-	.A(n_255),
-	.B(n_15),
-	.Y(n_101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6058__3680 (
-	.A(n_77),
-	.B(n_63),
-	.Y(n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6059__1617 (
-	.A(n_78),
-	.B(n_52),
-	.Y(n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6060__2802 (
-	.A(n_254),
-	.B(n_78),
-	.X(n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g6061 (
-	.A(n_83),
-	.Y(n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g6063__1705 (
-	.A1(FE_OFN35_u_top_u_core_instr_rdata_id_12),
-	.A2(n_242),
-	.B1(n_56),
-	.X(n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31a_1 g6064__5122 (
-	.A1(FE_OFN27_u_top_u_core_instr_rdata_id_28),
-	.A2(instr_rdata_i[22]),
-	.A3(instr_rdata_i[21]),
-	.B1(n_74),
-	.X(n_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g6065__8246 (
-	.A(FE_OFN20_u_top_u_core_instr_rdata_id_25),
-	.B(instr_rdata_i[24]),
-	.C(FE_OFN25_u_top_u_core_instr_rdata_id_27),
-	.D(instr_rdata_alu_i[29]),
-	.Y(n_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g6067__7098 (
-	.A(instr_rdata_i[17]),
-	.B(instr_rdata_i[18]),
-	.C(n_54),
-	.X(n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g6068__6131 (
-	.A(n_71),
-	.B(n_77),
-	.Y(n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6069__1881 (
-	.A(n_252),
-	.B(n_77),
-	.Y(n_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g6070__5115 (
-	.A(n_70),
-	.B(n_256),
-	.Y(n_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g6072 (
-	.A(n_75),
-	.Y(n_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6073__7482 (
-	.A(instr_rdata_i[21]),
-	.B(n_48),
-	.Y(n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g6074__4733 (
-	.A(n_14),
-	.B(n_53),
-	.X(n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6075__6161 (
-	.A(n_52),
-	.B(instr_rdata_alu_i[4]),
-	.Y(n_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g6076__9315 (
-	.A(FE_OFN30_n),
-	.B(instr_rdata_alu_i[4]),
-	.C(instr_rdata_alu_i[6]),
-	.Y(n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6077__9945 (
-	.A(n_53),
-	.B(instr_rdata_alu_i[29]),
-	.Y(n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6078__2883 (
-	.A(n_36),
-	.B(n_38),
-	.Y(n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6079__2346 (
-	.A(n_43),
-	.B(instr_rdata_alu_i[12]),
-	.Y(n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g6080__1666 (
-	.A(instr_rdata_alu_i[2]),
-	.B(n_240),
-	.Y(n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g6081__7410 (
-	.A(instr_rdata_alu_i[2]),
-	.B(instr_rdata_alu_i[1]),
-	.C(FE_OCPN34_u_top_u_core_instr_rdata_id_0),
-	.Y(n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g6082__6417 (
-	.A(n_242),
-	.B(n_17),
-	.Y(n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g6086__5477 (
-	.A(instr_rdata_i[16]),
-	.B(instr_rdata_i[19]),
-	.C(instr_rdata_i[15]),
-	.X(n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g6087__2398 (
-	.A1(instr_rdata_alu_i[12]),
-	.A2(FE_OFN28_u_top_u_core_instr_rdata_id_14),
-	.B1(FE_OFN31_u_top_u_core_instr_rdata_id_13),
-	.Y(n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g6089__5107 (
-	.A(instr_rdata_i[23]),
-	.B(instr_rdata_alu_i[31]),
-	.C(instr_rdata_alu_i[26]),
-	.Y(n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g6092__6260 (
-	.A(n_49),
-	.B(instr_rdata_i[21]),
-	.C(FE_OFN27_u_top_u_core_instr_rdata_id_28),
-	.Y(n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6093__4319 (
-	.A(n_33),
-	.B(instr_rdata_alu_i[14]),
-	.Y(n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6094__8428 (
-	.A(n_38),
-	.B(n_39),
-	.Y(n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6095__5526 (
-	.A(n_242),
-	.B(instr_rdata_alu_i[12]),
-	.Y(n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g6097 (
-	.A(n_46),
-	.Y(n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6101__6783 (
-	.A(FE_OFN25_u_top_u_core_instr_rdata_id_27),
-	.B(instr_rdata_i[24]),
-	.Y(n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6102__3680 (
-	.A(instr_rdata_alu_i[3]),
-	.B(instr_rdata_alu_i[6]),
-	.Y(n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6105__1617 (
-	.A(instr_rdata_i[22]),
-	.B(instr_rdata_i[20]),
-	.Y(n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6106__2802 (
-	.A(instr_rdata_i[20]),
-	.B(instr_rdata_i[22]),
-	.Y(n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6107__1705 (
-	.A(instr_rdata_alu_i[5]),
-	.B(instr_rdata_alu_i[4]),
-	.Y(n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6108__5122 (
-	.A(instr_rdata_alu_i[30]),
-	.B(n_14),
-	.Y(n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6109__8246 (
-	.A(FE_OFN31_u_top_u_core_instr_rdata_id_13),
-	.B(FE_OFN20_u_top_u_core_instr_rdata_id_25),
-	.Y(n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6112__7098 (
-	.A(instr_rdata_alu_i[5]),
-	.B(FE_OFN24_u_top_u_core_instr_rdata_id_4),
-	.Y(n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6113__6131 (
-	.A(n_17),
-	.B(instr_rdata_alu_i[14]),
-	.Y(n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6115__1881 (
-	.A(instr_rdata_alu_i[30]),
-	.B(FE_OFN20_u_top_u_core_instr_rdata_id_25),
-	.Y(n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6116__5115 (
-	.A(FE_OFN28_u_top_u_core_instr_rdata_id_14),
-	.B(n_17),
-	.Y(n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6117__7482 (
-	.A(instr_rdata_alu_i[12]),
-	.B(instr_rdata_alu_i[14]),
-	.Y(n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6118__4733 (
-	.A(instr_rdata_alu_i[12]),
-	.B(FE_OFN31_u_top_u_core_instr_rdata_id_13),
-	.Y(n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6119__6161 (
-	.A(FE_OFN31_u_top_u_core_instr_rdata_id_13),
-	.B(instr_rdata_alu_i[12]),
-	.Y(n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g6120__9315 (
-	.A(FE_OFN24_u_top_u_core_instr_rdata_id_4),
-	.B(instr_rdata_alu_i[5]),
-	.Y(n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g6121__9945 (
-	.A(instr_rdata_alu_i[12]),
-	.B(instr_rdata_alu_i[13]),
-	.Y(n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6123__2883 (
-	.A(instr_rdata_alu_i[13]),
-	.B(instr_rdata_alu_i[14]),
-	.Y(n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g6150__2346 (
-	.A(instr_rdata_alu_i[1]),
-	.B(instr_rdata_alu_i[0]),
-	.Y(n_240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g2__1666 (
-	.A(n_127),
-	.B(n_137),
-	.C(n_112),
-	.X(n_241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g6151__7410 (
-	.A(FE_OFN28_u_top_u_core_instr_rdata_id_14),
-	.B(instr_rdata_alu_i[13]),
-	.X(n_242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g6152__6417 (
-	.A_N(n_204),
-	.B(instr_rdata_alu_i[30]),
-	.Y(n_243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g6153__5477 (
-	.A_N(n_185),
-	.B(n_190),
-	.C(n_178),
-	.D(FE_OFN16788_n_241),
-	.Y(alu_operator_o[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_4 g6154__2398 (
-	.A_N(n_134),
-	.B(n_161),
-	.C(n_81),
-	.Y(n_245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_2 g6155__5107 (
-	.A_N(imm_a_mux_sel_o),
-	.B(n_86),
-	.C(FE_OFN36_n),
-	.X(csr_op_o[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g6158__4319 (
-	.A(FE_OFN15948_n_107),
-	.B(n_56),
-	.C_N(n_45),
-	.Y(n_249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g6161__8428 (
-	.A_N(instr_rdata_alu_i[6]),
-	.B(FE_OFN29_n),
-	.C(instr_rdata_alu_i[3]),
-	.D(FE_OFN24_u_top_u_core_instr_rdata_id_4),
-	.Y(n_252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_4 g6164__3680 (
-	.A(instr_rdata_alu_i[29]),
-	.B(instr_rdata_alu_i[28]),
-	.C(instr_rdata_alu_i[27]),
-	.Y(n_255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g6165__1617 (
-	.A(n_240),
-	.B(instr_rdata_alu_i[3]),
-	.C(instr_rdata_alu_i[2]),
-	.X(n_256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
+module ibex_decoder_RV32E0_RV32M1_RV32B0_BranchTargetALU1(clk_i,
+     rst_ni, illegal_insn_o, ebrk_insn_o, mret_insn_o, dret_insn_o,
+     ecall_insn_o, wfi_insn_o, jump_set_o, branch_taken_i,
+     icache_inval_o, instr_first_cycle_i, instr_rdata_i,
+     instr_rdata_alu_i, illegal_c_insn_i, imm_a_mux_sel_o,
+     imm_b_mux_sel_o, bt_a_mux_sel_o, bt_b_mux_sel_o, imm_i_type_o,
+     imm_s_type_o, imm_b_type_o, imm_u_type_o, imm_j_type_o,
+     zimm_rs1_type_o, rf_wdata_sel_o, rf_we_o, rf_raddr_a_o,
+     rf_raddr_b_o, rf_waddr_o, rf_ren_a_o, rf_ren_b_o, alu_operator_o,
+     alu_op_a_mux_sel_o, alu_op_b_mux_sel_o, alu_multicycle_o,
+     mult_en_o, div_en_o, mult_sel_o, div_sel_o, multdiv_operator_o,
+     multdiv_signed_mode_o, csr_access_o, csr_op_o, data_req_o,
+     data_we_o, data_type_o, data_sign_extension_o, jump_in_dec_o,
+     branch_in_dec_o);
+  input clk_i, rst_ni, branch_taken_i, instr_first_cycle_i,
+       illegal_c_insn_i;
+  input [31:0] instr_rdata_i, instr_rdata_alu_i;
+  output illegal_insn_o, ebrk_insn_o, mret_insn_o, dret_insn_o,
+       ecall_insn_o, wfi_insn_o, jump_set_o, icache_inval_o,
+       imm_a_mux_sel_o, rf_wdata_sel_o, rf_we_o, rf_ren_a_o,
+       rf_ren_b_o, alu_op_b_mux_sel_o, alu_multicycle_o, mult_en_o,
+       div_en_o, mult_sel_o, div_sel_o, csr_access_o, data_req_o,
+       data_we_o, data_sign_extension_o, jump_in_dec_o, branch_in_dec_o;
+  output [2:0] imm_b_mux_sel_o, bt_b_mux_sel_o;
+  output [1:0] bt_a_mux_sel_o, alu_op_a_mux_sel_o, multdiv_operator_o,
+       multdiv_signed_mode_o, csr_op_o, data_type_o;
+  output [31:0] imm_i_type_o, imm_s_type_o, imm_b_type_o, imm_u_type_o,
+       imm_j_type_o, zimm_rs1_type_o;
+  output [4:0] rf_raddr_a_o, rf_raddr_b_o, rf_waddr_o;
+  output [5:0] alu_operator_o;
+  wire clk_i, rst_ni, branch_taken_i, instr_first_cycle_i,
+       illegal_c_insn_i;
+  wire [31:0] instr_rdata_i, instr_rdata_alu_i;
+  wire illegal_insn_o, ebrk_insn_o, mret_insn_o, dret_insn_o,
+       ecall_insn_o, wfi_insn_o, jump_set_o, icache_inval_o,
+       imm_a_mux_sel_o, rf_wdata_sel_o, rf_we_o, rf_ren_a_o,
+       rf_ren_b_o, alu_op_b_mux_sel_o, alu_multicycle_o, mult_en_o,
+       div_en_o, mult_sel_o, div_sel_o, csr_access_o, data_req_o,
+       data_we_o, data_sign_extension_o, jump_in_dec_o, branch_in_dec_o;
+  wire [2:0] imm_b_mux_sel_o, bt_b_mux_sel_o;
+  wire [1:0] bt_a_mux_sel_o, alu_op_a_mux_sel_o, multdiv_operator_o,
+       multdiv_signed_mode_o, csr_op_o, data_type_o;
+  wire [31:0] imm_i_type_o, imm_s_type_o, imm_b_type_o, imm_u_type_o,
+       imm_j_type_o, zimm_rs1_type_o;
+  wire [4:0] rf_raddr_a_o, rf_raddr_b_o, rf_waddr_o;
+  wire [5:0] alu_operator_o;
+  wire n_1, n_3, n_4, n_5, n_6, n_7, n_8, n_9;
+  wire n_11, n_13, n_16, n_17, n_18, n_20, n_21, n_22;
+  wire n_23, n_25, n_26, n_28, n_29, n_30, n_31, n_32;
+  wire n_33, n_34, n_36, n_37, n_38, n_39, n_40, n_42;
+  wire n_44, n_45, n_46, n_47, n_48, n_49, n_50, n_51;
+  wire n_53, n_54, n_55, n_56, n_57, n_58, n_59, n_60;
+  wire n_61, n_62, n_64, n_69, n_70, n_71, n_72, n_73;
+  wire n_74, n_75, n_76, n_77, n_78, n_79, n_80, n_81;
+  wire n_82, n_86, n_89, n_90, n_91, n_92, n_93, n_94;
+  wire n_96, n_97, n_98, n_99, n_102, n_103, n_108, n_109;
+  wire n_110, n_111, n_115, n_116, n_118, n_119, n_120, n_121;
+  wire n_122, n_124, n_126, n_127, n_128, n_129, n_130, n_134;
+  wire n_138, n_140, n_141, n_142, n_145, n_146, n_147, n_153;
+  wire n_155, n_156, n_157, n_158, n_159, n_160, n_164, n_165;
+  wire n_166, n_167, n_168, n_169, n_170, n_171, n_172, n_173;
+  wire n_174, n_176, n_177, n_178, n_182, n_183, n_185, n_186;
+  wire n_188, n_189, n_191, n_192, n_193, n_196, n_207, n_208;
+  wire n_225, n_226, n_227, n_231, n_236, n_237, n_239, n_240;
+  sky130_fd_sc_hd__and2_1 g5902__5526(.A (jump_in_dec_o), .B
+       (instr_first_cycle_i), .X (jump_set_o));
+  sky130_fd_sc_hd__nor2_1 g5903__6783(.A (n_109), .B (illegal_insn_o),
+       .Y (data_req_o));
+  sky130_fd_sc_hd__nor2_1 g5904__3680(.A (n_62), .B (illegal_insn_o),
+       .Y (branch_in_dec_o));
+  sky130_fd_sc_hd__nor2_1 g5905__1617(.A (n_183), .B (illegal_insn_o),
+       .Y (div_en_o));
+  sky130_fd_sc_hd__nor2b_1 g5906__2802(.A (illegal_insn_o), .B_N
+       (mult_sel_o), .Y (mult_en_o));
+  sky130_fd_sc_hd__nor2_1 g5907__1705(.A (n_97), .B (illegal_insn_o),
+       .Y (data_we_o));
+  sky130_fd_sc_hd__nor2_1 g5908__5122(.A (imm_a_mux_sel_o), .B
+       (illegal_insn_o), .Y (csr_access_o));
+  sky130_fd_sc_hd__a21oi_1 g5909__8246(.A1 (n_186), .A2
+       (imm_a_mux_sel_o), .B1 (illegal_insn_o), .Y (rf_we_o));
+  sky130_fd_sc_hd__nor2_1 g5910__7098(.A (n_158), .B (illegal_insn_o),
+       .Y (jump_in_dec_o));
+  sky130_fd_sc_hd__or4_1 g5911__6131(.A (n_177), .B (n_169), .C
+       (n_193), .D (n_208), .X (illegal_insn_o));
+  sky130_fd_sc_hd__nand3_1 g5912__1881(.A (n_240), .B (n_182), .C
+       (n_188), .Y (alu_operator_o[5]));
+  sky130_fd_sc_hd__or4_1 g5914__5115(.A (illegal_c_insn_i), .B (n_147),
+       .C (n_165), .D (n_207), .X (n_208));
+  sky130_fd_sc_hd__a31oi_1 g5915__7482(.A1 (n_160), .A2 (n_171), .A3
+       (n_174), .B1 (n_70), .Y (n_207));
+  sky130_fd_sc_hd__nand2_2 g5916__4733(.A (n_240), .B (n_191), .Y
+       (alu_operator_o[1]));
+  sky130_fd_sc_hd__nand3_1 g5920__6161(.A (n_196), .B (n_236), .C
+       (n_227), .Y (alu_op_b_mux_sel_o));
+  sky130_fd_sc_hd__nor3_1 g5921__9315(.A (instr_rdata_alu_i[27]), .B
+       (instr_rdata_alu_i[29]), .C (n_172), .Y (wfi_insn_o));
+  sky130_fd_sc_hd__nand3_1 g5924__9945(.A (n_196), .B (n_167), .C
+       (n_142), .Y (alu_op_a_mux_sel_o[1]));
+  sky130_fd_sc_hd__o211ai_1 g5927__2883(.A1 (n_33), .A2 (n_57), .B1
+       (n_167), .C1 (n_176), .Y (alu_op_a_mux_sel_o[0]));
+  sky130_fd_sc_hd__o221ai_1 g5928__2346(.A1 (n_225), .A2 (n_145), .B1
+       (n_31), .B2 (n_109), .C1 (n_146), .Y (n_193));
+  sky130_fd_sc_hd__nor2_1 g5929__1666(.A (n_118), .B (n_177), .Y
+       (n_196));
+  sky130_fd_sc_hd__a21oi_1 g5930__7410(.A1 (n_155), .A2 (n_59), .B1
+       (n_173), .Y (n_191));
+  sky130_fd_sc_hd__nor3_1 g5932__6417(.A (n_159), .B (n_121), .C
+       (n_157), .Y (n_189));
+  sky130_fd_sc_hd__o31ai_1 g5933__5477(.A1 (n_74), .A2 (n_60), .A3
+       (n_94), .B1 (n_178), .Y (n_192));
+  sky130_fd_sc_hd__inv_1 g5934(.A (n_185), .Y (n_186));
+  sky130_fd_sc_hd__inv_2 g5935(.A (n_183), .Y (div_sel_o));
+  sky130_fd_sc_hd__a21oi_1 g5936__2398(.A1 (n_110), .A2 (n_23), .B1
+       (n_165), .Y (n_182));
+  sky130_fd_sc_hd__nor2_1 g5937__5107(.A (n_61), .B (n_153), .Y
+       (multdiv_signed_mode_o[0]));
+  sky130_fd_sc_hd__a21oi_1 g5938__6260(.A1 (n_58), .A2 (n_17), .B1
+       (n_153), .Y (multdiv_signed_mode_o[1]));
+  sky130_fd_sc_hd__nor2_1 g5939__4319(.A (n_48), .B (n_153), .Y
+       (multdiv_operator_o[0]));
+  sky130_fd_sc_hd__nand2_1 g5940__8428(.A (n_155), .B (n_23), .Y
+       (n_188));
+  sky130_fd_sc_hd__nand2_1 g5941__5526(.A (n_166), .B
+       (instr_rdata_alu_i[14]), .Y (n_178));
+  sky130_fd_sc_hd__nor2_1 g5942__6783(.A (instr_rdata_alu_i[14]), .B
+       (n_153), .Y (mult_sel_o));
+  sky130_fd_sc_hd__nand2_1 g5943__3680(.A (n_236), .B (n_103), .Y
+       (n_185));
+  sky130_fd_sc_hd__nand2_1 g5944__1617(.A (n_237), .B
+       (instr_rdata_alu_i[14]), .Y (n_183));
+  sky130_fd_sc_hd__inv_2 g5945(.A (n_176), .Y (n_177));
+  sky130_fd_sc_hd__a222oi_1 g5947__2802(.A1 (n_54), .A2 (n_89), .B1
+       (n_55), .B2 (n_32), .C1 (n_78), .C2 (n_91), .Y (n_174));
+  sky130_fd_sc_hd__o22ai_1 g5948__1705(.A1 (n_75), .A2 (n_111), .B1
+       (n_30), .B2 (n_138), .Y (n_173));
+  sky130_fd_sc_hd__nand3b_1 g5949__5122(.A_N (n_37), .B (n_130), .C
+       (instr_rdata_alu_i[28]), .Y (n_172));
+  sky130_fd_sc_hd__a21o_1 g5950__8246(.A1 (n_92), .A2 (n_134), .B1
+       (n_55), .X (n_171));
+  sky130_fd_sc_hd__o21ai_1 g5951__7098(.A1 (n_29), .A2 (n_140), .B1
+       (n_94), .Y (n_170));
+  sky130_fd_sc_hd__o2111ai_1 g5952__6131(.A1 (n_226), .A2 (n_64), .B1
+       (n_90), .C1 (n_128), .D1 (n_126), .Y (n_169));
+  sky130_fd_sc_hd__o2bb2ai_1 g5953__1881(.A1_N (n_48), .A2_N (n_110),
+       .B1 (n_124), .B2 (n_138), .Y (n_168));
+  sky130_fd_sc_hd__nand4_1 g5954__5115(.A (n_127), .B (n_103), .C
+       (n_129), .D (n_94), .Y (n_176));
+  sky130_fd_sc_hd__nor2_1 g5955__7482(.A (n_99), .B (n_122), .Y
+       (n_164));
+  sky130_fd_sc_hd__nand2b_1 g5956__4733(.A_N (bt_b_mux_sel_o[0]), .B
+       (n_72), .Y (bt_b_mux_sel_o[2]));
+  sky130_fd_sc_hd__nand2_1 g5957__6161(.A (n_141), .B (n_142), .Y
+       (imm_b_mux_sel_o[0]));
+  sky130_fd_sc_hd__nor2_1 g5958__9315(.A (imm_a_mux_sel_o), .B (n_119),
+       .Y (csr_op_o[0]));
+  sky130_fd_sc_hd__o41ai_1 g5959__9945(.A1 (n_71), .A2
+       (instr_rdata_i[11]), .A3 (instr_rdata_i[7]), .A4
+       (instr_rdata_i[8]), .B1 (n_54), .Y (n_160));
+  sky130_fd_sc_hd__o32ai_1 g5960__2883(.A1 (instr_rdata_alu_i[25]), .A2
+       (n_31), .A3 (n_103), .B1 (n_111), .B2 (n_79), .Y (n_159));
+  sky130_fd_sc_hd__nor2_1 g5961__2346(.A (imm_b_mux_sel_o[2]), .B
+       (bt_b_mux_sel_o[0]), .Y (n_158));
+  sky130_fd_sc_hd__nor2_1 g5962__1666(.A (n_77), .B (n_138), .Y
+       (n_157));
+  sky130_fd_sc_hd__a21oi_1 g5963__7410(.A1 (n_94), .A2 (n_111), .B1
+       (n_58), .Y (n_156));
+  sky130_fd_sc_hd__a21oi_1 g5964__6417(.A1 (n_96), .A2
+       (instr_rdata_alu_i[14]), .B1 (n_99), .Y (n_167));
+  sky130_fd_sc_hd__nor2_1 g5965__5477(.A (n_53), .B (n_140), .Y
+       (n_166));
+  sky130_fd_sc_hd__nor2_1 g5966__2398(.A (n_76), .B (n_138), .Y
+       (n_165));
+  sky130_fd_sc_hd__inv_2 g5967(.A (n_237), .Y (n_153));
+  sky130_fd_sc_hd__and4b_1 g5969__5107(.A_N (instr_rdata_i[22]), .B
+       (n_130), .C (n_239), .D (instr_rdata_i[20]), .X (ebrk_insn_o));
+  sky130_fd_sc_hd__nor4_1 g5970__6260(.A (n_7), .B (n_73), .C (n_47),
+       .D (n_98), .Y (dret_insn_o));
+  sky130_fd_sc_hd__and3_1 g5971__4319(.A (n_130), .B (n_36), .C
+       (n_239), .X (ecall_insn_o));
+  sky130_fd_sc_hd__nor3_1 g5972__8428(.A (n_28), .B (n_58), .C (n_94),
+       .Y (n_147));
+  sky130_fd_sc_hd__o22a_1 g5973__5526(.A1 (n_54), .A2
+       (bt_a_mux_sel_o[1]), .B1 (n_4), .B2 (n_97), .X (n_146));
+  sky130_fd_sc_hd__a21oi_1 g5974__6783(.A1 (n_93), .A2 (n_20), .B1
+       (n_102), .Y (n_145));
+  sky130_fd_sc_hd__o221ai_1 g5975__3680(.A1 (instr_rdata_alu_i[14]),
+       .A2 (imm_a_mux_sel_o), .B1 (n_34), .B2 (n_44), .C1 (n_81), .Y
+       (rf_ren_a_o));
+  sky130_fd_sc_hd__and3_1 g5976__1617(.A (n_71), .B (n_96), .C
+       (instr_rdata_alu_i[13]), .X (csr_op_o[1]));
+  sky130_fd_sc_hd__o21ai_1 g5977__2802(.A1 (instr_rdata_alu_i[25]), .A2
+       (n_103), .B1 (n_94), .Y (n_155));
+  sky130_fd_sc_hd__nor2_1 g5981__1705(.A (instr_rdata_alu_i[14]), .B
+       (n_115), .Y (data_sign_extension_o));
+  sky130_fd_sc_hd__nor2b_1 g5982__5122(.A (n_109), .B_N (n_32), .Y
+       (data_type_o[1]));
+  sky130_fd_sc_hd__a21oi_1 g5983__8246(.A1 (n_73), .A2
+       (instr_rdata_alu_i[30]), .B1 (instr_rdata_i[10]), .Y (n_134));
+  sky130_fd_sc_hd__or2_1 g5984__7098(.A (n_69), .B (n_118), .X
+       (imm_b_mux_sel_o[1]));
+  sky130_fd_sc_hd__nor2_1 g5986__6131(.A (n_23), .B (n_111), .Y
+       (alu_operator_o[4]));
+  sky130_fd_sc_hd__nor2_1 g5987__1881(.A (n_69), .B
+       (imm_b_mux_sel_o[2]), .Y (n_142));
+  sky130_fd_sc_hd__nand2b_1 g5988__5115(.A_N (n_97), .B (n_4), .Y
+       (n_141));
+  sky130_fd_sc_hd__nand2_1 g5989__7482(.A (n_102), .B (n_225), .Y
+       (n_140));
+  sky130_fd_sc_hd__nor2_1 g5990__4733(.A (n_64), .B (n_58), .Y
+       (bt_b_mux_sel_o[0]));
+  sky130_fd_sc_hd__nand2_1 g5991__6161(.A (n_102), .B
+       (instr_rdata_alu_i[30]), .Y (n_138));
+  sky130_fd_sc_hd__nor3_1 g5992__9315(.A (bt_b_mux_sel_o[1]), .B
+       (n_69), .C (n_116), .Y (n_129));
+  sky130_fd_sc_hd__or3_1 g5993__9945(.A (n_6), .B (n_4), .C (n_115), .X
+       (n_128));
+  sky130_fd_sc_hd__nor2_1 g5994__2883(.A (imm_b_mux_sel_o[2]), .B
+       (n_108), .Y (n_127));
+  sky130_fd_sc_hd__nand3_1 g5995__2346(.A (n_93), .B (n_20), .C
+       (instr_rdata_alu_i[25]), .Y (n_126));
+  sky130_fd_sc_hd__nor4_1 g5996__1666(.A (n_29), .B (n_231), .C (n_47),
+       .D (n_98), .Y (mret_insn_o));
+  sky130_fd_sc_hd__nand3_1 g5997__7410(.A (n_225), .B (n_25), .C
+       (n_30), .Y (n_124));
+  sky130_fd_sc_hd__o22ai_1 g5998__6417(.A1 (n_1), .A2 (n_81), .B1
+       (n_21), .B2 (n_45), .Y (rf_ren_b_o));
+  sky130_fd_sc_hd__nor3_1 g5999__5477(.A (n_60), .B (n_86), .C (n_94),
+       .Y (n_122));
+  sky130_fd_sc_hd__nor3b_1 g6000__2398(.A (n_26), .B (n_94), .C_N
+       (n_60), .Y (n_121));
+  sky130_fd_sc_hd__nand3_1 g6001__5107(.A (n_109), .B (n_62), .C
+       (n_64), .Y (n_120));
+  sky130_fd_sc_hd__a21oi_1 g6002__6260(.A1 (n_71), .A2
+       (instr_rdata_alu_i[12]), .B1 (n_20), .Y (n_119));
+  sky130_fd_sc_hd__nor4_1 g6003__4319(.A (instr_rdata_i[24]), .B
+       (instr_rdata_i[21]), .C (n_29), .D (n_98), .Y (n_130));
+  sky130_fd_sc_hd__inv_2 g6007(.A (n_111), .Y (n_110));
+  sky130_fd_sc_hd__inv_2 g6008(.A (n_108), .Y (n_109));
+  sky130_fd_sc_hd__inv_1 g6013(.A (n_102), .Y (n_103));
+  sky130_fd_sc_hd__nor2_1 g6015__8428(.A (instr_first_cycle_i), .B
+       (n_62), .Y (n_118));
+  sky130_fd_sc_hd__nand2_1 g6016__5526(.A (n_70), .B (n_64), .Y
+       (n_116));
+  sky130_fd_sc_hd__nand2_1 g6017__6783(.A (n_80), .B (n_38), .Y
+       (n_115));
+  sky130_fd_sc_hd__nand2_1 g6020__3680(.A (bt_b_mux_sel_o[1]), .B
+       (instr_first_cycle_i), .Y (n_111));
+  sky130_fd_sc_hd__nor2_1 g6021__1617(.A (instr_rdata_alu_i[4]), .B
+       (n_81), .Y (n_108));
+  sky130_fd_sc_hd__nor2_1 g6022__2802(.A (n_33), .B (n_81), .Y (n_102));
+  sky130_fd_sc_hd__inv_2 g6023(.A (n_96), .Y (imm_a_mux_sel_o));
+  sky130_fd_sc_hd__inv_1 g6024(.A (n_94), .Y (n_93));
+  sky130_fd_sc_hd__a21oi_1 g6025__1705(.A1 (n_47), .A2
+       (instr_rdata_alu_i[29]), .B1 (instr_rdata_i[9]), .Y (n_92));
+  sky130_fd_sc_hd__o21ai_1 g6026__5122(.A1 (instr_rdata_alu_i[29]), .A2
+       (n_51), .B1 (instr_rdata_alu_i[28]), .Y (n_91));
+  sky130_fd_sc_hd__nand2_1 g6027__8246(.A (bt_b_mux_sel_o[1]), .B
+       (n_23), .Y (n_90));
+  sky130_fd_sc_hd__o21ai_1 g6028__7098(.A1 (instr_rdata_alu_i[30]), .A2
+       (n_40), .B1 (n_49), .Y (n_89));
+  sky130_fd_sc_hd__nor2_1 g6029__6131(.A (n_64), .B (n_54), .Y (n_99));
+  sky130_fd_sc_hd__nand3b_1 g6030__1881(.A_N (n_70), .B (n_49), .C
+       (n_54), .Y (n_98));
+  sky130_fd_sc_hd__nand2_1 g6031__5115(.A (n_80), .B (n_22), .Y (n_97));
+  sky130_fd_sc_hd__nor2_1 g6032__7482(.A (n_70), .B (n_54), .Y (n_96));
+  sky130_fd_sc_hd__nand3_1 g6033__4733(.A (n_80), .B (n_1), .C
+       (instr_rdata_alu_i[4]), .Y (n_94));
+  sky130_fd_sc_hd__clkinv_1 g6036(.A (n_74), .Y (n_86));
+  sky130_fd_sc_hd__buf_1 g6039(.A (n_82), .X (bt_a_mux_sel_o[1]));
+  sky130_fd_sc_hd__inv_2 g6040(.A (n_81), .Y (n_80));
+  sky130_fd_sc_hd__and2_1 g6041__6161(.A (n_59), .B (n_6), .X (n_79));
+  sky130_fd_sc_hd__o31a_1 g6042__9315(.A1 (instr_rdata_alu_i[28]), .A2
+       (instr_rdata_i[22]), .A3 (instr_rdata_i[21]), .B1 (n_54), .X
+       (n_78));
+  sky130_fd_sc_hd__nor2_1 g6043__9945(.A (instr_rdata_alu_i[25]), .B
+       (n_59), .Y (n_77));
+  sky130_fd_sc_hd__nor2b_1 g6044__2883(.A (n_59), .B_N (n_30), .Y
+       (n_76));
+  sky130_fd_sc_hd__nor3b_1 g6045__2346(.A (n_32), .B (n_25), .C_N
+       (n_31), .Y (n_75));
+  sky130_fd_sc_hd__nand2_1 g6046__1666(.A (n_239), .B (n_3), .Y (n_74));
+  sky130_fd_sc_hd__nand2b_1 g6047__7410(.A_N (n_44), .B (n_56), .Y
+       (n_82));
+  sky130_fd_sc_hd__nand2_2 g6048__6417(.A (n_46), .B (n_8), .Y (n_81));
+  sky130_fd_sc_hd__clkinv_1 g6053(.A (bt_b_mux_sel_o[1]), .Y (n_62));
+  sky130_fd_sc_hd__o21a_1 g6054__5477(.A1 (instr_rdata_alu_i[12]), .A2
+       (n_226), .B1 (n_58), .X (n_61));
+  sky130_fd_sc_hd__nand4_1 g6055__2398(.A (instr_rdata_i[24]), .B
+       (instr_rdata_alu_i[25]), .C (instr_rdata_alu_i[27]), .D
+       (instr_rdata_alu_i[29]), .Y (n_73));
+  sky130_fd_sc_hd__nand4_1 g6056__5107(.A (n_56), .B (n_22), .C
+       (instr_rdata_alu_i[3]), .D (instr_rdata_alu_i[6]), .Y (n_72));
+  sky130_fd_sc_hd__or3_1 g6057__6260(.A (instr_rdata_i[18]), .B
+       (instr_rdata_i[19]), .C (n_42), .X (n_71));
+  sky130_fd_sc_hd__nand2_1 g6058__4319(.A (n_46), .B (n_50), .Y (n_70));
+  sky130_fd_sc_hd__nor2_1 g6059__8428(.A (n_39), .B (n_57), .Y (n_69));
+  sky130_fd_sc_hd__nand4_1 g6060__5526(.A (n_56), .B (n_38), .C
+       (instr_rdata_alu_i[3]), .D (n_8), .Y (n_64));
+  sky130_fd_sc_hd__inv_2 g6062(.A (n_57), .Y (n_56));
+  sky130_fd_sc_hd__inv_2 g6063(.A (n_55), .Y (n_54));
+  sky130_fd_sc_hd__nand2_1 g6064__6783(.A (n_30), .B
+       (instr_rdata_alu_i[12]), .Y (n_53));
+  sky130_fd_sc_hd__nor2_1 g6066__3680(.A (instr_rdata_i[21]), .B
+       (n_37), .Y (n_51));
+  sky130_fd_sc_hd__nor2_1 g6067__1617(.A (n_8), .B (n_33), .Y (n_50));
+  sky130_fd_sc_hd__nand2_1 g6068__2802(.A (n_20), .B
+       (instr_rdata_alu_i[14]), .Y (n_60));
+  sky130_fd_sc_hd__nor2b_1 g6069__1705(.A (n_25), .B_N (n_26), .Y
+       (n_59));
+  sky130_fd_sc_hd__nand2_1 g6070__5122(.A (n_226), .B
+       (instr_rdata_alu_i[12]), .Y (n_58));
+  sky130_fd_sc_hd__nand3_1 g6071__8246(.A (instr_rdata_alu_i[1]), .B
+       (instr_rdata_alu_i[0]), .C (instr_rdata_alu_i[2]), .Y (n_57));
+  sky130_fd_sc_hd__nand2_1 g6072__7098(.A (n_226), .B (n_5), .Y (n_55));
+  sky130_fd_sc_hd__inv_2 g6073(.A (n_45), .Y (n_46));
+  sky130_fd_sc_hd__or3_1 g6074__6131(.A (instr_rdata_i[15]), .B
+       (instr_rdata_i[17]), .C (instr_rdata_i[16]), .X (n_42));
+  sky130_fd_sc_hd__nor3_1 g6076__1881(.A (instr_rdata_alu_i[27]), .B
+       (instr_rdata_alu_i[25]), .C (instr_rdata_i[24]), .Y (n_40));
+  sky130_fd_sc_hd__nand3_1 g6077__5115(.A (n_8), .B (n_11), .C
+       (instr_rdata_alu_i[4]), .Y (n_39));
+  sky130_fd_sc_hd__nor3_1 g6078__7482(.A (instr_rdata_alu_i[31]), .B
+       (instr_rdata_alu_i[26]), .C (instr_rdata_i[23]), .Y (n_49));
+  sky130_fd_sc_hd__a21oi_1 g6079__4733(.A1 (instr_rdata_alu_i[12]), .A2
+       (n_4), .B1 (instr_rdata_alu_i[13]), .Y (n_48));
+  sky130_fd_sc_hd__nand3_1 g6080__6161(.A (n_36), .B
+       (instr_rdata_i[21]), .C (instr_rdata_alu_i[28]), .Y (n_47));
+  sky130_fd_sc_hd__nand4_1 g6081__9315(.A (n_13), .B (n_11), .C
+       (instr_rdata_alu_i[1]), .D (instr_rdata_alu_i[0]), .Y (n_45));
+  sky130_fd_sc_hd__nand2_1 g6082__9945(.A (n_22), .B (n_18), .Y (n_44));
+  sky130_fd_sc_hd__inv_2 g6085(.A (n_28), .Y (n_29));
+  sky130_fd_sc_hd__nor2_1 g6087__2883(.A (instr_rdata_alu_i[4]), .B
+       (instr_rdata_alu_i[5]), .Y (n_38));
+  sky130_fd_sc_hd__nand2_1 g6088__2346(.A (instr_rdata_i[22]), .B
+       (instr_rdata_i[20]), .Y (n_37));
+  sky130_fd_sc_hd__nor2_1 g6089__1666(.A (instr_rdata_i[20]), .B
+       (instr_rdata_i[22]), .Y (n_36));
+  sky130_fd_sc_hd__nand2_1 g6090__7410(.A (instr_rdata_alu_i[1]), .B
+       (instr_rdata_alu_i[0]), .Y (n_34));
+  sky130_fd_sc_hd__nand2_1 g6091__6417(.A (instr_rdata_alu_i[5]), .B
+       (instr_rdata_alu_i[4]), .Y (n_33));
+  sky130_fd_sc_hd__nor2_1 g6092__5477(.A (instr_rdata_alu_i[13]), .B
+       (instr_rdata_alu_i[12]), .Y (n_32));
+  sky130_fd_sc_hd__nand2_1 g6093__2398(.A (instr_rdata_alu_i[12]), .B
+       (instr_rdata_alu_i[13]), .Y (n_31));
+  sky130_fd_sc_hd__nor2_1 g6094__5107(.A (instr_rdata_alu_i[13]), .B
+       (instr_rdata_alu_i[25]), .Y (n_30));
+  sky130_fd_sc_hd__nor2_1 g6095__6260(.A (instr_rdata_alu_i[25]), .B
+       (instr_rdata_alu_i[30]), .Y (n_28));
+  sky130_fd_sc_hd__inv_2 g6097(.A (n_21), .Y (n_22));
+  sky130_fd_sc_hd__nor2_1 g6099__4319(.A (instr_rdata_alu_i[3]), .B
+       (n_8), .Y (n_18));
+  sky130_fd_sc_hd__nand2_1 g6100__8428(.A (n_5), .B
+       (instr_rdata_alu_i[14]), .Y (n_17));
+  sky130_fd_sc_hd__nor2_1 g6101__5526(.A (n_6), .B
+       (instr_rdata_alu_i[12]), .Y (n_16));
+  sky130_fd_sc_hd__nand2_1 g6103__6783(.A (instr_rdata_alu_i[12]), .B
+       (instr_rdata_alu_i[14]), .Y (n_26));
+  sky130_fd_sc_hd__nor2_1 g6104__3680(.A (instr_rdata_alu_i[14]), .B
+       (instr_rdata_alu_i[12]), .Y (n_25));
+  sky130_fd_sc_hd__nor2_1 g6106__1617(.A (instr_rdata_alu_i[14]), .B
+       (n_6), .Y (n_23));
+  sky130_fd_sc_hd__nand2_1 g6107__2802(.A (n_9), .B
+       (instr_rdata_alu_i[5]), .Y (n_21));
+  sky130_fd_sc_hd__and2_1 g6108__1705(.A (n_6), .B
+       (instr_rdata_alu_i[12]), .X (n_20));
+  sky130_fd_sc_hd__inv_2 g6109(.A (instr_rdata_alu_i[2]), .Y (n_13));
+  sky130_fd_sc_hd__inv_2 g6111(.A (instr_rdata_alu_i[3]), .Y (n_11));
+  sky130_fd_sc_hd__inv_2 g6113(.A (instr_rdata_alu_i[4]), .Y (n_9));
+  sky130_fd_sc_hd__inv_2 g6114(.A (instr_rdata_alu_i[6]), .Y (n_8));
+  sky130_fd_sc_hd__clkinv_1 g6115(.A (instr_rdata_alu_i[30]), .Y (n_7));
+  sky130_fd_sc_hd__inv_2 g6116(.A (instr_rdata_alu_i[13]), .Y (n_6));
+  sky130_fd_sc_hd__inv_1 g6117(.A (instr_rdata_alu_i[12]), .Y (n_5));
+  sky130_fd_sc_hd__inv_2 g6118(.A (instr_rdata_alu_i[14]), .Y (n_4));
+  sky130_fd_sc_hd__inv_1 g6119(.A (instr_rdata_alu_i[31]), .Y (n_3));
+  sky130_fd_sc_hd__clkinv_1 g6121(.A (instr_rdata_alu_i[5]), .Y (n_1));
+  sky130_fd_sc_hd__nand2_1 g6123__5122(.A (n_82), .B (n_72), .Y
+       (imm_b_mux_sel_o[2]));
+  sky130_fd_sc_hd__nor2b_1 g2__8246(.A (instr_rdata_alu_i[26]), .B_N
+       (n_86), .Y (n_225));
+  sky130_fd_sc_hd__and2_0 g6124__7098(.A (n_4), .B (n_6), .X (n_226));
+  sky130_fd_sc_hd__and3b_1 g6125__6131(.A_N (n_116), .B (n_141), .C
+       (n_115), .X (n_227));
+  sky130_fd_sc_hd__nor2b_1 g6127__1881(.A (n_109), .B_N (n_20), .Y
+       (data_type_o[0]));
+  sky130_fd_sc_hd__or3b_1 g6129__5115(.A (instr_rdata_i[24]), .B
+       (instr_rdata_alu_i[27]), .C_N (instr_rdata_alu_i[29]), .X
+       (n_231));
+  sky130_fd_sc_hd__nand3_1 g6130__7482(.A (n_240), .B (n_188), .C
+       (n_189), .Y (alu_operator_o[2]));
+  sky130_fd_sc_hd__a221o_2 g6131__4733(.A1 (n_192), .A2 (n_7), .B1
+       (n_170), .B2 (n_16), .C1 (n_168), .X (alu_operator_o[0]));
+  sky130_fd_sc_hd__a211o_1 g6132__6161(.A1 (n_166), .A2 (n_7), .B1
+       (n_192), .C1 (n_156), .X (alu_operator_o[3]));
+  sky130_fd_sc_hd__nor3b_1 g6134__9315(.A (imm_b_mux_sel_o[2]), .B
+       (n_69), .C_N (n_94), .Y (n_236));
+  sky130_fd_sc_hd__and3b_1 g6135__9945(.A_N (n_140), .B (n_7), .C
+       (instr_rdata_alu_i[25]), .X (n_237));
+  sky130_fd_sc_hd__nor3b_2 g6136__2883(.A (n_44), .B (n_34), .C_N
+       (n_13), .Y (bt_b_mux_sel_o[1]));
+  sky130_fd_sc_hd__nor3_1 g6137__2346(.A (instr_rdata_alu_i[29]), .B
+       (instr_rdata_alu_i[28]), .C (instr_rdata_alu_i[27]), .Y (n_239));
+  sky130_fd_sc_hd__o221a_1 g6138__1666(.A1 (n_120), .A2 (n_185), .B1
+       (n_225), .B2 (n_103), .C1 (n_164), .X (n_240));
 endmodule
 
-module uart_rx_prog (
-	rst_ni, 
-	i_Rx_Serial, 
-	CLKS_PER_BIT, 
-	o_Rx_DV, 
-	o_Rx_Byte, 
-	FE_OFN19_n_0, 
-	clk_i_clone1, 
-	clk_i, 
-	vccd1, 
-	vssd1);
-   input rst_ni;
-   input i_Rx_Serial;
-   input [15:0] CLKS_PER_BIT;
-   output o_Rx_DV;
-   output [7:0] o_Rx_Byte;
-   input FE_OFN19_n_0;
-   input clk_i_clone1;
-   input clk_i;
-   inout vccd1;
-   inout vssd1;
-
-   // Internal wires
-   wire FE_PDN3773_FE_OFN16103_n_166;
-   wire CTS_2;
-   wire CTS_1;
-   wire FE_OFN16107_n_172;
-   wire FE_OFN16103_n_166;
-   wire FE_OFN1394_n_166;
-   wire FE_OFN1159_n_172;
-   wire FE_OFN1158_n_133;
-   wire FE_OFN1157_n_114;
-   wire [2:0] r_Bit_Index;
-   wire [15:0] r_Clock_Count;
-   wire [2:0] r_SM_Main;
-   wire n_0;
-   wire n_1;
-   wire n_2;
-   wire n_3;
-   wire n_4;
-   wire n_5;
-   wire n_6;
-   wire n_7;
-   wire n_8;
-   wire n_9;
-   wire n_10;
-   wire n_11;
-   wire n_12;
-   wire n_13;
-   wire n_14;
-   wire n_15;
-   wire n_16;
-   wire n_17;
-   wire n_18;
-   wire n_19;
-   wire n_20;
-   wire n_21;
-   wire n_22;
-   wire n_23;
-   wire n_24;
-   wire n_25;
-   wire n_26;
-   wire n_27;
-   wire n_28;
-   wire n_29;
-   wire n_30;
-   wire n_31;
-   wire n_32;
-   wire n_33;
-   wire n_34;
-   wire n_35;
-   wire n_36;
-   wire n_37;
-   wire n_38;
-   wire n_39;
-   wire n_40;
-   wire n_41;
-   wire n_42;
-   wire n_43;
-   wire n_44;
-   wire n_45;
-   wire n_46;
-   wire n_47;
-   wire n_48;
-   wire n_49;
-   wire n_50;
-   wire n_51;
-   wire n_52;
-   wire n_53;
-   wire n_54;
-   wire n_55;
-   wire n_56;
-   wire n_57;
-   wire n_58;
-   wire n_59;
-   wire n_60;
-   wire n_61;
-   wire n_62;
-   wire n_63;
-   wire n_64;
-   wire n_65;
-   wire n_66;
-   wire n_67;
-   wire n_68;
-   wire n_69;
-   wire n_70;
-   wire n_71;
-   wire n_72;
-   wire n_73;
-   wire n_74;
-   wire n_75;
-   wire n_76;
-   wire n_77;
-   wire n_78;
-   wire n_79;
-   wire n_80;
-   wire n_81;
-   wire n_82;
-   wire n_83;
-   wire n_84;
-   wire n_85;
-   wire n_86;
-   wire n_87;
-   wire n_88;
-   wire n_89;
-   wire n_90;
-   wire n_91;
-   wire n_92;
-   wire n_93;
-   wire n_94;
-   wire n_95;
-   wire n_96;
-   wire n_97;
-   wire n_98;
-   wire n_99;
-   wire n_100;
-   wire n_101;
-   wire n_102;
-   wire n_103;
-   wire n_104;
-   wire n_105;
-   wire n_106;
-   wire n_107;
-   wire n_108;
-   wire n_109;
-   wire n_110;
-   wire n_111;
-   wire n_112;
-   wire n_113;
-   wire n_114;
-   wire n_115;
-   wire n_116;
-   wire n_117;
-   wire n_118;
-   wire n_119;
-   wire n_120;
-   wire n_121;
-   wire n_122;
-   wire n_123;
-   wire n_124;
-   wire n_125;
-   wire n_126;
-   wire n_127;
-   wire n_128;
-   wire n_129;
-   wire n_130;
-   wire n_131;
-   wire n_132;
-   wire n_133;
-   wire n_134;
-   wire n_135;
-   wire n_136;
-   wire n_137;
-   wire n_138;
-   wire n_139;
-   wire n_140;
-   wire n_141;
-   wire n_142;
-   wire n_143;
-   wire n_144;
-   wire n_145;
-   wire n_146;
-   wire n_147;
-   wire n_148;
-   wire n_149;
-   wire n_150;
-   wire n_151;
-   wire n_152;
-   wire n_153;
-   wire n_154;
-   wire n_155;
-   wire n_156;
-   wire n_157;
-   wire n_158;
-   wire n_159;
-   wire n_160;
-   wire n_161;
-   wire n_162;
-   wire n_163;
-   wire n_164;
-   wire n_165;
-   wire n_166;
-   wire n_167;
-   wire n_168;
-   wire n_169;
-   wire n_170;
-   wire n_171;
-   wire n_172;
-   wire n_173;
-   wire n_174;
-   wire n_175;
-   wire n_176;
-   wire n_177;
-   wire n_178;
-   wire n_179;
-   wire n_180;
-   wire n_181;
-   wire n_182;
-   wire n_183;
-   wire n_184;
-   wire n_185;
-   wire n_186;
-   wire n_187;
-   wire n_188;
-   wire n_189;
-   wire n_190;
-   wire n_191;
-   wire n_192;
-   wire n_193;
-   wire n_194;
-   wire n_195;
-   wire r_Rx_Data;
-   wire r_Rx_Data_R;
-
-   // Module instantiations
-   sky130_fd_sc_hd__buf_1 FE_PDC3773_FE_OFN16103_n_166 (
-	.A(FE_OFN16103_n_166),
-	.X(FE_PDN3773_FE_OFN16103_n_166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_90 (
-	.DIODE(i_Rx_Serial), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 CTS_ccl_a_buf_00455 (
-	.A(CTS_2),
-	.X(CTS_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00845 (
-	.A(clk_i_clone1),
-	.X(CTS_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_8 FE_OFC2607_n_172 (
-	.A(n_172),
-	.X(FE_OFN16107_n_172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC2603_n_166 (
-	.A(n_166),
-	.X(FE_OFN16103_n_166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1394_n_166 (
-	.A(FE_PDN3773_FE_OFN16103_n_166),
-	.X(FE_OFN1394_n_166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1159_n_172 (
-	.A(FE_OFN16107_n_172),
-	.X(FE_OFN1159_n_172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1158_n_133 (
-	.A(n_133),
-	.X(FE_OFN1158_n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1157_n_114 (
-	.A(n_114),
-	.X(FE_OFN1157_n_114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Bit_Index_reg[0]  (
-	.CLK(clk_i),
-	.D(n_130),
-	.Q(r_Bit_Index[0]),
-	.RESET_B(FE_OFN19_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[0]  (
-	.CLK(CTS_1),
-	.D(FE_OFN1394_n_166),
-	.Q(r_Clock_Count[0]),
-	.RESET_B(rst_ni),
-	.SCD(n_171),
-	.SCE(r_Clock_Count[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[1]  (
-	.CLK(CTS_1),
-	.D(n_186),
-	.Q(r_Clock_Count[1]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[2]  (
-	.CLK(CTS_1),
-	.D(n_189),
-	.Q(r_Clock_Count[2]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[3]  (
-	.CLK(CTS_1),
-	.D(n_185),
-	.Q(r_Clock_Count[3]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[4]  (
-	.CLK(CTS_1),
-	.D(n_184),
-	.Q(r_Clock_Count[4]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[5]  (
-	.CLK(CTS_1),
-	.D(n_183),
-	.Q(r_Clock_Count[5]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[6]  (
-	.CLK(CTS_1),
-	.D(n_182),
-	.Q(r_Clock_Count[6]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[7]  (
-	.CLK(CTS_1),
-	.D(n_181),
-	.Q(r_Clock_Count[7]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[8]  (
-	.CLK(CTS_1),
-	.D(n_180),
-	.Q(r_Clock_Count[8]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[9]  (
-	.CLK(CTS_1),
-	.D(n_179),
-	.Q(r_Clock_Count[9]),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[10]  (
-	.CLK(CTS_1),
-	.D(n_170),
-	.Q(r_Clock_Count[10]),
-	.RESET_B(rst_ni),
-	.SCD(n_176),
-	.SCE(r_Clock_Count[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[13]  (
-	.CLK(CTS_1),
-	.D(n_173),
-	.Q(r_Clock_Count[13]),
-	.RESET_B(rst_ni),
-	.SCD(n_174),
-	.SCE(r_Clock_Count[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[0]  (
-	.CLK(clk_i),
-	.D(n_163),
-	.Q(o_Rx_Byte[0]),
-	.RESET_B(FE_OFN19_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[1]  (
-	.CLK(clk_i),
-	.D(n_159),
-	.Q(o_Rx_Byte[1]),
-	.RESET_B(FE_OFN19_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[2]  (
-	.CLK(clk_i),
-	.D(n_160),
-	.Q(o_Rx_Byte[2]),
-	.RESET_B(FE_OFN19_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[3]  (
-	.CLK(clk_i),
-	.D(n_161),
-	.Q(o_Rx_Byte[3]),
-	.RESET_B(FE_OFN19_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[4]  (
-	.CLK(clk_i),
-	.D(n_155),
-	.Q(o_Rx_Byte[4]),
-	.RESET_B(FE_OFN19_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[5]  (
-	.CLK(clk_i),
-	.D(n_156),
-	.Q(o_Rx_Byte[5]),
-	.RESET_B(FE_OFN19_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[6]  (
-	.CLK(clk_i),
-	.D(n_157),
-	.Q(o_Rx_Byte[6]),
-	.RESET_B(FE_OFN19_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[7]  (
-	.CLK(clk_i),
-	.D(n_158),
-	.Q(o_Rx_Byte[7]),
-	.RESET_B(FE_OFN19_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 r_Rx_DV_reg (
-	.CLK(clk_i),
-	.D(n_119),
-	.Q(o_Rx_DV),
-	.RESET_B(FE_OFN19_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_R_reg (
-	.CLK(clk_i),
-	.D(n_13),
-	.Q(r_Rx_Data_R), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_reg (
-	.CLK(clk_i),
-	.D(n_26),
-	.Q(r_Rx_Data), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[0]  (
-	.CLK(clk_i),
-	.D(n_162),
-	.Q(r_SM_Main[0]),
-	.RESET_B(FE_OFN19_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[1]  (
-	.CLK(clk_i),
-	.D(n_167),
-	.Q(r_SM_Main[1]),
-	.RESET_B(FE_OFN19_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[2]  (
-	.CLK(clk_i),
-	.D(n_118),
-	.Q(r_SM_Main[2]),
-	.RESET_B(FE_OFN19_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g8270__2802 (
-	.A1(n_178),
-	.A2(r_Clock_Count[14]),
-	.A3(r_Clock_Count[13]),
-	.B1(n_191),
-	.B2(r_Clock_Count[15]),
-	.X(n_195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g8274__1705 (
-	.A1(n_170),
-	.A2(n_5),
-	.A3(r_Clock_Count[10]),
-	.B1(n_188),
-	.B2(r_Clock_Count[11]),
-	.X(n_194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g8275__5122 (
-	.A1(n_2),
-	.A2(n_187),
-	.B1(n_177),
-	.Y(n_193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g8276__8246 (
-	.A1(n_173),
-	.A2(n_1),
-	.A3(r_Clock_Count[13]),
-	.B1(n_190),
-	.B2(r_Clock_Count[14]),
-	.X(n_192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g8282__7098 (
-	.A1(FE_OFN1394_n_166),
-	.A2(n_1),
-	.B1(n_190),
-	.X(n_191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g8288__6131 (
-	.A1(FE_OFN1394_n_166),
-	.A2(n_42),
-	.B1(n_171),
-	.B2(r_Clock_Count[2]),
-	.X(n_189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g8289__1881 (
-	.A1(r_Clock_Count[10]),
-	.A2(n_165),
-	.B1_N(n_176),
-	.Y(n_188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g8290__5115 (
-	.A1(FE_OFN1394_n_166),
-	.A2(n_7),
-	.B1(n_176),
-	.Y(n_187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g8291__7482 (
-	.A1(FE_OFN1394_n_166),
-	.A2(n_28),
-	.B1(n_171),
-	.B2(r_Clock_Count[1]),
-	.X(n_186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g8292__4733 (
-	.A1(FE_OFN1394_n_166),
-	.A2(n_60),
-	.B1(n_171),
-	.B2(r_Clock_Count[3]),
-	.X(n_185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g8293__6161 (
-	.A(FE_OFN1159_n_172),
-	.B(n_175),
-	.Y(n_190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g8294__9315 (
-	.A1(FE_OFN1394_n_166),
-	.A2(n_82),
-	.B1(n_171),
-	.B2(r_Clock_Count[4]),
-	.X(n_184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g8295__9945 (
-	.A1(FE_OFN1394_n_166),
-	.A2(n_99),
-	.B1(n_171),
-	.B2(r_Clock_Count[5]),
-	.X(n_183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g8296__2883 (
-	.A1(FE_OFN1394_n_166),
-	.A2(n_108),
-	.B1(n_171),
-	.B2(r_Clock_Count[6]),
-	.X(n_182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g8297__2346 (
-	.A1(FE_OFN1394_n_166),
-	.A2(n_113),
-	.B1(n_171),
-	.B2(r_Clock_Count[7]),
-	.X(n_181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g8298__1666 (
-	.A1(FE_OFN1394_n_166),
-	.A2(n_127),
-	.B1(n_171),
-	.B2(r_Clock_Count[8]),
-	.X(n_180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g8299__7410 (
-	.A1(FE_OFN1394_n_166),
-	.A2(n_153),
-	.B1(n_171),
-	.B2(r_Clock_Count[9]),
-	.X(n_179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g8300__6417 (
-	.A(r_Clock_Count[15]),
-	.B_N(n_173),
-	.Y(n_178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g8301__5477 (
-	.A_N(n_7),
-	.B(n_170),
-	.C(n_2),
-	.Y(n_177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g8302__2398 (
-	.A1(n_4),
-	.A2(n_169),
-	.B1(FE_OFN1394_n_166),
-	.Y(n_175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g8303__5107 (
-	.A1(n_168),
-	.A2(n_165),
-	.B1(FE_OFN1159_n_172),
-	.Y(n_174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g8304__6260 (
-	.A1(n_154),
-	.A2(n_165),
-	.B1(FE_OFN1159_n_172),
-	.Y(n_176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g8306 (
-	.A(FE_OFN1159_n_172),
-	.Y(n_171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g8307__4319 (
-	.A(n_169),
-	.B(n_165),
-	.Y(n_173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g8308__8428 (
-	.A(n_150),
-	.B(n_20),
-	.C(n_21),
-	.D(n_16),
-	.Y(n_172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g8315 (
-	.A(n_169),
-	.Y(n_168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g8316__5526 (
-	.A(n_164),
-	.B(n_20),
-	.Y(n_167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g8319__6783 (
-	.A(n_165),
-	.B_N(n_154),
-	.Y(n_170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g8320__3680 (
-	.A_N(n_7),
-	.B(n_154),
-	.C(r_Clock_Count[12]),
-	.Y(n_169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g8322 (
-	.A(FE_OFN1394_n_166),
-	.Y(n_165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g8323__1617 (
-	.A1(FE_OFN1158_n_133),
-	.A2(n_22),
-	.A3(n_32),
-	.B1(n_117),
-	.Y(n_164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g8324__2802 (
-	.A1(n_17),
-	.A2(n_144),
-	.B1(r_Bit_Index[2]),
-	.B2(n_140),
-	.Y(n_163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g8325__1705 (
-	.A1(r_Rx_Data),
-	.A2(n_16),
-	.B1(n_134),
-	.C1(n_151),
-	.Y(n_162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g8326__5122 (
-	.A1(n_137),
-	.A2(n_16),
-	.A3(o_Rx_Byte[3]),
-	.B1(n_136),
-	.B2(r_Rx_Data),
-	.X(n_161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g8328__8246 (
-	.A1(n_20),
-	.A2(FE_OFN1157_n_114),
-	.B1(n_151),
-	.Y(n_166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g8329__7098 (
-	.A1(n_17),
-	.A2(n_145),
-	.B1(r_Bit_Index[2]),
-	.B2(n_138),
-	.Y(n_160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g8330__6131 (
-	.A1(n_17),
-	.A2(n_148),
-	.B1(n_32),
-	.B2(n_135),
-	.Y(n_159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g8331__1881 (
-	.A1(n_17),
-	.A2(n_147),
-	.B1(n_32),
-	.B2(n_134),
-	.Y(n_158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g8332__5115 (
-	.A1(n_17),
-	.A2(n_141),
-	.B1(n_0),
-	.B2(n_138),
-	.Y(n_157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g8333__7482 (
-	.A1(n_17),
-	.A2(n_146),
-	.B1(n_32),
-	.B2(n_139),
-	.Y(n_156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g8334__4733 (
-	.A1(n_17),
-	.A2(n_142),
-	.B1(n_0),
-	.B2(n_140),
-	.Y(n_155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g8335__6161 (
-	.A(r_Clock_Count[9]),
-	.B(n_126),
-	.COUT(n_154),
-	.SUM(n_153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g8336__9315 (
-	.A1(n_0),
-	.A2(n_131),
-	.B1(n_137),
-	.Y(n_152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g8338__9945 (
-	.A(n_149),
-	.B(n_22),
-	.Y(n_150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g8339__2883 (
-	.A1(n_132),
-	.A2(n_22),
-	.B1(n_117),
-	.Y(n_151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g8340__2346 (
-	.A(FE_OFN1158_n_133),
-	.B(r_Rx_Data),
-	.Y(n_149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g8341__1666 (
-	.A(n_135),
-	.B(o_Rx_Byte[1]),
-	.Y(n_148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g8342__7410 (
-	.A(n_134),
-	.B(o_Rx_Byte[7]),
-	.Y(n_147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g8343__6417 (
-	.A(n_139),
-	.B(o_Rx_Byte[5]),
-	.Y(n_146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g8345__5477 (
-	.A1(r_Bit_Index[2]),
-	.A2(n_128),
-	.B1(o_Rx_Byte[2]),
-	.Y(n_145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g8346__2398 (
-	.A1(r_Bit_Index[2]),
-	.A2(n_123),
-	.B1(o_Rx_Byte[0]),
-	.Y(n_144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g8347__5107 (
-	.A1(n_124),
-	.A2(r_Bit_Index[1]),
-	.B1(n_129),
-	.X(n_143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g8348__6260 (
-	.A1(n_0),
-	.A2(n_123),
-	.B1(o_Rx_Byte[4]),
-	.Y(n_142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g8349__4319 (
-	.A1(n_0),
-	.A2(n_128),
-	.B1(o_Rx_Byte[6]),
-	.Y(n_141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g8350 (
-	.A(n_136),
-	.Y(n_137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g8351__8428 (
-	.A_N(n_123),
-	.B(r_Rx_Data),
-	.Y(n_140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g8352__5526 (
-	.A(n_129),
-	.B(r_Bit_Index[2]),
-	.Y(n_139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g8353__6783 (
-	.A_N(n_128),
-	.B(r_Rx_Data),
-	.Y(n_138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g8354__3680 (
-	.A(r_Bit_Index[2]),
-	.B(n_125),
-	.Y(n_136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g8355 (
-	.A(FE_OFN1158_n_133),
-	.Y(n_132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g8356__1617 (
-	.A(n_23),
-	.B(n_124),
-	.Y(n_131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g8357__2802 (
-	.A1(n_121),
-	.A2(r_Bit_Index[0]),
-	.B1(n_120),
-	.X(n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g8358__1705 (
-	.A(n_129),
-	.B(n_0),
-	.Y(n_135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g8359__5122 (
-	.A_N(n_125),
-	.B(r_Bit_Index[2]),
-	.Y(n_134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g8360__8246 (
-	.A(n_56),
-	.B(n_65),
-	.C(n_104),
-	.D(n_115),
-	.Y(n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g8361__7098 (
-	.A(r_Clock_Count[8]),
-	.B(n_112),
-	.COUT(n_126),
-	.SUM(n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g8363__6131 (
-	.A(r_Bit_Index[1]),
-	.B(n_122),
-	.Y(n_129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g8364__1881 (
-	.A(n_120),
-	.B(r_Bit_Index[1]),
-	.Y(n_128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g8365__5115 (
-	.A_N(n_122),
-	.B(r_Bit_Index[1]),
-	.Y(n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g8366__7482 (
-	.A1(r_Bit_Index[0]),
-	.A2(n_20),
-	.B1_N(n_121),
-	.Y(n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g8367__4733 (
-	.A(n_120),
-	.B(n_3),
-	.Y(n_123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g8369__6161 (
-	.A(n_116),
-	.B(r_Bit_Index[0]),
-	.Y(n_122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g8370__9315 (
-	.A_N(n_118),
-	.B(n_15),
-	.Y(n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g8371__9945 (
-	.A(n_17),
-	.B(n_116),
-	.Y(n_121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g8372__2883 (
-	.A(r_Bit_Index[0]),
-	.B_N(n_116),
-	.Y(n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g8373__2346 (
-	.A(n_21),
-	.B_N(FE_OFN1157_n_114),
-	.Y(n_118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g8374__1666 (
-	.A(n_21),
-	.B(FE_OFN1157_n_114),
-	.Y(n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g8375__7410 (
-	.A1(r_Clock_Count[0]),
-	.A2(n_19),
-	.B1(n_111),
-	.Y(n_115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g8376__6417 (
-	.A(n_20),
-	.B_N(FE_OFN1157_n_114),
-	.Y(n_116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g8377__5477 (
-	.A(r_Clock_Count[7]),
-	.B(n_107),
-	.COUT(n_112),
-	.SUM(n_113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g8378__2398 (
-	.A(n_65),
-	.B(n_109),
-	.Y(n_114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g8379__5107 (
-	.A1(n_50),
-	.A2(r_Clock_Count[10]),
-	.B1(n_68),
-	.B2(r_Clock_Count[9]),
-	.C1(n_110),
-	.Y(n_111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g8380__6260 (
-	.A1(r_Clock_Count[10]),
-	.A2(n_50),
-	.B1(n_6),
-	.C1(n_92),
-	.D1(n_103),
-	.Y(n_110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g8381__4319 (
-	.A(n_102),
-	.B(n_105),
-	.C(n_106),
-	.Y(n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g8382__8428 (
-	.A(r_Clock_Count[6]),
-	.B(n_98),
-	.COUT(n_107),
-	.SUM(n_108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g8383__5526 (
-	.A1(n_95),
-	.A2(n_101),
-	.B1(n_62),
-	.B2(n_93),
-	.Y(n_106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g8384__6783 (
-	.A(n_63),
-	.B(n_84),
-	.C(n_94),
-	.D(n_101),
-	.Y(n_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g8385__3680 (
-	.A1(r_Clock_Count[3]),
-	.A2(n_47),
-	.B1(n_43),
-	.C1(n_97),
-	.Y(n_104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g8386__1617 (
-	.A1(n_83),
-	.A2(r_Clock_Count[13]),
-	.B1(n_78),
-	.C1(n_96),
-	.Y(n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g8387__2802 (
-	.A1(n_86),
-	.A2(n_100),
-	.B1(n_6),
-	.B2(n_87),
-	.Y(n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g8388__1705 (
-	.A1(r_Clock_Count[12]),
-	.A2(n_74),
-	.B1_N(n_100),
-	.Y(n_101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g8389__5122 (
-	.A(r_Clock_Count[5]),
-	.B(n_81),
-	.COUT(n_98),
-	.SUM(n_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g8390__8246 (
-	.A1(n_30),
-	.A2(r_Clock_Count[2]),
-	.B1(n_91),
-	.Y(n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g8391__7098 (
-	.A1(r_Clock_Count[13]),
-	.A2(n_58),
-	.B1_N(n_89),
-	.Y(n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g8392__6131 (
-	.A1(r_Clock_Count[13]),
-	.A2(n_83),
-	.B1(r_Clock_Count[12]),
-	.B2(n_58),
-	.C1(n_73),
-	.Y(n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g8393__1881 (
-	.A1(n_71),
-	.A2(n_68),
-	.A3(r_Clock_Count[10]),
-	.B1(n_88),
-	.Y(n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g8394__5115 (
-	.A1(r_Clock_Count[8]),
-	.A2(n_61),
-	.B1(n_90),
-	.Y(n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g8395__7482 (
-	.A(r_Clock_Count[15]),
-	.B_N(n_87),
-	.Y(n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g8396__4733 (
-	.A1(n_74),
-	.A2(r_Clock_Count[11]),
-	.B1(n_45),
-	.B2(r_Clock_Count[8]),
-	.C1(n_85),
-	.Y(n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g8397__6161 (
-	.A1(r_Clock_Count[2]),
-	.A2(n_30),
-	.B1(n_66),
-	.C1(n_57),
-	.D1(n_79),
-	.Y(n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g8398__9315 (
-	.A1(n_55),
-	.A2(n_76),
-	.B1(n_53),
-	.C1(n_70),
-	.D1(n_80),
-	.Y(n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g8399__9945 (
-	.A1_N(n_6),
-	.A2_N(n_62),
-	.B1(r_Clock_Count[14]),
-	.B2(n_83),
-	.Y(n_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g8400__2883 (
-	.A1_N(r_Clock_Count[11]),
-	.A2_N(n_50),
-	.B1(n_84),
-	.B2(n_77),
-	.Y(n_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g8401__2346 (
-	.A(n_83),
-	.B(r_Clock_Count[14]),
-	.Y(n_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g8402__1666 (
-	.A1(n_58),
-	.A2(r_Clock_Count[13]),
-	.B1(n_74),
-	.B2(r_Clock_Count[12]),
-	.Y(n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g8403__7410 (
-	.A1(r_Clock_Count[11]),
-	.A2(n_74),
-	.B1(r_Clock_Count[8]),
-	.B2(n_45),
-	.Y(n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g8404__6417 (
-	.A(r_Clock_Count[4]),
-	.B(n_59),
-	.COUT(n_81),
-	.SUM(n_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g8405__5477 (
-	.A1(r_Clock_Count[4]),
-	.A2(n_47),
-	.B1(n_69),
-	.C1(n_75),
-	.Y(n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g8406__2398 (
-	.A1(r_Clock_Count[10]),
-	.A2(n_68),
-	.B1(n_71),
-	.Y(n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g8407__5107 (
-	.A1(n_52),
-	.A2(CLKS_PER_BIT[14]),
-	.B1(n_51),
-	.Y(n_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g8408__6260 (
-	.A1(n_49),
-	.A2(r_Clock_Count[5]),
-	.B1(n_34),
-	.B2(r_Clock_Count[4]),
-	.C1(n_72),
-	.Y(n_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g8409__4319 (
-	.A1_N(r_Clock_Count[12]),
-	.A2_N(n_58),
-	.B1(r_Clock_Count[9]),
-	.B2(n_68),
-	.Y(n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g8410__8428 (
-	.A1(n_64),
-	.A2(n_61),
-	.A3(r_Clock_Count[8]),
-	.B1(n_45),
-	.B2(r_Clock_Count[9]),
-	.Y(n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g8411 (
-	.A(n_75),
-	.Y(n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g8412__5526 (
-	.A(n_67),
-	.B(n_54),
-	.Y(n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g8413__6783 (
-	.A1(n_46),
-	.A2(CLKS_PER_BIT[12]),
-	.B1(n_44),
-	.Y(n_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g8414__3680 (
-	.A1_N(n_1),
-	.A2_N(n_62),
-	.B1(n_1),
-	.B2(n_62),
-	.Y(n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g8415__1617 (
-	.A1_N(r_Clock_Count[7]),
-	.A2_N(n_61),
-	.B1(r_Clock_Count[7]),
-	.B2(n_61),
-	.Y(n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g8416__2802 (
-	.A_N(n_54),
-	.B(n_49),
-	.C(r_Clock_Count[6]),
-	.Y(n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g8417__1705 (
-	.A(n_30),
-	.B(n_48),
-	.C(r_Clock_Count[3]),
-	.X(n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g8418__5122 (
-	.A_N(n_50),
-	.B(n_5),
-	.Y(n_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g8419__8246 (
-	.A1(r_Clock_Count[6]),
-	.A2(n_49),
-	.B1(r_Clock_Count[5]),
-	.B2(n_34),
-	.Y(n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g8420__7098 (
-	.A1_N(r_Clock_Count[5]),
-	.A2_N(n_49),
-	.B1(r_Clock_Count[6]),
-	.B2(n_39),
-	.Y(n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g8421__6131 (
-	.A1(n_40),
-	.A2(CLKS_PER_BIT[10]),
-	.B1(n_38),
-	.Y(n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g8422 (
-	.A(n_63),
-	.Y(n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g8423__1881 (
-	.A(r_Clock_Count[3]),
-	.B(n_41),
-	.COUT(n_59),
-	.SUM(n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g8424__5115 (
-	.A(CLKS_PER_BIT[15]),
-	.B_N(n_51),
-	.Y(n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g8425__7482 (
-	.A(r_Clock_Count[9]),
-	.B(n_45),
-	.Y(n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g8426__4733 (
-	.A(n_51),
-	.B_N(CLKS_PER_BIT[15]),
-	.Y(n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g8427__6161 (
-	.A1(n_36),
-	.A2(CLKS_PER_BIT[8]),
-	.B1(n_35),
-	.Y(n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g8428__9315 (
-	.A1(r_Clock_Count[6]),
-	.A2(n_39),
-	.B1(r_Clock_Count[4]),
-	.B2(n_34),
-	.X(n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g8429__9945 (
-	.A1_N(r_Clock_Count[3]),
-	.A2_N(n_47),
-	.B1(r_Clock_Count[1]),
-	.B2(n_37),
-	.Y(n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g8430__2883 (
-	.A1(n_34),
-	.A2(r_Clock_Count[5]),
-	.B1(n_47),
-	.B2(r_Clock_Count[4]),
-	.Y(n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g8431__2346 (
-	.A(CLKS_PER_BIT[13]),
-	.B(n_44),
-	.Y(n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g8432__1666 (
-	.A(n_39),
-	.B(r_Clock_Count[7]),
-	.Y(n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g8433__7410 (
-	.A(r_Clock_Count[7]),
-	.B(n_39),
-	.Y(n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g8434__6417 (
-	.A_N(CLKS_PER_BIT[13]),
-	.B(n_44),
-	.Y(n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g8435__5477 (
-	.A(n_37),
-	.B(n_33),
-	.C(r_Clock_Count[2]),
-	.X(n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g8436__2398 (
-	.A(CLKS_PER_BIT[13]),
-	.B(CLKS_PER_BIT[14]),
-	.C_N(n_44),
-	.Y(n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g8437__5107 (
-	.A(CLKS_PER_BIT[11]),
-	.B(n_38),
-	.Y(n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g8438__6260 (
-	.A1(n_31),
-	.A2(CLKS_PER_BIT[6]),
-	.B1(n_29),
-	.Y(n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g8439__4319 (
-	.A_N(CLKS_PER_BIT[11]),
-	.B(n_38),
-	.Y(n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g8440__8428 (
-	.A1(n_25),
-	.A2(CLKS_PER_BIT[4]),
-	.B1(n_24),
-	.Y(n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g8441__5526 (
-	.A1(n_37),
-	.A2(r_Clock_Count[1]),
-	.B1(n_19),
-	.B2(r_Clock_Count[0]),
-	.Y(n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g8442__6783 (
-	.A(CLKS_PER_BIT[9]),
-	.B(n_35),
-	.Y(n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g8443__3680 (
-	.A(CLKS_PER_BIT[11]),
-	.B(CLKS_PER_BIT[12]),
-	.C_N(n_38),
-	.Y(n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g8444__1617 (
-	.A(r_Clock_Count[2]),
-	.B(n_27),
-	.COUT(n_41),
-	.SUM(n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g8445__2802 (
-	.A_N(CLKS_PER_BIT[9]),
-	.B(n_35),
-	.Y(n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g8446__1705 (
-	.A(CLKS_PER_BIT[7]),
-	.B(n_29),
-	.Y(n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g8447__5122 (
-	.A(CLKS_PER_BIT[9]),
-	.B(CLKS_PER_BIT[10]),
-	.C_N(n_35),
-	.Y(n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g8448__8246 (
-	.A_N(CLKS_PER_BIT[7]),
-	.B(n_29),
-	.Y(n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g8449__7098 (
-	.A1(n_11),
-	.A2(CLKS_PER_BIT[2]),
-	.B1(n_18),
-	.Y(n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g8450__6131 (
-	.A(n_12),
-	.B(n_19),
-	.C(r_Clock_Count[1]),
-	.X(n_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g8451__1881 (
-	.A(CLKS_PER_BIT[7]),
-	.B(CLKS_PER_BIT[8]),
-	.C_N(n_29),
-	.Y(n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g8452__5115 (
-	.A(CLKS_PER_BIT[5]),
-	.B(n_24),
-	.Y(n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g8453 (
-	.A(r_Rx_Data),
-	.Y(n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g8454__7482 (
-	.A_N(CLKS_PER_BIT[5]),
-	.B(n_24),
-	.Y(n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g8456__4733 (
-	.A(CLKS_PER_BIT[3]),
-	.B(n_18),
-	.Y(n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g8457__6161 (
-	.A(CLKS_PER_BIT[5]),
-	.B(CLKS_PER_BIT[6]),
-	.C_N(n_24),
-	.Y(n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g8458__9315 (
-	.A(r_Clock_Count[0]),
-	.B(r_Clock_Count[1]),
-	.COUT(n_27),
-	.SUM(n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g8459__9945 (
-	.A_N(r_Rx_Data_R),
-	.B(FE_OFN19_n_0),
-	.Y(n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g8460__2883 (
-	.A_N(CLKS_PER_BIT[3]),
-	.B(n_18),
-	.Y(n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g8461__2346 (
-	.A(r_Bit_Index[1]),
-	.B(n_20),
-	.Y(n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g8462__1666 (
-	.A(CLKS_PER_BIT[3]),
-	.B(CLKS_PER_BIT[4]),
-	.C_N(n_18),
-	.Y(n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g8464__7410 (
-	.A(r_SM_Main[1]),
-	.B(n_8),
-	.Y(n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g8465__6417 (
-	.A(n_9),
-	.B(r_SM_Main[1]),
-	.Y(n_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g8466__5477 (
-	.A(n_14),
-	.B(r_SM_Main[1]),
-	.Y(n_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g8467 (
-	.A(n_17),
-	.Y(n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g8468__2398 (
-	.A1(r_SM_Main[0]),
-	.A2(r_SM_Main[1]),
-	.B1(o_Rx_DV),
-	.Y(n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g8469__5107 (
-	.A1(CLKS_PER_BIT[1]),
-	.A2(CLKS_PER_BIT[0]),
-	.B1(n_10),
-	.Y(n_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g8470__6260 (
-	.A(CLKS_PER_BIT[1]),
-	.B(CLKS_PER_BIT[2]),
-	.C(CLKS_PER_BIT[0]),
-	.Y(n_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g8471__4319 (
-	.A(r_SM_Main[1]),
-	.B_N(n_14),
-	.Y(n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g8472__8428 (
-	.A_N(i_Rx_Serial),
-	.B(FE_OFN19_n_0),
-	.Y(n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g8473__5526 (
-	.A(r_SM_Main[0]),
-	.B(r_SM_Main[2]),
-	.Y(n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g8474__6783 (
-	.A(r_Clock_Count[0]),
-	.B(CLKS_PER_BIT[0]),
-	.X(n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g8475 (
-	.A(n_10),
-	.Y(n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g8476 (
-	.A(n_8),
-	.Y(n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g8477__3680 (
-	.A(CLKS_PER_BIT[0]),
-	.B(CLKS_PER_BIT[1]),
-	.Y(n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g8478__1617 (
-	.A_N(r_SM_Main[2]),
-	.B(r_SM_Main[0]),
-	.Y(n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g8479__2802 (
-	.A(r_Clock_Count[11]),
-	.B(r_Clock_Count[10]),
-	.Y(n_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g8482 (
-	.A(r_Clock_Count[13]),
-	.Y(n_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[15]  (
-	.CLK(CTS_1),
-	.D(n_195),
-	.Q(r_Clock_Count[15]),
-	.Q_N(n_6),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[11]  (
-	.CLK(CTS_1),
-	.D(n_194),
-	.Q(r_Clock_Count[11]),
-	.Q_N(n_5),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[1]  (
-	.CLK(clk_i),
-	.D(n_143),
-	.Q(r_Bit_Index[1]),
-	.Q_N(n_3),
-	.RESET_B(FE_OFN19_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[12]  (
-	.CLK(CTS_1),
-	.D(n_193),
-	.Q(r_Clock_Count[12]),
-	.Q_N(n_2),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[14]  (
-	.CLK(CTS_1),
-	.D(n_192),
-	.Q(r_Clock_Count[14]),
-	.Q_N(n_1),
-	.RESET_B(rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[2]  (
-	.CLK(clk_i),
-	.D(n_152),
-	.Q(r_Bit_Index[2]),
-	.Q_N(n_0),
-	.RESET_B(FE_OFN19_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
+module uart_rx_prog(clk_i, rst_ni, i_Rx_Serial, CLKS_PER_BIT, o_Rx_DV,
+     o_Rx_Byte);
+  input clk_i, rst_ni, i_Rx_Serial;
+  input [15:0] CLKS_PER_BIT;
+  output o_Rx_DV;
+  output [7:0] o_Rx_Byte;
+  wire clk_i, rst_ni, i_Rx_Serial;
+  wire [15:0] CLKS_PER_BIT;
+  wire o_Rx_DV;
+  wire [7:0] o_Rx_Byte;
+  wire [2:0] r_Bit_Index;
+  wire [15:0] r_Clock_Count;
+  wire [2:0] r_SM_Main;
+  wire n_0, n_1, n_2, n_3, n_4, n_5, n_6, n_7;
+  wire n_8, n_9, n_10, n_11, n_12, n_13, n_14, n_15;
+  wire n_16, n_17, n_18, n_19, n_20, n_21, n_22, n_23;
+  wire n_24, n_25, n_26, n_27, n_28, n_29, n_30, n_31;
+  wire n_32, n_33, n_34, n_35, n_36, n_37, n_38, n_39;
+  wire n_40, n_41, n_42, n_43, n_44, n_45, n_46, n_47;
+  wire n_48, n_49, n_50, n_51, n_52, n_53, n_54, n_55;
+  wire n_56, n_57, n_58, n_59, n_60, n_61, n_62, n_63;
+  wire n_64, n_65, n_66, n_67, n_68, n_69, n_70, n_71;
+  wire n_72, n_73, n_74, n_75, n_76, n_77, n_78, n_79;
+  wire n_80, n_81, n_82, n_83, n_84, n_85, n_86, n_87;
+  wire n_88, n_89, n_90, n_91, n_92, n_93, n_94, n_95;
+  wire n_96, n_97, n_98, n_99, n_100, n_101, n_102, n_103;
+  wire n_104, n_105, n_106, n_107, n_108, n_109, n_110, n_111;
+  wire n_112, n_113, n_114, n_115, n_116, n_117, n_118, n_119;
+  wire n_120, n_121, n_122, n_123, n_124, n_125, n_126, n_127;
+  wire n_128, n_129, n_130, n_131, n_132, n_133, n_134, n_135;
+  wire n_136, n_137, n_138, n_139, n_140, n_141, n_142, n_143;
+  wire n_144, n_145, n_146, n_147, n_148, n_149, n_150, n_151;
+  wire n_152, n_153, n_154, n_155, n_156, n_157, n_158, n_159;
+  wire n_160, n_161, n_162, n_163, n_164, n_165, n_166, n_167;
+  wire n_168, n_169, n_170, n_171, n_172, n_173, n_174, n_175;
+  wire n_176, n_177, n_178, n_179, n_180, n_181, n_182, n_183;
+  wire n_184, n_185, n_186, n_187, n_188, n_189, n_190, n_191;
+  wire n_192, n_193, n_194, n_195, n_196, n_197, n_198, n_199;
+  wire n_200, n_201, n_202, n_203, r_Rx_Data, r_Rx_Data_R;
+  sky130_fd_sc_hd__dfrtp_1 \r_Bit_Index_reg[0] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_140), .Q (r_Bit_Index[0]));
+  sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[0] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_174), .SCD (n_180), .SCE (r_Clock_Count[0]),
+       .Q (r_Clock_Count[0]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[1] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_192), .Q (r_Clock_Count[1]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[2] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_194), .Q (r_Clock_Count[2]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[5] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_187), .Q (r_Clock_Count[5]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[6] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_189), .Q (r_Clock_Count[6]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[7] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_190), .Q (r_Clock_Count[7]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[8] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_191), .Q (r_Clock_Count[8]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[9] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_188), .Q (r_Clock_Count[9]));
+  sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[10] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_178), .SCD (n_182), .SCE
+       (r_Clock_Count[10]), .Q (r_Clock_Count[10]));
+  sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[13] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_176), .SCD (n_186), .SCE
+       (r_Clock_Count[13]), .Q (r_Clock_Count[13]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[15] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_203), .Q (r_Clock_Count[15]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[0] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_166), .Q (o_Rx_Byte[0]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[1] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_168), .Q (o_Rx_Byte[1]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[2] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_165), .Q (o_Rx_Byte[2]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[3] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_162), .Q (o_Rx_Byte[3]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[4] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_163), .Q (o_Rx_Byte[4]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[5] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_164), .Q (o_Rx_Byte[5]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[6] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_167), .Q (o_Rx_Byte[6]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[7] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_155), .Q (o_Rx_Byte[7]));
+  sky130_fd_sc_hd__dfrtp_1 r_Rx_DV_reg(.RESET_B (rst_ni), .CLK (clk_i),
+       .D (n_131), .Q (o_Rx_DV));
+  sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_R_reg(.CLK (clk_i), .D (n_11), .Q
+       (r_Rx_Data_R));
+  sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_reg(.CLK (clk_i), .D (n_27), .Q
+       (r_Rx_Data));
+  sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[0] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_177), .Q (r_SM_Main[0]));
+  sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[1] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_175), .Q (r_SM_Main[1]));
+  sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[2] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_125), .Q (r_SM_Main[2]));
+  sky130_fd_sc_hd__a32o_1 g7980__7410(.A1 (n_179), .A2
+       (r_Clock_Count[14]), .A3 (r_Clock_Count[13]), .B1 (n_199), .B2
+       (r_Clock_Count[15]), .X (n_203));
+  sky130_fd_sc_hd__a32o_1 g7985__6417(.A1 (n_176), .A2 (n_0), .A3
+       (r_Clock_Count[13]), .B1 (n_196), .B2 (r_Clock_Count[14]), .X
+       (n_202));
+  sky130_fd_sc_hd__a32o_1 g7986__5477(.A1 (n_178), .A2 (n_5), .A3
+       (r_Clock_Count[10]), .B1 (n_195), .B2 (r_Clock_Count[11]), .X
+       (n_201));
+  sky130_fd_sc_hd__o21ai_1 g7987__2398(.A1 (n_1), .A2 (n_193), .B1
+       (n_185), .Y (n_200));
+  sky130_fd_sc_hd__a21o_1 g7994__5107(.A1 (n_174), .A2 (n_0), .B1
+       (n_196), .X (n_199));
+  sky130_fd_sc_hd__o32ai_1 g7995__6260(.A1 (r_Clock_Count[3]), .A2
+       (n_33), .A3 (n_173), .B1 (n_4), .B2 (n_183), .Y (n_198));
+  sky130_fd_sc_hd__a22o_1 g7996__4319(.A1 (n_184), .A2
+       (r_Clock_Count[4]), .B1 (n_174), .B2 (n_64), .X (n_197));
+  sky130_fd_sc_hd__o21bai_1 g8000__8428(.A1 (r_Clock_Count[10]), .A2
+       (n_173), .B1_N (n_182), .Y (n_195));
+  sky130_fd_sc_hd__a22o_1 g8001__5526(.A1 (n_174), .A2 (n_37), .B1
+       (n_180), .B2 (r_Clock_Count[2]), .X (n_194));
+  sky130_fd_sc_hd__a21oi_1 g8002__6783(.A1 (n_174), .A2 (n_13), .B1
+       (n_182), .Y (n_193));
+  sky130_fd_sc_hd__a22o_1 g8003__3680(.A1 (n_174), .A2 (n_17), .B1
+       (n_180), .B2 (r_Clock_Count[1]), .X (n_192));
+  sky130_fd_sc_hd__o21bai_1 g8004__1617(.A1 (r_Clock_Count[13]), .A2
+       (n_173), .B1_N (n_186), .Y (n_196));
+  sky130_fd_sc_hd__a22o_1 g8005__2802(.A1 (n_174), .A2 (n_118), .B1
+       (n_180), .B2 (r_Clock_Count[8]), .X (n_191));
+  sky130_fd_sc_hd__a22o_1 g8006__1705(.A1 (n_174), .A2 (n_112), .B1
+       (n_180), .B2 (r_Clock_Count[7]), .X (n_190));
+  sky130_fd_sc_hd__a22o_1 g8007__5122(.A1 (n_174), .A2 (n_101), .B1
+       (n_180), .B2 (r_Clock_Count[6]), .X (n_189));
+  sky130_fd_sc_hd__a22o_1 g8008__8246(.A1 (n_174), .A2 (n_123), .B1
+       (n_180), .B2 (r_Clock_Count[9]), .X (n_188));
+  sky130_fd_sc_hd__a22o_1 g8009__7098(.A1 (n_174), .A2 (n_83), .B1
+       (n_180), .B2 (r_Clock_Count[5]), .X (n_187));
+  sky130_fd_sc_hd__nand3b_1 g8010__6131(.A_N (n_13), .B (n_178), .C
+       (n_1), .Y (n_185));
+  sky130_fd_sc_hd__o21ai_1 g8011__1881(.A1 (n_136), .A2 (n_173), .B1
+       (n_181), .Y (n_186));
+  sky130_fd_sc_hd__inv_2 g8012(.A (n_183), .Y (n_184));
+  sky130_fd_sc_hd__a21oi_1 g8013__5115(.A1 (n_174), .A2 (n_33), .B1
+       (n_180), .Y (n_183));
+  sky130_fd_sc_hd__o21ai_1 g8014__7482(.A1 (n_127), .A2 (n_173), .B1
+       (n_181), .Y (n_182));
+  sky130_fd_sc_hd__inv_2 g8017(.A (n_181), .Y (n_180));
+  sky130_fd_sc_hd__nor2b_1 g8018__4733(.A (r_Clock_Count[15]), .B_N
+       (n_176), .Y (n_179));
+  sky130_fd_sc_hd__nand4_1 g8019__6161(.A (n_170), .B (n_25), .C
+       (n_21), .D (n_23), .Y (n_181));
+  sky130_fd_sc_hd__o211ai_1 g8020__9315(.A1 (r_Rx_Data), .A2 (n_23),
+       .B1 (n_171), .C1 (n_137), .Y (n_177));
+  sky130_fd_sc_hd__nor2b_1 g8021__9945(.A (n_173), .B_N (n_127), .Y
+       (n_178));
+  sky130_fd_sc_hd__nand2_1 g8022__2883(.A (n_172), .B (n_25), .Y
+       (n_175));
+  sky130_fd_sc_hd__nor2b_1 g8023__2346(.A (n_173), .B_N (n_136), .Y
+       (n_176));
+  sky130_fd_sc_hd__inv_2 g8024(.A (n_174), .Y (n_173));
+  sky130_fd_sc_hd__o21ai_1 g8025__1666(.A1 (n_25), .A2 (n_120), .B1
+       (n_171), .Y (n_174));
+  sky130_fd_sc_hd__a31oi_1 g8026__7410(.A1 (n_160), .A2 (n_26), .A3
+       (n_35), .B1 (n_126), .Y (n_172));
+  sky130_fd_sc_hd__nand2_1 g8031__6417(.A (n_169), .B (n_26), .Y
+       (n_170));
+  sky130_fd_sc_hd__a21oi_1 g8033__5477(.A1 (n_159), .A2 (n_26), .B1
+       (n_126), .Y (n_171));
+  sky130_fd_sc_hd__nand2_1 g8035__2398(.A (n_160), .B (r_Rx_Data), .Y
+       (n_169));
+  sky130_fd_sc_hd__a32o_1 g8036__5107(.A1 (n_146), .A2 (n_23), .A3
+       (o_Rx_Byte[1]), .B1 (n_145), .B2 (r_Rx_Data), .X (n_168));
+  sky130_fd_sc_hd__o21ai_1 g8039__6260(.A1 (n_35), .A2 (n_141), .B1
+       (n_158), .Y (n_167));
+  sky130_fd_sc_hd__o21ai_1 g8040__4319(.A1 (n_35), .A2 (n_142), .B1
+       (n_161), .Y (n_166));
+  sky130_fd_sc_hd__a32o_1 g8041__8428(.A1 (n_148), .A2 (n_23), .A3
+       (o_Rx_Byte[2]), .B1 (n_147), .B2 (r_Rx_Data), .X (n_165));
+  sky130_fd_sc_hd__o21ai_1 g8042__5526(.A1 (n_35), .A2 (n_143), .B1
+       (n_157), .Y (n_164));
+  sky130_fd_sc_hd__o21ai_1 g8043__6783(.A1 (n_35), .A2 (n_149), .B1
+       (n_156), .Y (n_163));
+  sky130_fd_sc_hd__o21ai_1 g8044__3680(.A1 (n_35), .A2 (n_134), .B1
+       (n_151), .Y (n_162));
+  sky130_fd_sc_hd__nand3_1 g8045__1617(.A (n_142), .B (n_23), .C
+       (o_Rx_Byte[0]), .Y (n_161));
+  sky130_fd_sc_hd__inv_2 g8048(.A (n_160), .Y (n_159));
+  sky130_fd_sc_hd__nand3_1 g8049__2802(.A (n_141), .B (n_23), .C
+       (o_Rx_Byte[6]), .Y (n_158));
+  sky130_fd_sc_hd__nand3_1 g8050__1705(.A (n_143), .B (n_23), .C
+       (o_Rx_Byte[5]), .Y (n_157));
+  sky130_fd_sc_hd__nand3_1 g8051__5122(.A (n_149), .B (n_23), .C
+       (o_Rx_Byte[4]), .Y (n_156));
+  sky130_fd_sc_hd__o21ai_1 g8052__8246(.A1 (n_35), .A2 (n_137), .B1
+       (n_152), .Y (n_155));
+  sky130_fd_sc_hd__a21oi_1 g8053__7098(.A1 (r_Clock_Count[3]), .A2
+       (n_47), .B1 (n_150), .Y (n_160));
+  sky130_fd_sc_hd__nand2_1 g8054__6131(.A (n_144), .B (n_135), .Y
+       (n_154));
+  sky130_fd_sc_hd__o21ai_1 g8055__1881(.A1 (n_2), .A2 (n_133), .B1
+       (n_134), .Y (n_153));
+  sky130_fd_sc_hd__nand3_1 g8057__5115(.A (n_137), .B (n_23), .C
+       (o_Rx_Byte[7]), .Y (n_152));
+  sky130_fd_sc_hd__nand3_1 g8058__7482(.A (n_134), .B (n_23), .C
+       (o_Rx_Byte[3]), .Y (n_151));
+  sky130_fd_sc_hd__nand4_1 g8059__4733(.A (n_132), .B (n_62), .C
+       (n_69), .D (n_61), .Y (n_150));
+  sky130_fd_sc_hd__inv_1 g8060(.A (n_147), .Y (n_148));
+  sky130_fd_sc_hd__inv_1 g8061(.A (n_145), .Y (n_146));
+  sky130_fd_sc_hd__o21ai_1 g8062__6161(.A1 (n_30), .A2 (n_129), .B1
+       (r_Bit_Index[1]), .Y (n_144));
+  sky130_fd_sc_hd__nand2_1 g8063__9315(.A (n_139), .B (r_Bit_Index[2]),
+       .Y (n_149));
+  sky130_fd_sc_hd__nor2_1 g8064__9945(.A (r_Bit_Index[2]), .B (n_138),
+       .Y (n_147));
+  sky130_fd_sc_hd__nor2_1 g8065__2883(.A (r_Bit_Index[2]), .B (n_135),
+       .Y (n_145));
+  sky130_fd_sc_hd__a21o_1 g8066__2346(.A1 (n_129), .A2
+       (r_Bit_Index[0]), .B1 (n_128), .X (n_140));
+  sky130_fd_sc_hd__nand2b_1 g8067__1666(.A_N (n_135), .B
+       (r_Bit_Index[2]), .Y (n_143));
+  sky130_fd_sc_hd__nand2_1 g8068__7410(.A (n_139), .B (n_2), .Y
+       (n_142));
+  sky130_fd_sc_hd__nand2b_1 g8069__6417(.A_N (n_138), .B
+       (r_Bit_Index[2]), .Y (n_141));
+  sky130_fd_sc_hd__nor2b_1 g8070__5477(.A (r_Bit_Index[1]), .B_N
+       (n_128), .Y (n_139));
+  sky130_fd_sc_hd__nand2_1 g8071__2398(.A (n_128), .B (r_Bit_Index[1]),
+       .Y (n_138));
+  sky130_fd_sc_hd__nand2_1 g8073__5107(.A (n_130), .B (r_Bit_Index[2]),
+       .Y (n_137));
+  sky130_fd_sc_hd__a21oi_1 g8074__6260(.A1 (n_24), .A2 (n_10), .B1
+       (n_129), .Y (n_133));
+  sky130_fd_sc_hd__a211oi_1 g8075__4319(.A1 (r_Clock_Count[9]), .A2
+       (n_74), .B1 (n_87), .C1 (n_121), .Y (n_132));
+  sky130_fd_sc_hd__nor3b_1 g8076__8428(.A (n_1), .B (n_13), .C_N
+       (n_127), .Y (n_136));
+  sky130_fd_sc_hd__nand3_1 g8077__5526(.A (n_122), .B (n_6), .C
+       (r_Bit_Index[0]), .Y (n_135));
+  sky130_fd_sc_hd__nand2_1 g8078__6783(.A (n_130), .B (n_2), .Y
+       (n_134));
+  sky130_fd_sc_hd__nand2_1 g8079__3680(.A (n_124), .B (n_18), .Y
+       (n_131));
+  sky130_fd_sc_hd__nor2b_1 g8081__1617(.A (n_10), .B_N (n_122), .Y
+       (n_130));
+  sky130_fd_sc_hd__nor2_1 g8082__2802(.A (n_22), .B (n_122), .Y
+       (n_129));
+  sky130_fd_sc_hd__nor2b_1 g8083__1705(.A (r_Bit_Index[0]), .B_N
+       (n_122), .Y (n_128));
+  sky130_fd_sc_hd__inv_1 g8084(.A (n_124), .Y (n_125));
+  sky130_fd_sc_hd__ha_1 g8085__5122(.A (r_Clock_Count[9]), .B (n_117),
+       .COUT (n_127), .SUM (n_123));
+  sky130_fd_sc_hd__nor2_1 g8086__8246(.A (n_21), .B (n_120), .Y
+       (n_126));
+  sky130_fd_sc_hd__nand2b_1 g8087__7098(.A_N (n_21), .B (n_120), .Y
+       (n_124));
+  sky130_fd_sc_hd__o2111ai_1 g8088__6131(.A1 (n_48), .A2
+       (r_Clock_Count[8]), .B1 (n_66), .C1 (n_98), .D1 (n_119), .Y
+       (n_121));
+  sky130_fd_sc_hd__and2_1 g8089__1881(.A (n_120), .B (n_24), .X
+       (n_122));
+  sky130_fd_sc_hd__o21a_1 g8090__5115(.A1 (n_113), .A2 (n_115), .B1
+       (n_69), .X (n_120));
+  sky130_fd_sc_hd__a21oi_1 g8091__7482(.A1 (r_Clock_Count[11]), .A2
+       (n_80), .B1 (n_116), .Y (n_119));
+  sky130_fd_sc_hd__ha_1 g8092__4733(.A (r_Clock_Count[8]), .B (n_111),
+       .COUT (n_117), .SUM (n_118));
+  sky130_fd_sc_hd__o21ai_1 g8093__6161(.A1 (n_80), .A2
+       (r_Clock_Count[11]), .B1 (n_114), .Y (n_116));
+  sky130_fd_sc_hd__nor4_1 g8094__9315(.A (n_85), .B (n_95), .C (n_105),
+       .D (n_109), .Y (n_115));
+  sky130_fd_sc_hd__a211oi_1 g8095__9945(.A1 (r_Clock_Count[10]), .A2
+       (n_55), .B1 (r_Clock_Count[15]), .C1 (n_110), .Y (n_114));
+  sky130_fd_sc_hd__o311ai_1 g8096__2883(.A1 (n_85), .A2 (n_105), .A3
+       (n_107), .B1 (n_99), .C1 (n_106), .Y (n_113));
+  sky130_fd_sc_hd__ha_1 g8097__2346(.A (r_Clock_Count[7]), .B (n_100),
+       .COUT (n_111), .SUM (n_112));
+  sky130_fd_sc_hd__o211ai_1 g8098__1666(.A1 (n_55), .A2
+       (r_Clock_Count[10]), .B1 (n_90), .C1 (n_104), .Y (n_110));
+  sky130_fd_sc_hd__o21ai_1 g8099__7410(.A1 (n_68), .A2
+       (r_Clock_Count[8]), .B1 (n_108), .Y (n_109));
+  sky130_fd_sc_hd__o2111ai_1 g8100__6417(.A1 (n_72), .A2 (n_60), .B1
+       (n_88), .C1 (n_94), .D1 (n_92), .Y (n_108));
+  sky130_fd_sc_hd__a21oi_1 g8101__5477(.A1 (n_12), .A2 (n_74), .B1
+       (n_103), .Y (n_107));
+  sky130_fd_sc_hd__a2bb2oi_1 g8102__2398(.A1_N (n_102), .A2_N (n_97),
+       .B1 (n_67), .B2 (r_Clock_Count[15]), .Y (n_106));
+  sky130_fd_sc_hd__o21bai_1 g8103__5107(.A1 (n_80), .A2
+       (r_Clock_Count[12]), .B1_N (n_102), .Y (n_105));
+  sky130_fd_sc_hd__a21oi_1 g8104__6260(.A1 (r_Clock_Count[13]), .A2
+       (n_93), .B1 (n_96), .Y (n_104));
+  sky130_fd_sc_hd__o22ai_1 g8105__4319(.A1 (n_78), .A2 (n_95), .B1
+       (n_71), .B2 (n_91), .Y (n_103));
+  sky130_fd_sc_hd__ha_1 g8106__8428(.A (r_Clock_Count[6]), .B (n_82),
+       .COUT (n_100), .SUM (n_101));
+  sky130_fd_sc_hd__nand3b_1 g8107__5526(.A_N (n_76), .B
+       (r_Clock_Count[14]), .C (n_93), .Y (n_99));
+  sky130_fd_sc_hd__o21bai_1 g8108__6783(.A1 (n_93), .A2
+       (r_Clock_Count[14]), .B1_N (n_76), .Y (n_102));
+  sky130_fd_sc_hd__nor4_1 g8109__3680(.A (n_77), .B (n_63), .C (n_52),
+       .D (n_79), .Y (n_98));
+  sky130_fd_sc_hd__a32oi_1 g8110__1617(.A1 (n_84), .A2
+       (r_Clock_Count[12]), .A3 (n_80), .B1 (r_Clock_Count[13]), .B2
+       (n_65), .Y (n_97));
+  sky130_fd_sc_hd__o221ai_1 g8111__2802(.A1 (n_93), .A2
+       (r_Clock_Count[13]), .B1 (n_67), .B2 (r_Clock_Count[14]), .C1
+       (n_81), .Y (n_96));
+  sky130_fd_sc_hd__a21o_1 g8112__1705(.A1 (n_5), .A2 (n_71), .B1
+       (n_89), .X (n_95));
+  sky130_fd_sc_hd__nand3_1 g8113__5122(.A (n_86), .B
+       (r_Clock_Count[4]), .C (n_47), .Y (n_94));
+  sky130_fd_sc_hd__o211ai_1 g8114__8246(.A1 (n_47), .A2
+       (r_Clock_Count[4]), .B1 (n_86), .C1 (n_73), .Y (n_92));
+  sky130_fd_sc_hd__a21oi_1 g8115__7098(.A1 (r_Clock_Count[10]), .A2
+       (n_74), .B1 (r_Clock_Count[11]), .Y (n_91));
+  sky130_fd_sc_hd__a21oi_1 g8116__6131(.A1 (n_58), .A2
+       (CLKS_PER_BIT[14]), .B1 (n_56), .Y (n_93));
+  sky130_fd_sc_hd__a2bb2oi_1 g8117__1881(.A1_N (n_65), .A2_N
+       (r_Clock_Count[12]), .B1 (n_67), .B2 (r_Clock_Count[14]), .Y
+       (n_90));
+  sky130_fd_sc_hd__o22ai_1 g8118__5115(.A1 (n_74), .A2
+       (r_Clock_Count[10]), .B1 (n_48), .B2 (r_Clock_Count[9]), .Y
+       (n_89));
+  sky130_fd_sc_hd__nand3_1 g8119__7482(.A (n_75), .B
+       (r_Clock_Count[5]), .C (n_40), .Y (n_88));
+  sky130_fd_sc_hd__o22ai_1 g8120__4733(.A1 (n_74), .A2
+       (r_Clock_Count[9]), .B1 (n_32), .B2 (r_Clock_Count[2]), .Y
+       (n_87));
+  sky130_fd_sc_hd__inv_1 g8121(.A (n_85), .Y (n_84));
+  sky130_fd_sc_hd__ha_1 g8122__6161(.A (r_Clock_Count[5]), .B (n_57),
+       .COUT (n_82), .SUM (n_83));
+  sky130_fd_sc_hd__nand2_1 g8123__9315(.A (r_Clock_Count[12]), .B
+       (n_65), .Y (n_81));
+  sky130_fd_sc_hd__nor3_1 g8124__9945(.A (n_50), .B (n_70), .C (n_60),
+       .Y (n_86));
+  sky130_fd_sc_hd__nor2_1 g8125__2883(.A (n_65), .B
+       (r_Clock_Count[13]), .Y (n_85));
+  sky130_fd_sc_hd__o2bb2ai_1 g8126__2346(.A1_N (n_54), .A2_N
+       (r_Clock_Count[5]), .B1 (n_68), .B2 (r_Clock_Count[7]), .Y
+       (n_79));
+  sky130_fd_sc_hd__a22oi_1 g8127__1666(.A1 (r_Clock_Count[9]), .A2
+       (n_48), .B1 (r_Clock_Count[8]), .B2 (n_68), .Y (n_78));
+  sky130_fd_sc_hd__o2bb2ai_1 g8128__7410(.A1_N (n_68), .A2_N
+       (r_Clock_Count[7]), .B1 (n_54), .B2 (r_Clock_Count[5]), .Y
+       (n_77));
+  sky130_fd_sc_hd__a21oi_1 g8129__6417(.A1 (n_49), .A2
+       (CLKS_PER_BIT[12]), .B1 (n_46), .Y (n_80));
+  sky130_fd_sc_hd__nor2_1 g8130__5477(.A (n_70), .B (n_60), .Y (n_75));
+  sky130_fd_sc_hd__nor2_1 g8131__2398(.A (n_67), .B
+       (r_Clock_Count[15]), .Y (n_76));
+  sky130_fd_sc_hd__maj3_1 g8132__5107(.A (r_Clock_Count[3]), .B (n_59),
+       .C (n_32), .X (n_73));
+  sky130_fd_sc_hd__a22oi_1 g8133__6260(.A1 (r_Clock_Count[7]), .A2
+       (n_42), .B1 (r_Clock_Count[6]), .B2 (n_54), .Y (n_72));
+  sky130_fd_sc_hd__a21oi_1 g8134__4319(.A1 (n_44), .A2
+       (CLKS_PER_BIT[10]), .B1 (n_43), .Y (n_74));
+  sky130_fd_sc_hd__inv_1 g8135(.A (n_55), .Y (n_71));
+  sky130_fd_sc_hd__nand2_1 g8136__8428(.A (r_Clock_Count[8]), .B
+       (n_48), .Y (n_66));
+  sky130_fd_sc_hd__nor2_1 g8137__5526(.A (n_54), .B (r_Clock_Count[6]),
+       .Y (n_70));
+  sky130_fd_sc_hd__nand2b_1 g8138__6783(.A_N (CLKS_PER_BIT[15]), .B
+       (n_56), .Y (n_69));
+  sky130_fd_sc_hd__a21oi_1 g8139__3680(.A1 (n_39), .A2
+       (CLKS_PER_BIT[8]), .B1 (n_38), .Y (n_68));
+  sky130_fd_sc_hd__nand2b_1 g8140__1617(.A_N (n_56), .B
+       (CLKS_PER_BIT[15]), .Y (n_67));
+  sky130_fd_sc_hd__o22ai_1 g8141__2802(.A1 (r_Clock_Count[4]), .A2
+       (n_51), .B1 (r_Clock_Count[3]), .B2 (n_3), .Y (n_64));
+  sky130_fd_sc_hd__o2bb2ai_1 g8142__1705(.A1_N (n_42), .A2_N
+       (r_Clock_Count[6]), .B1 (n_42), .B2 (r_Clock_Count[6]), .Y
+       (n_63));
+  sky130_fd_sc_hd__a21oi_1 g8143__5122(.A1 (r_Clock_Count[0]), .A2
+       (n_20), .B1 (n_53), .Y (n_62));
+  sky130_fd_sc_hd__a2bb2oi_1 g8144__8246(.A1_N (n_47), .A2_N
+       (r_Clock_Count[3]), .B1 (n_32), .B2 (r_Clock_Count[2]), .Y
+       (n_61));
+  sky130_fd_sc_hd__xnor2_1 g8145__7098(.A (CLKS_PER_BIT[13]), .B
+       (n_46), .Y (n_65));
+  sky130_fd_sc_hd__maj3_1 g8146__6131(.A (r_Clock_Count[2]), .B (n_36),
+       .C (n_41), .X (n_59));
+  sky130_fd_sc_hd__nand2b_1 g8147__1881(.A_N (CLKS_PER_BIT[13]), .B
+       (n_46), .Y (n_58));
+  sky130_fd_sc_hd__nor2_1 g8148__5115(.A (n_42), .B (r_Clock_Count[7]),
+       .Y (n_60));
+  sky130_fd_sc_hd__nor2_1 g8149__7482(.A (n_3), .B (n_51), .Y (n_57));
+  sky130_fd_sc_hd__o221ai_1 g8150__4733(.A1 (n_20), .A2
+       (r_Clock_Count[0]), .B1 (n_41), .B2 (r_Clock_Count[1]), .C1
+       (n_45), .Y (n_53));
+  sky130_fd_sc_hd__o2bb2ai_1 g8151__6161(.A1_N (n_40), .A2_N
+       (r_Clock_Count[4]), .B1 (n_40), .B2 (r_Clock_Count[4]), .Y
+       (n_52));
+  sky130_fd_sc_hd__nor3b_1 g8152__9315(.A (CLKS_PER_BIT[14]), .B
+       (CLKS_PER_BIT[13]), .C_N (n_46), .Y (n_56));
+  sky130_fd_sc_hd__xnor2_1 g8153__9945(.A (CLKS_PER_BIT[11]), .B
+       (n_43), .Y (n_55));
+  sky130_fd_sc_hd__a21oi_1 g8154__2883(.A1 (n_34), .A2
+       (CLKS_PER_BIT[6]), .B1 (n_31), .Y (n_54));
+  sky130_fd_sc_hd__nor2_1 g8155__2346(.A (n_40), .B (r_Clock_Count[5]),
+       .Y (n_50));
+  sky130_fd_sc_hd__nand2b_1 g8156__1666(.A_N (CLKS_PER_BIT[11]), .B
+       (n_43), .Y (n_49));
+  sky130_fd_sc_hd__nand2b_1 g8157__7410(.A_N (n_33), .B
+       (r_Clock_Count[3]), .Y (n_51));
+  sky130_fd_sc_hd__xnor2_1 g8158__6417(.A (CLKS_PER_BIT[9]), .B (n_38),
+       .Y (n_48));
+  sky130_fd_sc_hd__a21oi_1 g8159__5477(.A1 (n_29), .A2
+       (CLKS_PER_BIT[4]), .B1 (n_28), .Y (n_47));
+  sky130_fd_sc_hd__nor3b_1 g8160__2398(.A (CLKS_PER_BIT[12]), .B
+       (CLKS_PER_BIT[11]), .C_N (n_43), .Y (n_46));
+  sky130_fd_sc_hd__nand2_1 g8161__5107(.A (r_Clock_Count[1]), .B
+       (n_41), .Y (n_45));
+  sky130_fd_sc_hd__nand2b_1 g8162__6260(.A_N (CLKS_PER_BIT[9]), .B
+       (n_38), .Y (n_44));
+  sky130_fd_sc_hd__nor3b_1 g8163__4319(.A (CLKS_PER_BIT[10]), .B
+       (CLKS_PER_BIT[9]), .C_N (n_38), .Y (n_43));
+  sky130_fd_sc_hd__xnor2_1 g8164__8428(.A (CLKS_PER_BIT[7]), .B (n_31),
+       .Y (n_42));
+  sky130_fd_sc_hd__nand2b_1 g8165__5526(.A_N (CLKS_PER_BIT[7]), .B
+       (n_31), .Y (n_39));
+  sky130_fd_sc_hd__a21oi_1 g8166__6783(.A1 (n_16), .A2
+       (CLKS_PER_BIT[2]), .B1 (n_19), .Y (n_41));
+  sky130_fd_sc_hd__xnor2_1 g8167__3680(.A (CLKS_PER_BIT[5]), .B (n_28),
+       .Y (n_40));
+  sky130_fd_sc_hd__o2bb2ai_1 g8168__1617(.A1_N (r_Clock_Count[2]),
+       .A2_N (n_8), .B1 (r_Clock_Count[2]), .B2 (n_8), .Y (n_37));
+  sky130_fd_sc_hd__maj3_1 g8169__2802(.A (r_Clock_Count[1]), .B (n_7),
+       .C (n_20), .X (n_36));
+  sky130_fd_sc_hd__nor3b_1 g8170__1705(.A (CLKS_PER_BIT[8]), .B
+       (CLKS_PER_BIT[7]), .C_N (n_31), .Y (n_38));
+  sky130_fd_sc_hd__inv_2 g8171(.A (r_Rx_Data), .Y (n_35));
+  sky130_fd_sc_hd__nand2b_1 g8172__5122(.A_N (CLKS_PER_BIT[5]), .B
+       (n_28), .Y (n_34));
+  sky130_fd_sc_hd__nand2b_1 g8174__8246(.A_N (n_8), .B
+       (r_Clock_Count[2]), .Y (n_33));
+  sky130_fd_sc_hd__xnor2_1 g8175__7098(.A (CLKS_PER_BIT[3]), .B (n_19),
+       .Y (n_32));
+  sky130_fd_sc_hd__nor3b_1 g8176__6131(.A (CLKS_PER_BIT[6]), .B
+       (CLKS_PER_BIT[5]), .C_N (n_28), .Y (n_31));
+  sky130_fd_sc_hd__nor2_1 g8177__1881(.A (r_Bit_Index[0]), .B (n_25),
+       .Y (n_30));
+  sky130_fd_sc_hd__nand2b_1 g8178__5115(.A_N (CLKS_PER_BIT[3]), .B
+       (n_19), .Y (n_29));
+  sky130_fd_sc_hd__nand2b_1 g8179__7482(.A_N (r_Rx_Data_R), .B
+       (rst_ni), .Y (n_27));
+  sky130_fd_sc_hd__nor3b_1 g8180__4733(.A (CLKS_PER_BIT[4]), .B
+       (CLKS_PER_BIT[3]), .C_N (n_19), .Y (n_28));
+  sky130_fd_sc_hd__inv_1 g8181(.A (n_25), .Y (n_24));
+  sky130_fd_sc_hd__inv_2 g8182(.A (n_22), .Y (n_23));
+  sky130_fd_sc_hd__nor2_1 g8184__6161(.A (r_SM_Main[1]), .B (n_9), .Y
+       (n_26));
+  sky130_fd_sc_hd__nand2_1 g8185__9315(.A (n_14), .B (r_SM_Main[1]), .Y
+       (n_25));
+  sky130_fd_sc_hd__nor2b_1 g8186__9945(.A (r_SM_Main[1]), .B_N (n_14),
+       .Y (n_22));
+  sky130_fd_sc_hd__o21ai_1 g8187__2883(.A1 (r_SM_Main[0]), .A2
+       (r_SM_Main[1]), .B1 (o_Rx_DV), .Y (n_18));
+  sky130_fd_sc_hd__xor2_1 g8188__2346(.A (r_Clock_Count[0]), .B
+       (r_Clock_Count[1]), .X (n_17));
+  sky130_fd_sc_hd__nand2b_1 g8189__1666(.A_N (n_9), .B (r_SM_Main[1]),
+       .Y (n_21));
+  sky130_fd_sc_hd__a21oi_1 g8190__7410(.A1 (CLKS_PER_BIT[1]), .A2
+       (CLKS_PER_BIT[0]), .B1 (n_15), .Y (n_20));
+  sky130_fd_sc_hd__nor3_1 g8191__6417(.A (CLKS_PER_BIT[0]), .B
+       (CLKS_PER_BIT[2]), .C (CLKS_PER_BIT[1]), .Y (n_19));
+  sky130_fd_sc_hd__inv_1 g8192(.A (n_15), .Y (n_16));
+  sky130_fd_sc_hd__clkinv_1 g8193(.A (n_13), .Y (n_12));
+  sky130_fd_sc_hd__nand2b_1 g8194__5477(.A_N (i_Rx_Serial), .B
+       (rst_ni), .Y (n_11));
+  sky130_fd_sc_hd__nor2_1 g8195__2398(.A (CLKS_PER_BIT[1]), .B
+       (CLKS_PER_BIT[0]), .Y (n_15));
+  sky130_fd_sc_hd__nor2_1 g8196__5107(.A (r_SM_Main[0]), .B
+       (r_SM_Main[2]), .Y (n_14));
+  sky130_fd_sc_hd__nand2_1 g8197__6260(.A (r_Clock_Count[11]), .B
+       (r_Clock_Count[10]), .Y (n_13));
+  sky130_fd_sc_hd__or2_0 g8198__4319(.A (CLKS_PER_BIT[0]), .B
+       (r_Clock_Count[0]), .X (n_7));
+  sky130_fd_sc_hd__nand2_1 g8199__8428(.A (r_Bit_Index[0]), .B
+       (r_Bit_Index[1]), .Y (n_10));
+  sky130_fd_sc_hd__nand2b_1 g8200__5526(.A_N (r_SM_Main[2]), .B
+       (r_SM_Main[0]), .Y (n_9));
+  sky130_fd_sc_hd__nand2_1 g8201__6783(.A (r_Clock_Count[1]), .B
+       (r_Clock_Count[0]), .Y (n_8));
+  sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[1] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_154), .Q (r_Bit_Index[1]), .Q_N (n_6));
+  sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[11] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_201), .Q (r_Clock_Count[11]), .Q_N (n_5));
+  sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[3] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_198), .Q (r_Clock_Count[3]), .Q_N (n_4));
+  sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[4] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_197), .Q (r_Clock_Count[4]), .Q_N (n_3));
+  sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[2] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_153), .Q (r_Bit_Index[2]), .Q_N (n_2));
+  sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[12] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_200), .Q (r_Clock_Count[12]), .Q_N (n_1));
+  sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[14] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_202), .Q (r_Clock_Count[14]), .Q_N (n_0));
 endmodule
 
-module uart_rx (
-	rst_ni, 
-	i_Rx_Serial, 
-	CLKS_PER_BIT, 
-	sbit_o, 
-	o_Rx_DV, 
-	o_Rx_Byte, 
-	FE_OFN18_system_rst_ni, 
-	FE_OFN17_system_rst_ni, 
-	FE_OFN16_system_rst_ni, 
-	FE_OFN9_system_rst_ni, 
-	clk_i_clone2, 
-	clk_i_clone1, 
-	clk_i_clone4, 
-	clk_i_clone3, 
-	clk_i, 
-	vccd1, 
-	vssd1);
-   input rst_ni;
-   input i_Rx_Serial;
-   input [15:0] CLKS_PER_BIT;
-   output sbit_o;
-   output o_Rx_DV;
-   output [7:0] o_Rx_Byte;
-   input FE_OFN18_system_rst_ni;
-   input FE_OFN17_system_rst_ni;
-   input FE_OFN16_system_rst_ni;
-   input FE_OFN9_system_rst_ni;
-   input clk_i_clone2;
-   input clk_i_clone1;
-   input clk_i_clone4;
-   input clk_i_clone3;
-   input clk_i;
-   inout vccd1;
-   inout vssd1;
-
-   // Internal wires
-   wire FE_OFN1396_n_165;
-   wire FE_OFN1395_n_162;
-   wire FE_OFN1161_n_143;
-   wire FE_OFN1160_n_116;
-   wire [2:0] r_Bit_Index;
-   wire [15:0] r_Clock_Count;
-   wire [2:0] r_SM_Main;
-   wire n_0;
-   wire n_1;
-   wire n_2;
-   wire n_3;
-   wire n_4;
-   wire n_5;
-   wire n_6;
-   wire n_7;
-   wire n_8;
-   wire n_9;
-   wire n_10;
-   wire n_11;
-   wire n_12;
-   wire n_13;
-   wire n_14;
-   wire n_15;
-   wire n_16;
-   wire n_17;
-   wire n_18;
-   wire n_19;
-   wire n_20;
-   wire n_21;
-   wire n_22;
-   wire n_23;
-   wire n_24;
-   wire n_25;
-   wire n_26;
-   wire n_27;
-   wire n_28;
-   wire n_29;
-   wire n_30;
-   wire n_31;
-   wire n_32;
-   wire n_33;
-   wire n_34;
-   wire n_35;
-   wire n_36;
-   wire n_37;
-   wire n_38;
-   wire n_39;
-   wire n_40;
-   wire n_41;
-   wire n_42;
-   wire n_43;
-   wire n_44;
-   wire n_45;
-   wire n_46;
-   wire n_47;
-   wire n_48;
-   wire n_49;
-   wire n_50;
-   wire n_51;
-   wire n_52;
-   wire n_53;
-   wire n_54;
-   wire n_55;
-   wire n_56;
-   wire n_57;
-   wire n_58;
-   wire n_59;
-   wire n_60;
-   wire n_61;
-   wire n_62;
-   wire n_63;
-   wire n_64;
-   wire n_65;
-   wire n_66;
-   wire n_67;
-   wire n_68;
-   wire n_69;
-   wire n_70;
-   wire n_71;
-   wire n_72;
-   wire n_73;
-   wire n_74;
-   wire n_75;
-   wire n_76;
-   wire n_77;
-   wire n_78;
-   wire n_79;
-   wire n_80;
-   wire n_81;
-   wire n_82;
-   wire n_83;
-   wire n_84;
-   wire n_85;
-   wire n_86;
-   wire n_87;
-   wire n_88;
-   wire n_89;
-   wire n_90;
-   wire n_91;
-   wire n_92;
-   wire n_93;
-   wire n_94;
-   wire n_95;
-   wire n_96;
-   wire n_97;
-   wire n_98;
-   wire n_99;
-   wire n_100;
-   wire n_101;
-   wire n_102;
-   wire n_103;
-   wire n_104;
-   wire n_105;
-   wire n_106;
-   wire n_107;
-   wire n_108;
-   wire n_109;
-   wire n_110;
-   wire n_111;
-   wire n_112;
-   wire n_113;
-   wire n_114;
-   wire n_115;
-   wire n_116;
-   wire n_117;
-   wire n_118;
-   wire n_119;
-   wire n_120;
-   wire n_121;
-   wire n_122;
-   wire n_123;
-   wire n_124;
-   wire n_125;
-   wire n_126;
-   wire n_127;
-   wire n_128;
-   wire n_129;
-   wire n_130;
-   wire n_131;
-   wire n_132;
-   wire n_133;
-   wire n_134;
-   wire n_135;
-   wire n_136;
-   wire n_137;
-   wire n_138;
-   wire n_139;
-   wire n_140;
-   wire n_141;
-   wire n_142;
-   wire n_143;
-   wire n_144;
-   wire n_145;
-   wire n_146;
-   wire n_147;
-   wire n_148;
-   wire n_149;
-   wire n_150;
-   wire n_151;
-   wire n_152;
-   wire n_153;
-   wire n_154;
-   wire n_155;
-   wire n_156;
-   wire n_157;
-   wire n_158;
-   wire n_159;
-   wire n_160;
-   wire n_161;
-   wire n_162;
-   wire n_163;
-   wire n_165;
-   wire n_166;
-   wire n_167;
-   wire n_168;
-   wire n_169;
-   wire n_170;
-   wire n_171;
-   wire n_172;
-   wire n_173;
-   wire n_174;
-   wire n_175;
-   wire n_176;
-   wire n_177;
-   wire n_178;
-   wire n_179;
-   wire n_180;
-   wire n_181;
-   wire n_182;
-   wire n_183;
-   wire n_184;
-   wire n_186;
-   wire n_187;
-   wire n_188;
-   wire n_189;
-   wire n_190;
-   wire n_191;
-   wire n_212;
-   wire r_Rx_Data;
-   wire r_Rx_Data_R;
-
-   // Module instantiations
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1396_n_165 (
-	.A(n_165),
-	.X(FE_OFN1396_n_165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1395_n_162 (
-	.A(n_162),
-	.X(FE_OFN1395_n_162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1161_n_143 (
-	.A(n_143),
-	.X(FE_OFN1161_n_143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1160_n_116 (
-	.A(n_116),
-	.X(FE_OFN1160_n_116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_reg (
-	.CLK(clk_i_clone3),
-	.D(n_191),
-	.Q(r_Rx_Data), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g3613__1705 (
-	.A_N(r_Rx_Data_R),
-	.B(rst_ni),
-	.Y(n_191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_R_reg (
-	.CLK(clk_i_clone2),
-	.D(n_190),
-	.Q(r_Rx_Data_R), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g3615__5122 (
-	.A_N(i_Rx_Serial),
-	.B(rst_ni),
-	.Y(n_190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Bit_Index_reg[0]  (
-	.CLK(clk_i_clone4),
-	.D(n_132),
-	.Q(r_Bit_Index[0]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[0]  (
-	.CLK(clk_i),
-	.D(n_161),
-	.Q(r_Clock_Count[0]),
-	.RESET_B(FE_OFN9_system_rst_ni),
-	.SCD(FE_OFN1396_n_165),
-	.SCE(r_Clock_Count[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[1]  (
-	.CLK(clk_i),
-	.D(n_174),
-	.Q(r_Clock_Count[1]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[2]  (
-	.CLK(clk_i),
-	.D(n_175),
-	.Q(r_Clock_Count[2]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[3]  (
-	.CLK(clk_i),
-	.D(n_176),
-	.Q(r_Clock_Count[3]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[4]  (
-	.CLK(clk_i),
-	.D(n_173),
-	.Q(r_Clock_Count[4]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[5]  (
-	.CLK(clk_i),
-	.D(n_170),
-	.Q(r_Clock_Count[5]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[6]  (
-	.CLK(clk_i),
-	.D(n_171),
-	.Q(r_Clock_Count[6]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[7]  (
-	.CLK(clk_i),
-	.D(n_172),
-	.Q(r_Clock_Count[7]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[8]  (
-	.CLK(clk_i),
-	.D(n_169),
-	.Q(r_Clock_Count[8]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[9]  (
-	.CLK(clk_i),
-	.D(n_168),
-	.Q(r_Clock_Count[9]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[10]  (
-	.CLK(clk_i),
-	.D(n_177),
-	.Q(r_Clock_Count[10]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[11]  (
-	.CLK(clk_i),
-	.D(n_180),
-	.Q(r_Clock_Count[11]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[12]  (
-	.CLK(clk_i),
-	.D(n_184),
-	.Q(r_Clock_Count[12]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[13]  (
-	.CLK(clk_i),
-	.D(n_183),
-	.Q(r_Clock_Count[13]),
-	.RESET_B(FE_OFN9_system_rst_ni),
-	.SCD(n_212),
-	.SCE(r_Clock_Count[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[15]  (
-	.CLK(clk_i),
-	.D(n_186),
-	.Q(r_Clock_Count[15]),
-	.RESET_B(FE_OFN9_system_rst_ni),
-	.SCD(n_188),
-	.SCE(r_Clock_Count[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[0]  (
-	.CLK(clk_i_clone4),
-	.D(n_155),
-	.Q(o_Rx_Byte[0]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[1]  (
-	.CLK(clk_i_clone4),
-	.D(n_159),
-	.Q(o_Rx_Byte[1]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[2]  (
-	.CLK(clk_i_clone4),
-	.D(n_156),
-	.Q(o_Rx_Byte[2]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[3]  (
-	.CLK(clk_i_clone4),
-	.D(n_158),
-	.Q(o_Rx_Byte[3]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[4]  (
-	.CLK(clk_i_clone4),
-	.D(n_153),
-	.Q(o_Rx_Byte[4]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[5]  (
-	.CLK(clk_i_clone4),
-	.D(n_154),
-	.Q(o_Rx_Byte[5]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[6]  (
-	.CLK(clk_i_clone4),
-	.D(n_157),
-	.Q(o_Rx_Byte[6]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 r_Rx_DV_reg (
-	.CLK(clk_i_clone4),
-	.D(n_121),
-	.Q(o_Rx_DV),
-	.RESET_B(FE_OFN16_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[0]  (
-	.CLK(clk_i_clone3),
-	.D(n_160),
-	.Q(r_SM_Main[0]),
-	.RESET_B(FE_OFN16_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[1]  (
-	.CLK(clk_i_clone3),
-	.D(n_163),
-	.Q(r_SM_Main[1]),
-	.RESET_B(FE_OFN16_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[2]  (
-	.CLK(clk_i_clone4),
-	.D(n_118),
-	.Q(r_SM_Main[2]),
-	.RESET_B(FE_OFN16_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 sbit_o_reg (
-	.CLK(clk_i_clone1),
-	.D(n_27),
-	.Q(sbit_o),
-	.RESET_B(FE_OFN17_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g7151__8246 (
-	.A1(n_183),
-	.A2(n_1),
-	.A3(r_Clock_Count[13]),
-	.B1(n_187),
-	.B2(r_Clock_Count[14]),
-	.X(n_189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g7153__7098 (
-	.A1(n_161),
-	.A2(n_5),
-	.B1(n_212),
-	.X(n_188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g7154__6131 (
-	.A1(r_Clock_Count[13]),
-	.A2(FE_OFN1395_n_162),
-	.B1_N(n_212),
-	.Y(n_187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g7155__1881 (
-	.A(n_5),
-	.B_N(n_183),
-	.Y(n_186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g7156__5115 (
-	.A1(n_161),
-	.A2(n_181),
-	.B1(FE_OFN1396_n_165),
-	.B2(r_Clock_Count[12]),
-	.X(n_184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g7158__7482 (
-	.A(FE_OFN1395_n_162),
-	.B_N(n_182),
-	.Y(n_183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g7159__4733 (
-	.A(r_Clock_Count[12]),
-	.B(n_178),
-	.COUT(n_182),
-	.SUM(n_181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g7161__6161 (
-	.A1(n_161),
-	.A2(n_179),
-	.B1(FE_OFN1396_n_165),
-	.B2(r_Clock_Count[11]),
-	.X(n_180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g7162__9315 (
-	.A(r_Clock_Count[11]),
-	.B(n_166),
-	.COUT(n_178),
-	.SUM(n_179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g7169__9945 (
-	.A1(n_161),
-	.A2(n_167),
-	.B1(FE_OFN1396_n_165),
-	.B2(r_Clock_Count[10]),
-	.X(n_177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g7175__2883 (
-	.A1(n_161),
-	.A2(n_62),
-	.B1(FE_OFN1396_n_165),
-	.B2(r_Clock_Count[3]),
-	.X(n_176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g7176__2346 (
-	.A1(n_161),
-	.A2(n_43),
-	.B1(FE_OFN1396_n_165),
-	.B2(r_Clock_Count[2]),
-	.X(n_175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g7177__1666 (
-	.A1(n_161),
-	.A2(n_25),
-	.B1(FE_OFN1396_n_165),
-	.B2(r_Clock_Count[1]),
-	.X(n_174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g7178__7410 (
-	.A1(n_161),
-	.A2(n_88),
-	.B1(FE_OFN1396_n_165),
-	.B2(r_Clock_Count[4]),
-	.X(n_173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g7179__6417 (
-	.A1(n_161),
-	.A2(n_114),
-	.B1(FE_OFN1396_n_165),
-	.B2(r_Clock_Count[7]),
-	.X(n_172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g7180__5477 (
-	.A1(n_161),
-	.A2(n_109),
-	.B1(FE_OFN1396_n_165),
-	.B2(r_Clock_Count[6]),
-	.X(n_171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g7181__2398 (
-	.A1(n_161),
-	.A2(n_100),
-	.B1(FE_OFN1396_n_165),
-	.B2(r_Clock_Count[5]),
-	.X(n_170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g7182__5107 (
-	.A1(n_161),
-	.A2(n_128),
-	.B1(FE_OFN1396_n_165),
-	.B2(r_Clock_Count[8]),
-	.X(n_169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g7183__6260 (
-	.A1(n_161),
-	.A2(n_152),
-	.B1(FE_OFN1396_n_165),
-	.B2(r_Clock_Count[9]),
-	.X(n_168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g7184__4319 (
-	.A(r_Clock_Count[10]),
-	.B(n_151),
-	.COUT(n_166),
-	.SUM(n_167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g7192__8428 (
-	.A1(FE_OFN1161_n_143),
-	.A2(n_26),
-	.B1(n_17),
-	.C1(n_144),
-	.X(n_163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g7196__5526 (
-	.A(n_13),
-	.B(n_21),
-	.C(n_26),
-	.D(n_150),
-	.Y(n_165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g7197 (
-	.A(FE_OFN1395_n_162),
-	.Y(n_161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g7198__6783 (
-	.A(n_22),
-	.B(n_144),
-	.C(n_150),
-	.X(n_160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g7199__3680 (
-	.A1(n_13),
-	.A2(n_147),
-	.B1(n_0),
-	.B2(n_134),
-	.Y(n_159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g7200__1617 (
-	.A1_N(r_Rx_Data),
-	.A2_N(n_135),
-	.B1(n_13),
-	.B2(n_148),
-	.Y(n_158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g7202__2802 (
-	.A1(n_115),
-	.A2(n_21),
-	.B1(n_150),
-	.Y(n_162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g7203__1705 (
-	.A1(n_13),
-	.A2(n_139),
-	.B1(n_2),
-	.B2(n_138),
-	.Y(n_157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g7204__5122 (
-	.A1(n_13),
-	.A2(n_141),
-	.B1(r_Bit_Index[2]),
-	.B2(n_138),
-	.Y(n_156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g7205__8246 (
-	.A1(n_13),
-	.A2(n_142),
-	.B1(r_Bit_Index[2]),
-	.B2(n_137),
-	.Y(n_155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g7206__7098 (
-	.A1(n_13),
-	.A2(n_145),
-	.B1(n_0),
-	.B2(n_136),
-	.Y(n_154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g7207__6131 (
-	.A1(n_13),
-	.A2(n_140),
-	.B1(n_2),
-	.B2(n_137),
-	.Y(n_153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g7208__1881 (
-	.A(r_Clock_Count[9]),
-	.B(n_127),
-	.COUT(n_151),
-	.SUM(n_152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g7210__5115 (
-	.A1(n_133),
-	.A2(r_Bit_Index[2]),
-	.B1(n_135),
-	.X(n_149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7211__7482 (
-	.A(n_19),
-	.B(FE_OFN1161_n_143),
-	.Y(n_150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g7212__4733 (
-	.A_N(n_135),
-	.B(o_Rx_Byte[3]),
-	.Y(n_148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g7213__6161 (
-	.A(n_134),
-	.B(o_Rx_Byte[1]),
-	.Y(n_147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g7214__9315 (
-	.A1(n_125),
-	.A2(r_Bit_Index[1]),
-	.B1(n_130),
-	.X(n_146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g7215__9945 (
-	.A(n_136),
-	.B(o_Rx_Byte[5]),
-	.Y(n_145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g7217__2883 (
-	.A1(r_Bit_Index[2]),
-	.A2(n_124),
-	.B1(o_Rx_Byte[0]),
-	.Y(n_142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g7218__2346 (
-	.A1(r_Bit_Index[2]),
-	.A2(n_129),
-	.B1(o_Rx_Byte[2]),
-	.Y(n_141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g7219__1666 (
-	.A1(n_2),
-	.A2(n_124),
-	.B1(o_Rx_Byte[4]),
-	.Y(n_140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g7220__7410 (
-	.A1(n_2),
-	.A2(n_129),
-	.B1(o_Rx_Byte[6]),
-	.Y(n_139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g7221__6417 (
-	.A1(n_2),
-	.A2(n_126),
-	.B1(n_18),
-	.B2(FE_OFN1160_n_116),
-	.Y(n_144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g7222__5477 (
-	.A1(n_46),
-	.A2(r_Clock_Count[3]),
-	.B1(n_36),
-	.C1(n_131),
-	.Y(n_143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g7223__2398 (
-	.A_N(n_129),
-	.B(r_Rx_Data),
-	.Y(n_138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g7224__5107 (
-	.A_N(n_124),
-	.B(r_Rx_Data),
-	.Y(n_137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g7225__6260 (
-	.A(n_130),
-	.B(r_Bit_Index[2]),
-	.Y(n_136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7226__4319 (
-	.A(r_Bit_Index[2]),
-	.B(n_126),
-	.Y(n_135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g7227__8428 (
-	.A1(n_17),
-	.A2(n_3),
-	.B1(n_125),
-	.X(n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31o_1 g7228__5526 (
-	.A1(n_119),
-	.A2(n_12),
-	.A3(r_Bit_Index[0]),
-	.B1(n_123),
-	.X(n_132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g7229__6783 (
-	.A1(r_Clock_Count[3]),
-	.A2(n_46),
-	.B1(n_41),
-	.C1(n_70),
-	.D1(n_120),
-	.Y(n_131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g7230__3680 (
-	.A(n_130),
-	.B(n_2),
-	.Y(n_134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g7231__1617 (
-	.A(r_Clock_Count[8]),
-	.B(n_113),
-	.COUT(n_127),
-	.SUM(n_128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7233__2802 (
-	.A(r_Bit_Index[1]),
-	.B(n_122),
-	.Y(n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g7234__1705 (
-	.A(n_123),
-	.B(r_Bit_Index[1]),
-	.Y(n_129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g7235__5122 (
-	.A_N(n_122),
-	.B(r_Bit_Index[1]),
-	.Y(n_126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g7236__8246 (
-	.A1_N(n_12),
-	.A2_N(n_119),
-	.B1(r_Bit_Index[0]),
-	.B2(n_16),
-	.Y(n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g7237__7098 (
-	.A(n_123),
-	.B(n_3),
-	.Y(n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7239__6131 (
-	.A(r_Bit_Index[0]),
-	.B(n_119),
-	.Y(n_123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g7240__1881 (
-	.A_N(n_118),
-	.B(n_11),
-	.Y(n_121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g7241__5115 (
-	.A1(n_15),
-	.A2(r_Clock_Count[0]),
-	.B1(n_117),
-	.Y(n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g7242__7482 (
-	.A_N(n_119),
-	.B(r_Bit_Index[0]),
-	.Y(n_122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g7243__4733 (
-	.A(FE_OFN1160_n_116),
-	.B(n_17),
-	.Y(n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g7244__6161 (
-	.A1(r_Clock_Count[6]),
-	.A2(n_37),
-	.B1(n_57),
-	.C1(n_91),
-	.D1(n_112),
-	.Y(n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7245__9315 (
-	.A(n_18),
-	.B(n_115),
-	.Y(n_118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g7246 (
-	.A(FE_OFN1160_n_116),
-	.Y(n_115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g7247__9945 (
-	.A(r_Clock_Count[7]),
-	.B(n_108),
-	.COUT(n_113),
-	.SUM(n_114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g7248__2883 (
-	.A1(n_110),
-	.A2(n_107),
-	.A3(n_97),
-	.B1(n_64),
-	.Y(n_116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g7249__2346 (
-	.A1(n_78),
-	.A2(r_Clock_Count[11]),
-	.B1(n_69),
-	.B2(r_Clock_Count[9]),
-	.C1(n_111),
-	.Y(n_112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g7250__1666 (
-	.A1(r_Clock_Count[14]),
-	.A2(n_63),
-	.B1(n_90),
-	.C1(n_71),
-	.D1(n_106),
-	.Y(n_111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g7251__7410 (
-	.A1(n_63),
-	.A2(r_Clock_Count[15]),
-	.B1(n_105),
-	.Y(n_110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g7252__6417 (
-	.A(r_Clock_Count[6]),
-	.B(n_99),
-	.COUT(n_108),
-	.SUM(n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g7253__5477 (
-	.A1(r_Clock_Count[8]),
-	.A2(n_59),
-	.B1(n_93),
-	.C1(n_96),
-	.D1(n_103),
-	.Y(n_107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g7254__2398 (
-	.A1(n_45),
-	.A2(r_Clock_Count[8]),
-	.B1(n_83),
-	.C1(n_101),
-	.Y(n_106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g7255__5107 (
-	.A1(n_102),
-	.A2(n_104),
-	.B1(n_98),
-	.B2(n_95),
-	.Y(n_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g7256 (
-	.A(n_103),
-	.Y(n_104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g7257__6260 (
-	.A(n_86),
-	.B(n_81),
-	.C(n_98),
-	.Y(n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g7258__4319 (
-	.A1(r_Clock_Count[10]),
-	.A2(n_79),
-	.B1(n_93),
-	.B2(n_77),
-	.C1(n_52),
-	.C2(r_Clock_Count[11]),
-	.Y(n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g7259__8428 (
-	.A1(r_Clock_Count[8]),
-	.A2(n_45),
-	.B1(n_92),
-	.C1(n_94),
-	.Y(n_101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g7260__5526 (
-	.A(r_Clock_Count[5]),
-	.B(n_87),
-	.COUT(n_99),
-	.SUM(n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g7261__6783 (
-	.A_N(n_73),
-	.B(n_89),
-	.C(r_Clock_Count[14]),
-	.Y(n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g7262__3680 (
-	.A1(r_Clock_Count[14]),
-	.A2(n_89),
-	.B1_N(n_73),
-	.Y(n_98), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o311ai_1 g7263__1617 (
-	.A1(n_48),
-	.A2(n_75),
-	.A3(n_72),
-	.B1(n_68),
-	.C1(n_85),
-	.Y(n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g7264__2802 (
-	.A1(n_82),
-	.A2(n_78),
-	.A3(r_Clock_Count[12]),
-	.B1(n_60),
-	.B2(r_Clock_Count[13]),
-	.Y(n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g7265__1705 (
-	.A1_N(r_Clock_Count[13]),
-	.A2_N(n_89),
-	.B1(r_Clock_Count[13]),
-	.B2(n_89),
-	.Y(n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g7266__5122 (
-	.A1(n_60),
-	.A2(r_Clock_Count[12]),
-	.B1(r_Clock_Count[15]),
-	.C1(n_80),
-	.Y(n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7267__8246 (
-	.A(n_84),
-	.B(n_74),
-	.Y(n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g7268__7098 (
-	.A(n_51),
-	.B(n_67),
-	.C(n_76),
-	.Y(n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g7269__6131 (
-	.A1_N(r_Clock_Count[11]),
-	.A2_N(n_78),
-	.B1(r_Clock_Count[10]),
-	.B2(n_52),
-	.Y(n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g7270__1881 (
-	.A(r_Clock_Count[4]),
-	.B(n_61),
-	.COUT(n_87),
-	.SUM(n_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7271__5115 (
-	.A(r_Clock_Count[12]),
-	.B(n_78),
-	.Y(n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g7272__7482 (
-	.A1(n_55),
-	.A2(CLKS_PER_BIT[14]),
-	.B1(n_54),
-	.Y(n_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g7273__4733 (
-	.A1_N(n_72),
-	.A2_N(n_65),
-	.B1(r_Clock_Count[7]),
-	.B2(n_37),
-	.Y(n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g7274__6161 (
-	.A1(r_Clock_Count[10]),
-	.A2(n_69),
-	.B1(r_Clock_Count[9]),
-	.B2(n_45),
-	.Y(n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g7275__9315 (
-	.A1(r_Clock_Count[10]),
-	.A2(n_52),
-	.B1(r_Clock_Count[9]),
-	.B2(n_69),
-	.Y(n_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g7276 (
-	.A(n_81),
-	.Y(n_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7277__9945 (
-	.A(r_Clock_Count[12]),
-	.B(n_60),
-	.Y(n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g7278__2883 (
-	.A(n_74),
-	.B_N(n_69),
-	.Y(n_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7279__2346 (
-	.A(r_Clock_Count[13]),
-	.B(n_60),
-	.Y(n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g7280__1666 (
-	.A1(n_45),
-	.A2(r_Clock_Count[9]),
-	.B1(n_59),
-	.B2(r_Clock_Count[8]),
-	.X(n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g7281__7410 (
-	.A1_N(r_Clock_Count[7]),
-	.A2_N(n_59),
-	.B1(r_Clock_Count[7]),
-	.B2(n_59),
-	.Y(n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g7282__6417 (
-	.A1(r_Clock_Count[4]),
-	.A2(n_46),
-	.B1(n_66),
-	.Y(n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g7283__5477 (
-	.A1(n_47),
-	.A2(CLKS_PER_BIT[12]),
-	.B1(n_44),
-	.Y(n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g7284__2398 (
-	.A(n_63),
-	.B(r_Clock_Count[14]),
-	.Y(n_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7285__5107 (
-	.A(n_50),
-	.B(n_64),
-	.Y(n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7286__6260 (
-	.A(r_Clock_Count[11]),
-	.B(n_52),
-	.Y(n_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7287__4319 (
-	.A(r_Clock_Count[15]),
-	.B(n_63),
-	.Y(n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g7288__8428 (
-	.A1(r_Clock_Count[6]),
-	.A2(n_53),
-	.B1_N(n_58),
-	.Y(n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g7289__5526 (
-	.A_N(n_58),
-	.B(n_53),
-	.C(r_Clock_Count[6]),
-	.Y(n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g7290__6783 (
-	.A1_N(r_Clock_Count[5]),
-	.A2_N(n_53),
-	.B1(r_Clock_Count[5]),
-	.B2(n_53),
-	.Y(n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g7291__3680 (
-	.A(n_30),
-	.B(n_56),
-	.C(r_Clock_Count[3]),
-	.X(n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g7292__1617 (
-	.A1(n_49),
-	.A2(n_46),
-	.A3(r_Clock_Count[4]),
-	.B1(n_32),
-	.B2(r_Clock_Count[5]),
-	.Y(n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g7293__2802 (
-	.A1(n_39),
-	.A2(CLKS_PER_BIT[10]),
-	.B1(n_38),
-	.Y(n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g7294__1705 (
-	.A(r_Clock_Count[3]),
-	.B(n_42),
-	.COUT(n_61),
-	.SUM(n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g7295__5122 (
-	.A(CLKS_PER_BIT[15]),
-	.B_N(n_54),
-	.Y(n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g7296__8246 (
-	.A_N(n_54),
-	.B(CLKS_PER_BIT[15]),
-	.Y(n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g7297__7098 (
-	.A(CLKS_PER_BIT[13]),
-	.B(n_44),
-	.Y(n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g7298__6131 (
-	.A1(n_34),
-	.A2(CLKS_PER_BIT[8]),
-	.B1(n_33),
-	.Y(n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g7299__1881 (
-	.A(n_37),
-	.B(r_Clock_Count[6]),
-	.Y(n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g7300__5115 (
-	.A(n_35),
-	.B(n_31),
-	.C(r_Clock_Count[2]),
-	.X(n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g7301__7482 (
-	.A_N(CLKS_PER_BIT[13]),
-	.B(n_44),
-	.Y(n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7302__4733 (
-	.A(r_Clock_Count[7]),
-	.B(n_37),
-	.Y(n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g7303__6161 (
-	.A1_N(r_Clock_Count[4]),
-	.A2_N(n_32),
-	.B1(r_Clock_Count[4]),
-	.B2(n_32),
-	.Y(n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g7304__9315 (
-	.A1(r_Clock_Count[1]),
-	.A2(n_35),
-	.B1(r_Clock_Count[0]),
-	.B2(n_15),
-	.C1(n_40),
-	.Y(n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g7305__9945 (
-	.A(CLKS_PER_BIT[14]),
-	.B(CLKS_PER_BIT[13]),
-	.C_N(n_44),
-	.Y(n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g7306__2883 (
-	.A1(n_29),
-	.A2(CLKS_PER_BIT[6]),
-	.B1(n_28),
-	.Y(n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g7307__2346 (
-	.A(CLKS_PER_BIT[11]),
-	.B(n_38),
-	.Y(n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g7308 (
-	.A(n_48),
-	.Y(n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g7309__1666 (
-	.A_N(CLKS_PER_BIT[11]),
-	.B(n_38),
-	.Y(n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7310__7410 (
-	.A(r_Clock_Count[5]),
-	.B(n_32),
-	.Y(n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g7311__6417 (
-	.A1(n_23),
-	.A2(CLKS_PER_BIT[4]),
-	.B1(n_20),
-	.Y(n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g7312__5477 (
-	.A(CLKS_PER_BIT[9]),
-	.B(n_33),
-	.Y(n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g7313__2398 (
-	.A(CLKS_PER_BIT[11]),
-	.B(CLKS_PER_BIT[12]),
-	.C_N(n_38),
-	.Y(n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g7314__5107 (
-	.A(r_Clock_Count[2]),
-	.B(n_24),
-	.COUT(n_42),
-	.SUM(n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g7315__6260 (
-	.A(n_35),
-	.B(r_Clock_Count[1]),
-	.Y(n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g7316__4319 (
-	.A(n_30),
-	.B(r_Clock_Count[2]),
-	.Y(n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g7317__8428 (
-	.A_N(CLKS_PER_BIT[9]),
-	.B(n_33),
-	.Y(n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7318__5526 (
-	.A(r_Clock_Count[2]),
-	.B(n_30),
-	.Y(n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g7319__6783 (
-	.A(CLKS_PER_BIT[9]),
-	.B(CLKS_PER_BIT[10]),
-	.C_N(n_33),
-	.Y(n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g7320__3680 (
-	.A(CLKS_PER_BIT[7]),
-	.B(n_28),
-	.Y(n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g7322__1617 (
-	.A_N(CLKS_PER_BIT[7]),
-	.B(n_28),
-	.Y(n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g7323__2802 (
-	.A1(n_7),
-	.A2(CLKS_PER_BIT[2]),
-	.B1(n_14),
-	.Y(n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g7324__1705 (
-	.A(n_4),
-	.B(n_15),
-	.C(r_Clock_Count[1]),
-	.X(n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g7325__5122 (
-	.A(CLKS_PER_BIT[7]),
-	.B(CLKS_PER_BIT[8]),
-	.C_N(n_28),
-	.Y(n_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g7326__8246 (
-	.A(CLKS_PER_BIT[5]),
-	.B(n_20),
-	.Y(n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g7327__7098 (
-	.A_N(CLKS_PER_BIT[5]),
-	.B(n_20),
-	.Y(n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g7328__6131 (
-	.A(CLKS_PER_BIT[3]),
-	.B(n_14),
-	.Y(n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g7329__1881 (
-	.A1(n_12),
-	.A2(sbit_o),
-	.B1(n_22),
-	.X(n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g7330__5115 (
-	.A(CLKS_PER_BIT[5]),
-	.B(CLKS_PER_BIT[6]),
-	.C_N(n_20),
-	.Y(n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g7331__7482 (
-	.A(r_Clock_Count[0]),
-	.B(r_Clock_Count[1]),
-	.COUT(n_24),
-	.SUM(n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g7332__4733 (
-	.A_N(CLKS_PER_BIT[3]),
-	.B(n_14),
-	.Y(n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7333__6161 (
-	.A(r_Rx_Data),
-	.B(n_19),
-	.Y(n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7334__9315 (
-	.A(r_Rx_Data),
-	.B(n_12),
-	.Y(n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g7335__9945 (
-	.A(n_16),
-	.B(n_18),
-	.Y(n_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g7336__2883 (
-	.A(CLKS_PER_BIT[3]),
-	.B(CLKS_PER_BIT[4]),
-	.C_N(n_14),
-	.Y(n_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g7337 (
-	.A(n_17),
-	.Y(n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g7338__2346 (
-	.A(n_8),
-	.B(r_SM_Main[0]),
-	.Y(n_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g7339__1666 (
-	.A(n_10),
-	.B(r_SM_Main[0]),
-	.Y(n_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7340__7410 (
-	.A(r_SM_Main[0]),
-	.B(n_9),
-	.Y(n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g7341 (
-	.A(n_13),
-	.Y(n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g7342__6417 (
-	.A1(r_SM_Main[1]),
-	.A2(r_SM_Main[0]),
-	.B1(o_Rx_DV),
-	.Y(n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g7343__5477 (
-	.A1(CLKS_PER_BIT[0]),
-	.A2(CLKS_PER_BIT[1]),
-	.B1(n_6),
-	.Y(n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g7344__2398 (
-	.A(CLKS_PER_BIT[1]),
-	.B(CLKS_PER_BIT[2]),
-	.C(CLKS_PER_BIT[0]),
-	.Y(n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g7345__5107 (
-	.A(r_SM_Main[0]),
-	.B_N(n_8),
-	.Y(n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g7346 (
-	.A(n_9),
-	.Y(n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g7347__6260 (
-	.A_N(r_SM_Main[2]),
-	.B(r_SM_Main[1]),
-	.Y(n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7348__4319 (
-	.A(r_SM_Main[1]),
-	.B(r_SM_Main[2]),
-	.Y(n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g7349 (
-	.A(n_6),
-	.Y(n_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g7350__8428 (
-	.A(CLKS_PER_BIT[0]),
-	.B(r_Clock_Count[0]),
-	.X(n_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g7351__5526 (
-	.A(CLKS_PER_BIT[0]),
-	.B(CLKS_PER_BIT[1]),
-	.Y(n_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g7352__6783 (
-	.A(r_Clock_Count[14]),
-	.B(r_Clock_Count[13]),
-	.Y(n_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g7356 (
-	.A(r_Rx_Data),
-	.Y(n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[1]  (
-	.CLK(clk_i_clone4),
-	.D(n_146),
-	.Q(r_Bit_Index[1]),
-	.Q_N(n_3),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[2]  (
-	.CLK(clk_i_clone4),
-	.D(n_149),
-	.Q(r_Bit_Index[2]),
-	.Q_N(n_2),
-	.RESET_B(FE_OFN16_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[14]  (
-	.CLK(clk_i),
-	.D(n_189),
-	.Q(r_Clock_Count[14]),
-	.Q_N(n_1),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g2__3680 (
-	.A1(n_182),
-	.A2(FE_OFN1395_n_162),
-	.B1_N(FE_OFN1396_n_165),
-	.Y(n_212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
+module uart_rx(clk_i, rst_ni, i_Rx_Serial, CLKS_PER_BIT, sbit_o,
+     o_Rx_DV, o_Rx_Byte);
+  input clk_i, rst_ni, i_Rx_Serial;
+  input [15:0] CLKS_PER_BIT;
+  output sbit_o, o_Rx_DV;
+  output [7:0] o_Rx_Byte;
+  wire clk_i, rst_ni, i_Rx_Serial;
+  wire [15:0] CLKS_PER_BIT;
+  wire sbit_o, o_Rx_DV;
+  wire [7:0] o_Rx_Byte;
+  wire [2:0] r_Bit_Index;
+  wire [15:0] r_Clock_Count;
+  wire [2:0] r_SM_Main;
+  wire n_0, n_1, n_2, n_3, n_4, n_5, n_6, n_7;
+  wire n_8, n_9, n_10, n_11, n_12, n_13, n_15, n_17;
+  wire n_18, n_19, n_20, n_21, n_22, n_24, n_25, n_26;
+  wire n_27, n_28, n_29, n_30, n_31, n_32, n_33, n_34;
+  wire n_35, n_36, n_37, n_38, n_39, n_40, n_41, n_42;
+  wire n_43, n_44, n_45, n_46, n_47, n_48, n_49, n_50;
+  wire n_51, n_52, n_53, n_54, n_55, n_56, n_57, n_58;
+  wire n_59, n_60, n_61, n_62, n_63, n_64, n_65, n_66;
+  wire n_67, n_68, n_69, n_70, n_71, n_72, n_73, n_74;
+  wire n_75, n_76, n_77, n_78, n_79, n_80, n_81, n_82;
+  wire n_83, n_84, n_85, n_86, n_87, n_88, n_89, n_90;
+  wire n_91, n_92, n_93, n_94, n_95, n_96, n_97, n_98;
+  wire n_99, n_100, n_101, n_102, n_103, n_104, n_105, n_106;
+  wire n_107, n_108, n_109, n_110, n_111, n_112, n_113, n_114;
+  wire n_115, n_116, n_117, n_118, n_119, n_120, n_122, n_123;
+  wire n_124, n_125, n_126, n_127, n_128, n_129, n_130, n_131;
+  wire n_132, n_133, n_134, n_135, n_136, n_137, n_138, n_139;
+  wire n_140, n_141, n_142, n_143, n_144, n_145, n_146, n_147;
+  wire n_148, n_149, n_150, n_151, n_152, n_153, n_154, n_155;
+  wire n_156, n_157, n_158, n_159, n_160, n_161, n_162, n_163;
+  wire n_164, n_165, n_166, n_167, n_168, n_169, n_170, n_171;
+  wire n_172, n_173, n_174, n_175, n_176, n_177, n_178, n_179;
+  wire n_180, n_181, n_182, n_183, n_184, n_185, n_186, n_187;
+  wire n_188, n_189, n_190, n_191, n_192, n_193, n_194, n_195;
+  wire n_223, n_224, r_Rx_Data, r_Rx_Data_R;
+  sky130_fd_sc_hd__dfrtp_1 \r_Bit_Index_reg[0] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_140), .Q (r_Bit_Index[0]));
+  sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[0] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_132), .SCD (n_156), .SCE (r_Clock_Count[0]),
+       .Q (r_Clock_Count[0]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[1] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_165), .Q (r_Clock_Count[1]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[2] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_172), .Q (r_Clock_Count[2]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[3] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_171), .Q (r_Clock_Count[3]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[4] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_170), .Q (r_Clock_Count[4]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[5] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_169), .Q (r_Clock_Count[5]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[6] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_168), .Q (r_Clock_Count[6]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[7] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_167), .Q (r_Clock_Count[7]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[8] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_166), .Q (r_Clock_Count[8]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[9] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_173), .Q (r_Clock_Count[9]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[10] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_182), .Q (r_Clock_Count[10]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[11] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_185), .Q (r_Clock_Count[11]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[12] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_190), .Q (r_Clock_Count[12]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[13] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_191), .Q (r_Clock_Count[13]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[0] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_179), .Q (o_Rx_Byte[0]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[1] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_178), .Q (o_Rx_Byte[1]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[2] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_175), .Q (o_Rx_Byte[2]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[3] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_180), .Q (o_Rx_Byte[3]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[4] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_177), .Q (o_Rx_Byte[4]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[5] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_174), .Q (o_Rx_Byte[5]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[6] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_176), .Q (o_Rx_Byte[6]));
+  sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[7] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_181), .Q (o_Rx_Byte[7]));
+  sky130_fd_sc_hd__dfrtp_1 r_Rx_DV_reg(.RESET_B (rst_ni), .CLK (clk_i),
+       .D (n_125), .Q (o_Rx_DV));
+  sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_R_reg(.CLK (clk_i), .D (n_7), .Q
+       (r_Rx_Data_R));
+  sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_reg(.CLK (clk_i), .D (n_20), .Q
+       (r_Rx_Data));
+  sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[0] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_155), .Q (r_SM_Main[0]));
+  sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[1] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_141), .Q (r_SM_Main[1]));
+  sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[2] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_120), .Q (r_SM_Main[2]));
+  sky130_fd_sc_hd__dfrtp_1 sbit_o_reg(.RESET_B (rst_ni), .CLK (clk_i),
+       .D (n_36), .Q (sbit_o));
+  sky130_fd_sc_hd__o22ai_1 g7105__3680(.A1 (n_3), .A2 (n_192), .B1
+       (n_193), .B2 (n_131), .Y (n_195));
+  sky130_fd_sc_hd__o32ai_1 g7106__1617(.A1 (r_Clock_Count[14]), .A2
+       (n_188), .A3 (n_131), .B1 (n_1), .B2 (n_192), .Y (n_194));
+  sky130_fd_sc_hd__o32a_1 g7108__2802(.A1 (r_Clock_Count[15]), .A2
+       (n_1), .A3 (n_188), .B1 (n_3), .B2 (r_Clock_Count[14]), .X
+       (n_193));
+  sky130_fd_sc_hd__a21oi_1 g7109__1705(.A1 (n_132), .A2 (n_188), .B1
+       (n_156), .Y (n_192));
+  sky130_fd_sc_hd__a22o_1 g7110__5122(.A1 (n_132), .A2 (n_189), .B1
+       (n_156), .B2 (r_Clock_Count[13]), .X (n_191));
+  sky130_fd_sc_hd__a22o_1 g7112__8246(.A1 (n_132), .A2 (n_186), .B1
+       (n_156), .B2 (r_Clock_Count[12]), .X (n_190));
+  sky130_fd_sc_hd__xor2_1 g7113__7098(.A (r_Clock_Count[13]), .B
+       (n_187), .X (n_189));
+  sky130_fd_sc_hd__nand2_1 g7114__6131(.A (n_187), .B
+       (r_Clock_Count[13]), .Y (n_188));
+  sky130_fd_sc_hd__ha_1 g7115__1881(.A (r_Clock_Count[12]), .B (n_183),
+       .COUT (n_187), .SUM (n_186));
+  sky130_fd_sc_hd__a22o_1 g7117__5115(.A1 (n_132), .A2 (n_184), .B1
+       (n_156), .B2 (r_Clock_Count[11]), .X (n_185));
+  sky130_fd_sc_hd__ha_1 g7118__7482(.A (r_Clock_Count[11]), .B (n_163),
+       .COUT (n_183), .SUM (n_184));
+  sky130_fd_sc_hd__a22o_1 g7129__4733(.A1 (n_132), .A2 (n_164), .B1
+       (n_156), .B2 (r_Clock_Count[10]), .X (n_182));
+  sky130_fd_sc_hd__o22ai_1 g7139__6161(.A1 (n_15), .A2 (n_153), .B1
+       (n_29), .B2 (n_143), .Y (n_181));
+  sky130_fd_sc_hd__o22ai_1 g7140__9315(.A1 (n_15), .A2 (n_160), .B1
+       (n_29), .B2 (n_142), .Y (n_180));
+  sky130_fd_sc_hd__o22ai_1 g7141__9945(.A1 (n_15), .A2 (n_159), .B1
+       (n_29), .B2 (n_145), .Y (n_179));
+  sky130_fd_sc_hd__o22ai_1 g7142__2883(.A1 (n_15), .A2 (n_158), .B1
+       (n_29), .B2 (n_149), .Y (n_178));
+  sky130_fd_sc_hd__o2bb2ai_1 g7143__2346(.A1_N (r_Rx_Data), .A2_N
+       (n_148), .B1 (n_15), .B2 (n_161), .Y (n_177));
+  sky130_fd_sc_hd__o22ai_1 g7144__1666(.A1 (n_15), .A2 (n_154), .B1
+       (n_29), .B2 (n_144), .Y (n_176));
+  sky130_fd_sc_hd__o22ai_1 g7145__7410(.A1 (n_15), .A2 (n_157), .B1
+       (n_29), .B2 (n_146), .Y (n_175));
+  sky130_fd_sc_hd__o22ai_1 g7146__6417(.A1 (n_15), .A2 (n_151), .B1
+       (n_29), .B2 (n_147), .Y (n_174));
+  sky130_fd_sc_hd__a22o_1 g7148__5477(.A1 (n_132), .A2 (n_136), .B1
+       (n_156), .B2 (r_Clock_Count[9]), .X (n_173));
+  sky130_fd_sc_hd__a22o_1 g7149__2398(.A1 (n_132), .A2 (n_42), .B1
+       (n_156), .B2 (r_Clock_Count[2]), .X (n_172));
+  sky130_fd_sc_hd__a22o_1 g7150__5107(.A1 (n_132), .A2 (n_60), .B1
+       (n_156), .B2 (r_Clock_Count[3]), .X (n_171));
+  sky130_fd_sc_hd__a22o_1 g7151__6260(.A1 (n_132), .A2 (n_81), .B1
+       (n_156), .B2 (r_Clock_Count[4]), .X (n_170));
+  sky130_fd_sc_hd__a22o_1 g7152__4319(.A1 (n_132), .A2 (n_96), .B1
+       (n_156), .B2 (r_Clock_Count[5]), .X (n_169));
+  sky130_fd_sc_hd__a22o_1 g7153__8428(.A1 (n_132), .A2 (n_103), .B1
+       (n_156), .B2 (r_Clock_Count[6]), .X (n_168));
+  sky130_fd_sc_hd__a22o_1 g7154__5526(.A1 (n_132), .A2 (n_111), .B1
+       (n_156), .B2 (r_Clock_Count[7]), .X (n_167));
+  sky130_fd_sc_hd__a22o_1 g7155__6783(.A1 (n_132), .A2 (n_117), .B1
+       (n_156), .B2 (r_Clock_Count[8]), .X (n_166));
+  sky130_fd_sc_hd__a22o_1 g7156__3680(.A1 (n_132), .A2 (n_25), .B1
+       (n_156), .B2 (r_Clock_Count[1]), .X (n_165));
+  sky130_fd_sc_hd__ha_1 g7157__1617(.A (r_Clock_Count[10]), .B (n_135),
+       .COUT (n_163), .SUM (n_164));
+  sky130_fd_sc_hd__nand2_1 g7159__2802(.A (n_152), .B (n_142), .Y
+       (n_162));
+  sky130_fd_sc_hd__nand2b_1 g7161__1705(.A_N (n_148), .B
+       (o_Rx_Byte[4]), .Y (n_161));
+  sky130_fd_sc_hd__nand2_1 g7162__5122(.A (n_142), .B (o_Rx_Byte[3]),
+       .Y (n_160));
+  sky130_fd_sc_hd__nand2_1 g7163__8246(.A (n_145), .B (o_Rx_Byte[0]),
+       .Y (n_159));
+  sky130_fd_sc_hd__nand2_1 g7164__7098(.A (n_149), .B (o_Rx_Byte[1]),
+       .Y (n_158));
+  sky130_fd_sc_hd__nand2_1 g7165__6131(.A (n_146), .B (o_Rx_Byte[2]),
+       .Y (n_157));
+  sky130_fd_sc_hd__nand3_1 g7168__1881(.A (n_143), .B (n_127), .C
+       (n_35), .Y (n_155));
+  sky130_fd_sc_hd__nand2_1 g7169__5115(.A (n_144), .B (o_Rx_Byte[6]),
+       .Y (n_154));
+  sky130_fd_sc_hd__nand2_1 g7170__7482(.A (n_143), .B (o_Rx_Byte[7]),
+       .Y (n_153));
+  sky130_fd_sc_hd__o21ai_1 g7171__4733(.A1 (n_19), .A2 (n_133), .B1
+       (r_Bit_Index[2]), .Y (n_152));
+  sky130_fd_sc_hd__nand2_1 g7172__6161(.A (n_147), .B (o_Rx_Byte[5]),
+       .Y (n_151));
+  sky130_fd_sc_hd__a21o_1 g7173__9315(.A1 (n_133), .A2
+       (r_Bit_Index[1]), .B1 (n_137), .X (n_150));
+  sky130_fd_sc_hd__nor2_1 g7174__9945(.A (n_15), .B (n_139), .Y
+       (n_156));
+  sky130_fd_sc_hd__nand2_1 g7175__2883(.A (n_137), .B (n_2), .Y
+       (n_149));
+  sky130_fd_sc_hd__nor2_1 g7176__2346(.A (r_Bit_Index[1]), .B (n_138),
+       .Y (n_148));
+  sky130_fd_sc_hd__nand2_1 g7177__1666(.A (n_137), .B (r_Bit_Index[2]),
+       .Y (n_147));
+  sky130_fd_sc_hd__nand2_1 g7178__7410(.A (n_134), .B (r_Bit_Index[1]),
+       .Y (n_146));
+  sky130_fd_sc_hd__nand2_1 g7179__6417(.A (n_134), .B (n_0), .Y
+       (n_145));
+  sky130_fd_sc_hd__nand2_1 g7180__5477(.A (n_130), .B (n_17), .Y
+       (n_141));
+  sky130_fd_sc_hd__a21o_1 g7181__2398(.A1 (n_126), .A2
+       (r_Bit_Index[0]), .B1 (n_128), .X (n_140));
+  sky130_fd_sc_hd__nand3_1 g7182__5107(.A (n_124), .B (n_17), .C
+       (n_18), .Y (n_139));
+  sky130_fd_sc_hd__nand2b_1 g7183__6260(.A_N (n_138), .B
+       (r_Bit_Index[1]), .Y (n_144));
+  sky130_fd_sc_hd__or3_1 g7184__4319(.A (n_0), .B (n_2), .C (n_129), .X
+       (n_143));
+  sky130_fd_sc_hd__or3_1 g7185__8428(.A (r_Bit_Index[2]), .B (n_0), .C
+       (n_129), .X (n_142));
+  sky130_fd_sc_hd__ha_1 g7186__5526(.A (r_Clock_Count[9]), .B (n_116),
+       .COUT (n_135), .SUM (n_136));
+  sky130_fd_sc_hd__nand2_1 g7188__6783(.A (n_128), .B (r_Bit_Index[2]),
+       .Y (n_138));
+  sky130_fd_sc_hd__nor2_1 g7189__3680(.A (r_Bit_Index[1]), .B (n_129),
+       .Y (n_137));
+  sky130_fd_sc_hd__inv_1 g7190(.A (n_132), .Y (n_131));
+  sky130_fd_sc_hd__a31oi_1 g7191__1617(.A1 (n_115), .A2 (n_13), .A3
+       (n_29), .B1 (n_123), .Y (n_130));
+  sky130_fd_sc_hd__and2_1 g7192__2802(.A (n_128), .B (n_2), .X (n_134));
+  sky130_fd_sc_hd__o21bai_1 g7193__1705(.A1 (r_Bit_Index[0]), .A2
+       (n_17), .B1_N (n_126), .Y (n_133));
+  sky130_fd_sc_hd__o21ai_1 g7194__5122(.A1 (n_17), .A2 (n_118), .B1
+       (n_127), .Y (n_132));
+  sky130_fd_sc_hd__nand2_1 g7196__8246(.A (n_122), .B (r_Bit_Index[0]),
+       .Y (n_129));
+  sky130_fd_sc_hd__nor2_1 g7197__7098(.A (r_Bit_Index[0]), .B (n_223),
+       .Y (n_128));
+  sky130_fd_sc_hd__nand2b_1 g7198__6131(.A_N (n_120), .B (n_10), .Y
+       (n_125));
+  sky130_fd_sc_hd__nand2_1 g7199__1881(.A (n_119), .B (n_13), .Y
+       (n_124));
+  sky130_fd_sc_hd__a21oi_1 g7200__5115(.A1 (n_114), .A2 (n_13), .B1
+       (n_123), .Y (n_127));
+  sky130_fd_sc_hd__nor2_1 g7201__7482(.A (n_15), .B (n_122), .Y
+       (n_126));
+  sky130_fd_sc_hd__clkinv_1 g7202(.A (n_223), .Y (n_122));
+  sky130_fd_sc_hd__nor2_1 g7203__4733(.A (n_18), .B (n_118), .Y
+       (n_123));
+  sky130_fd_sc_hd__nand2_1 g7205__6161(.A (n_115), .B (r_Rx_Data), .Y
+       (n_119));
+  sky130_fd_sc_hd__nor2b_1 g7206__9315(.A (n_18), .B_N (n_118), .Y
+       (n_120));
+  sky130_fd_sc_hd__ha_1 g7207__9945(.A (r_Clock_Count[8]), .B (n_110),
+       .COUT (n_116), .SUM (n_117));
+  sky130_fd_sc_hd__a31oi_1 g7208__2883(.A1 (n_109), .A2 (n_112), .A3
+       (n_90), .B1 (n_62), .Y (n_118));
+  sky130_fd_sc_hd__inv_1 g7209(.A (n_115), .Y (n_114));
+  sky130_fd_sc_hd__nor2_1 g7210__2346(.A (n_62), .B (n_113), .Y
+       (n_115));
+  sky130_fd_sc_hd__o2111ai_1 g7211__1666(.A1 (r_Clock_Count[3]), .A2
+       (n_46), .B1 (n_55), .C1 (n_100), .D1 (n_108), .Y (n_113));
+  sky130_fd_sc_hd__or3_1 g7212__7410(.A (n_85), .B (n_107), .C (n_99),
+       .X (n_112));
+  sky130_fd_sc_hd__ha_1 g7213__6417(.A (r_Clock_Count[7]), .B (n_102),
+       .COUT (n_110), .SUM (n_111));
+  sky130_fd_sc_hd__a221oi_1 g7214__5477(.A1 (n_97), .A2 (n_83), .B1
+       (n_61), .B2 (r_Clock_Count[15]), .C1 (n_106), .Y (n_109));
+  sky130_fd_sc_hd__nor2_1 g7215__2398(.A (r_Clock_Count[15]), .B
+       (n_104), .Y (n_108));
+  sky130_fd_sc_hd__o21ai_1 g7216__5107(.A1 (r_Clock_Count[8]), .A2
+       (n_57), .B1 (n_105), .Y (n_107));
+  sky130_fd_sc_hd__a41oi_1 g7217__6260(.A1 (n_91), .A2 (n_89), .A3
+       (n_78), .A4 (n_66), .B1 (n_99), .Y (n_106));
+  sky130_fd_sc_hd__maj3_1 g7218__4319(.A (n_38), .B (n_101), .C
+       (r_Clock_Count[7]), .X (n_105));
+  sky130_fd_sc_hd__nand4_1 g7219__8428(.A (n_98), .B (n_87), .C (n_40),
+       .D (n_44), .Y (n_104));
+  sky130_fd_sc_hd__ha_1 g7220__5526(.A (r_Clock_Count[6]), .B (n_95),
+       .COUT (n_102), .SUM (n_103));
+  sky130_fd_sc_hd__maj3_1 g7221__6783(.A (n_51), .B (n_94), .C
+       (r_Clock_Count[6]), .X (n_101));
+  sky130_fd_sc_hd__nor4_1 g7222__3680(.A (n_43), .B (n_69), .C (n_93),
+       .D (n_92), .Y (n_100));
+  sky130_fd_sc_hd__o21ai_1 g7223__1617(.A1 (r_Clock_Count[12]), .A2
+       (n_71), .B1 (n_97), .Y (n_99));
+  sky130_fd_sc_hd__a211oi_1 g7224__2802(.A1 (n_61), .A2
+       (r_Clock_Count[14]), .B1 (n_64), .C1 (n_86), .Y (n_98));
+  sky130_fd_sc_hd__ha_1 g7225__1705(.A (r_Clock_Count[5]), .B (n_80),
+       .COUT (n_95), .SUM (n_96));
+  sky130_fd_sc_hd__maj3_1 g7226__5122(.A (n_32), .B (n_84), .C
+       (r_Clock_Count[5]), .X (n_94));
+  sky130_fd_sc_hd__nor2_1 g7227__8246(.A (n_67), .B (n_88), .Y (n_97));
+  sky130_fd_sc_hd__o2111ai_1 g7228__7098(.A1 (r_Clock_Count[1]), .A2
+       (n_34), .B1 (n_50), .C1 (n_63), .D1 (n_75), .Y (n_93));
+  sky130_fd_sc_hd__o2111ai_1 g7229__6131(.A1 (r_Clock_Count[11]), .A2
+       (n_71), .B1 (n_76), .C1 (n_74), .D1 (n_79), .Y (n_92));
+  sky130_fd_sc_hd__nand3b_1 g7230__1881(.A_N (n_85), .B (n_57), .C
+       (r_Clock_Count[8]), .Y (n_91));
+  sky130_fd_sc_hd__nand3b_1 g7231__5115(.A_N (n_67), .B (n_77), .C
+       (r_Clock_Count[14]), .Y (n_90));
+  sky130_fd_sc_hd__nand3_1 g7232__7482(.A (n_82), .B (n_48), .C
+       (r_Clock_Count[9]), .Y (n_89));
+  sky130_fd_sc_hd__o22ai_1 g7233__4733(.A1 (r_Clock_Count[14]), .A2
+       (n_77), .B1 (r_Clock_Count[13]), .B2 (n_58), .Y (n_88));
+  sky130_fd_sc_hd__a22oi_1 g7234__6161(.A1 (n_77), .A2
+       (r_Clock_Count[13]), .B1 (n_58), .B2 (r_Clock_Count[12]), .Y
+       (n_87));
+  sky130_fd_sc_hd__o22ai_1 g7235__9315(.A1 (r_Clock_Count[13]), .A2
+       (n_77), .B1 (r_Clock_Count[12]), .B2 (n_58), .Y (n_86));
+  sky130_fd_sc_hd__o21ai_1 g7236__9945(.A1 (r_Clock_Count[9]), .A2
+       (n_48), .B1 (n_82), .Y (n_85));
+  sky130_fd_sc_hd__maj3_1 g7237__2883(.A (n_46), .B (n_72), .C
+       (r_Clock_Count[4]), .X (n_84));
+  sky130_fd_sc_hd__a22o_1 g7238__2346(.A1 (n_58), .A2
+       (r_Clock_Count[13]), .B1 (n_71), .B2 (r_Clock_Count[12]), .X
+       (n_83));
+  sky130_fd_sc_hd__ha_1 g7239__1666(.A (r_Clock_Count[4]), .B (n_59),
+       .COUT (n_80), .SUM (n_81));
+  sky130_fd_sc_hd__nand2_1 g7240__7410(.A (n_71), .B
+       (r_Clock_Count[11]), .Y (n_79));
+  sky130_fd_sc_hd__nand3b_1 g7241__6417(.A_N (n_68), .B (n_65), .C
+       (r_Clock_Count[10]), .Y (n_78));
+  sky130_fd_sc_hd__nor2_1 g7242__5477(.A (n_73), .B (n_68), .Y (n_82));
+  sky130_fd_sc_hd__a2bb2oi_1 g7243__2398(.A1_N (r_Clock_Count[8]),
+       .A2_N (n_48), .B1 (r_Clock_Count[9]), .B2 (n_65), .Y (n_76));
+  sky130_fd_sc_hd__a211oi_1 g7244__5107(.A1 (n_38), .A2
+       (r_Clock_Count[6]), .B1 (n_54), .C1 (n_70), .Y (n_75));
+  sky130_fd_sc_hd__a2bb2oi_1 g7245__6260(.A1_N (r_Clock_Count[9]),
+       .A2_N (n_65), .B1 (r_Clock_Count[10]), .B2 (n_56), .Y (n_74));
+  sky130_fd_sc_hd__a21oi_1 g7246__4319(.A1 (n_53), .A2
+       (CLKS_PER_BIT[14]), .B1 (n_52), .Y (n_77));
+  sky130_fd_sc_hd__nor2_1 g7247__8428(.A (r_Clock_Count[10]), .B
+       (n_65), .Y (n_73));
+  sky130_fd_sc_hd__maj3_1 g7248__5526(.A (n_27), .B (n_49), .C
+       (r_Clock_Count[3]), .X (n_72));
+  sky130_fd_sc_hd__o2bb2ai_1 g7249__6783(.A1_N (r_Clock_Count[7]),
+       .A2_N (n_57), .B1 (r_Clock_Count[7]), .B2 (n_57), .Y (n_70));
+  sky130_fd_sc_hd__o2bb2ai_1 g7250__3680(.A1_N (r_Clock_Count[8]),
+       .A2_N (n_48), .B1 (r_Clock_Count[10]), .B2 (n_56), .Y (n_69));
+  sky130_fd_sc_hd__a21oi_1 g7251__1617(.A1 (n_47), .A2
+       (CLKS_PER_BIT[12]), .B1 (n_45), .Y (n_71));
+  sky130_fd_sc_hd__nand2_1 g7252__2802(.A (n_56), .B
+       (r_Clock_Count[11]), .Y (n_66));
+  sky130_fd_sc_hd__nor2_1 g7253__1705(.A (r_Clock_Count[11]), .B
+       (n_56), .Y (n_68));
+  sky130_fd_sc_hd__nor2_1 g7254__5122(.A (r_Clock_Count[15]), .B
+       (n_61), .Y (n_67));
+  sky130_fd_sc_hd__nor2_1 g7255__8246(.A (r_Clock_Count[14]), .B
+       (n_61), .Y (n_64));
+  sky130_fd_sc_hd__a2bb2oi_1 g7256__7098(.A1_N (r_Clock_Count[5]),
+       .A2_N (n_51), .B1 (r_Clock_Count[5]), .B2 (n_51), .Y (n_63));
+  sky130_fd_sc_hd__a21oi_1 g7257__6131(.A1 (n_39), .A2
+       (CLKS_PER_BIT[10]), .B1 (n_37), .Y (n_65));
+  sky130_fd_sc_hd__ha_1 g7258__1881(.A (r_Clock_Count[3]), .B (n_41),
+       .COUT (n_59), .SUM (n_60));
+  sky130_fd_sc_hd__nor2b_1 g7259__5115(.A (CLKS_PER_BIT[15]), .B_N
+       (n_52), .Y (n_62));
+  sky130_fd_sc_hd__nand2b_1 g7260__7482(.A_N (n_52), .B
+       (CLKS_PER_BIT[15]), .Y (n_61));
+  sky130_fd_sc_hd__xnor2_1 g7261__4733(.A (CLKS_PER_BIT[13]), .B
+       (n_45), .Y (n_58));
+  sky130_fd_sc_hd__a21oi_1 g7262__6161(.A1 (n_33), .A2
+       (CLKS_PER_BIT[8]), .B1 (n_31), .Y (n_57));
+  sky130_fd_sc_hd__nand2_1 g7263__9315(.A (n_46), .B
+       (r_Clock_Count[3]), .Y (n_55));
+  sky130_fd_sc_hd__nor2_1 g7264__9945(.A (r_Clock_Count[6]), .B (n_38),
+       .Y (n_54));
+  sky130_fd_sc_hd__nand2b_1 g7265__2883(.A_N (CLKS_PER_BIT[13]), .B
+       (n_45), .Y (n_53));
+  sky130_fd_sc_hd__xnor2_1 g7266__2346(.A (CLKS_PER_BIT[11]), .B
+       (n_37), .Y (n_56));
+  sky130_fd_sc_hd__xor2_1 g7267__1666(.A (r_Clock_Count[4]), .B (n_32),
+       .X (n_50));
+  sky130_fd_sc_hd__maj3_1 g7268__7410(.A (n_34), .B (n_30), .C
+       (r_Clock_Count[2]), .X (n_49));
+  sky130_fd_sc_hd__nor3b_1 g7269__6417(.A (CLKS_PER_BIT[14]), .B
+       (CLKS_PER_BIT[13]), .C_N (n_45), .Y (n_52));
+  sky130_fd_sc_hd__a21oi_1 g7270__5477(.A1 (n_28), .A2
+       (CLKS_PER_BIT[6]), .B1 (n_26), .Y (n_51));
+  sky130_fd_sc_hd__nand2b_1 g7272__2398(.A_N (CLKS_PER_BIT[11]), .B
+       (n_37), .Y (n_47));
+  sky130_fd_sc_hd__xnor2_1 g7273__5107(.A (CLKS_PER_BIT[9]), .B (n_31),
+       .Y (n_48));
+  sky130_fd_sc_hd__a22oi_1 g7274__6260(.A1 (n_27), .A2
+       (r_Clock_Count[2]), .B1 (n_12), .B2 (r_Clock_Count[0]), .Y
+       (n_44));
+  sky130_fd_sc_hd__o22ai_1 g7275__4319(.A1 (r_Clock_Count[2]), .A2
+       (n_27), .B1 (r_Clock_Count[0]), .B2 (n_12), .Y (n_43));
+  sky130_fd_sc_hd__a21oi_1 g7276__8428(.A1 (n_22), .A2
+       (CLKS_PER_BIT[4]), .B1 (n_21), .Y (n_46));
+  sky130_fd_sc_hd__nor3b_1 g7277__5526(.A (CLKS_PER_BIT[11]), .B
+       (CLKS_PER_BIT[12]), .C_N (n_37), .Y (n_45));
+  sky130_fd_sc_hd__ha_1 g7278__6783(.A (r_Clock_Count[2]), .B (n_24),
+       .COUT (n_41), .SUM (n_42));
+  sky130_fd_sc_hd__nand2_1 g7279__3680(.A (n_34), .B
+       (r_Clock_Count[1]), .Y (n_40));
+  sky130_fd_sc_hd__nand2b_1 g7280__1617(.A_N (CLKS_PER_BIT[9]), .B
+       (n_31), .Y (n_39));
+  sky130_fd_sc_hd__nand2_1 g7281__2802(.A (n_35), .B (n_224), .Y
+       (n_36));
+  sky130_fd_sc_hd__xnor2_1 g7282__1705(.A (CLKS_PER_BIT[7]), .B (n_26),
+       .Y (n_38));
+  sky130_fd_sc_hd__nor3b_1 g7283__5122(.A (CLKS_PER_BIT[9]), .B
+       (CLKS_PER_BIT[10]), .C_N (n_31), .Y (n_37));
+  sky130_fd_sc_hd__nand2b_1 g7284__8246(.A_N (CLKS_PER_BIT[7]), .B
+       (n_26), .Y (n_33));
+  sky130_fd_sc_hd__nand2_1 g7285__7098(.A (n_15), .B (n_29), .Y (n_35));
+  sky130_fd_sc_hd__a21oi_1 g7286__6131(.A1 (n_9), .A2
+       (CLKS_PER_BIT[2]), .B1 (n_11), .Y (n_34));
+  sky130_fd_sc_hd__maj3_1 g7287__1881(.A (n_4), .B (n_12), .C
+       (r_Clock_Count[1]), .X (n_30));
+  sky130_fd_sc_hd__xnor2_1 g7288__5115(.A (CLKS_PER_BIT[5]), .B (n_21),
+       .Y (n_32));
+  sky130_fd_sc_hd__nor3b_1 g7289__7482(.A (CLKS_PER_BIT[7]), .B
+       (CLKS_PER_BIT[8]), .C_N (n_26), .Y (n_31));
+  sky130_fd_sc_hd__inv_2 g7290(.A (r_Rx_Data), .Y (n_29));
+  sky130_fd_sc_hd__nand2b_1 g7291__4733(.A_N (CLKS_PER_BIT[5]), .B
+       (n_21), .Y (n_28));
+  sky130_fd_sc_hd__xnor2_1 g7293__6161(.A (CLKS_PER_BIT[3]), .B (n_11),
+       .Y (n_27));
+  sky130_fd_sc_hd__nor3b_1 g7294__9315(.A (CLKS_PER_BIT[5]), .B
+       (CLKS_PER_BIT[6]), .C_N (n_21), .Y (n_26));
+  sky130_fd_sc_hd__ha_1 g7295__9945(.A (r_Clock_Count[0]), .B
+       (r_Clock_Count[1]), .COUT (n_24), .SUM (n_25));
+  sky130_fd_sc_hd__nand2b_1 g7297__2883(.A_N (CLKS_PER_BIT[3]), .B
+       (n_11), .Y (n_22));
+  sky130_fd_sc_hd__nand2b_1 g7298__2346(.A_N (r_Rx_Data_R), .B
+       (rst_ni), .Y (n_20));
+  sky130_fd_sc_hd__nor2_1 g7299__1666(.A (r_Bit_Index[1]), .B (n_17),
+       .Y (n_19));
+  sky130_fd_sc_hd__nor3b_1 g7300__7410(.A (CLKS_PER_BIT[3]), .B
+       (CLKS_PER_BIT[4]), .C_N (n_11), .Y (n_21));
+  sky130_fd_sc_hd__nand2_1 g7304__6417(.A (n_6), .B (r_SM_Main[1]), .Y
+       (n_18));
+  sky130_fd_sc_hd__nand2_1 g7305__5477(.A (n_5), .B (r_SM_Main[1]), .Y
+       (n_17));
+  sky130_fd_sc_hd__nor2b_1 g7306__2398(.A (r_SM_Main[1]), .B_N (n_5),
+       .Y (n_15));
+  sky130_fd_sc_hd__o21ai_1 g7307__5107(.A1 (r_SM_Main[0]), .A2
+       (r_SM_Main[1]), .B1 (o_Rx_DV), .Y (n_10));
+  sky130_fd_sc_hd__nor2b_1 g7308__6260(.A (r_SM_Main[1]), .B_N (n_6),
+       .Y (n_13));
+  sky130_fd_sc_hd__a21oi_1 g7309__4319(.A1 (CLKS_PER_BIT[0]), .A2
+       (CLKS_PER_BIT[1]), .B1 (n_8), .Y (n_12));
+  sky130_fd_sc_hd__nor3_1 g7310__8428(.A (CLKS_PER_BIT[1]), .B
+       (CLKS_PER_BIT[2]), .C (CLKS_PER_BIT[0]), .Y (n_11));
+  sky130_fd_sc_hd__inv_1 g7311(.A (n_8), .Y (n_9));
+  sky130_fd_sc_hd__nand2b_1 g7312__5526(.A_N (i_Rx_Serial), .B
+       (rst_ni), .Y (n_7));
+  sky130_fd_sc_hd__nor2_1 g7313__6783(.A (CLKS_PER_BIT[0]), .B
+       (CLKS_PER_BIT[1]), .Y (n_8));
+  sky130_fd_sc_hd__nor2b_1 g7314__3680(.A (r_SM_Main[2]), .B_N
+       (r_SM_Main[0]), .Y (n_6));
+  sky130_fd_sc_hd__or2_0 g7315__1617(.A (CLKS_PER_BIT[0]), .B
+       (r_Clock_Count[0]), .X (n_4));
+  sky130_fd_sc_hd__nor2_1 g7316__2802(.A (r_SM_Main[0]), .B
+       (r_SM_Main[2]), .Y (n_5));
+  sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[15] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_195), .Q (r_Clock_Count[15]), .Q_N (n_3));
+  sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[2] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_162), .Q (r_Bit_Index[2]), .Q_N (n_2));
+  sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[14] (.RESET_B (rst_ni),
+       .CLK (clk_i), .D (n_194), .Q (r_Clock_Count[14]), .Q_N (n_1));
+  sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[1] (.RESET_B (rst_ni), .CLK
+       (clk_i), .D (n_150), .Q (r_Bit_Index[1]), .Q_N (n_0));
+  sky130_fd_sc_hd__nand2b_1 g2__1705(.A_N (n_17), .B (n_118), .Y
+       (n_223));
+  sky130_fd_sc_hd__nand2b_1 g7329__5122(.A_N (n_15), .B (sbit_o), .Y
+       (n_224));
 endmodule
 
-module uart_tx (
-	rst_ni, 
-	tx_en, 
-	i_TX_Byte, 
-	CLKS_PER_BIT, 
-	o_TX_Serial, 
-	o_TX_Done, 
-	FE_OFN12_system_rst_ni, 
-	clk_i_clone3, 
-	clk_i_clone2, 
-	clk_i_clone1, 
-	clk_i, 
-	vccd1, 
-	vssd1);
-   input rst_ni;
-   input tx_en;
-   input [7:0] i_TX_Byte;
-   input [15:0] CLKS_PER_BIT;
-   output o_TX_Serial;
-   output o_TX_Done;
-   input FE_OFN12_system_rst_ni;
-   input clk_i_clone3;
-   input clk_i_clone2;
-   input clk_i_clone1;
-   input clk_i;
-   inout vccd1;
-   inout vssd1;
-
-   // Internal wires
-   wire FE_OFN1398_n_140;
-   wire FE_OFN1397_n_137;
-   wire FE_OFN1162_n_132;
-   wire [2:0] r_Bit_Index;
-   wire [15:0] r_Clock_Count;
-   wire [2:0] r_SM_Main;
-   wire [7:0] r_TX_Data;
-   wire n_0;
-   wire n_1;
-   wire n_2;
-   wire n_3;
-   wire n_4;
-   wire n_5;
-   wire n_7;
-   wire n_9;
-   wire n_10;
-   wire n_11;
-   wire n_12;
-   wire n_13;
-   wire n_14;
-   wire n_15;
-   wire n_16;
-   wire n_17;
-   wire n_18;
-   wire n_19;
-   wire n_20;
-   wire n_21;
-   wire n_22;
-   wire n_23;
-   wire n_24;
-   wire n_25;
-   wire n_26;
-   wire n_27;
-   wire n_28;
-   wire n_29;
-   wire n_30;
-   wire n_31;
-   wire n_32;
-   wire n_33;
-   wire n_34;
-   wire n_35;
-   wire n_36;
-   wire n_37;
-   wire n_38;
-   wire n_39;
-   wire n_40;
-   wire n_42;
-   wire n_43;
-   wire n_44;
-   wire n_46;
-   wire n_47;
-   wire n_48;
-   wire n_49;
-   wire n_50;
-   wire n_51;
-   wire n_52;
-   wire n_53;
-   wire n_54;
-   wire n_55;
-   wire n_56;
-   wire n_57;
-   wire n_58;
-   wire n_59;
-   wire n_60;
-   wire n_61;
-   wire n_62;
-   wire n_63;
-   wire n_64;
-   wire n_65;
-   wire n_66;
-   wire n_67;
-   wire n_68;
-   wire n_69;
-   wire n_70;
-   wire n_71;
-   wire n_72;
-   wire n_73;
-   wire n_74;
-   wire n_75;
-   wire n_76;
-   wire n_77;
-   wire n_78;
-   wire n_79;
-   wire n_80;
-   wire n_81;
-   wire n_82;
-   wire n_83;
-   wire n_84;
-   wire n_85;
-   wire n_86;
-   wire n_87;
-   wire n_88;
-   wire n_89;
-   wire n_90;
-   wire n_91;
-   wire n_92;
-   wire n_93;
-   wire n_94;
-   wire n_95;
-   wire n_96;
-   wire n_97;
-   wire n_98;
-   wire n_99;
-   wire n_100;
-   wire n_101;
-   wire n_102;
-   wire n_103;
-   wire n_104;
-   wire n_105;
-   wire n_106;
-   wire n_107;
-   wire n_108;
-   wire n_109;
-   wire n_110;
-   wire n_111;
-   wire n_112;
-   wire n_113;
-   wire n_114;
-   wire n_115;
-   wire n_117;
-   wire n_118;
-   wire n_119;
-   wire n_120;
-   wire n_121;
-   wire n_123;
-   wire n_124;
-   wire n_125;
-   wire n_126;
-   wire n_127;
-   wire n_128;
-   wire n_129;
-   wire n_130;
-   wire n_131;
-   wire n_132;
-   wire n_133;
-   wire n_134;
-   wire n_135;
-   wire n_136;
-   wire n_137;
-   wire n_138;
-   wire n_139;
-   wire n_140;
-   wire n_141;
-   wire n_142;
-   wire n_143;
-   wire n_144;
-   wire n_145;
-   wire n_146;
-   wire n_147;
-   wire n_148;
-   wire n_149;
-   wire n_150;
-   wire n_151;
-   wire n_152;
-   wire n_153;
-   wire n_154;
-   wire n_155;
-   wire n_156;
-   wire n_157;
-   wire n_158;
-   wire n_159;
-   wire n_160;
-   wire n_161;
-   wire n_162;
-   wire n_163;
-   wire n_164;
-   wire n_165;
-   wire n_166;
-   wire n_167;
-   wire n_168;
-   wire n_169;
-   wire n_171;
-   wire n_172;
-   wire n_173;
-   wire n_174;
-   wire n_175;
-   wire n_176;
-   wire n_177;
-   wire n_209;
-   wire n_210;
-   wire n_211;
-
-   // Module instantiations
-   sky130_fd_sc_hd__diode_2 DIODE_63 (
-	.DIODE(FE_OFN1397_n_137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1398_n_140 (
-	.A(n_140),
-	.X(FE_OFN1398_n_140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1397_n_137 (
-	.A(n_137),
-	.X(FE_OFN1397_n_137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1162_n_132 (
-	.A(n_132),
-	.X(FE_OFN1162_n_132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 o_TX_Serial_reg (
-	.CLK(clk_i_clone1),
-	.D(n_72),
-	.Q(o_TX_Serial), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Bit_Index_reg[0]  (
-	.CLK(clk_i_clone3),
-	.D(n_155),
-	.Q(r_Bit_Index[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Bit_Index_reg[1]  (
-	.CLK(clk_i_clone3),
-	.D(n_161),
-	.Q(r_Bit_Index[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Bit_Index_reg[2]  (
-	.CLK(clk_i_clone1),
-	.D(n_164),
-	.Q(r_Bit_Index[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[0]  (
-	.CLK(clk_i),
-	.D(n_144),
-	.Q(r_Clock_Count[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[1]  (
-	.CLK(clk_i),
-	.D(n_154),
-	.Q(r_Clock_Count[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[2]  (
-	.CLK(clk_i),
-	.D(n_147),
-	.Q(r_Clock_Count[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[3]  (
-	.CLK(clk_i),
-	.D(n_146),
-	.Q(r_Clock_Count[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[4]  (
-	.CLK(clk_i),
-	.D(n_151),
-	.Q(r_Clock_Count[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[5]  (
-	.CLK(clk_i),
-	.D(n_153),
-	.Q(r_Clock_Count[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[6]  (
-	.CLK(clk_i),
-	.D(n_152),
-	.Q(r_Clock_Count[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[7]  (
-	.CLK(clk_i),
-	.D(n_145),
-	.Q(r_Clock_Count[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[8]  (
-	.CLK(clk_i),
-	.D(n_150),
-	.Q(r_Clock_Count[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[9]  (
-	.CLK(clk_i),
-	.D(n_149),
-	.Q(r_Clock_Count[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[10]  (
-	.CLK(clk_i),
-	.D(n_160),
-	.Q(r_Clock_Count[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[11]  (
-	.CLK(clk_i),
-	.D(n_167),
-	.Q(r_Clock_Count[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[12]  (
-	.CLK(clk_i),
-	.D(n_171),
-	.Q(r_Clock_Count[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[13]  (
-	.CLK(clk_i),
-	.D(n_173),
-	.Q(r_Clock_Count[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[14]  (
-	.CLK(clk_i),
-	.D(n_176),
-	.Q(r_Clock_Count[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[15]  (
-	.CLK(clk_i),
-	.D(n_177),
-	.Q(r_Clock_Count[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_SM_Main_reg[0]  (
-	.CLK(clk_i_clone1),
-	.D(n_166),
-	.Q(r_SM_Main[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_SM_Main_reg[1]  (
-	.CLK(clk_i_clone1),
-	.D(n_165),
-	.Q(r_SM_Main[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[0]  (
-	.CLK(clk_i_clone2),
-	.D(n_66),
-	.Q(r_TX_Data[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[1]  (
-	.CLK(clk_i_clone2),
-	.D(n_58),
-	.Q(r_TX_Data[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[2]  (
-	.CLK(clk_i_clone2),
-	.D(n_67),
-	.Q(r_TX_Data[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[3]  (
-	.CLK(clk_i_clone2),
-	.D(n_64),
-	.Q(r_TX_Data[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[4]  (
-	.CLK(clk_i_clone2),
-	.D(n_65),
-	.Q(r_TX_Data[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[5]  (
-	.CLK(clk_i_clone2),
-	.D(n_61),
-	.Q(r_TX_Data[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[6]  (
-	.CLK(clk_i_clone2),
-	.D(n_60),
-	.Q(r_TX_Data[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[7]  (
-	.CLK(clk_i_clone2),
-	.D(n_59),
-	.Q(r_TX_Data[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 r_TX_Done_reg (
-	.CLK(clk_i_clone1),
-	.D(n_157),
-	.Q(o_TX_Done), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5300__1617 (
-	.A(n_175),
-	.B(FE_OFN1398_n_140),
-	.Y(n_177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5301__2802 (
-	.A(n_174),
-	.B(FE_OFN1398_n_140),
-	.Y(n_176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5302__1705 (
-	.A1(n_211),
-	.A2(r_Clock_Count[14]),
-	.B1(r_Clock_Count[15]),
-	.Y(n_175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g5304__5122 (
-	.A(r_Clock_Count[14]),
-	.B(n_211),
-	.Y(n_174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5305__8246 (
-	.A(n_172),
-	.B(FE_OFN1398_n_140),
-	.Y(n_173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g5307__7098 (
-	.A(r_Clock_Count[13]),
-	.B(n_168),
-	.X(n_172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5308__6131 (
-	.A(n_169),
-	.B(FE_OFN1398_n_140),
-	.Y(n_171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g5311__1881 (
-	.A(r_Clock_Count[12]),
-	.B(n_162),
-	.X(n_169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5313__5115 (
-	.A(n_163),
-	.B(FE_OFN1398_n_140),
-	.Y(n_167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5314__7482 (
-	.A_N(n_162),
-	.B(r_Clock_Count[12]),
-	.Y(n_168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5316__4733 (
-	.A1(n_156),
-	.A2(n_159),
-	.B1(n_0),
-	.Y(n_166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g5321__6161 (
-	.A1(n_0),
-	.A2(n_148),
-	.B1(n_136),
-	.Y(n_165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g5322__9315 (
-	.A1(r_Bit_Index[2]),
-	.A2(n_16),
-	.A3(n_135),
-	.B1(n_158),
-	.Y(n_164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g5323__9945 (
-	.A(r_Clock_Count[11]),
-	.B(n_134),
-	.X(n_163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g5334__2883 (
-	.A1(n_1),
-	.A2(n_142),
-	.B1(n_14),
-	.B2(n_135),
-	.C1(n_33),
-	.Y(n_161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5335__2346 (
-	.A(n_139),
-	.B(FE_OFN1398_n_140),
-	.Y(n_160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g5336__1666 (
-	.A1(r_SM_Main[0]),
-	.A2(tx_en),
-	.B1(n_138),
-	.C1(n_148),
-	.Y(n_159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5337__7410 (
-	.A(n_143),
-	.B(r_Bit_Index[2]),
-	.Y(n_158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g5338__6417 (
-	.A1(n_11),
-	.A2(n_141),
-	.B1(n_9),
-	.B2(n_40),
-	.Y(n_157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g5339__5477 (
-	.A(r_SM_Main[0]),
-	.B(n_7),
-	.C(n_16),
-	.D(n_138),
-	.X(n_156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g5340__2398 (
-	.A1(n_5),
-	.A2(n_142),
-	.B1(r_Bit_Index[0]),
-	.B2(n_135),
-	.Y(n_155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5341__5107 (
-	.A_N(n_134),
-	.B(r_Clock_Count[11]),
-	.Y(n_162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g5343__6260 (
-	.A(FE_OFN1398_n_140),
-	.B_N(n_20),
-	.Y(n_154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5344__4319 (
-	.A(n_94),
-	.B(FE_OFN1398_n_140),
-	.Y(n_153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5345__8428 (
-	.A(n_106),
-	.B(FE_OFN1398_n_140),
-	.Y(n_152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5346__5526 (
-	.A(n_79),
-	.B(FE_OFN1398_n_140),
-	.Y(n_151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5347__6783 (
-	.A(n_127),
-	.B(FE_OFN1398_n_140),
-	.Y(n_150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5348__3680 (
-	.A(n_131),
-	.B(FE_OFN1398_n_140),
-	.Y(n_149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5349__1617 (
-	.A(n_43),
-	.B(FE_OFN1398_n_140),
-	.Y(n_147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5350__2802 (
-	.A(n_70),
-	.B(FE_OFN1398_n_140),
-	.Y(n_146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5351__1705 (
-	.A(n_118),
-	.B(FE_OFN1398_n_140),
-	.Y(n_145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5352__5122 (
-	.A(r_Clock_Count[0]),
-	.B(FE_OFN1398_n_140),
-	.Y(n_144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5353__8246 (
-	.A(n_142),
-	.B(n_34),
-	.Y(n_143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g5354__7098 (
-	.A1(r_SM_Main[1]),
-	.A2(FE_OFN1397_n_137),
-	.B1(n_23),
-	.Y(n_148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5355__6131 (
-	.A1(n_133),
-	.A2(n_40),
-	.B1(o_TX_Done),
-	.Y(n_141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g5356__1881 (
-	.A1(r_SM_Main[0]),
-	.A2(FE_OFN12_system_rst_ni),
-	.B1_N(n_136),
-	.Y(n_142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g5357__5115 (
-	.A(r_Clock_Count[10]),
-	.B(n_130),
-	.X(n_139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g5358__7482 (
-	.A(n_0),
-	.B(n_17),
-	.C(n_133),
-	.X(n_140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5359__4733 (
-	.A(n_104),
-	.B(FE_OFN1162_n_132),
-	.Y(n_137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5360__6161 (
-	.A(n_133),
-	.B(r_SM_Main[1]),
-	.Y(n_138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g5361__9315 (
-	.A(n_11),
-	.B(n_133),
-	.X(n_136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5362__9945 (
-	.A(n_133),
-	.B(n_24),
-	.Y(n_135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5363__2883 (
-	.A_N(n_130),
-	.B(r_Clock_Count[10]),
-	.Y(n_134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g5364 (
-	.A(FE_OFN1162_n_132),
-	.Y(n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g5365__2346 (
-	.A(n_124),
-	.B(n_121),
-	.C(n_128),
-	.D(n_129),
-	.Y(n_132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g5366__1666 (
-	.A(r_Clock_Count[9]),
-	.B(n_126),
-	.X(n_131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5367__7410 (
-	.A_N(n_126),
-	.B(r_Clock_Count[9]),
-	.Y(n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5368__6417 (
-	.A1(n_125),
-	.A2(n_119),
-	.B1(n_209),
-	.Y(n_129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g5369__5477 (
-	.A(n_107),
-	.B(n_123),
-	.C(n_209),
-	.Y(n_128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g5370__2398 (
-	.A(r_Clock_Count[8]),
-	.B(n_117),
-	.X(n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5371__5107 (
-	.A_N(n_117),
-	.B(r_Clock_Count[8]),
-	.Y(n_126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5372__6260 (
-	.A1(n_120),
-	.A2(r_Clock_Count[8]),
-	.B1(n_91),
-	.B2(r_Clock_Count[11]),
-	.Y(n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g5373__4319 (
-	.A(n_112),
-	.B(n_105),
-	.C(r_Clock_Count[15]),
-	.X(n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5374__8428 (
-	.A1(n_114),
-	.A2(r_Clock_Count[8]),
-	.B1(n_120),
-	.Y(n_123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5375__5526 (
-	.A(n_111),
-	.B(n_115),
-	.Y(n_121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g5377__6783 (
-	.A1(n_108),
-	.A2(n_86),
-	.A3(r_Clock_Count[9]),
-	.B1(n_103),
-	.B2(r_Clock_Count[10]),
-	.Y(n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g5378__3680 (
-	.A(r_Clock_Count[7]),
-	.B(n_101),
-	.X(n_118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g5379__1617 (
-	.A1(n_68),
-	.A2(CLKS_PER_BIT[8]),
-	.B1(n_78),
-	.C1(n_113),
-	.Y(n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5380__2802 (
-	.A_N(n_101),
-	.B(r_Clock_Count[7]),
-	.Y(n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g5382__1705 (
-	.A1(r_Clock_Count[14]),
-	.A2(n_109),
-	.B1_N(n_110),
-	.Y(n_115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g5383 (
-	.A(n_113),
-	.Y(n_114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g5384__5122 (
-	.A(n_109),
-	.B(r_Clock_Count[14]),
-	.X(n_112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g5385__8246 (
-	.A1(r_Clock_Count[9]),
-	.A2(n_86),
-	.B1(n_108),
-	.Y(n_113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5386__7098 (
-	.A1(n_97),
-	.A2(r_Clock_Count[13]),
-	.B1(n_102),
-	.B2(r_Clock_Count[12]),
-	.Y(n_111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g5387__6131 (
-	.A1(r_Clock_Count[15]),
-	.A2(n_105),
-	.B1(r_Clock_Count[13]),
-	.B2(n_97),
-	.Y(n_110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5388__1881 (
-	.A1(n_92),
-	.A2(CLKS_PER_BIT[14]),
-	.B1(n_90),
-	.Y(n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5389__5115 (
-	.A1(n_99),
-	.A2(n_2),
-	.B1(n_100),
-	.Y(n_108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g5390__7482 (
-	.A1(n_87),
-	.A2(n_71),
-	.B1(n_84),
-	.B2(r_Clock_Count[7]),
-	.C1(n_98),
-	.Y(n_107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g5391__4733 (
-	.A(r_Clock_Count[6]),
-	.B(n_93),
-	.X(n_106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g5392__6161 (
-	.A(n_4),
-	.B(n_90),
-	.COUT(n_104),
-	.SUM(n_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5393__9315 (
-	.A(n_99),
-	.B(n_100),
-	.Y(n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5394__9945 (
-	.A1(n_89),
-	.A2(CLKS_PER_BIT[12]),
-	.B1(n_85),
-	.Y(n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5395__2883 (
-	.A_N(n_93),
-	.B(r_Clock_Count[6]),
-	.Y(n_101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5396__2346 (
-	.A(r_Clock_Count[11]),
-	.B(n_91),
-	.Y(n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g5397__1666 (
-	.A(n_83),
-	.B(n_80),
-	.C(n_95),
-	.Y(n_98), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5398__7410 (
-	.A(n_96),
-	.B(n_81),
-	.Y(n_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g5399__6417 (
-	.A1(CLKS_PER_BIT[9]),
-	.A2(n_77),
-	.B1(CLKS_PER_BIT[10]),
-	.Y(n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g5400__5477 (
-	.A(CLKS_PER_BIT[13]),
-	.B(n_85),
-	.Y(n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g5401__2398 (
-	.A1(r_Clock_Count[4]),
-	.A2(n_73),
-	.B1(n_82),
-	.B2(n_74),
-	.C1(n_63),
-	.C2(r_Clock_Count[5]),
-	.Y(n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g5402__5107 (
-	.A(r_Clock_Count[5]),
-	.B(n_75),
-	.X(n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5403__6260 (
-	.A_N(CLKS_PER_BIT[13]),
-	.B(n_85),
-	.Y(n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5404__4319 (
-	.A_N(n_75),
-	.B(r_Clock_Count[5]),
-	.Y(n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5405__8428 (
-	.A1(n_81),
-	.A2(CLKS_PER_BIT[11]),
-	.B1(n_88),
-	.Y(n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g5406__5526 (
-	.A(CLKS_PER_BIT[13]),
-	.B(CLKS_PER_BIT[14]),
-	.C_N(n_85),
-	.Y(n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g5407 (
-	.A(n_88),
-	.Y(n_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g5408__6783 (
-	.A(r_Clock_Count[7]),
-	.B(n_84),
-	.X(n_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5409__3680 (
-	.A(CLKS_PER_BIT[11]),
-	.B(n_81),
-	.Y(n_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g5410__1617 (
-	.A(CLKS_PER_BIT[9]),
-	.B(n_78),
-	.Y(n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g5411__2802 (
-	.A(CLKS_PER_BIT[12]),
-	.B(CLKS_PER_BIT[11]),
-	.C(n_81),
-	.Y(n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5412__1705 (
-	.A(r_Clock_Count[6]),
-	.B(n_76),
-	.Y(n_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g5413__5122 (
-	.A(n_46),
-	.B(n_69),
-	.C(r_Clock_Count[3]),
-	.X(n_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g5414__8246 (
-	.A(n_76),
-	.B(r_Clock_Count[6]),
-	.X(n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g5415__7098 (
-	.A1(r_Clock_Count[7]),
-	.A2(n_71),
-	.B1(r_Clock_Count[5]),
-	.B2(n_63),
-	.Y(n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g5416__6131 (
-	.A(r_Clock_Count[4]),
-	.B(n_62),
-	.X(n_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g5417__1881 (
-	.A(CLKS_PER_BIT[9]),
-	.B(CLKS_PER_BIT[10]),
-	.C(n_77),
-	.X(n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g5418 (
-	.A(n_78),
-	.Y(n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5420__5115 (
-	.A(CLKS_PER_BIT[8]),
-	.B(n_68),
-	.Y(n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g5421__7482 (
-	.A(r_Clock_Count[4]),
-	.B(n_73),
-	.X(n_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5422__4733 (
-	.A1(n_52),
-	.A2(CLKS_PER_BIT[6]),
-	.B1(n_57),
-	.Y(n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5423__6161 (
-	.A_N(n_62),
-	.B(r_Clock_Count[4]),
-	.Y(n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5424__9315 (
-	.A1(n_44),
-	.A2(CLKS_PER_BIT[4]),
-	.B1(n_210),
-	.Y(n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g5425__9945 (
-	.A1(n_0),
-	.A2(n_23),
-	.B1(n_11),
-	.B2(n_55),
-	.C1(n_15),
-	.Y(n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g5431__2883 (
-	.A(r_Clock_Count[3]),
-	.B(n_37),
-	.X(n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g5434__2346 (
-	.A1(n_10),
-	.A2(n_57),
-	.B1(n_68),
-	.X(n_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 g5435__1666 (
-	.A(n_42),
-	.B(n_32),
-	.C(r_Clock_Count[2]),
-	.X(n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5437__7410 (
-	.A(n_0),
-	.B(n_51),
-	.Y(n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5438__6417 (
-	.A(n_0),
-	.B(n_48),
-	.Y(n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5439__5477 (
-	.A(n_0),
-	.B(n_56),
-	.Y(n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5440__2398 (
-	.A(n_0),
-	.B(n_47),
-	.Y(n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5441__5107 (
-	.A(n_57),
-	.B(n_10),
-	.Y(n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5442__6260 (
-	.A(n_0),
-	.B(n_50),
-	.Y(n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5443__4319 (
-	.A(n_0),
-	.B(n_54),
-	.Y(n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5444__8428 (
-	.A(n_0),
-	.B(n_53),
-	.Y(n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5445__5526 (
-	.A(n_0),
-	.B(n_49),
-	.Y(n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g5446__6783 (
-	.A(CLKS_PER_BIT[5]),
-	.B(n_210),
-	.Y(n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5447__3680 (
-	.A_N(n_37),
-	.B(r_Clock_Count[3]),
-	.Y(n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5448__1617 (
-	.A1(n_38),
-	.A2(i_TX_Byte[4]),
-	.B1(n_39),
-	.B2(r_TX_Data[4]),
-	.Y(n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g5449__2802 (
-	.A1(r_Bit_Index[2]),
-	.A2(n_36),
-	.B1(n_7),
-	.B2(n_35),
-	.X(n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5450__1705 (
-	.A1(n_38),
-	.A2(i_TX_Byte[6]),
-	.B1(n_39),
-	.B2(r_TX_Data[6]),
-	.Y(n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5451__5122 (
-	.A1(n_38),
-	.A2(i_TX_Byte[7]),
-	.B1(n_39),
-	.B2(r_TX_Data[7]),
-	.Y(n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5452__8246 (
-	.A_N(CLKS_PER_BIT[5]),
-	.B(n_210),
-	.Y(n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g5453__7098 (
-	.A(CLKS_PER_BIT[5]),
-	.B(CLKS_PER_BIT[6]),
-	.C_N(n_210),
-	.Y(n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5454__6131 (
-	.A1(n_38),
-	.A2(i_TX_Byte[2]),
-	.B1(n_39),
-	.B2(r_TX_Data[2]),
-	.Y(n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5455__1881 (
-	.A1(n_38),
-	.A2(i_TX_Byte[5]),
-	.B1(n_39),
-	.B2(r_TX_Data[5]),
-	.Y(n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5456__5115 (
-	.A1(n_38),
-	.A2(i_TX_Byte[1]),
-	.B1(n_39),
-	.B2(r_TX_Data[1]),
-	.Y(n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5457__7482 (
-	.A1(n_38),
-	.A2(i_TX_Byte[0]),
-	.B1(n_39),
-	.B2(r_TX_Data[0]),
-	.Y(n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g5458__4733 (
-	.A1(n_38),
-	.A2(i_TX_Byte[3]),
-	.B1(n_39),
-	.B2(r_TX_Data[3]),
-	.Y(n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g5459__6161 (
-	.A(CLKS_PER_BIT[3]),
-	.B(n_29),
-	.Y(n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5460__9315 (
-	.A_N(CLKS_PER_BIT[3]),
-	.B(n_29),
-	.Y(n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g5462__9945 (
-	.A(r_Clock_Count[2]),
-	.B(n_12),
-	.X(n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g5463__2883 (
-	.A1_N(r_Clock_Count[1]),
-	.A2_N(n_21),
-	.B1(n_12),
-	.B2(n_18),
-	.Y(n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g5465 (
-	.A(n_39),
-	.Y(n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5466__2346 (
-	.A(n_23),
-	.B(rst_ni),
-	.Y(n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5467__1666 (
-	.A(tx_en),
-	.B(n_17),
-	.Y(n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a311oi_1 g5468__7410 (
-	.A1(n_1),
-	.A2(n_5),
-	.A3(r_TX_Data[0]),
-	.B1(n_28),
-	.C1(n_31),
-	.Y(n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a311oi_1 g5469__6417 (
-	.A1(n_1),
-	.A2(n_5),
-	.A3(r_TX_Data[4]),
-	.B1(n_22),
-	.C1(n_30),
-	.Y(n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5470__5477 (
-	.A_N(n_12),
-	.B(r_Clock_Count[2]),
-	.Y(n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5471__2398 (
-	.A(n_24),
-	.B(n_16),
-	.Y(n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5472__5107 (
-	.A(n_24),
-	.B(n_13),
-	.Y(n_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5473__6260 (
-	.A1(n_19),
-	.A2(CLKS_PER_BIT[2]),
-	.B1(n_29),
-	.Y(n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5474__4319 (
-	.A(n_27),
-	.B(n_26),
-	.Y(n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g5475__8428 (
-	.A1(n_3),
-	.A2(n_16),
-	.B1(n_25),
-	.Y(n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g5476__5526 (
-	.A(n_16),
-	.B_N(r_TX_Data[3]),
-	.Y(n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5477__6783 (
-	.A_N(n_14),
-	.B(r_TX_Data[1]),
-	.Y(n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5478__3680 (
-	.A(n_13),
-	.B(r_TX_Data[2]),
-	.Y(n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g5479__1617 (
-	.A_N(n_14),
-	.B(r_TX_Data[5]),
-	.Y(n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5480__2802 (
-	.A(CLKS_PER_BIT[2]),
-	.B(n_19),
-	.Y(n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g5481__1705 (
-	.A(n_13),
-	.B(r_TX_Data[6]),
-	.X(n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g5482__5122 (
-	.A1_N(CLKS_PER_BIT[0]),
-	.A2_N(r_Clock_Count[0]),
-	.B1(CLKS_PER_BIT[0]),
-	.B2(CLKS_PER_BIT[1]),
-	.Y(n_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g5483__8246 (
-	.A(r_Clock_Count[1]),
-	.B(r_Clock_Count[0]),
-	.X(n_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5484__7098 (
-	.A(r_SM_Main[0]),
-	.B(n_11),
-	.Y(n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g5485__6131 (
-	.A1(r_SM_Main[1]),
-	.A2(r_SM_Main[0]),
-	.B1(n_17),
-	.Y(n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g5486 (
-	.A(n_18),
-	.Y(n_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5487__1881 (
-	.A(o_TX_Serial),
-	.B(n_0),
-	.Y(n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5488__5115 (
-	.A(CLKS_PER_BIT[0]),
-	.B(CLKS_PER_BIT[1]),
-	.Y(n_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5489__7482 (
-	.A(r_SM_Main[0]),
-	.B(r_SM_Main[1]),
-	.Y(n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5490__4733 (
-	.A(r_Bit_Index[0]),
-	.B(r_Bit_Index[1]),
-	.Y(n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5491__6161 (
-	.A(n_1),
-	.B(r_Bit_Index[0]),
-	.Y(n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5492__9315 (
-	.A(r_Bit_Index[0]),
-	.B(n_1),
-	.Y(n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5493__9945 (
-	.A(r_Clock_Count[0]),
-	.B(r_Clock_Count[1]),
-	.Y(n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5494__2883 (
-	.A(r_SM_Main[1]),
-	.B(rst_ni),
-	.Y(n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g5495 (
-	.A(CLKS_PER_BIT[7]),
-	.Y(n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g5496 (
-	.A(o_TX_Done),
-	.Y(n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g5498 (
-	.A(r_Bit_Index[2]),
-	.Y(n_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g5500 (
-	.A(r_Bit_Index[0]),
-	.Y(n_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g5501 (
-	.A(CLKS_PER_BIT[15]),
-	.Y(n_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g5502 (
-	.A(r_TX_Data[7]),
-	.Y(n_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g5503 (
-	.A(r_Clock_Count[10]),
-	.Y(n_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g5504 (
-	.A(r_Bit_Index[1]),
-	.Y(n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 hi_fo_buf5508 (
-	.A(rst_ni),
-	.Y(n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g2__2346 (
-	.A1(r_Clock_Count[12]),
-	.A2(n_102),
-	.B1_N(n_115),
-	.Y(n_209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g5509__1666 (
-	.A(CLKS_PER_BIT[3]),
-	.B(CLKS_PER_BIT[4]),
-	.C_N(n_29),
-	.Y(n_210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g5510__7410 (
-	.A(n_168),
-	.B_N(r_Clock_Count[13]),
-	.Y(n_211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
+module uart_tx(clk_i, rst_ni, tx_en, i_TX_Byte, CLKS_PER_BIT,
+     o_TX_Serial, o_TX_Done);
+  input clk_i, rst_ni, tx_en;
+  input [7:0] i_TX_Byte;
+  input [15:0] CLKS_PER_BIT;
+  output o_TX_Serial, o_TX_Done;
+  wire clk_i, rst_ni, tx_en;
+  wire [7:0] i_TX_Byte;
+  wire [15:0] CLKS_PER_BIT;
+  wire o_TX_Serial, o_TX_Done;
+  wire [2:0] r_Bit_Index;
+  wire [15:0] r_Clock_Count;
+  wire [2:0] r_SM_Main;
+  wire [7:0] r_TX_Data;
+  wire n_0, n_2, n_3, n_4, n_6, n_7, n_8, n_9;
+  wire n_10, n_11, n_12, n_13, n_14, n_15, n_16, n_17;
+  wire n_18, n_19, n_20, n_21, n_22, n_23, n_24, n_25;
+  wire n_26, n_27, n_28, n_29, n_30, n_31, n_32, n_33;
+  wire n_34, n_35, n_36, n_37, n_38, n_39, n_40, n_41;
+  wire n_42, n_43, n_44, n_45, n_46, n_47, n_48, n_49;
+  wire n_50, n_51, n_52, n_53, n_54, n_55, n_56, n_57;
+  wire n_58, n_59, n_60, n_61, n_63, n_64, n_65, n_66;
+  wire n_67, n_69, n_70, n_72, n_73, n_74, n_75, n_76;
+  wire n_77, n_78, n_79, n_80, n_81, n_82, n_83, n_84;
+  wire n_85, n_86, n_87, n_88, n_89, n_90, n_91, n_92;
+  wire n_93, n_94, n_95, n_96, n_97, n_98, n_99, n_100;
+  wire n_101, n_102, n_103, n_104, n_105, n_106, n_107, n_108;
+  wire n_109, n_110, n_111, n_112, n_113, n_114, n_115, n_116;
+  wire n_117, n_118, n_119, n_120, n_121, n_122, n_123, n_124;
+  wire n_125, n_126, n_127, n_128, n_129, n_130, n_131, n_132;
+  wire n_133, n_134, n_135, n_136, n_137, n_138, n_139, n_140;
+  wire n_141, n_142, n_143, n_144, n_145, n_146, n_147, n_148;
+  wire n_149, n_151, n_152, n_153, n_154, n_155, n_156, n_157;
+  wire n_158, n_186, n_187;
+  sky130_fd_sc_hd__dfxtp_1 o_TX_Serial_reg(.CLK (clk_i), .D (n_70), .Q
+       (o_TX_Serial));
+  sky130_fd_sc_hd__sdfxtp_1 \r_Bit_Index_reg[0] (.CLK (clk_i), .D
+       (n_129), .SCD (n_130), .SCE (r_Bit_Index[0]), .Q
+       (r_Bit_Index[0]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Bit_Index_reg[1] (.CLK (clk_i), .D
+       (n_149), .Q (r_Bit_Index[1]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Bit_Index_reg[2] (.CLK (clk_i), .D
+       (n_187), .Q (r_Bit_Index[2]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[0] (.CLK (clk_i), .D
+       (n_125), .Q (r_Clock_Count[0]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[1] (.CLK (clk_i), .D
+       (n_138), .Q (r_Clock_Count[1]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[2] (.CLK (clk_i), .D
+       (n_128), .Q (r_Clock_Count[2]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[3] (.CLK (clk_i), .D
+       (n_127), .Q (r_Clock_Count[3]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[4] (.CLK (clk_i), .D
+       (n_126), .Q (r_Clock_Count[4]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[5] (.CLK (clk_i), .D
+       (n_137), .Q (r_Clock_Count[5]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[6] (.CLK (clk_i), .D
+       (n_136), .Q (r_Clock_Count[6]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[7] (.CLK (clk_i), .D
+       (n_139), .Q (r_Clock_Count[7]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[8] (.CLK (clk_i), .D
+       (n_134), .Q (r_Clock_Count[8]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[9] (.CLK (clk_i), .D
+       (n_133), .Q (r_Clock_Count[9]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[10] (.CLK (clk_i), .D
+       (n_132), .Q (r_Clock_Count[10]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[11] (.CLK (clk_i), .D
+       (n_123), .Q (r_Clock_Count[11]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[12] (.CLK (clk_i), .D
+       (n_152), .Q (r_Clock_Count[12]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[13] (.CLK (clk_i), .D
+       (n_154), .Q (r_Clock_Count[13]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[14] (.CLK (clk_i), .D
+       (n_157), .Q (r_Clock_Count[14]));
+  sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[15] (.CLK (clk_i), .D
+       (n_158), .Q (r_Clock_Count[15]));
+  sky130_fd_sc_hd__dfxtp_1 \r_SM_Main_reg[0] (.CLK (clk_i), .D (n_148),
+       .Q (r_SM_Main[0]));
+  sky130_fd_sc_hd__dfxtp_1 \r_SM_Main_reg[1] (.CLK (clk_i), .D (n_141),
+       .Q (r_SM_Main[1]));
+  sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[0] (.CLK (clk_i), .D (n_44),
+       .Q (r_TX_Data[0]));
+  sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[1] (.CLK (clk_i), .D (n_43),
+       .Q (r_TX_Data[1]));
+  sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[2] (.CLK (clk_i), .D (n_46),
+       .Q (r_TX_Data[2]));
+  sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[3] (.CLK (clk_i), .D (n_40),
+       .Q (r_TX_Data[3]));
+  sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[4] (.CLK (clk_i), .D (n_45),
+       .Q (r_TX_Data[4]));
+  sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[5] (.CLK (clk_i), .D (n_41),
+       .Q (r_TX_Data[5]));
+  sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[6] (.CLK (clk_i), .D (n_47),
+       .Q (r_TX_Data[6]));
+  sky130_fd_sc_hd__dfxtp_1 \r_TX_Data_reg[7] (.CLK (clk_i), .D (n_39),
+       .Q (r_TX_Data[7]));
+  sky130_fd_sc_hd__dfxtp_1 r_TX_Done_reg(.CLK (clk_i), .D (n_145), .Q
+       (o_TX_Done));
+  sky130_fd_sc_hd__nor2_1 g5955__8246(.A (n_156), .B (n_121), .Y
+       (n_158));
+  sky130_fd_sc_hd__nor2_1 g5956__7098(.A (n_155), .B (n_121), .Y
+       (n_157));
+  sky130_fd_sc_hd__a21oi_1 g5957__6131(.A1 (n_151), .A2
+       (r_Clock_Count[14]), .B1 (r_Clock_Count[15]), .Y (n_156));
+  sky130_fd_sc_hd__xnor2_1 g5959__1881(.A (r_Clock_Count[14]), .B
+       (n_151), .Y (n_155));
+  sky130_fd_sc_hd__nor2_1 g5960__5115(.A (n_153), .B (n_121), .Y
+       (n_154));
+  sky130_fd_sc_hd__xor2_1 g5963__7482(.A (r_Clock_Count[13]), .B
+       (n_131), .X (n_153));
+  sky130_fd_sc_hd__nor2_1 g5966__4733(.A (n_142), .B (n_121), .Y
+       (n_152));
+  sky130_fd_sc_hd__nand2_1 g5970__6161(.A (n_146), .B (n_144), .Y
+       (n_149));
+  sky130_fd_sc_hd__o221ai_1 g5971__9315(.A1 (n_11), .A2 (n_120), .B1
+       (n_0), .B2 (n_21), .C1 (n_147), .Y (n_148));
+  sky130_fd_sc_hd__nor2_1 g5972__9945(.A (n_3), .B (n_131), .Y (n_151));
+  sky130_fd_sc_hd__nand2b_1 g5973__2883(.A_N (n_143), .B
+       (r_Bit_Index[2]), .Y (n_147));
+  sky130_fd_sc_hd__o21ai_1 g5975__2346(.A1 (n_22), .A2 (n_130), .B1
+       (r_Bit_Index[1]), .Y (n_146));
+  sky130_fd_sc_hd__o22ai_1 g5976__1666(.A1 (n_15), .A2 (n_135), .B1
+       (n_6), .B2 (n_11), .Y (n_145));
+  sky130_fd_sc_hd__nand3_1 g5977__7410(.A (n_129), .B (r_Bit_Index[0]),
+       .C (n_2), .Y (n_144));
+  sky130_fd_sc_hd__xor2_1 g5986__6417(.A (r_Clock_Count[12]), .B
+       (n_116), .X (n_142));
+  sky130_fd_sc_hd__o41ai_1 g5987__5477(.A1 (r_SM_Main[1]), .A2 (n_11),
+       .A3 (n_92), .A4 (n_119), .B1 (n_124), .Y (n_141));
+  sky130_fd_sc_hd__a21oi_1 g5988__2398(.A1 (n_16), .A2 (n_17), .B1
+       (n_130), .Y (n_140));
+  sky130_fd_sc_hd__nand2b_1 g5989__5107(.A_N (n_17), .B (n_129), .Y
+       (n_143));
+  sky130_fd_sc_hd__nor2_1 g5994__6260(.A (n_98), .B (n_121), .Y
+       (n_139));
+  sky130_fd_sc_hd__nor2b_1 g5995__4319(.A (n_121), .B_N (n_18), .Y
+       (n_138));
+  sky130_fd_sc_hd__nor2_1 g5996__8428(.A (n_80), .B (n_121), .Y
+       (n_137));
+  sky130_fd_sc_hd__nor2_1 g5997__5526(.A (n_88), .B (n_121), .Y
+       (n_136));
+  sky130_fd_sc_hd__nor2_1 g5998__6783(.A (o_TX_Done), .B (n_122), .Y
+       (n_135));
+  sky130_fd_sc_hd__nor2_1 g5999__3680(.A (n_103), .B (n_121), .Y
+       (n_134));
+  sky130_fd_sc_hd__nor2_1 g6000__1617(.A (n_110), .B (n_121), .Y
+       (n_133));
+  sky130_fd_sc_hd__nor2_1 g6001__2802(.A (n_113), .B (n_121), .Y
+       (n_132));
+  sky130_fd_sc_hd__nor2_1 g6002__1705(.A (n_38), .B (n_121), .Y
+       (n_128));
+  sky130_fd_sc_hd__nor2_1 g6003__5122(.A (n_58), .B (n_121), .Y
+       (n_127));
+  sky130_fd_sc_hd__nor2_1 g6004__8246(.A (n_69), .B (n_121), .Y
+       (n_126));
+  sky130_fd_sc_hd__nor2_1 g6005__7098(.A (r_Clock_Count[0]), .B
+       (n_121), .Y (n_125));
+  sky130_fd_sc_hd__nand2b_1 g6006__6131(.A_N (n_122), .B (n_16), .Y
+       (n_124));
+  sky130_fd_sc_hd__nor2_1 g6007__1881(.A (n_117), .B (n_121), .Y
+       (n_123));
+  sky130_fd_sc_hd__nand2b_1 g6008__5115(.A_N (n_116), .B
+       (r_Clock_Count[12]), .Y (n_131));
+  sky130_fd_sc_hd__o21ai_1 g6009__7482(.A1 (n_15), .A2 (n_118), .B1
+       (n_11), .Y (n_130));
+  sky130_fd_sc_hd__nor3_1 g6010__4733(.A (r_SM_Main[0]), .B (n_15), .C
+       (n_119), .Y (n_129));
+  sky130_fd_sc_hd__nor2_1 g6011__6161(.A (n_7), .B (n_119), .Y (n_122));
+  sky130_fd_sc_hd__a21oi_1 g6012__9315(.A1 (n_92), .A2 (n_4), .B1
+       (n_119), .Y (n_120));
+  sky130_fd_sc_hd__o21ai_1 g6013__9945(.A1 (n_10), .A2 (n_16), .B1
+       (n_119), .Y (n_121));
+  sky130_fd_sc_hd__inv_1 g6014(.A (n_119), .Y (n_118));
+  sky130_fd_sc_hd__a211oi_1 g6015__2883(.A1 (n_100), .A2
+       (r_Clock_Count[15]), .B1 (n_108), .C1 (n_115), .Y (n_119));
+  sky130_fd_sc_hd__xor2_1 g6016__2346(.A (r_Clock_Count[11]), .B
+       (n_112), .X (n_117));
+  sky130_fd_sc_hd__nand2b_1 g6017__1666(.A_N (n_112), .B
+       (r_Clock_Count[11]), .Y (n_116));
+  sky130_fd_sc_hd__o41ai_1 g6018__7410(.A1 (n_89), .A2 (n_93), .A3
+       (n_106), .A4 (n_114), .B1 (n_105), .Y (n_115));
+  sky130_fd_sc_hd__a21oi_1 g6019__6417(.A1 (n_83), .A2
+       (r_Clock_Count[11]), .B1 (n_111), .Y (n_114));
+  sky130_fd_sc_hd__xor2_1 g6020__5477(.A (r_Clock_Count[10]), .B
+       (n_109), .X (n_113));
+  sky130_fd_sc_hd__nand2b_1 g6021__2398(.A_N (n_109), .B
+       (r_Clock_Count[10]), .Y (n_112));
+  sky130_fd_sc_hd__maj3_1 g6022__5107(.A (n_75), .B (n_107), .C
+       (r_Clock_Count[10]), .X (n_111));
+  sky130_fd_sc_hd__xor2_1 g6023__6260(.A (r_Clock_Count[9]), .B
+       (n_102), .X (n_110));
+  sky130_fd_sc_hd__nand2b_1 g6024__4319(.A_N (n_102), .B
+       (r_Clock_Count[9]), .Y (n_109));
+  sky130_fd_sc_hd__a211oi_1 g6025__8428(.A1 (n_85), .A2 (n_3), .B1
+       (n_90), .C1 (n_106), .Y (n_108));
+  sky130_fd_sc_hd__maj3_1 g6026__5526(.A (n_63), .B (n_104), .C
+       (r_Clock_Count[9]), .X (n_107));
+  sky130_fd_sc_hd__o22ai_1 g6027__6783(.A1 (r_Clock_Count[15]), .A2
+       (n_101), .B1 (r_Clock_Count[14]), .B2 (n_96), .Y (n_106));
+  sky130_fd_sc_hd__o21ai_1 g6028__3680(.A1 (r_Clock_Count[15]), .A2
+       (n_100), .B1 (n_101), .Y (n_105));
+  sky130_fd_sc_hd__maj3_1 g6029__1617(.A (n_72), .B (n_99), .C
+       (r_Clock_Count[8]), .X (n_104));
+  sky130_fd_sc_hd__xor2_1 g6030__2802(.A (r_Clock_Count[8]), .B (n_97),
+       .X (n_103));
+  sky130_fd_sc_hd__nand2b_1 g6031__1705(.A_N (n_97), .B
+       (r_Clock_Count[8]), .Y (n_102));
+  sky130_fd_sc_hd__a21oi_1 g6032__5122(.A1 (n_95), .A2
+       (CLKS_PER_BIT[15]), .B1 (n_92), .Y (n_101));
+  sky130_fd_sc_hd__and2_1 g6033__8246(.A (n_96), .B
+       (r_Clock_Count[14]), .X (n_100));
+  sky130_fd_sc_hd__maj3_1 g6034__7098(.A (n_60), .B (n_91), .C
+       (r_Clock_Count[7]), .X (n_99));
+  sky130_fd_sc_hd__xor2_1 g6035__6131(.A (r_Clock_Count[7]), .B (n_87),
+       .X (n_98));
+  sky130_fd_sc_hd__nand2b_1 g6036__1881(.A_N (n_87), .B
+       (r_Clock_Count[7]), .Y (n_97));
+  sky130_fd_sc_hd__a21oi_1 g6037__5115(.A1 (n_84), .A2
+       (CLKS_PER_BIT[14]), .B1 (n_94), .Y (n_96));
+  sky130_fd_sc_hd__inv_1 g6038(.A (n_94), .Y (n_95));
+  sky130_fd_sc_hd__nor2_1 g6039__7482(.A (r_Clock_Count[13]), .B
+       (n_86), .Y (n_93));
+  sky130_fd_sc_hd__nor2_1 g6040__4733(.A (CLKS_PER_BIT[14]), .B (n_84),
+       .Y (n_94));
+  sky130_fd_sc_hd__maj3_1 g6041__6161(.A (n_67), .B (n_82), .C
+       (r_Clock_Count[6]), .X (n_91));
+  sky130_fd_sc_hd__a21oi_1 g6042__9315(.A1 (n_78), .A2
+       (r_Clock_Count[12]), .B1 (n_86), .Y (n_90));
+  sky130_fd_sc_hd__nor3_1 g6043__9945(.A (CLKS_PER_BIT[15]), .B
+       (CLKS_PER_BIT[14]), .C (n_84), .Y (n_92));
+  sky130_fd_sc_hd__o22ai_1 g6044__2883(.A1 (r_Clock_Count[12]), .A2
+       (n_78), .B1 (r_Clock_Count[11]), .B2 (n_83), .Y (n_89));
+  sky130_fd_sc_hd__xor2_1 g6045__2346(.A (r_Clock_Count[6]), .B (n_79),
+       .X (n_88));
+  sky130_fd_sc_hd__nand2b_1 g6046__1666(.A_N (n_79), .B
+       (r_Clock_Count[6]), .Y (n_87));
+  sky130_fd_sc_hd__nand3b_1 g6047__7410(.A_N (CLKS_PER_BIT[13]), .B
+       (n_78), .C (r_Clock_Count[12]), .Y (n_85));
+  sky130_fd_sc_hd__a21boi_1 g6048__6417(.A1 (n_77), .A2
+       (CLKS_PER_BIT[13]), .B1_N (n_84), .Y (n_86));
+  sky130_fd_sc_hd__or2_1 g6049__5477(.A (CLKS_PER_BIT[13]), .B (n_77),
+       .X (n_84));
+  sky130_fd_sc_hd__a21oi_1 g6050__2398(.A1 (n_74), .A2
+       (CLKS_PER_BIT[11]), .B1 (n_186), .Y (n_83));
+  sky130_fd_sc_hd__o21ai_1 g6051__5107(.A1 (n_8), .A2 (n_55), .B1
+       (n_81), .Y (n_82));
+  sky130_fd_sc_hd__a211o_1 g6052__6260(.A1 (n_55), .A2 (n_8), .B1
+       (n_73), .C1 (n_76), .X (n_81));
+  sky130_fd_sc_hd__xor2_1 g6053__4319(.A (r_Clock_Count[5]), .B (n_65),
+       .X (n_80));
+  sky130_fd_sc_hd__nand2b_1 g6054__8428(.A_N (n_65), .B
+       (r_Clock_Count[5]), .Y (n_79));
+  sky130_fd_sc_hd__o21a_1 g6055__5526(.A1 (n_9), .A2 (n_186), .B1
+       (n_77), .X (n_78));
+  sky130_fd_sc_hd__nand2_1 g6057__6783(.A (n_186), .B (n_9), .Y (n_77));
+  sky130_fd_sc_hd__a22oi_1 g6058__3680(.A1 (n_66), .A2
+       (r_Clock_Count[4]), .B1 (n_42), .B2 (n_59), .Y (n_76));
+  sky130_fd_sc_hd__xnor2_1 g6059__1617(.A (CLKS_PER_BIT[10]), .B
+       (n_61), .Y (n_75));
+  sky130_fd_sc_hd__nand2b_1 g6060__2802(.A_N (CLKS_PER_BIT[10]), .B
+       (n_61), .Y (n_74));
+  sky130_fd_sc_hd__nor2_1 g6061__1705(.A (r_Clock_Count[4]), .B (n_66),
+       .Y (n_73));
+  sky130_fd_sc_hd__a21oi_1 g6062__5122(.A1 (n_56), .A2
+       (CLKS_PER_BIT[8]), .B1 (n_53), .Y (n_72));
+  sky130_fd_sc_hd__a221o_1 g6063__8246(.A1 (n_16), .A2 (r_SM_Main[0]),
+       .B1 (o_TX_Serial), .B2 (n_0), .C1 (n_64), .X (n_70));
+  sky130_fd_sc_hd__xor2_1 g6064__7098(.A (r_Clock_Count[4]), .B (n_57),
+       .X (n_69));
+  sky130_fd_sc_hd__a21oi_1 g6067__6131(.A1 (n_50), .A2
+       (CLKS_PER_BIT[6]), .B1 (n_51), .Y (n_67));
+  sky130_fd_sc_hd__maj3_1 g6068__1881(.A (n_48), .B (n_52), .C
+       (r_Clock_Count[3]), .X (n_66));
+  sky130_fd_sc_hd__nand2b_1 g6069__5115(.A_N (n_57), .B
+       (r_Clock_Count[4]), .Y (n_65));
+  sky130_fd_sc_hd__a211oi_1 g6070__7482(.A1 (n_49), .A2 (r_SM_Main[1]),
+       .B1 (n_0), .C1 (r_SM_Main[0]), .Y (n_64));
+  sky130_fd_sc_hd__a21oi_1 g6071__4733(.A1 (n_54), .A2
+       (CLKS_PER_BIT[9]), .B1 (n_61), .Y (n_63));
+  sky130_fd_sc_hd__xnor2_1 g6073__6161(.A (CLKS_PER_BIT[7]), .B (n_51),
+       .Y (n_60));
+  sky130_fd_sc_hd__nor2_1 g6074__9315(.A (CLKS_PER_BIT[9]), .B (n_54),
+       .Y (n_61));
+  sky130_fd_sc_hd__o21ai_1 g6075__9945(.A1 (CLKS_PER_BIT[3]), .A2
+       (n_24), .B1 (CLKS_PER_BIT[4]), .Y (n_59));
+  sky130_fd_sc_hd__xor2_1 g6076__2883(.A (r_Clock_Count[3]), .B (n_36),
+       .X (n_58));
+  sky130_fd_sc_hd__nand2b_1 g6077__2346(.A_N (CLKS_PER_BIT[7]), .B
+       (n_51), .Y (n_56));
+  sky130_fd_sc_hd__nand2b_1 g6078__1666(.A_N (n_36), .B
+       (r_Clock_Count[3]), .Y (n_57));
+  sky130_fd_sc_hd__inv_2 g6079(.A (n_53), .Y (n_54));
+  sky130_fd_sc_hd__maj3_1 g6080__7410(.A (n_37), .B (n_25), .C
+       (r_Clock_Count[2]), .X (n_52));
+  sky130_fd_sc_hd__xnor2_1 g6081__6417(.A (CLKS_PER_BIT[5]), .B (n_42),
+       .Y (n_55));
+  sky130_fd_sc_hd__nor3b_1 g6082__5477(.A (CLKS_PER_BIT[7]), .B
+       (CLKS_PER_BIT[8]), .C_N (n_51), .Y (n_53));
+  sky130_fd_sc_hd__or2_1 g6089__2398(.A (CLKS_PER_BIT[5]), .B (n_42),
+       .X (n_50));
+  sky130_fd_sc_hd__a22oi_1 g6090__5107(.A1 (n_26), .A2 (n_2), .B1
+       (n_27), .B2 (r_Bit_Index[1]), .Y (n_49));
+  sky130_fd_sc_hd__xor2_1 g6092__6260(.A (CLKS_PER_BIT[3]), .B (n_24),
+       .X (n_48));
+  sky130_fd_sc_hd__nor3_1 g6094__4319(.A (CLKS_PER_BIT[6]), .B
+       (CLKS_PER_BIT[5]), .C (n_42), .Y (n_51));
+  sky130_fd_sc_hd__nor2_1 g6095__8428(.A (n_0), .B (n_31), .Y (n_47));
+  sky130_fd_sc_hd__nor2_1 g6096__5526(.A (n_0), .B (n_34), .Y (n_46));
+  sky130_fd_sc_hd__nor2_1 g6097__6783(.A (n_0), .B (n_33), .Y (n_45));
+  sky130_fd_sc_hd__nor2_1 g6098__3680(.A (n_0), .B (n_29), .Y (n_44));
+  sky130_fd_sc_hd__nor2_1 g6099__1617(.A (n_0), .B (n_35), .Y (n_43));
+  sky130_fd_sc_hd__nor2_1 g6100__2802(.A (n_0), .B (n_32), .Y (n_41));
+  sky130_fd_sc_hd__nor2_1 g6101__1705(.A (n_0), .B (n_28), .Y (n_40));
+  sky130_fd_sc_hd__nor2_1 g6102__5122(.A (n_0), .B (n_30), .Y (n_39));
+  sky130_fd_sc_hd__xor2_1 g6103__8246(.A (r_Clock_Count[2]), .B (n_12),
+       .X (n_38));
+  sky130_fd_sc_hd__a2bb2oi_1 g6104__7098(.A1_N (r_Clock_Count[1]),
+       .A2_N (n_19), .B1 (n_12), .B2 (n_13), .Y (n_37));
+  sky130_fd_sc_hd__or3_1 g6105__6131(.A (CLKS_PER_BIT[4]), .B
+       (CLKS_PER_BIT[3]), .C (n_24), .X (n_42));
+  sky130_fd_sc_hd__a22oi_1 g6106__1881(.A1 (n_20), .A2 (i_TX_Byte[1]),
+       .B1 (n_21), .B2 (r_TX_Data[1]), .Y (n_35));
+  sky130_fd_sc_hd__a22oi_1 g6107__5115(.A1 (n_20), .A2 (i_TX_Byte[2]),
+       .B1 (n_21), .B2 (r_TX_Data[2]), .Y (n_34));
+  sky130_fd_sc_hd__a22oi_1 g6108__7482(.A1 (n_20), .A2 (i_TX_Byte[4]),
+       .B1 (n_21), .B2 (r_TX_Data[4]), .Y (n_33));
+  sky130_fd_sc_hd__a22oi_1 g6109__4733(.A1 (n_20), .A2 (i_TX_Byte[5]),
+       .B1 (n_21), .B2 (r_TX_Data[5]), .Y (n_32));
+  sky130_fd_sc_hd__nand2b_1 g6110__6161(.A_N (n_12), .B
+       (r_Clock_Count[2]), .Y (n_36));
+  sky130_fd_sc_hd__a22oi_1 g6111__9315(.A1 (n_20), .A2 (i_TX_Byte[6]),
+       .B1 (n_21), .B2 (r_TX_Data[6]), .Y (n_31));
+  sky130_fd_sc_hd__a22oi_1 g6112__9945(.A1 (n_20), .A2 (i_TX_Byte[7]),
+       .B1 (n_21), .B2 (r_TX_Data[7]), .Y (n_30));
+  sky130_fd_sc_hd__a22oi_1 g6113__2883(.A1 (n_20), .A2 (i_TX_Byte[0]),
+       .B1 (n_21), .B2 (r_TX_Data[0]), .Y (n_29));
+  sky130_fd_sc_hd__a22oi_1 g6114__2346(.A1 (n_20), .A2 (i_TX_Byte[3]),
+       .B1 (n_21), .B2 (r_TX_Data[3]), .Y (n_28));
+  sky130_fd_sc_hd__mux4_2 g6115__1666(.A0 (r_TX_Data[2]), .A1
+       (r_TX_Data[3]), .A2 (r_TX_Data[6]), .A3 (r_TX_Data[7]), .S0
+       (r_Bit_Index[0]), .S1 (r_Bit_Index[2]), .X (n_27));
+  sky130_fd_sc_hd__mux4_2 g6116__7410(.A0 (r_TX_Data[0]), .A1
+       (r_TX_Data[1]), .A2 (r_TX_Data[4]), .A3 (r_TX_Data[5]), .S0
+       (r_Bit_Index[0]), .S1 (r_Bit_Index[2]), .X (n_26));
+  sky130_fd_sc_hd__a21oi_1 g6117__6417(.A1 (n_14), .A2
+       (CLKS_PER_BIT[2]), .B1 (n_23), .Y (n_25));
+  sky130_fd_sc_hd__clkinv_1 g6118(.A (n_23), .Y (n_24));
+  sky130_fd_sc_hd__nor2_1 g6119__5477(.A (r_Bit_Index[0]), .B (n_15),
+       .Y (n_22));
+  sky130_fd_sc_hd__nor2_1 g6120__2398(.A (CLKS_PER_BIT[2]), .B (n_14),
+       .Y (n_23));
+  sky130_fd_sc_hd__inv_2 g6121(.A (n_21), .Y (n_20));
+  sky130_fd_sc_hd__a2bb2oi_1 g6122__5107(.A1_N (CLKS_PER_BIT[0]), .A2_N
+       (r_Clock_Count[0]), .B1 (CLKS_PER_BIT[0]), .B2
+       (CLKS_PER_BIT[1]), .Y (n_19));
+  sky130_fd_sc_hd__xor2_1 g6123__6260(.A (r_Clock_Count[1]), .B
+       (r_Clock_Count[0]), .X (n_18));
+  sky130_fd_sc_hd__nand3_1 g6124__4319(.A (tx_en), .B (n_4), .C (n_7),
+       .Y (n_21));
+  sky130_fd_sc_hd__clkinv_1 g6125(.A (n_16), .Y (n_15));
+  sky130_fd_sc_hd__nand2_1 g6126__8428(.A (r_Bit_Index[0]), .B
+       (r_Bit_Index[1]), .Y (n_17));
+  sky130_fd_sc_hd__nor2_1 g6127__5526(.A (n_0), .B (n_4), .Y (n_16));
+  sky130_fd_sc_hd__inv_2 g6128(.A (n_13), .Y (n_14));
+  sky130_fd_sc_hd__inv_2 g6129(.A (n_11), .Y (n_10));
+  sky130_fd_sc_hd__nor2_1 g6130__6783(.A (CLKS_PER_BIT[0]), .B
+       (CLKS_PER_BIT[1]), .Y (n_13));
+  sky130_fd_sc_hd__nand2_1 g6131__3680(.A (r_Clock_Count[0]), .B
+       (r_Clock_Count[1]), .Y (n_12));
+  sky130_fd_sc_hd__nand2_1 g6132__1617(.A (r_SM_Main[0]), .B (rst_ni),
+       .Y (n_11));
+  sky130_fd_sc_hd__clkinv_1 g6133(.A (CLKS_PER_BIT[12]), .Y (n_9));
+  sky130_fd_sc_hd__clkinv_1 g6134(.A (r_Clock_Count[5]), .Y (n_8));
+  sky130_fd_sc_hd__inv_1 g6135(.A (r_SM_Main[0]), .Y (n_7));
+  sky130_fd_sc_hd__inv_1 g6136(.A (o_TX_Done), .Y (n_6));
+  sky130_fd_sc_hd__inv_2 g6138(.A (r_SM_Main[1]), .Y (n_4));
+  sky130_fd_sc_hd__inv_1 g6139(.A (r_Clock_Count[13]), .Y (n_3));
+  sky130_fd_sc_hd__inv_1 g6140(.A (r_Bit_Index[1]), .Y (n_2));
+  sky130_fd_sc_hd__inv_2 g6142(.A (rst_ni), .Y (n_0));
+  sky130_fd_sc_hd__nor3b_1 g2__2802(.A (CLKS_PER_BIT[10]), .B
+       (CLKS_PER_BIT[11]), .C_N (n_61), .Y (n_186));
+  sky130_fd_sc_hd__mux2i_1 g6143__1705(.A0 (n_143), .A1 (n_140), .S
+       (r_Bit_Index[2]), .Y (n_187));
 endmodule
 
-module buffer_control_BUFFER_DEPTH256_BUFFER_WIDTH8_ADDR_WIDTH8 (
-	rst_ni, 
-	re_i, 
-	we_i, 
-	clr_i, 
-	rst_i, 
-	wdata_i, 
-	buffer_full, 
-	rdata_o, 
-	bsize_o, 
-	FE_OFN14_system_rst_ni, 
-	FE_OFN13_system_rst_ni, 
-	FE_OFN11_system_rst_ni, 
-	FE_OFN6_system_rst_ni, 
-	FE_OFN5_system_rst_ni, 
-	FE_OFN4_system_rst_ni, 
-	FE_OFN3_system_rst_ni, 
-	FE_OFN2_system_rst_ni, 
-	FE_OFN1_system_rst_ni, 
-	FE_OFN0_system_rst_ni, 
-	clk_i_clone6, 
-	clk_i_clone5, 
-	clk_i_clone7, 
-	clk_i_clone2, 
-	clk_i_clone1, 
-	clk_i_clone3, 
-	clk_i_clone4, 
-	clk_i, 
-	vccd1, 
-	vssd1);
-   input rst_ni;
-   input re_i;
-   input we_i;
-   input clr_i;
-   input rst_i;
-   input [7:0] wdata_i;
-   output buffer_full;
-   output [8:0] rdata_o;
-   output [8:0] bsize_o;
-   input FE_OFN14_system_rst_ni;
-   input FE_OFN13_system_rst_ni;
-   input FE_OFN11_system_rst_ni;
-   input FE_OFN6_system_rst_ni;
-   input FE_OFN5_system_rst_ni;
-   input FE_OFN4_system_rst_ni;
-   input FE_OFN3_system_rst_ni;
-   input FE_OFN2_system_rst_ni;
-   input FE_OFN1_system_rst_ni;
-   input FE_OFN0_system_rst_ni;
-   input clk_i_clone6;
-   input clk_i_clone5;
-   input clk_i_clone7;
-   input clk_i_clone2;
-   input clk_i_clone1;
-   input clk_i_clone3;
-   input clk_i_clone4;
-   input clk_i;
-   inout vccd1;
-   inout vssd1;
-
-   // Internal wires
-   wire FE_OFN17067_n_5953;
-   wire CTS_28;
-   wire CTS_27;
-   wire CTS_26;
-   wire CTS_25;
-   wire CTS_24;
-   wire CTS_23;
-   wire CTS_31;
-   wire CTS_30;
-   wire CTS_20;
-   wire CTS_19;
-   wire CTS_18;
-   wire CTS_17;
-   wire CTS_16;
-   wire CTS_15;
-   wire CTS_14;
-   wire CTS_13;
-   wire CTS_12;
-   wire CTS_11;
-   wire CTS_10;
-   wire CTS_9;
-   wire CTS_8;
-   wire CTS_7;
-   wire CTS_6;
-   wire CTS_5;
-   wire CTS_4;
-   wire CTS_3;
-   wire CTS_2;
-   wire CTS_1;
-   wire CTS_22;
-   wire CTS_21;
-   wire FE_OFN16220_n_5839;
-   wire FE_OFN1785_n_261;
-   wire FE_OFN1780_n_421;
-   wire FE_OFN1779_n_142;
-   wire FE_OFN1777_n_140;
-   wire FE_OFN1773_n_54;
-   wire FE_OFN1659_n_46;
-   wire FE_OFN1658_n_46;
-   wire FE_OFN1657_n_42;
-   wire FE_OFN1656_n_42;
-   wire FE_OFN1655_n_40;
-   wire FE_OFN1654_n_40;
-   wire FE_OFN1653_n_38;
-   wire FE_OFN1652_n_38;
-   wire FE_OFN1651_n_23;
-   wire FE_OFN1644_n_48;
-   wire FE_OFN1643_n_47;
-   wire FE_OFN1642_n_47;
-   wire FE_OFN1641_n_47;
-   wire FE_OFN1640_n_44;
-   wire FE_OFN1639_n_44;
-   wire FE_OFN1638_n_43;
-   wire FE_OFN1637_n_43;
-   wire FE_OFN1636_n_43;
-   wire FE_OFN1635_n_41;
-   wire FE_OFN1634_n_41;
-   wire FE_OFN1633_n_41;
-   wire FE_OFN1632_n_39;
-   wire FE_OFN1631_n_39;
-   wire FE_OFN1630_n_39;
-   wire FE_OFN1629_n_36;
-   wire FE_OFN1628_n_24;
-   wire FE_OFN1627_n_24;
-   wire FE_OFN1626_n_24;
-   wire FE_OFN1614_n_49;
-   wire FE_OFN1613_n_49;
-   wire FE_OFN1612_n_49;
-   wire FE_OFN1611_n_45;
-   wire FE_OFN1610_n_45;
-   wire FE_OFN1609_n_37;
-   wire FE_OFN1608_n_37;
-   wire FE_OFN1607_n_37;
-   wire FE_OFN1563_n_5997;
-   wire FE_OFN1562_n_4319;
-   wire FE_OFN1561_n_4318;
-   wire FE_OFN1560_n_4313;
-   wire FE_OFN1559_n_4313;
-   wire FE_OFN1558_n_4311;
-   wire FE_OFN1439_xbar_to_dccm_a_data__1;
-   wire FE_OFN1436_n_5965;
-   wire FE_OFN1434_n_5963;
-   wire FE_OFN1431_n_5959;
-   wire FE_OFN1430_n_5958;
-   wire FE_OFN1428_n_5956;
-   wire FE_OFN1426_n_5954;
-   wire FE_OFN1424_n_5159;
-   wire FE_OFN1423_n_4337;
-   wire FE_OFN1422_n_4337;
-   wire FE_OFN1421_n_4336;
-   wire FE_OFN1420_n_4336;
-   wire FE_OFN1419_n_4336;
-   wire FE_OFN1418_n_4335;
-   wire FE_OFN1417_n_4335;
-   wire FE_OFN1416_n_4334;
-   wire FE_OFN1415_n_4334;
-   wire FE_OFN1414_n_4320;
-   wire FE_OFN1413_n_4315;
-   wire FE_OFN1412_n_4314;
-   wire FE_OFN1411_n_4314;
-   wire FE_OFN1410_n_4312;
-   wire FE_OFN1409_n_4310;
-   wire FE_OFN1408_n_4309;
-   wire FE_OFN1407_n_4308;
-   wire FE_OFN1406_n_4307;
-   wire FE_OFN1405_n_11;
-   wire FE_OFN1404_n_11;
-   wire FE_OFN1403_n_11;
-   wire FE_OFN1402_n_11;
-   wire FE_OFN1401_n_11;
-   wire FE_OFN1400_n_11;
-   wire FE_OFN1399_n_11;
-   wire FE_OFN1389_u_uart_u_uart_core_tx_fifo_we;
-   wire FE_OFN1209_n_5966;
-   wire FE_OFN1207_n_4639;
-   wire FE_OFN1206_n_4636;
-   wire FE_OFN1205_n_4635;
-   wire FE_OFN1204_n_4632;
-   wire FE_OFN1203_n_4345;
-   wire FE_OFN1202_n_4343;
-   wire FE_OFN1201_n_4229;
-   wire FE_OFN1200_n_4229;
-   wire FE_OFN1199_n_4229;
-   wire FE_OFN1198_n_4229;
-   wire FE_OFN1197_n_4229;
-   wire FE_OFN1196_n_4229;
-   wire FE_OFN1195_n_4229;
-   wire FE_OFN1194_n_4186;
-   wire FE_OFN1193_n_4186;
-   wire FE_OFN1192_n_4186;
-   wire FE_OFN1191_n_4186;
-   wire FE_OFN1190_n_4186;
-   wire FE_OFN1189_n_4085;
-   wire FE_OFN1188_n_4083;
-   wire FE_OFN1187_n_4083;
-   wire FE_OFN1186_n_4082;
-   wire FE_OFN1185_n_4082;
-   wire FE_OFN1184_n_3994;
-   wire FE_OFN1183_n_3994;
-   wire FE_OFN1182_n_3994;
-   wire FE_OFN1181_n_3994;
-   wire FE_OFN1180_n_3994;
-   wire FE_OFN1179_n_3994;
-   wire FE_OFN1178_n_3945;
-   wire FE_OFN1177_n_3945;
-   wire FE_OFN1176_n_3945;
-   wire FE_OFN1175_n_3945;
-   wire FE_OFN1174_n_3945;
-   wire FE_OFN1172_n_418;
-   wire FE_OFN1171_n_131;
-   wire FE_OFN1170_n_127;
-   wire FE_OFN1169_n_125;
-   wire FE_OFN1168_n_123;
-   wire FE_OFN1167_n_121;
-   wire FE_OFN1166_n_119;
-   wire FE_OFN1164_n_82;
-   wire FE_OFN1163_n_59;
-   wire FE_OFN1069_u_uart_u_uart_core_tx_fifo_reset;
-   wire FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear;
-   wire FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear;
-   wire FE_OFN187_system_rst_ni;
-   wire FE_OFN186_system_rst_ni;
-   wire FE_OFN185_system_rst_ni;
-   wire FE_OFN140_system_rst_ni;
-   wire FE_OFN139_system_rst_ni;
-   wire FE_OFN138_system_rst_ni;
-   wire FE_OFN137_system_rst_ni;
-   wire FE_OFN136_system_rst_ni;
-   wire FE_OFN135_system_rst_ni;
-   wire FE_OFN134_system_rst_ni;
-   wire FE_OFN133_system_rst_ni;
-   wire FE_OFN132_system_rst_ni;
-   wire FE_OFN131_system_rst_ni;
-   wire FE_OFN130_system_rst_ni;
-   wire FE_OFN129_system_rst_ni;
-   wire FE_OFN128_system_rst_ni;
-   wire FE_OFN127_system_rst_ni;
-   wire FE_OFN126_system_rst_ni;
-   wire FE_OFN125_system_rst_ni;
-   wire FE_OFN124_system_rst_ni;
-   wire FE_OFN123_system_rst_ni;
-   wire FE_OFN122_system_rst_ni;
-   wire FE_OFN121_system_rst_ni;
-   wire FE_OFN120_system_rst_ni;
-   wire FE_OFN119_system_rst_ni;
-   wire FE_OFN118_system_rst_ni;
-   wire FE_OFN113_system_rst_ni;
-   wire FE_OFN111_system_rst_ni;
-   wire FE_OFN109_system_rst_ni;
-   wire FE_OFN107_system_rst_ni;
-   wire FE_OFN106_system_rst_ni;
-   wire FE_OFN104_system_rst_ni;
-   wire FE_OFN102_system_rst_ni;
-   wire FE_OFN99_system_rst_ni;
-   wire FE_OFN98_system_rst_ni;
-   wire FE_OFN97_system_rst_ni;
-   wire FE_OFN96_system_rst_ni;
-   wire FE_OFN64_system_rst_ni;
-   wire FE_OFN63_system_rst_ni;
-   wire FE_OFN62_system_rst_ni;
-   wire FE_OFN61_system_rst_ni;
-   wire FE_OFN60_system_rst_ni;
-   wire FE_OFN59_system_rst_ni;
-   wire FE_OFN58_system_rst_ni;
-   wire FE_OFN57_system_rst_ni;
-   wire FE_OFN56_system_rst_ni;
-   wire FE_OFN55_system_rst_ni;
-   wire FE_OFN54_system_rst_ni;
-   wire FE_OFN53_system_rst_ni;
-   wire FE_OFN52_system_rst_ni;
-   wire FE_OFN51_system_rst_ni;
-   wire FE_OFN50_system_rst_ni;
-   wire FE_OFN49_system_rst_ni;
-   wire FE_OFN47_system_rst_ni;
-   wire FE_OFN46_system_rst_ni;
-   wire FE_OFN44_system_rst_ni;
-   wire FE_OFN42_system_rst_ni;
-   wire FE_OFN39_system_rst_ni;
-   wire FE_OFN33_system_rst_ni;
-   wire FE_OFN32_system_rst_ni;
-   wire FE_OFN31_system_rst_ni;
-   wire FE_OFN16_system_rst_ni;
-   wire FE_OFN8_system_rst_ni;
-   wire [8:0] \fifo_buffer[125] ;
-   wire [8:0] \fifo_buffer[252] ;
-   wire [8:0] \fifo_buffer[92] ;
-   wire [8:0] \fifo_buffer[221] ;
-   wire [8:0] \fifo_buffer[82] ;
-   wire [8:0] \fifo_buffer[211] ;
-   wire [8:0] \fifo_buffer[76] ;
-   wire [8:0] \fifo_buffer[205] ;
-   wire [8:0] \fifo_buffer[124] ;
-   wire [8:0] \fifo_buffer[85] ;
-   wire [8:0] \fifo_buffer[212] ;
-   wire [8:0] \fifo_buffer[77] ;
-   wire [8:0] \fifo_buffer[204] ;
-   wire [8:0] \fifo_buffer[64] ;
-   wire [8:0] \fifo_buffer[193] ;
-   wire [8:0] \fifo_buffer[126] ;
-   wire [8:0] \fifo_buffer[255] ;
-   wire [8:0] \fifo_buffer[87] ;
-   wire [8:0] \fifo_buffer[214] ;
-   wire [8:0] \fifo_buffer[86] ;
-   wire [8:0] \fifo_buffer[215] ;
-   wire [8:0] \fifo_buffer[71] ;
-   wire [8:0] \fifo_buffer[198] ;
-   wire [8:0] \fifo_buffer[58] ;
-   wire [8:0] \fifo_buffer[59] ;
-   wire [8:0] \fifo_buffer[108] ;
-   wire [8:0] \fifo_buffer[109] ;
-   wire [8:0] \fifo_buffer[88] ;
-   wire [8:0] \fifo_buffer[217] ;
-   wire [8:0] \fifo_buffer[84] ;
-   wire [8:0] \fifo_buffer[213] ;
-   wire [8:0] \fifo_buffer[72] ;
-   wire [8:0] \fifo_buffer[201] ;
-   wire [8:0] \fifo_buffer[112] ;
-   wire [8:0] \fifo_buffer[113] ;
-   wire [8:0] \fifo_buffer[68] ;
-   wire [8:0] \fifo_buffer[197] ;
-   wire [8:0] \fifo_buffer[127] ;
-   wire [8:0] \fifo_buffer[254] ;
-   wire [8:0] \fifo_buffer[35] ;
-   wire [8:0] \fifo_buffer[162] ;
-   wire [8:0] \fifo_buffer[119] ;
-   wire [8:0] \fifo_buffer[246] ;
-   wire [8:0] \fifo_buffer[154] ;
-   wire [8:0] \fifo_buffer[155] ;
-   wire [8:0] \fifo_buffer[13] ;
-   wire [8:0] \fifo_buffer[140] ;
-   wire [8:0] \fifo_buffer[117] ;
-   wire [8:0] \fifo_buffer[244] ;
-   wire [8:0] \fifo_buffer[101] ;
-   wire [8:0] \fifo_buffer[228] ;
-   wire [8:0] \fifo_buffer[116] ;
-   wire [8:0] \fifo_buffer[89] ;
-   wire [8:0] \fifo_buffer[216] ;
-   wire [8:0] \fifo_buffer[146] ;
-   wire [8:0] \fifo_buffer[147] ;
-   wire [8:0] \fifo_buffer[106] ;
-   wire [8:0] \fifo_buffer[235] ;
-   wire [8:0] \fifo_buffer[67] ;
-   wire [8:0] \fifo_buffer[194] ;
-   wire [8:0] \fifo_buffer[130] ;
-   wire [8:0] \fifo_buffer[131] ;
-   wire [8:0] \fifo_buffer[104] ;
-   wire [8:0] \fifo_buffer[233] ;
-   wire [8:0] \fifo_buffer[152] ;
-   wire [8:0] \fifo_buffer[153] ;
-   wire [8:0] \fifo_buffer[96] ;
-   wire [8:0] \fifo_buffer[225] ;
-   wire [8:0] \fifo_buffer[150] ;
-   wire [8:0] \fifo_buffer[151] ;
-   wire [8:0] \fifo_buffer[100] ;
-   wire [8:0] \fifo_buffer[80] ;
-   wire [8:0] \fifo_buffer[209] ;
-   wire [8:0] \fifo_buffer[95] ;
-   wire [8:0] \fifo_buffer[222] ;
-   wire [8:0] \fifo_buffer[75] ;
-   wire [8:0] \fifo_buffer[202] ;
-   wire [8:0] \fifo_buffer[81] ;
-   wire [8:0] \fifo_buffer[208] ;
-   wire [8:0] \fifo_buffer[98] ;
-   wire [8:0] \fifo_buffer[227] ;
-   wire [8:0] \fifo_buffer[144] ;
-   wire [8:0] \fifo_buffer[145] ;
-   wire [8:0] \fifo_buffer[27] ;
-   wire [8:0] \fifo_buffer[118] ;
-   wire [8:0] \fifo_buffer[247] ;
-   wire [8:0] \fifo_buffer[115] ;
-   wire [8:0] \fifo_buffer[242] ;
-   wire [8:0] \fifo_buffer[122] ;
-   wire [8:0] \fifo_buffer[123] ;
-   wire [8:0] \fifo_buffer[99] ;
-   wire [8:0] \fifo_buffer[226] ;
-   wire [8:0] \fifo_buffer[114] ;
-   wire [8:0] \fifo_buffer[105] ;
-   wire [8:0] \fifo_buffer[136] ;
-   wire [8:0] \fifo_buffer[241] ;
-   wire [8:0] \fifo_buffer[191] ;
-   wire [8:0] \fifo_buffer[224] ;
-   wire [8:0] \fifo_buffer[245] ;
-   wire [8:0] \fifo_buffer[237] ;
-   wire [8:0] \fifo_buffer[236] ;
-   wire [8:0] \fifo_buffer[231] ;
-   wire [8:0] \fifo_buffer[230] ;
-   wire [8:0] \fifo_buffer[229] ;
-   wire [8:0] \fifo_buffer[253] ;
-   wire [8:0] \fifo_buffer[238] ;
-   wire [8:0] \fifo_buffer[239] ;
-   wire [8:0] \fifo_buffer[187] ;
-   wire [8:0] \fifo_buffer[186] ;
-   wire [8:0] \fifo_buffer[243] ;
-   wire [8:0] \fifo_buffer[234] ;
-   wire [8:0] \fifo_buffer[210] ;
-   wire [8:0] \fifo_buffer[249] ;
-   wire [8:0] \fifo_buffer[248] ;
-   wire [8:0] \fifo_buffer[190] ;
-   wire [8:0] \fifo_buffer[232] ;
-   wire [8:0] \fifo_buffer[240] ;
-   wire [8:0] \fifo_buffer[170] ;
-   wire [8:0] \fifo_buffer[171] ;
-   wire [8:0] \fifo_buffer[251] ;
-   wire [8:0] \fifo_buffer[250] ;
-   wire [8:0] \fifo_buffer[203] ;
-   wire [8:0] \fifo_buffer[93] ;
-   wire [8:0] \fifo_buffer[174] ;
-   wire [8:0] \fifo_buffer[175] ;
-   wire [8:0] \fifo_buffer[0] ;
-   wire [8:0] \fifo_buffer[1] ;
-   wire [8:0] \fifo_buffer[28] ;
-   wire [8:0] \fifo_buffer[29] ;
-   wire [8:0] \fifo_buffer[30] ;
-   wire [8:0] \fifo_buffer[31] ;
-   wire [8:0] \fifo_buffer[22] ;
-   wire [8:0] \fifo_buffer[23] ;
-   wire [8:0] \fifo_buffer[14] ;
-   wire [8:0] \fifo_buffer[15] ;
-   wire [8:0] \fifo_buffer[6] ;
-   wire [8:0] \fifo_buffer[7] ;
-   wire [8:0] \fifo_buffer[26] ;
-   wire [8:0] \fifo_buffer[20] ;
-   wire [8:0] \fifo_buffer[21] ;
-   wire [8:0] \fifo_buffer[4] ;
-   wire [8:0] \fifo_buffer[5] ;
-   wire [8:0] \fifo_buffer[18] ;
-   wire [8:0] \fifo_buffer[19] ;
-   wire [8:0] \fifo_buffer[10] ;
-   wire [8:0] \fifo_buffer[11] ;
-   wire [8:0] \fifo_buffer[2] ;
-   wire [8:0] \fifo_buffer[3] ;
-   wire [8:0] \fifo_buffer[16] ;
-   wire [8:0] \fifo_buffer[17] ;
-   wire [8:0] \fifo_buffer[8] ;
-   wire [8:0] \fifo_buffer[9] ;
-   wire [8:0] \fifo_buffer[24] ;
-   wire [8:0] \fifo_buffer[25] ;
-   wire [8:0] \fifo_buffer[177] ;
-   wire [8:0] \fifo_buffer[179] ;
-   wire [8:0] \fifo_buffer[63] ;
-   wire [8:0] \fifo_buffer[218] ;
-   wire [8:0] \fifo_buffer[163] ;
-   wire [8:0] \fifo_buffer[57] ;
-   wire [8:0] \fifo_buffer[107] ;
-   wire [8:0] \fifo_buffer[121] ;
-   wire [8:0] \fifo_buffer[169] ;
-   wire [8:0] \fifo_buffer[66] ;
-   wire [8:0] \fifo_buffer[51] ;
-   wire [8:0] \fifo_buffer[97] ;
-   wire [8:0] \fifo_buffer[49] ;
-   wire [8:0] \fifo_buffer[74] ;
-   wire [8:0] \fifo_buffer[90] ;
-   wire [8:0] \fifo_buffer[32] ;
-   wire [8:0] \fifo_buffer[33] ;
-   wire [8:0] \fifo_buffer[52] ;
-   wire [8:0] \fifo_buffer[53] ;
-   wire [8:0] \fifo_buffer[12] ;
-   wire [8:0] \fifo_buffer[37] ;
-   wire [8:0] \fifo_buffer[36] ;
-   wire [8:0] \fifo_buffer[60] ;
-   wire [8:0] \fifo_buffer[61] ;
-   wire [8:0] \fifo_buffer[62] ;
-   wire [8:0] \fifo_buffer[91] ;
-   wire [8:0] \fifo_buffer[54] ;
-   wire [8:0] \fifo_buffer[55] ;
-   wire [8:0] \fifo_buffer[207] ;
-   wire [8:0] \fifo_buffer[206] ;
-   wire [8:0] \fifo_buffer[38] ;
-   wire [8:0] \fifo_buffer[39] ;
-   wire [8:0] \fifo_buffer[166] ;
-   wire [8:0] \fifo_buffer[167] ;
-   wire [8:0] \fifo_buffer[46] ;
-   wire [8:0] \fifo_buffer[47] ;
-   wire [8:0] \fifo_buffer[50] ;
-   wire [8:0] \fifo_buffer[138] ;
-   wire [8:0] \fifo_buffer[139] ;
-   wire [8:0] \fifo_buffer[173] ;
-   wire [8:0] \fifo_buffer[172] ;
-   wire [8:0] \fifo_buffer[56] ;
-   wire [8:0] \fifo_buffer[176] ;
-   wire [8:0] \fifo_buffer[79] ;
-   wire [8:0] \fifo_buffer[78] ;
-   wire [8:0] \fifo_buffer[44] ;
-   wire [8:0] \fifo_buffer[45] ;
-   wire [8:0] \fifo_buffer[199] ;
-   wire [8:0] \fifo_buffer[181] ;
-   wire [8:0] \fifo_buffer[180] ;
-   wire [8:0] \fifo_buffer[220] ;
-   wire [8:0] \fifo_buffer[165] ;
-   wire [8:0] \fifo_buffer[164] ;
-   wire [8:0] \fifo_buffer[83] ;
-   wire [8:0] \fifo_buffer[141] ;
-   wire [8:0] \fifo_buffer[42] ;
-   wire [8:0] \fifo_buffer[43] ;
-   wire [8:0] \fifo_buffer[48] ;
-   wire [8:0] \fifo_buffer[188] ;
-   wire [8:0] \fifo_buffer[189] ;
-   wire [8:0] \fifo_buffer[200] ;
-   wire [8:0] \fifo_buffer[223] ;
-   wire [8:0] \fifo_buffer[160] ;
-   wire [8:0] \fifo_buffer[161] ;
-   wire [8:0] \fifo_buffer[132] ;
-   wire [8:0] \fifo_buffer[133] ;
-   wire [8:0] \fifo_buffer[149] ;
-   wire [8:0] \fifo_buffer[148] ;
-   wire [8:0] \fifo_buffer[178] ;
-   wire [8:0] \fifo_buffer[156] ;
-   wire [8:0] \fifo_buffer[157] ;
-   wire [8:0] \fifo_buffer[143] ;
-   wire [8:0] \fifo_buffer[142] ;
-   wire [8:0] \fifo_buffer[135] ;
-   wire [8:0] \fifo_buffer[134] ;
-   wire [8:0] \fifo_buffer[137] ;
-   wire [8:0] \fifo_buffer[65] ;
-   wire [8:0] \fifo_buffer[103] ;
-   wire [8:0] \fifo_buffer[168] ;
-   wire [8:0] \fifo_buffer[184] ;
-   wire [8:0] \fifo_buffer[185] ;
-   wire [8:0] \fifo_buffer[73] ;
-   wire [8:0] \fifo_buffer[195] ;
-   wire [8:0] \fifo_buffer[120] ;
-   wire [8:0] \fifo_buffer[40] ;
-   wire [8:0] \fifo_buffer[183] ;
-   wire [8:0] \fifo_buffer[182] ;
-   wire [8:0] \fifo_buffer[102] ;
-   wire [8:0] \fifo_buffer[70] ;
-   wire [8:0] \fifo_buffer[34] ;
-   wire [8:0] \fifo_buffer[159] ;
-   wire [8:0] \fifo_buffer[111] ;
-   wire [8:0] \fifo_buffer[69] ;
-   wire [8:0] \fifo_buffer[219] ;
-   wire [8:0] \fifo_buffer[196] ;
-   wire [8:0] \fifo_buffer[110] ;
-   wire [8:0] \fifo_buffer[94] ;
-   wire [8:0] \fifo_buffer[158] ;
-   wire [8:0] \fifo_buffer[128] ;
-   wire [8:0] \fifo_buffer[129] ;
-   wire [8:0] \fifo_buffer[192] ;
-   wire [8:0] \fifo_buffer[41] ;
-   wire [8:0] raddr;
-   wire [8:0] waddr;
-   wire buffer_full_217;
-   wire n_0;
-   wire n_1;
-   wire n_2;
-   wire n_3;
-   wire n_4;
-   wire n_11;
-   wire n_12;
-   wire n_13;
-   wire n_14;
-   wire n_23;
-   wire n_24;
-   wire n_25;
-   wire n_26;
-   wire n_27;
-   wire n_28;
-   wire n_29;
-   wire n_30;
-   wire n_31;
-   wire n_32;
-   wire n_33;
-   wire n_34;
-   wire n_35;
-   wire n_36;
-   wire n_37;
-   wire n_38;
-   wire n_39;
-   wire n_40;
-   wire n_41;
-   wire n_42;
-   wire n_43;
-   wire n_44;
-   wire n_45;
-   wire n_46;
-   wire n_47;
-   wire n_48;
-   wire n_49;
-   wire n_50;
-   wire n_51;
-   wire n_52;
-   wire n_53;
-   wire n_54;
-   wire n_55;
-   wire n_56;
-   wire n_57;
-   wire n_58;
-   wire n_59;
-   wire n_60;
-   wire n_61;
-   wire n_62;
-   wire n_63;
-   wire n_64;
-   wire n_65;
-   wire n_66;
-   wire n_67;
-   wire n_68;
-   wire n_69;
-   wire n_70;
-   wire n_71;
-   wire n_72;
-   wire n_73;
-   wire n_74;
-   wire n_75;
-   wire n_76;
-   wire n_77;
-   wire n_78;
-   wire n_79;
-   wire n_80;
-   wire n_81;
-   wire n_82;
-   wire n_83;
-   wire n_84;
-   wire n_85;
-   wire n_86;
-   wire n_87;
-   wire n_88;
-   wire n_89;
-   wire n_90;
-   wire n_91;
-   wire n_92;
-   wire n_93;
-   wire n_94;
-   wire n_95;
-   wire n_96;
-   wire n_97;
-   wire n_98;
-   wire n_99;
-   wire n_101;
-   wire n_102;
-   wire n_103;
-   wire n_104;
-   wire n_105;
-   wire n_106;
-   wire n_107;
-   wire n_108;
-   wire n_109;
-   wire n_110;
-   wire n_111;
-   wire n_112;
-   wire n_113;
-   wire n_114;
-   wire n_115;
-   wire n_116;
-   wire n_117;
-   wire n_118;
-   wire n_119;
-   wire n_120;
-   wire n_121;
-   wire n_122;
-   wire n_123;
-   wire n_124;
-   wire n_125;
-   wire n_126;
-   wire n_127;
-   wire n_128;
-   wire n_129;
-   wire n_130;
-   wire n_131;
-   wire n_132;
-   wire n_133;
-   wire n_134;
-   wire n_135;
-   wire n_136;
-   wire n_137;
-   wire n_138;
-   wire n_140;
-   wire n_142;
-   wire n_143;
-   wire n_144;
-   wire n_145;
-   wire n_146;
-   wire n_147;
-   wire n_148;
-   wire n_149;
-   wire n_150;
-   wire n_151;
-   wire n_152;
-   wire n_153;
-   wire n_154;
-   wire n_155;
-   wire n_156;
-   wire n_157;
-   wire n_158;
-   wire n_159;
-   wire n_160;
-   wire n_161;
-   wire n_162;
-   wire n_163;
-   wire n_164;
-   wire n_165;
-   wire n_166;
-   wire n_167;
-   wire n_168;
-   wire n_169;
-   wire n_170;
-   wire n_171;
-   wire n_172;
-   wire n_173;
-   wire n_174;
-   wire n_175;
-   wire n_176;
-   wire n_177;
-   wire n_178;
-   wire n_179;
-   wire n_180;
-   wire n_181;
-   wire n_182;
-   wire n_183;
-   wire n_184;
-   wire n_185;
-   wire n_186;
-   wire n_187;
-   wire n_188;
-   wire n_189;
-   wire n_190;
-   wire n_191;
-   wire n_192;
-   wire n_193;
-   wire n_194;
-   wire n_195;
-   wire n_196;
-   wire n_197;
-   wire n_199;
-   wire n_200;
-   wire n_201;
-   wire n_202;
-   wire n_203;
-   wire n_204;
-   wire n_205;
-   wire n_206;
-   wire n_207;
-   wire n_208;
-   wire n_209;
-   wire n_210;
-   wire n_211;
-   wire n_212;
-   wire n_213;
-   wire n_214;
-   wire n_215;
-   wire n_216;
-   wire n_217;
-   wire n_218;
-   wire n_219;
-   wire n_220;
-   wire n_221;
-   wire n_222;
-   wire n_223;
-   wire n_224;
-   wire n_225;
-   wire n_226;
-   wire n_227;
-   wire n_228;
-   wire n_229;
-   wire n_230;
-   wire n_231;
-   wire n_232;
-   wire n_233;
-   wire n_234;
-   wire n_235;
-   wire n_236;
-   wire n_237;
-   wire n_238;
-   wire n_239;
-   wire n_240;
-   wire n_241;
-   wire n_242;
-   wire n_243;
-   wire n_244;
-   wire n_245;
-   wire n_246;
-   wire n_247;
-   wire n_248;
-   wire n_249;
-   wire n_250;
-   wire n_251;
-   wire n_252;
-   wire n_253;
-   wire n_254;
-   wire n_255;
-   wire n_256;
-   wire n_257;
-   wire n_258;
-   wire n_259;
-   wire n_261;
-   wire n_262;
-   wire n_263;
-   wire n_264;
-   wire n_265;
-   wire n_266;
-   wire n_267;
-   wire n_268;
-   wire n_269;
-   wire n_270;
-   wire n_271;
-   wire n_272;
-   wire n_273;
-   wire n_274;
-   wire n_275;
-   wire n_276;
-   wire n_277;
-   wire n_278;
-   wire n_279;
-   wire n_280;
-   wire n_281;
-   wire n_282;
-   wire n_283;
-   wire n_284;
-   wire n_285;
-   wire n_286;
-   wire n_287;
-   wire n_288;
-   wire n_289;
-   wire n_290;
-   wire n_291;
-   wire n_292;
-   wire n_293;
-   wire n_294;
-   wire n_295;
-   wire n_296;
-   wire n_297;
-   wire n_298;
-   wire n_299;
-   wire n_300;
-   wire n_301;
-   wire n_302;
-   wire n_303;
-   wire n_304;
-   wire n_305;
-   wire n_306;
-   wire n_307;
-   wire n_308;
-   wire n_309;
-   wire n_310;
-   wire n_311;
-   wire n_312;
-   wire n_313;
-   wire n_314;
-   wire n_315;
-   wire n_316;
-   wire n_317;
-   wire n_318;
-   wire n_319;
-   wire n_320;
-   wire n_321;
-   wire n_322;
-   wire n_323;
-   wire n_324;
-   wire n_325;
-   wire n_326;
-   wire n_327;
-   wire n_328;
-   wire n_329;
-   wire n_330;
-   wire n_331;
-   wire n_332;
-   wire n_333;
-   wire n_334;
-   wire n_335;
-   wire n_336;
-   wire n_337;
-   wire n_338;
-   wire n_339;
-   wire n_340;
-   wire n_341;
-   wire n_342;
-   wire n_343;
-   wire n_344;
-   wire n_345;
-   wire n_346;
-   wire n_347;
-   wire n_348;
-   wire n_349;
-   wire n_350;
-   wire n_351;
-   wire n_352;
-   wire n_353;
-   wire n_354;
-   wire n_355;
-   wire n_356;
-   wire n_357;
-   wire n_358;
-   wire n_359;
-   wire n_360;
-   wire n_361;
-   wire n_362;
-   wire n_363;
-   wire n_364;
-   wire n_365;
-   wire n_366;
-   wire n_367;
-   wire n_368;
-   wire n_369;
-   wire n_370;
-   wire n_371;
-   wire n_372;
-   wire n_373;
-   wire n_374;
-   wire n_375;
-   wire n_376;
-   wire n_377;
-   wire n_378;
-   wire n_379;
-   wire n_380;
-   wire n_381;
-   wire n_382;
-   wire n_383;
-   wire n_384;
-   wire n_385;
-   wire n_386;
-   wire n_387;
-   wire n_388;
-   wire n_389;
-   wire n_390;
-   wire n_391;
-   wire n_392;
-   wire n_393;
-   wire n_394;
-   wire n_395;
-   wire n_396;
-   wire n_397;
-   wire n_398;
-   wire n_399;
-   wire n_400;
-   wire n_401;
-   wire n_402;
-   wire n_403;
-   wire n_404;
-   wire n_405;
-   wire n_406;
-   wire n_407;
-   wire n_408;
-   wire n_409;
-   wire n_410;
-   wire n_411;
-   wire n_412;
-   wire n_413;
-   wire n_414;
-   wire n_415;
-   wire n_416;
-   wire n_417;
-   wire n_418;
-   wire n_419;
-   wire n_420;
-   wire n_421;
-   wire n_422;
-   wire n_423;
-   wire n_424;
-   wire n_425;
-   wire n_426;
-   wire n_427;
-   wire n_428;
-   wire n_429;
-   wire n_430;
-   wire n_431;
-   wire n_432;
-   wire n_433;
-   wire n_434;
-   wire n_435;
-   wire n_436;
-   wire n_437;
-   wire n_438;
-   wire n_439;
-   wire n_440;
-   wire n_441;
-   wire n_442;
-   wire n_443;
-   wire n_444;
-   wire n_445;
-   wire n_446;
-   wire n_447;
-   wire n_448;
-   wire n_449;
-   wire n_450;
-   wire n_451;
-   wire n_452;
-   wire n_453;
-   wire n_454;
-   wire n_455;
-   wire n_456;
-   wire n_457;
-   wire n_458;
-   wire n_459;
-   wire n_460;
-   wire n_461;
-   wire n_462;
-   wire n_463;
-   wire n_464;
-   wire n_465;
-   wire n_466;
-   wire n_467;
-   wire n_468;
-   wire n_469;
-   wire n_470;
-   wire n_471;
-   wire n_472;
-   wire n_473;
-   wire n_474;
-   wire n_475;
-   wire n_476;
-   wire n_477;
-   wire n_478;
-   wire n_479;
-   wire n_480;
-   wire n_481;
-   wire n_482;
-   wire n_483;
-   wire n_484;
-   wire n_485;
-   wire n_486;
-   wire n_487;
-   wire n_488;
-   wire n_489;
-   wire n_490;
-   wire n_491;
-   wire n_492;
-   wire n_493;
-   wire n_494;
-   wire n_495;
-   wire n_496;
-   wire n_497;
-   wire n_498;
-   wire n_499;
-   wire n_500;
-   wire n_501;
-   wire n_502;
-   wire n_503;
-   wire n_504;
-   wire n_505;
-   wire n_506;
-   wire n_507;
-   wire n_508;
-   wire n_509;
-   wire n_510;
-   wire n_511;
-   wire n_512;
-   wire n_513;
-   wire n_514;
-   wire n_515;
-   wire n_516;
-   wire n_517;
-   wire n_518;
-   wire n_519;
-   wire n_520;
-   wire n_521;
-   wire n_522;
-   wire n_523;
-   wire n_524;
-   wire n_525;
-   wire n_526;
-   wire n_527;
-   wire n_528;
-   wire n_529;
-   wire n_530;
-   wire n_531;
-   wire n_532;
-   wire n_533;
-   wire n_534;
-   wire n_535;
-   wire n_536;
-   wire n_537;
-   wire n_538;
-   wire n_539;
-   wire n_540;
-   wire n_541;
-   wire n_542;
-   wire n_543;
-   wire n_544;
-   wire n_545;
-   wire n_546;
-   wire n_547;
-   wire n_548;
-   wire n_549;
-   wire n_550;
-   wire n_551;
-   wire n_552;
-   wire n_553;
-   wire n_554;
-   wire n_555;
-   wire n_556;
-   wire n_557;
-   wire n_558;
-   wire n_559;
-   wire n_560;
-   wire n_561;
-   wire n_562;
-   wire n_563;
-   wire n_564;
-   wire n_565;
-   wire n_566;
-   wire n_567;
-   wire n_568;
-   wire n_569;
-   wire n_570;
-   wire n_571;
-   wire n_572;
-   wire n_573;
-   wire n_574;
-   wire n_575;
-   wire n_576;
-   wire n_577;
-   wire n_578;
-   wire n_579;
-   wire n_580;
-   wire n_581;
-   wire n_582;
-   wire n_583;
-   wire n_584;
-   wire n_585;
-   wire n_586;
-   wire n_587;
-   wire n_588;
-   wire n_589;
-   wire n_590;
-   wire n_591;
-   wire n_592;
-   wire n_593;
-   wire n_594;
-   wire n_595;
-   wire n_596;
-   wire n_597;
-   wire n_598;
-   wire n_599;
-   wire n_600;
-   wire n_601;
-   wire n_602;
-   wire n_603;
-   wire n_604;
-   wire n_605;
-   wire n_606;
-   wire n_607;
-   wire n_608;
-   wire n_609;
-   wire n_610;
-   wire n_611;
-   wire n_612;
-   wire n_613;
-   wire n_614;
-   wire n_615;
-   wire n_616;
-   wire n_617;
-   wire n_618;
-   wire n_619;
-   wire n_620;
-   wire n_621;
-   wire n_622;
-   wire n_623;
-   wire n_624;
-   wire n_625;
-   wire n_626;
-   wire n_627;
-   wire n_628;
-   wire n_629;
-   wire n_630;
-   wire n_631;
-   wire n_632;
-   wire n_633;
-   wire n_634;
-   wire n_635;
-   wire n_636;
-   wire n_637;
-   wire n_638;
-   wire n_639;
-   wire n_640;
-   wire n_641;
-   wire n_642;
-   wire n_643;
-   wire n_644;
-   wire n_645;
-   wire n_646;
-   wire n_647;
-   wire n_648;
-   wire n_649;
-   wire n_650;
-   wire n_651;
-   wire n_652;
-   wire n_653;
-   wire n_654;
-   wire n_655;
-   wire n_656;
-   wire n_657;
-   wire n_658;
-   wire n_659;
-   wire n_660;
-   wire n_661;
-   wire n_662;
-   wire n_663;
-   wire n_664;
-   wire n_665;
-   wire n_666;
-   wire n_667;
-   wire n_668;
-   wire n_669;
-   wire n_670;
-   wire n_671;
-   wire n_672;
-   wire n_673;
-   wire n_674;
-   wire n_675;
-   wire n_676;
-   wire n_677;
-   wire n_678;
-   wire n_679;
-   wire n_680;
-   wire n_681;
-   wire n_682;
-   wire n_683;
-   wire n_684;
-   wire n_685;
-   wire n_686;
-   wire n_687;
-   wire n_688;
-   wire n_689;
-   wire n_690;
-   wire n_691;
-   wire n_692;
-   wire n_693;
-   wire n_694;
-   wire n_695;
-   wire n_696;
-   wire n_697;
-   wire n_698;
-   wire n_699;
-   wire n_700;
-   wire n_701;
-   wire n_702;
-   wire n_703;
-   wire n_704;
-   wire n_705;
-   wire n_706;
-   wire n_707;
-   wire n_708;
-   wire n_709;
-   wire n_710;
-   wire n_711;
-   wire n_712;
-   wire n_713;
-   wire n_714;
-   wire n_715;
-   wire n_716;
-   wire n_717;
-   wire n_718;
-   wire n_719;
-   wire n_720;
-   wire n_721;
-   wire n_722;
-   wire n_723;
-   wire n_724;
-   wire n_725;
-   wire n_726;
-   wire n_727;
-   wire n_728;
-   wire n_729;
-   wire n_730;
-   wire n_731;
-   wire n_732;
-   wire n_733;
-   wire n_734;
-   wire n_735;
-   wire n_736;
-   wire n_737;
-   wire n_738;
-   wire n_739;
-   wire n_740;
-   wire n_741;
-   wire n_742;
-   wire n_743;
-   wire n_744;
-   wire n_745;
-   wire n_746;
-   wire n_747;
-   wire n_748;
-   wire n_749;
-   wire n_750;
-   wire n_751;
-   wire n_752;
-   wire n_753;
-   wire n_754;
-   wire n_755;
-   wire n_756;
-   wire n_757;
-   wire n_758;
-   wire n_759;
-   wire n_760;
-   wire n_761;
-   wire n_762;
-   wire n_763;
-   wire n_764;
-   wire n_765;
-   wire n_766;
-   wire n_767;
-   wire n_768;
-   wire n_769;
-   wire n_770;
-   wire n_771;
-   wire n_772;
-   wire n_773;
-   wire n_774;
-   wire n_775;
-   wire n_776;
-   wire n_777;
-   wire n_778;
-   wire n_779;
-   wire n_780;
-   wire n_781;
-   wire n_782;
-   wire n_783;
-   wire n_784;
-   wire n_785;
-   wire n_786;
-   wire n_787;
-   wire n_788;
-   wire n_789;
-   wire n_790;
-   wire n_791;
-   wire n_792;
-   wire n_793;
-   wire n_794;
-   wire n_795;
-   wire n_796;
-   wire n_797;
-   wire n_798;
-   wire n_799;
-   wire n_800;
-   wire n_801;
-   wire n_802;
-   wire n_803;
-   wire n_804;
-   wire n_805;
-   wire n_806;
-   wire n_807;
-   wire n_808;
-   wire n_809;
-   wire n_810;
-   wire n_811;
-   wire n_812;
-   wire n_813;
-   wire n_814;
-   wire n_815;
-   wire n_816;
-   wire n_817;
-   wire n_818;
-   wire n_819;
-   wire n_820;
-   wire n_821;
-   wire n_822;
-   wire n_823;
-   wire n_824;
-   wire n_825;
-   wire n_826;
-   wire n_827;
-   wire n_828;
-   wire n_829;
-   wire n_830;
-   wire n_831;
-   wire n_832;
-   wire n_833;
-   wire n_834;
-   wire n_835;
-   wire n_836;
-   wire n_837;
-   wire n_838;
-   wire n_839;
-   wire n_840;
-   wire n_841;
-   wire n_842;
-   wire n_843;
-   wire n_844;
-   wire n_845;
-   wire n_846;
-   wire n_847;
-   wire n_848;
-   wire n_849;
-   wire n_850;
-   wire n_851;
-   wire n_852;
-   wire n_853;
-   wire n_854;
-   wire n_855;
-   wire n_856;
-   wire n_857;
-   wire n_858;
-   wire n_859;
-   wire n_860;
-   wire n_861;
-   wire n_862;
-   wire n_863;
-   wire n_864;
-   wire n_865;
-   wire n_866;
-   wire n_867;
-   wire n_868;
-   wire n_869;
-   wire n_870;
-   wire n_871;
-   wire n_872;
-   wire n_873;
-   wire n_874;
-   wire n_875;
-   wire n_876;
-   wire n_877;
-   wire n_878;
-   wire n_879;
-   wire n_880;
-   wire n_881;
-   wire n_882;
-   wire n_883;
-   wire n_884;
-   wire n_885;
-   wire n_886;
-   wire n_887;
-   wire n_888;
-   wire n_889;
-   wire n_890;
-   wire n_891;
-   wire n_892;
-   wire n_893;
-   wire n_894;
-   wire n_895;
-   wire n_896;
-   wire n_897;
-   wire n_898;
-   wire n_899;
-   wire n_900;
-   wire n_901;
-   wire n_902;
-   wire n_903;
-   wire n_904;
-   wire n_905;
-   wire n_906;
-   wire n_907;
-   wire n_908;
-   wire n_909;
-   wire n_910;
-   wire n_911;
-   wire n_912;
-   wire n_913;
-   wire n_914;
-   wire n_915;
-   wire n_916;
-   wire n_917;
-   wire n_918;
-   wire n_919;
-   wire n_920;
-   wire n_921;
-   wire n_922;
-   wire n_923;
-   wire n_924;
-   wire n_925;
-   wire n_926;
-   wire n_927;
-   wire n_928;
-   wire n_929;
-   wire n_930;
-   wire n_931;
-   wire n_932;
-   wire n_933;
-   wire n_934;
-   wire n_935;
-   wire n_936;
-   wire n_937;
-   wire n_938;
-   wire n_939;
-   wire n_940;
-   wire n_941;
-   wire n_942;
-   wire n_943;
-   wire n_944;
-   wire n_945;
-   wire n_946;
-   wire n_947;
-   wire n_948;
-   wire n_949;
-   wire n_950;
-   wire n_951;
-   wire n_952;
-   wire n_953;
-   wire n_954;
-   wire n_955;
-   wire n_956;
-   wire n_957;
-   wire n_958;
-   wire n_959;
-   wire n_960;
-   wire n_961;
-   wire n_962;
-   wire n_963;
-   wire n_964;
-   wire n_965;
-   wire n_966;
-   wire n_967;
-   wire n_968;
-   wire n_969;
-   wire n_970;
-   wire n_971;
-   wire n_972;
-   wire n_973;
-   wire n_974;
-   wire n_975;
-   wire n_976;
-   wire n_977;
-   wire n_978;
-   wire n_979;
-   wire n_980;
-   wire n_981;
-   wire n_982;
-   wire n_983;
-   wire n_984;
-   wire n_985;
-   wire n_986;
-   wire n_987;
-   wire n_988;
-   wire n_989;
-   wire n_990;
-   wire n_991;
-   wire n_992;
-   wire n_993;
-   wire n_994;
-   wire n_995;
-   wire n_996;
-   wire n_997;
-   wire n_998;
-   wire n_999;
-   wire n_1000;
-   wire n_1001;
-   wire n_1002;
-   wire n_1003;
-   wire n_1004;
-   wire n_1005;
-   wire n_1006;
-   wire n_1007;
-   wire n_1008;
-   wire n_1009;
-   wire n_1010;
-   wire n_1011;
-   wire n_1012;
-   wire n_1013;
-   wire n_1014;
-   wire n_1015;
-   wire n_1016;
-   wire n_1017;
-   wire n_1018;
-   wire n_1019;
-   wire n_1020;
-   wire n_1021;
-   wire n_1022;
-   wire n_1023;
-   wire n_1024;
-   wire n_1025;
-   wire n_1026;
-   wire n_1027;
-   wire n_1028;
-   wire n_1029;
-   wire n_1030;
-   wire n_1031;
-   wire n_1032;
-   wire n_1033;
-   wire n_1034;
-   wire n_1035;
-   wire n_1036;
-   wire n_1037;
-   wire n_1038;
-   wire n_1039;
-   wire n_1040;
-   wire n_1041;
-   wire n_1042;
-   wire n_1043;
-   wire n_1044;
-   wire n_1045;
-   wire n_1046;
-   wire n_1047;
-   wire n_1048;
-   wire n_1049;
-   wire n_1050;
-   wire n_1051;
-   wire n_1052;
-   wire n_1053;
-   wire n_1054;
-   wire n_1055;
-   wire n_1056;
-   wire n_1057;
-   wire n_1058;
-   wire n_1059;
-   wire n_1060;
-   wire n_1061;
-   wire n_1062;
-   wire n_1063;
-   wire n_1064;
-   wire n_1065;
-   wire n_1066;
-   wire n_1067;
-   wire n_1068;
-   wire n_1069;
-   wire n_1070;
-   wire n_1071;
-   wire n_1072;
-   wire n_1073;
-   wire n_1074;
-   wire n_1075;
-   wire n_1076;
-   wire n_1077;
-   wire n_1078;
-   wire n_1079;
-   wire n_1080;
-   wire n_1081;
-   wire n_1082;
-   wire n_1083;
-   wire n_1084;
-   wire n_1085;
-   wire n_1086;
-   wire n_1087;
-   wire n_1088;
-   wire n_1089;
-   wire n_1090;
-   wire n_1091;
-   wire n_1092;
-   wire n_1093;
-   wire n_1094;
-   wire n_1095;
-   wire n_1096;
-   wire n_1097;
-   wire n_1098;
-   wire n_1099;
-   wire n_1100;
-   wire n_1101;
-   wire n_1102;
-   wire n_1103;
-   wire n_1104;
-   wire n_1105;
-   wire n_1106;
-   wire n_1107;
-   wire n_1108;
-   wire n_1109;
-   wire n_1110;
-   wire n_1111;
-   wire n_1112;
-   wire n_1113;
-   wire n_1114;
-   wire n_1115;
-   wire n_1116;
-   wire n_1117;
-   wire n_1118;
-   wire n_1119;
-   wire n_1120;
-   wire n_1121;
-   wire n_1122;
-   wire n_1123;
-   wire n_1124;
-   wire n_1125;
-   wire n_1126;
-   wire n_1127;
-   wire n_1128;
-   wire n_1129;
-   wire n_1130;
-   wire n_1131;
-   wire n_1132;
-   wire n_1133;
-   wire n_1134;
-   wire n_1135;
-   wire n_1136;
-   wire n_1137;
-   wire n_1138;
-   wire n_1139;
-   wire n_1140;
-   wire n_1141;
-   wire n_1142;
-   wire n_1143;
-   wire n_1144;
-   wire n_1145;
-   wire n_1146;
-   wire n_1147;
-   wire n_1148;
-   wire n_1149;
-   wire n_1150;
-   wire n_1151;
-   wire n_1152;
-   wire n_1153;
-   wire n_1154;
-   wire n_1155;
-   wire n_1156;
-   wire n_1157;
-   wire n_1158;
-   wire n_1159;
-   wire n_1160;
-   wire n_1161;
-   wire n_1162;
-   wire n_1163;
-   wire n_1164;
-   wire n_1165;
-   wire n_1166;
-   wire n_1167;
-   wire n_1168;
-   wire n_1169;
-   wire n_1170;
-   wire n_1171;
-   wire n_1172;
-   wire n_1173;
-   wire n_1174;
-   wire n_1175;
-   wire n_1176;
-   wire n_1177;
-   wire n_1178;
-   wire n_1179;
-   wire n_1180;
-   wire n_1181;
-   wire n_1182;
-   wire n_1183;
-   wire n_1184;
-   wire n_1185;
-   wire n_1186;
-   wire n_1187;
-   wire n_1188;
-   wire n_1189;
-   wire n_1190;
-   wire n_1191;
-   wire n_1192;
-   wire n_1193;
-   wire n_1194;
-   wire n_1195;
-   wire n_1196;
-   wire n_1197;
-   wire n_1198;
-   wire n_1199;
-   wire n_1200;
-   wire n_1201;
-   wire n_1202;
-   wire n_1203;
-   wire n_1204;
-   wire n_1205;
-   wire n_1206;
-   wire n_1207;
-   wire n_1208;
-   wire n_1209;
-   wire n_1210;
-   wire n_1211;
-   wire n_1212;
-   wire n_1213;
-   wire n_1214;
-   wire n_1215;
-   wire n_1216;
-   wire n_1217;
-   wire n_1218;
-   wire n_1219;
-   wire n_1220;
-   wire n_1221;
-   wire n_1222;
-   wire n_1223;
-   wire n_1224;
-   wire n_1225;
-   wire n_1226;
-   wire n_1227;
-   wire n_1228;
-   wire n_1229;
-   wire n_1230;
-   wire n_1231;
-   wire n_1232;
-   wire n_1233;
-   wire n_1234;
-   wire n_1235;
-   wire n_1236;
-   wire n_1237;
-   wire n_1238;
-   wire n_1239;
-   wire n_1240;
-   wire n_1241;
-   wire n_1242;
-   wire n_1243;
-   wire n_1244;
-   wire n_1245;
-   wire n_1246;
-   wire n_1247;
-   wire n_1248;
-   wire n_1249;
-   wire n_1250;
-   wire n_1251;
-   wire n_1252;
-   wire n_1253;
-   wire n_1254;
-   wire n_1255;
-   wire n_1256;
-   wire n_1257;
-   wire n_1258;
-   wire n_1259;
-   wire n_1260;
-   wire n_1261;
-   wire n_1262;
-   wire n_1263;
-   wire n_1264;
-   wire n_1265;
-   wire n_1266;
-   wire n_1267;
-   wire n_1268;
-   wire n_1269;
-   wire n_1270;
-   wire n_1271;
-   wire n_1272;
-   wire n_1273;
-   wire n_1274;
-   wire n_1275;
-   wire n_1276;
-   wire n_1277;
-   wire n_1278;
-   wire n_1279;
-   wire n_1280;
-   wire n_1281;
-   wire n_1282;
-   wire n_1283;
-   wire n_1284;
-   wire n_1285;
-   wire n_1286;
-   wire n_1287;
-   wire n_1288;
-   wire n_1289;
-   wire n_1290;
-   wire n_1291;
-   wire n_1292;
-   wire n_1293;
-   wire n_1294;
-   wire n_1295;
-   wire n_1296;
-   wire n_1297;
-   wire n_1298;
-   wire n_1299;
-   wire n_1300;
-   wire n_1301;
-   wire n_1302;
-   wire n_1303;
-   wire n_1304;
-   wire n_1305;
-   wire n_1306;
-   wire n_1307;
-   wire n_1308;
-   wire n_1309;
-   wire n_1310;
-   wire n_1311;
-   wire n_1312;
-   wire n_1313;
-   wire n_1314;
-   wire n_1315;
-   wire n_1316;
-   wire n_1317;
-   wire n_1318;
-   wire n_1319;
-   wire n_1320;
-   wire n_1321;
-   wire n_1322;
-   wire n_1323;
-   wire n_1324;
-   wire n_1325;
-   wire n_1326;
-   wire n_1327;
-   wire n_1328;
-   wire n_1329;
-   wire n_1330;
-   wire n_1331;
-   wire n_1332;
-   wire n_1333;
-   wire n_1334;
-   wire n_1335;
-   wire n_1336;
-   wire n_1337;
-   wire n_1338;
-   wire n_1339;
-   wire n_1340;
-   wire n_1341;
-   wire n_1342;
-   wire n_1343;
-   wire n_1344;
-   wire n_1345;
-   wire n_1346;
-   wire n_1347;
-   wire n_1348;
-   wire n_1349;
-   wire n_1350;
-   wire n_1351;
-   wire n_1352;
-   wire n_1353;
-   wire n_1354;
-   wire n_1355;
-   wire n_1356;
-   wire n_1357;
-   wire n_1358;
-   wire n_1359;
-   wire n_1360;
-   wire n_1361;
-   wire n_1362;
-   wire n_1363;
-   wire n_1364;
-   wire n_1365;
-   wire n_1366;
-   wire n_1367;
-   wire n_1368;
-   wire n_1369;
-   wire n_1370;
-   wire n_1371;
-   wire n_1372;
-   wire n_1373;
-   wire n_1374;
-   wire n_1375;
-   wire n_1376;
-   wire n_1377;
-   wire n_1378;
-   wire n_1379;
-   wire n_1380;
-   wire n_1381;
-   wire n_1382;
-   wire n_1383;
-   wire n_1384;
-   wire n_1385;
-   wire n_1386;
-   wire n_1387;
-   wire n_1388;
-   wire n_1389;
-   wire n_1390;
-   wire n_1391;
-   wire n_1392;
-   wire n_1393;
-   wire n_1394;
-   wire n_1395;
-   wire n_1396;
-   wire n_1397;
-   wire n_1398;
-   wire n_1399;
-   wire n_1400;
-   wire n_1401;
-   wire n_1402;
-   wire n_1403;
-   wire n_1404;
-   wire n_1405;
-   wire n_1406;
-   wire n_1407;
-   wire n_1408;
-   wire n_1409;
-   wire n_1410;
-   wire n_1411;
-   wire n_1412;
-   wire n_1413;
-   wire n_1414;
-   wire n_1415;
-   wire n_1416;
-   wire n_1417;
-   wire n_1418;
-   wire n_1419;
-   wire n_1420;
-   wire n_1421;
-   wire n_1422;
-   wire n_1423;
-   wire n_1424;
-   wire n_1425;
-   wire n_1426;
-   wire n_1427;
-   wire n_1428;
-   wire n_1429;
-   wire n_1430;
-   wire n_1431;
-   wire n_1432;
-   wire n_1433;
-   wire n_1434;
-   wire n_1435;
-   wire n_1436;
-   wire n_1437;
-   wire n_1438;
-   wire n_1439;
-   wire n_1440;
-   wire n_1441;
-   wire n_1442;
-   wire n_1443;
-   wire n_1444;
-   wire n_1445;
-   wire n_1446;
-   wire n_1447;
-   wire n_1448;
-   wire n_1449;
-   wire n_1450;
-   wire n_1451;
-   wire n_1452;
-   wire n_1453;
-   wire n_1454;
-   wire n_1455;
-   wire n_1456;
-   wire n_1457;
-   wire n_1458;
-   wire n_1459;
-   wire n_1460;
-   wire n_1461;
-   wire n_1462;
-   wire n_1463;
-   wire n_1464;
-   wire n_1465;
-   wire n_1466;
-   wire n_1467;
-   wire n_1468;
-   wire n_1469;
-   wire n_1470;
-   wire n_1471;
-   wire n_1472;
-   wire n_1473;
-   wire n_1474;
-   wire n_1475;
-   wire n_1476;
-   wire n_1477;
-   wire n_1478;
-   wire n_1479;
-   wire n_1480;
-   wire n_1481;
-   wire n_1482;
-   wire n_1483;
-   wire n_1484;
-   wire n_1485;
-   wire n_1486;
-   wire n_1487;
-   wire n_1488;
-   wire n_1489;
-   wire n_1490;
-   wire n_1491;
-   wire n_1492;
-   wire n_1493;
-   wire n_1494;
-   wire n_1495;
-   wire n_1496;
-   wire n_1497;
-   wire n_1498;
-   wire n_1499;
-   wire n_1500;
-   wire n_1501;
-   wire n_1502;
-   wire n_1503;
-   wire n_1504;
-   wire n_1505;
-   wire n_1506;
-   wire n_1507;
-   wire n_1508;
-   wire n_1509;
-   wire n_1510;
-   wire n_1511;
-   wire n_1512;
-   wire n_1513;
-   wire n_1514;
-   wire n_1515;
-   wire n_1516;
-   wire n_1517;
-   wire n_1518;
-   wire n_1519;
-   wire n_1520;
-   wire n_1521;
-   wire n_1522;
-   wire n_1523;
-   wire n_1524;
-   wire n_1525;
-   wire n_1526;
-   wire n_1527;
-   wire n_1528;
-   wire n_1529;
-   wire n_1530;
-   wire n_1531;
-   wire n_1532;
-   wire n_1533;
-   wire n_1534;
-   wire n_1535;
-   wire n_1536;
-   wire n_1537;
-   wire n_1538;
-   wire n_1539;
-   wire n_1540;
-   wire n_1541;
-   wire n_1542;
-   wire n_1543;
-   wire n_1544;
-   wire n_1545;
-   wire n_1546;
-   wire n_1547;
-   wire n_1548;
-   wire n_1549;
-   wire n_1550;
-   wire n_1551;
-   wire n_1552;
-   wire n_1553;
-   wire n_1554;
-   wire n_1555;
-   wire n_1556;
-   wire n_1557;
-   wire n_1558;
-   wire n_1559;
-   wire n_1560;
-   wire n_1561;
-   wire n_1562;
-   wire n_1563;
-   wire n_1564;
-   wire n_1565;
-   wire n_1566;
-   wire n_1567;
-   wire n_1568;
-   wire n_1569;
-   wire n_1570;
-   wire n_1571;
-   wire n_1572;
-   wire n_1573;
-   wire n_1574;
-   wire n_1575;
-   wire n_1576;
-   wire n_1577;
-   wire n_1578;
-   wire n_1579;
-   wire n_1580;
-   wire n_1581;
-   wire n_1582;
-   wire n_1583;
-   wire n_1584;
-   wire n_1585;
-   wire n_1586;
-   wire n_1587;
-   wire n_1588;
-   wire n_1589;
-   wire n_1590;
-   wire n_1591;
-   wire n_1592;
-   wire n_1593;
-   wire n_1594;
-   wire n_1595;
-   wire n_1596;
-   wire n_1597;
-   wire n_1598;
-   wire n_1599;
-   wire n_1600;
-   wire n_1601;
-   wire n_1602;
-   wire n_1603;
-   wire n_1604;
-   wire n_1605;
-   wire n_1606;
-   wire n_1607;
-   wire n_1608;
-   wire n_1609;
-   wire n_1610;
-   wire n_1611;
-   wire n_1612;
-   wire n_1613;
-   wire n_1614;
-   wire n_1615;
-   wire n_1616;
-   wire n_1617;
-   wire n_1618;
-   wire n_1619;
-   wire n_1620;
-   wire n_1621;
-   wire n_1622;
-   wire n_1623;
-   wire n_1624;
-   wire n_1625;
-   wire n_1626;
-   wire n_1627;
-   wire n_1628;
-   wire n_1629;
-   wire n_1630;
-   wire n_1631;
-   wire n_1632;
-   wire n_1633;
-   wire n_1634;
-   wire n_1635;
-   wire n_1636;
-   wire n_1637;
-   wire n_1638;
-   wire n_1639;
-   wire n_1640;
-   wire n_1641;
-   wire n_1642;
-   wire n_1643;
-   wire n_1644;
-   wire n_1645;
-   wire n_1646;
-   wire n_1647;
-   wire n_1648;
-   wire n_1649;
-   wire n_1650;
-   wire n_1651;
-   wire n_1652;
-   wire n_1653;
-   wire n_1654;
-   wire n_1655;
-   wire n_1656;
-   wire n_1657;
-   wire n_1658;
-   wire n_1659;
-   wire n_1660;
-   wire n_1661;
-   wire n_1662;
-   wire n_1663;
-   wire n_1664;
-   wire n_1665;
-   wire n_1666;
-   wire n_1667;
-   wire n_1668;
-   wire n_1669;
-   wire n_1670;
-   wire n_1671;
-   wire n_1672;
-   wire n_1673;
-   wire n_1674;
-   wire n_1675;
-   wire n_1676;
-   wire n_1677;
-   wire n_1678;
-   wire n_1679;
-   wire n_1680;
-   wire n_1681;
-   wire n_1682;
-   wire n_1683;
-   wire n_1684;
-   wire n_1685;
-   wire n_1686;
-   wire n_1687;
-   wire n_1688;
-   wire n_1689;
-   wire n_1690;
-   wire n_1691;
-   wire n_1692;
-   wire n_1693;
-   wire n_1694;
-   wire n_1695;
-   wire n_1696;
-   wire n_1697;
-   wire n_1698;
-   wire n_1699;
-   wire n_1700;
-   wire n_1701;
-   wire n_1702;
-   wire n_1703;
-   wire n_1704;
-   wire n_1705;
-   wire n_1706;
-   wire n_1707;
-   wire n_1708;
-   wire n_1709;
-   wire n_1710;
-   wire n_1711;
-   wire n_1712;
-   wire n_1713;
-   wire n_1714;
-   wire n_1715;
-   wire n_1716;
-   wire n_1717;
-   wire n_1718;
-   wire n_1719;
-   wire n_1720;
-   wire n_1721;
-   wire n_1722;
-   wire n_1723;
-   wire n_1724;
-   wire n_1725;
-   wire n_1726;
-   wire n_1727;
-   wire n_1728;
-   wire n_1729;
-   wire n_1730;
-   wire n_1731;
-   wire n_1732;
-   wire n_1733;
-   wire n_1734;
-   wire n_1735;
-   wire n_1736;
-   wire n_1737;
-   wire n_1738;
-   wire n_1739;
-   wire n_1740;
-   wire n_1741;
-   wire n_1742;
-   wire n_1743;
-   wire n_1744;
-   wire n_1745;
-   wire n_1746;
-   wire n_1747;
-   wire n_1748;
-   wire n_1749;
-   wire n_1750;
-   wire n_1751;
-   wire n_1752;
-   wire n_1753;
-   wire n_1754;
-   wire n_1755;
-   wire n_1756;
-   wire n_1757;
-   wire n_1758;
-   wire n_1759;
-   wire n_1760;
-   wire n_1761;
-   wire n_1762;
-   wire n_1763;
-   wire n_1764;
-   wire n_1765;
-   wire n_1766;
-   wire n_1767;
-   wire n_1768;
-   wire n_1769;
-   wire n_1770;
-   wire n_1771;
-   wire n_1772;
-   wire n_1773;
-   wire n_1774;
-   wire n_1775;
-   wire n_1776;
-   wire n_1777;
-   wire n_1778;
-   wire n_1779;
-   wire n_1780;
-   wire n_1781;
-   wire n_1782;
-   wire n_1783;
-   wire n_1784;
-   wire n_1785;
-   wire n_1786;
-   wire n_1787;
-   wire n_1788;
-   wire n_1789;
-   wire n_1790;
-   wire n_1791;
-   wire n_1792;
-   wire n_1793;
-   wire n_1794;
-   wire n_1795;
-   wire n_1796;
-   wire n_1797;
-   wire n_1798;
-   wire n_1799;
-   wire n_1800;
-   wire n_1801;
-   wire n_1802;
-   wire n_1803;
-   wire n_1804;
-   wire n_1805;
-   wire n_1806;
-   wire n_1807;
-   wire n_1808;
-   wire n_1809;
-   wire n_1810;
-   wire n_1811;
-   wire n_1812;
-   wire n_1813;
-   wire n_1814;
-   wire n_1815;
-   wire n_1816;
-   wire n_1817;
-   wire n_1818;
-   wire n_1819;
-   wire n_1820;
-   wire n_1821;
-   wire n_1822;
-   wire n_1823;
-   wire n_1824;
-   wire n_1825;
-   wire n_1826;
-   wire n_1827;
-   wire n_1828;
-   wire n_1829;
-   wire n_1830;
-   wire n_1831;
-   wire n_1832;
-   wire n_1833;
-   wire n_1834;
-   wire n_1835;
-   wire n_1836;
-   wire n_1837;
-   wire n_1838;
-   wire n_1839;
-   wire n_1840;
-   wire n_1841;
-   wire n_1842;
-   wire n_1843;
-   wire n_1844;
-   wire n_1845;
-   wire n_1846;
-   wire n_1847;
-   wire n_1848;
-   wire n_1849;
-   wire n_1850;
-   wire n_1851;
-   wire n_1852;
-   wire n_1853;
-   wire n_1854;
-   wire n_1855;
-   wire n_1856;
-   wire n_1857;
-   wire n_1858;
-   wire n_1859;
-   wire n_1860;
-   wire n_1861;
-   wire n_1862;
-   wire n_1863;
-   wire n_1864;
-   wire n_1865;
-   wire n_1866;
-   wire n_1867;
-   wire n_1868;
-   wire n_1869;
-   wire n_1870;
-   wire n_1871;
-   wire n_1872;
-   wire n_1873;
-   wire n_1874;
-   wire n_1875;
-   wire n_1876;
-   wire n_1877;
-   wire n_1878;
-   wire n_1879;
-   wire n_1880;
-   wire n_1881;
-   wire n_1882;
-   wire n_1883;
-   wire n_1884;
-   wire n_1885;
-   wire n_1886;
-   wire n_1887;
-   wire n_1888;
-   wire n_1889;
-   wire n_1890;
-   wire n_1891;
-   wire n_1892;
-   wire n_1893;
-   wire n_1894;
-   wire n_1895;
-   wire n_1896;
-   wire n_1897;
-   wire n_1898;
-   wire n_1899;
-   wire n_1900;
-   wire n_1901;
-   wire n_1902;
-   wire n_1903;
-   wire n_1904;
-   wire n_1905;
-   wire n_1906;
-   wire n_1907;
-   wire n_1908;
-   wire n_1909;
-   wire n_1910;
-   wire n_1911;
-   wire n_1912;
-   wire n_1913;
-   wire n_1914;
-   wire n_1915;
-   wire n_1916;
-   wire n_1917;
-   wire n_1918;
-   wire n_1919;
-   wire n_1920;
-   wire n_1921;
-   wire n_1922;
-   wire n_1923;
-   wire n_1924;
-   wire n_1925;
-   wire n_1926;
-   wire n_1927;
-   wire n_1928;
-   wire n_1929;
-   wire n_1930;
-   wire n_1931;
-   wire n_1932;
-   wire n_1933;
-   wire n_1934;
-   wire n_1935;
-   wire n_1936;
-   wire n_1937;
-   wire n_1938;
-   wire n_1939;
-   wire n_1940;
-   wire n_1941;
-   wire n_1942;
-   wire n_1943;
-   wire n_1944;
-   wire n_1945;
-   wire n_1946;
-   wire n_1947;
-   wire n_1948;
-   wire n_1949;
-   wire n_1950;
-   wire n_1951;
-   wire n_1952;
-   wire n_1953;
-   wire n_1954;
-   wire n_1955;
-   wire n_1956;
-   wire n_1957;
-   wire n_1958;
-   wire n_1959;
-   wire n_1960;
-   wire n_1961;
-   wire n_1962;
-   wire n_1963;
-   wire n_1964;
-   wire n_1965;
-   wire n_1966;
-   wire n_1967;
-   wire n_1968;
-   wire n_1969;
-   wire n_1970;
-   wire n_1971;
-   wire n_1972;
-   wire n_1973;
-   wire n_1974;
-   wire n_1975;
-   wire n_1976;
-   wire n_1977;
-   wire n_1978;
-   wire n_1979;
-   wire n_1980;
-   wire n_1981;
-   wire n_1982;
-   wire n_1983;
-   wire n_1984;
-   wire n_1985;
-   wire n_1986;
-   wire n_1987;
-   wire n_1988;
-   wire n_1989;
-   wire n_1990;
-   wire n_1991;
-   wire n_1992;
-   wire n_1993;
-   wire n_1994;
-   wire n_1995;
-   wire n_1996;
-   wire n_1997;
-   wire n_1998;
-   wire n_1999;
-   wire n_2000;
-   wire n_2001;
-   wire n_2002;
-   wire n_2003;
-   wire n_2004;
-   wire n_2005;
-   wire n_2006;
-   wire n_2007;
-   wire n_2008;
-   wire n_2009;
-   wire n_2010;
-   wire n_2011;
-   wire n_2012;
-   wire n_2013;
-   wire n_2014;
-   wire n_2015;
-   wire n_2016;
-   wire n_2017;
-   wire n_2018;
-   wire n_2019;
-   wire n_2020;
-   wire n_2021;
-   wire n_2022;
-   wire n_2023;
-   wire n_2024;
-   wire n_2025;
-   wire n_2026;
-   wire n_2027;
-   wire n_2028;
-   wire n_2029;
-   wire n_2030;
-   wire n_2031;
-   wire n_2032;
-   wire n_2033;
-   wire n_2034;
-   wire n_2035;
-   wire n_2036;
-   wire n_2037;
-   wire n_2038;
-   wire n_2039;
-   wire n_2040;
-   wire n_2041;
-   wire n_2042;
-   wire n_2043;
-   wire n_2044;
-   wire n_2045;
-   wire n_2046;
-   wire n_2047;
-   wire n_2048;
-   wire n_2049;
-   wire n_2050;
-   wire n_2051;
-   wire n_2052;
-   wire n_2053;
-   wire n_2054;
-   wire n_2055;
-   wire n_2056;
-   wire n_2057;
-   wire n_2058;
-   wire n_2059;
-   wire n_2060;
-   wire n_2061;
-   wire n_2062;
-   wire n_2063;
-   wire n_2064;
-   wire n_2065;
-   wire n_2066;
-   wire n_2067;
-   wire n_2068;
-   wire n_2069;
-   wire n_2070;
-   wire n_2071;
-   wire n_2072;
-   wire n_2073;
-   wire n_2074;
-   wire n_2075;
-   wire n_2076;
-   wire n_2077;
-   wire n_2078;
-   wire n_2079;
-   wire n_2080;
-   wire n_2081;
-   wire n_2082;
-   wire n_2083;
-   wire n_2084;
-   wire n_2085;
-   wire n_2086;
-   wire n_2087;
-   wire n_2088;
-   wire n_2089;
-   wire n_2090;
-   wire n_2091;
-   wire n_2092;
-   wire n_2093;
-   wire n_2094;
-   wire n_2095;
-   wire n_2096;
-   wire n_2097;
-   wire n_2098;
-   wire n_2099;
-   wire n_2100;
-   wire n_2101;
-   wire n_2102;
-   wire n_2103;
-   wire n_2104;
-   wire n_2105;
-   wire n_2106;
-   wire n_2107;
-   wire n_2108;
-   wire n_2109;
-   wire n_2110;
-   wire n_2111;
-   wire n_2112;
-   wire n_2113;
-   wire n_2114;
-   wire n_2115;
-   wire n_2116;
-   wire n_2117;
-   wire n_2118;
-   wire n_2119;
-   wire n_2120;
-   wire n_2121;
-   wire n_2122;
-   wire n_2123;
-   wire n_2124;
-   wire n_2125;
-   wire n_2126;
-   wire n_2127;
-   wire n_2128;
-   wire n_2129;
-   wire n_2130;
-   wire n_2131;
-   wire n_2132;
-   wire n_2133;
-   wire n_2134;
-   wire n_2135;
-   wire n_2136;
-   wire n_2137;
-   wire n_2138;
-   wire n_2139;
-   wire n_2140;
-   wire n_2141;
-   wire n_2142;
-   wire n_2143;
-   wire n_2144;
-   wire n_2145;
-   wire n_2146;
-   wire n_2147;
-   wire n_2148;
-   wire n_2149;
-   wire n_2150;
-   wire n_2151;
-   wire n_2152;
-   wire n_2153;
-   wire n_2154;
-   wire n_2155;
-   wire n_2156;
-   wire n_2157;
-   wire n_2158;
-   wire n_2159;
-   wire n_2160;
-   wire n_2161;
-   wire n_2162;
-   wire n_2163;
-   wire n_2164;
-   wire n_2165;
-   wire n_2166;
-   wire n_2167;
-   wire n_2168;
-   wire n_2169;
-   wire n_2170;
-   wire n_2171;
-   wire n_2172;
-   wire n_2173;
-   wire n_2174;
-   wire n_2175;
-   wire n_2176;
-   wire n_2177;
-   wire n_2178;
-   wire n_2179;
-   wire n_2180;
-   wire n_2181;
-   wire n_2182;
-   wire n_2183;
-   wire n_2184;
-   wire n_2185;
-   wire n_2186;
-   wire n_2187;
-   wire n_2188;
-   wire n_2189;
-   wire n_2190;
-   wire n_2191;
-   wire n_2192;
-   wire n_2193;
-   wire n_2194;
-   wire n_2195;
-   wire n_2196;
-   wire n_2197;
-   wire n_2198;
-   wire n_2199;
-   wire n_2200;
-   wire n_2201;
-   wire n_2202;
-   wire n_2203;
-   wire n_2204;
-   wire n_2205;
-   wire n_2206;
-   wire n_2207;
-   wire n_2208;
-   wire n_2209;
-   wire n_2210;
-   wire n_2211;
-   wire n_2212;
-   wire n_2213;
-   wire n_2214;
-   wire n_2215;
-   wire n_2216;
-   wire n_2217;
-   wire n_2218;
-   wire n_2219;
-   wire n_2220;
-   wire n_2221;
-   wire n_2222;
-   wire n_2223;
-   wire n_2224;
-   wire n_2225;
-   wire n_2226;
-   wire n_2227;
-   wire n_2228;
-   wire n_2229;
-   wire n_2230;
-   wire n_2231;
-   wire n_2232;
-   wire n_2233;
-   wire n_2234;
-   wire n_2235;
-   wire n_2236;
-   wire n_2237;
-   wire n_2238;
-   wire n_2239;
-   wire n_2240;
-   wire n_2241;
-   wire n_2242;
-   wire n_2243;
-   wire n_2244;
-   wire n_2245;
-   wire n_2246;
-   wire n_2247;
-   wire n_2248;
-   wire n_2249;
-   wire n_2250;
-   wire n_2251;
-   wire n_2252;
-   wire n_2253;
-   wire n_2254;
-   wire n_2255;
-   wire n_2256;
-   wire n_2257;
-   wire n_2258;
-   wire n_2259;
-   wire n_2260;
-   wire n_2261;
-   wire n_2262;
-   wire n_2263;
-   wire n_2264;
-   wire n_2265;
-   wire n_2266;
-   wire n_2267;
-   wire n_2268;
-   wire n_2269;
-   wire n_2270;
-   wire n_2271;
-   wire n_2272;
-   wire n_2273;
-   wire n_2274;
-   wire n_2275;
-   wire n_2276;
-   wire n_2277;
-   wire n_2278;
-   wire n_2279;
-   wire n_2280;
-   wire n_2281;
-   wire n_2282;
-   wire n_2283;
-   wire n_2284;
-   wire n_2285;
-   wire n_2286;
-   wire n_2287;
-   wire n_2288;
-   wire n_2289;
-   wire n_2290;
-   wire n_2291;
-   wire n_2292;
-   wire n_2293;
-   wire n_2294;
-   wire n_2295;
-   wire n_2296;
-   wire n_2297;
-   wire n_2298;
-   wire n_2299;
-   wire n_2300;
-   wire n_2301;
-   wire n_2302;
-   wire n_2303;
-   wire n_2304;
-   wire n_2305;
-   wire n_2306;
-   wire n_2307;
-   wire n_2308;
-   wire n_2309;
-   wire n_2310;
-   wire n_2311;
-   wire n_2312;
-   wire n_2313;
-   wire n_2314;
-   wire n_2315;
-   wire n_2316;
-   wire n_2317;
-   wire n_2318;
-   wire n_2319;
-   wire n_2320;
-   wire n_2321;
-   wire n_2322;
-   wire n_2323;
-   wire n_2324;
-   wire n_2325;
-   wire n_2326;
-   wire n_2327;
-   wire n_2328;
-   wire n_2329;
-   wire n_2330;
-   wire n_2331;
-   wire n_2332;
-   wire n_2333;
-   wire n_2334;
-   wire n_2335;
-   wire n_2336;
-   wire n_2337;
-   wire n_2338;
-   wire n_2339;
-   wire n_2340;
-   wire n_2341;
-   wire n_2342;
-   wire n_2343;
-   wire n_2344;
-   wire n_2345;
-   wire n_2346;
-   wire n_2347;
-   wire n_2348;
-   wire n_2349;
-   wire n_2350;
-   wire n_2351;
-   wire n_2352;
-   wire n_2353;
-   wire n_2354;
-   wire n_2355;
-   wire n_2356;
-   wire n_2357;
-   wire n_2358;
-   wire n_2359;
-   wire n_2360;
-   wire n_2361;
-   wire n_2362;
-   wire n_2363;
-   wire n_2364;
-   wire n_2365;
-   wire n_2366;
-   wire n_2367;
-   wire n_2368;
-   wire n_2369;
-   wire n_2370;
-   wire n_2371;
-   wire n_2372;
-   wire n_2373;
-   wire n_2374;
-   wire n_2375;
-   wire n_2376;
-   wire n_2377;
-   wire n_2378;
-   wire n_2379;
-   wire n_2380;
-   wire n_2381;
-   wire n_2382;
-   wire n_2383;
-   wire n_2384;
-   wire n_2385;
-   wire n_2386;
-   wire n_2387;
-   wire n_2388;
-   wire n_2389;
-   wire n_2390;
-   wire n_2391;
-   wire n_2392;
-   wire n_2393;
-   wire n_2394;
-   wire n_2395;
-   wire n_2396;
-   wire n_2397;
-   wire n_2398;
-   wire n_2399;
-   wire n_2400;
-   wire n_2401;
-   wire n_2402;
-   wire n_2403;
-   wire n_2404;
-   wire n_2405;
-   wire n_2406;
-   wire n_2407;
-   wire n_2408;
-   wire n_2409;
-   wire n_2410;
-   wire n_2411;
-   wire n_2412;
-   wire n_2413;
-   wire n_2414;
-   wire n_2415;
-   wire n_2416;
-   wire n_2417;
-   wire n_2418;
-   wire n_2419;
-   wire n_2420;
-   wire n_2421;
-   wire n_2422;
-   wire n_2423;
-   wire n_2424;
-   wire n_2425;
-   wire n_2426;
-   wire n_2427;
-   wire n_2428;
-   wire n_2429;
-   wire n_2430;
-   wire n_2431;
-   wire n_2432;
-   wire n_2433;
-   wire n_2434;
-   wire n_2435;
-   wire n_2436;
-   wire n_2437;
-   wire n_2438;
-   wire n_2439;
-   wire n_2440;
-   wire n_2441;
-   wire n_2442;
-   wire n_2443;
-   wire n_2444;
-   wire n_2445;
-   wire n_2446;
-   wire n_2447;
-   wire n_2448;
-   wire n_2449;
-   wire n_2450;
-   wire n_2451;
-   wire n_2452;
-   wire n_2453;
-   wire n_2454;
-   wire n_2455;
-   wire n_2456;
-   wire n_2457;
-   wire n_2458;
-   wire n_2459;
-   wire n_2460;
-   wire n_2461;
-   wire n_2462;
-   wire n_2463;
-   wire n_2464;
-   wire n_2465;
-   wire n_2466;
-   wire n_2467;
-   wire n_2468;
-   wire n_2469;
-   wire n_2470;
-   wire n_2471;
-   wire n_2472;
-   wire n_2473;
-   wire n_2474;
-   wire n_2475;
-   wire n_2476;
-   wire n_2477;
-   wire n_2478;
-   wire n_2479;
-   wire n_2480;
-   wire n_2481;
-   wire n_2482;
-   wire n_2483;
-   wire n_2484;
-   wire n_2485;
-   wire n_2486;
-   wire n_2487;
-   wire n_2488;
-   wire n_2489;
-   wire n_2490;
-   wire n_2491;
-   wire n_2492;
-   wire n_2493;
-   wire n_2494;
-   wire n_2495;
-   wire n_2496;
-   wire n_2497;
-   wire n_2498;
-   wire n_2499;
-   wire n_2500;
-   wire n_2501;
-   wire n_2502;
-   wire n_2503;
-   wire n_2504;
-   wire n_2505;
-   wire n_2506;
-   wire n_2507;
-   wire n_2508;
-   wire n_2509;
-   wire n_2510;
-   wire n_2511;
-   wire n_2512;
-   wire n_2513;
-   wire n_2514;
-   wire n_2515;
-   wire n_2516;
-   wire n_2517;
-   wire n_2518;
-   wire n_2519;
-   wire n_2520;
-   wire n_2521;
-   wire n_2522;
-   wire n_2523;
-   wire n_2524;
-   wire n_2525;
-   wire n_2526;
-   wire n_2527;
-   wire n_2528;
-   wire n_2529;
-   wire n_2530;
-   wire n_2531;
-   wire n_2532;
-   wire n_2533;
-   wire n_2534;
-   wire n_2535;
-   wire n_2536;
-   wire n_2537;
-   wire n_2538;
-   wire n_2539;
-   wire n_2540;
-   wire n_2541;
-   wire n_2542;
-   wire n_2543;
-   wire n_2544;
-   wire n_2545;
-   wire n_2546;
-   wire n_2547;
-   wire n_2548;
-   wire n_2549;
-   wire n_2550;
-   wire n_2551;
-   wire n_2552;
-   wire n_2553;
-   wire n_2554;
-   wire n_2555;
-   wire n_2556;
-   wire n_2557;
-   wire n_2558;
-   wire n_2559;
-   wire n_2560;
-   wire n_2561;
-   wire n_2562;
-   wire n_2563;
-   wire n_2564;
-   wire n_2565;
-   wire n_2566;
-   wire n_2567;
-   wire n_2568;
-   wire n_2569;
-   wire n_2570;
-   wire n_2571;
-   wire n_2572;
-   wire n_2573;
-   wire n_2574;
-   wire n_2575;
-   wire n_2576;
-   wire n_2577;
-   wire n_2578;
-   wire n_2579;
-   wire n_2580;
-   wire n_2581;
-   wire n_2582;
-   wire n_2583;
-   wire n_2584;
-   wire n_2585;
-   wire n_2586;
-   wire n_2587;
-   wire n_2588;
-   wire n_2589;
-   wire n_2590;
-   wire n_2591;
-   wire n_2592;
-   wire n_2593;
-   wire n_2594;
-   wire n_2595;
-   wire n_2596;
-   wire n_2597;
-   wire n_2598;
-   wire n_2599;
-   wire n_2600;
-   wire n_2601;
-   wire n_2602;
-   wire n_2603;
-   wire n_2604;
-   wire n_2605;
-   wire n_2606;
-   wire n_2607;
-   wire n_2608;
-   wire n_2609;
-   wire n_2610;
-   wire n_2611;
-   wire n_2612;
-   wire n_2613;
-   wire n_2614;
-   wire n_2615;
-   wire n_2616;
-   wire n_2617;
-   wire n_2618;
-   wire n_2619;
-   wire n_2620;
-   wire n_2621;
-   wire n_2622;
-   wire n_2623;
-   wire n_2624;
-   wire n_2625;
-   wire n_2626;
-   wire n_2627;
-   wire n_2628;
-   wire n_2629;
-   wire n_2630;
-   wire n_2631;
-   wire n_2632;
-   wire n_2633;
-   wire n_2634;
-   wire n_2635;
-   wire n_2636;
-   wire n_2637;
-   wire n_2638;
-   wire n_2639;
-   wire n_2640;
-   wire n_2641;
-   wire n_2642;
-   wire n_2643;
-   wire n_2644;
-   wire n_2645;
-   wire n_2646;
-   wire n_2647;
-   wire n_2648;
-   wire n_2649;
-   wire n_2650;
-   wire n_2651;
-   wire n_2652;
-   wire n_2653;
-   wire n_2654;
-   wire n_2655;
-   wire n_2656;
-   wire n_2657;
-   wire n_2658;
-   wire n_2659;
-   wire n_2660;
-   wire n_2661;
-   wire n_2662;
-   wire n_2663;
-   wire n_2664;
-   wire n_2665;
-   wire n_2666;
-   wire n_2667;
-   wire n_2668;
-   wire n_2669;
-   wire n_2670;
-   wire n_2671;
-   wire n_2672;
-   wire n_2673;
-   wire n_2674;
-   wire n_2675;
-   wire n_2676;
-   wire n_2677;
-   wire n_2678;
-   wire n_2679;
-   wire n_2680;
-   wire n_2681;
-   wire n_2682;
-   wire n_2683;
-   wire n_2684;
-   wire n_2685;
-   wire n_2686;
-   wire n_2687;
-   wire n_2688;
-   wire n_2689;
-   wire n_2690;
-   wire n_2691;
-   wire n_2692;
-   wire n_2693;
-   wire n_2694;
-   wire n_2695;
-   wire n_2696;
-   wire n_2697;
-   wire n_2698;
-   wire n_2699;
-   wire n_2700;
-   wire n_2701;
-   wire n_2702;
-   wire n_2703;
-   wire n_2704;
-   wire n_2705;
-   wire n_2706;
-   wire n_2707;
-   wire n_2708;
-   wire n_2709;
-   wire n_2710;
-   wire n_2711;
-   wire n_2712;
-   wire n_2713;
-   wire n_2714;
-   wire n_2715;
-   wire n_2716;
-   wire n_2717;
-   wire n_2718;
-   wire n_2719;
-   wire n_2720;
-   wire n_2721;
-   wire n_2722;
-   wire n_2723;
-   wire n_2724;
-   wire n_2725;
-   wire n_2726;
-   wire n_2727;
-   wire n_2728;
-   wire n_2729;
-   wire n_2730;
-   wire n_2731;
-   wire n_2732;
-   wire n_2733;
-   wire n_2734;
-   wire n_2735;
-   wire n_2736;
-   wire n_2737;
-   wire n_2738;
-   wire n_2739;
-   wire n_2740;
-   wire n_2741;
-   wire n_2742;
-   wire n_2743;
-   wire n_2744;
-   wire n_2745;
-   wire n_2746;
-   wire n_2747;
-   wire n_2748;
-   wire n_2749;
-   wire n_2750;
-   wire n_2751;
-   wire n_2752;
-   wire n_2753;
-   wire n_2754;
-   wire n_2755;
-   wire n_2756;
-   wire n_2757;
-   wire n_2758;
-   wire n_2759;
-   wire n_2760;
-   wire n_2761;
-   wire n_2762;
-   wire n_2763;
-   wire n_2764;
-   wire n_2765;
-   wire n_2766;
-   wire n_2767;
-   wire n_2768;
-   wire n_2769;
-   wire n_2770;
-   wire n_2771;
-   wire n_2772;
-   wire n_2773;
-   wire n_2774;
-   wire n_2775;
-   wire n_2776;
-   wire n_2777;
-   wire n_2778;
-   wire n_2779;
-   wire n_2780;
-   wire n_2781;
-   wire n_2782;
-   wire n_2783;
-   wire n_2784;
-   wire n_2785;
-   wire n_2786;
-   wire n_2787;
-   wire n_2788;
-   wire n_2789;
-   wire n_2790;
-   wire n_2791;
-   wire n_2792;
-   wire n_2793;
-   wire n_2794;
-   wire n_2795;
-   wire n_2796;
-   wire n_2797;
-   wire n_2798;
-   wire n_2799;
-   wire n_2800;
-   wire n_2801;
-   wire n_2802;
-   wire n_2803;
-   wire n_2804;
-   wire n_2805;
-   wire n_2806;
-   wire n_2807;
-   wire n_2808;
-   wire n_2809;
-   wire n_2810;
-   wire n_2811;
-   wire n_2812;
-   wire n_2813;
-   wire n_2814;
-   wire n_2815;
-   wire n_2816;
-   wire n_2817;
-   wire n_2818;
-   wire n_2819;
-   wire n_2820;
-   wire n_2821;
-   wire n_2822;
-   wire n_2823;
-   wire n_2824;
-   wire n_2825;
-   wire n_2826;
-   wire n_2827;
-   wire n_2828;
-   wire n_2829;
-   wire n_2830;
-   wire n_2831;
-   wire n_2832;
-   wire n_2833;
-   wire n_2834;
-   wire n_2835;
-   wire n_2836;
-   wire n_2837;
-   wire n_2838;
-   wire n_2839;
-   wire n_2840;
-   wire n_2841;
-   wire n_2842;
-   wire n_2843;
-   wire n_2844;
-   wire n_2845;
-   wire n_2846;
-   wire n_2847;
-   wire n_2848;
-   wire n_2849;
-   wire n_2850;
-   wire n_2851;
-   wire n_2852;
-   wire n_2853;
-   wire n_2854;
-   wire n_2855;
-   wire n_2856;
-   wire n_2857;
-   wire n_2858;
-   wire n_2859;
-   wire n_2860;
-   wire n_2861;
-   wire n_2862;
-   wire n_2863;
-   wire n_2864;
-   wire n_2865;
-   wire n_2866;
-   wire n_2867;
-   wire n_2868;
-   wire n_2869;
-   wire n_2870;
-   wire n_2871;
-   wire n_2872;
-   wire n_2873;
-   wire n_2874;
-   wire n_2875;
-   wire n_2876;
-   wire n_2877;
-   wire n_2878;
-   wire n_2879;
-   wire n_2880;
-   wire n_2881;
-   wire n_2882;
-   wire n_2883;
-   wire n_2884;
-   wire n_2885;
-   wire n_2886;
-   wire n_2887;
-   wire n_2888;
-   wire n_2889;
-   wire n_2890;
-   wire n_2891;
-   wire n_2892;
-   wire n_2893;
-   wire n_2894;
-   wire n_2895;
-   wire n_2896;
-   wire n_2897;
-   wire n_2898;
-   wire n_2899;
-   wire n_2900;
-   wire n_2901;
-   wire n_2902;
-   wire n_2903;
-   wire n_2904;
-   wire n_2905;
-   wire n_2906;
-   wire n_2907;
-   wire n_2908;
-   wire n_2909;
-   wire n_2910;
-   wire n_2911;
-   wire n_2912;
-   wire n_2913;
-   wire n_2914;
-   wire n_2915;
-   wire n_2916;
-   wire n_2917;
-   wire n_2918;
-   wire n_2919;
-   wire n_2920;
-   wire n_2921;
-   wire n_2922;
-   wire n_2923;
-   wire n_2924;
-   wire n_2925;
-   wire n_2926;
-   wire n_2927;
-   wire n_2928;
-   wire n_2929;
-   wire n_2930;
-   wire n_2931;
-   wire n_2932;
-   wire n_2933;
-   wire n_2934;
-   wire n_2935;
-   wire n_2936;
-   wire n_2937;
-   wire n_2938;
-   wire n_2939;
-   wire n_2940;
-   wire n_2941;
-   wire n_2942;
-   wire n_2943;
-   wire n_2944;
-   wire n_2945;
-   wire n_2946;
-   wire n_2947;
-   wire n_2948;
-   wire n_2949;
-   wire n_2950;
-   wire n_2951;
-   wire n_2952;
-   wire n_2953;
-   wire n_2954;
-   wire n_2955;
-   wire n_2956;
-   wire n_2957;
-   wire n_2958;
-   wire n_2959;
-   wire n_2960;
-   wire n_2961;
-   wire n_2962;
-   wire n_2963;
-   wire n_2964;
-   wire n_2965;
-   wire n_2966;
-   wire n_2967;
-   wire n_2968;
-   wire n_2969;
-   wire n_2970;
-   wire n_2971;
-   wire n_2972;
-   wire n_2973;
-   wire n_2974;
-   wire n_2975;
-   wire n_2976;
-   wire n_2977;
-   wire n_2978;
-   wire n_2979;
-   wire n_2980;
-   wire n_2981;
-   wire n_2982;
-   wire n_2983;
-   wire n_2984;
-   wire n_2985;
-   wire n_2986;
-   wire n_2987;
-   wire n_2988;
-   wire n_2989;
-   wire n_2990;
-   wire n_2991;
-   wire n_2992;
-   wire n_2993;
-   wire n_2994;
-   wire n_2995;
-   wire n_2996;
-   wire n_2997;
-   wire n_2998;
-   wire n_2999;
-   wire n_3000;
-   wire n_3001;
-   wire n_3002;
-   wire n_3003;
-   wire n_3004;
-   wire n_3005;
-   wire n_3006;
-   wire n_3007;
-   wire n_3008;
-   wire n_3009;
-   wire n_3010;
-   wire n_3011;
-   wire n_3012;
-   wire n_3013;
-   wire n_3014;
-   wire n_3015;
-   wire n_3016;
-   wire n_3017;
-   wire n_3018;
-   wire n_3019;
-   wire n_3020;
-   wire n_3021;
-   wire n_3022;
-   wire n_3023;
-   wire n_3024;
-   wire n_3025;
-   wire n_3026;
-   wire n_3027;
-   wire n_3028;
-   wire n_3029;
-   wire n_3030;
-   wire n_3031;
-   wire n_3032;
-   wire n_3033;
-   wire n_3034;
-   wire n_3035;
-   wire n_3036;
-   wire n_3037;
-   wire n_3038;
-   wire n_3039;
-   wire n_3040;
-   wire n_3041;
-   wire n_3042;
-   wire n_3043;
-   wire n_3044;
-   wire n_3045;
-   wire n_3046;
-   wire n_3047;
-   wire n_3048;
-   wire n_3049;
-   wire n_3050;
-   wire n_3051;
-   wire n_3052;
-   wire n_3053;
-   wire n_3054;
-   wire n_3055;
-   wire n_3056;
-   wire n_3057;
-   wire n_3058;
-   wire n_3059;
-   wire n_3060;
-   wire n_3061;
-   wire n_3062;
-   wire n_3063;
-   wire n_3064;
-   wire n_3065;
-   wire n_3066;
-   wire n_3067;
-   wire n_3068;
-   wire n_3069;
-   wire n_3070;
-   wire n_3071;
-   wire n_3072;
-   wire n_3073;
-   wire n_3074;
-   wire n_3075;
-   wire n_3076;
-   wire n_3077;
-   wire n_3078;
-   wire n_3079;
-   wire n_3080;
-   wire n_3081;
-   wire n_3082;
-   wire n_3083;
-   wire n_3084;
-   wire n_3085;
-   wire n_3086;
-   wire n_3087;
-   wire n_3088;
-   wire n_3089;
-   wire n_3090;
-   wire n_3091;
-   wire n_3092;
-   wire n_3093;
-   wire n_3094;
-   wire n_3095;
-   wire n_3096;
-   wire n_3097;
-   wire n_3098;
-   wire n_3099;
-   wire n_3100;
-   wire n_3101;
-   wire n_3102;
-   wire n_3103;
-   wire n_3104;
-   wire n_3105;
-   wire n_3106;
-   wire n_3107;
-   wire n_3108;
-   wire n_3109;
-   wire n_3110;
-   wire n_3111;
-   wire n_3112;
-   wire n_3113;
-   wire n_3114;
-   wire n_3115;
-   wire n_3116;
-   wire n_3117;
-   wire n_3118;
-   wire n_3119;
-   wire n_3120;
-   wire n_3121;
-   wire n_3122;
-   wire n_3123;
-   wire n_3124;
-   wire n_3125;
-   wire n_3126;
-   wire n_3127;
-   wire n_3128;
-   wire n_3129;
-   wire n_3130;
-   wire n_3131;
-   wire n_3132;
-   wire n_3133;
-   wire n_3134;
-   wire n_3135;
-   wire n_3136;
-   wire n_3137;
-   wire n_3138;
-   wire n_3139;
-   wire n_3140;
-   wire n_3141;
-   wire n_3142;
-   wire n_3143;
-   wire n_3144;
-   wire n_3145;
-   wire n_3146;
-   wire n_3147;
-   wire n_3148;
-   wire n_3149;
-   wire n_3150;
-   wire n_3151;
-   wire n_3152;
-   wire n_3153;
-   wire n_3154;
-   wire n_3155;
-   wire n_3156;
-   wire n_3157;
-   wire n_3158;
-   wire n_3159;
-   wire n_3160;
-   wire n_3161;
-   wire n_3162;
-   wire n_3163;
-   wire n_3164;
-   wire n_3165;
-   wire n_3166;
-   wire n_3167;
-   wire n_3168;
-   wire n_3169;
-   wire n_3170;
-   wire n_3171;
-   wire n_3172;
-   wire n_3173;
-   wire n_3174;
-   wire n_3175;
-   wire n_3176;
-   wire n_3177;
-   wire n_3178;
-   wire n_3179;
-   wire n_3180;
-   wire n_3181;
-   wire n_3182;
-   wire n_3183;
-   wire n_3184;
-   wire n_3185;
-   wire n_3186;
-   wire n_3187;
-   wire n_3188;
-   wire n_3189;
-   wire n_3190;
-   wire n_3191;
-   wire n_3192;
-   wire n_3193;
-   wire n_3194;
-   wire n_3195;
-   wire n_3196;
-   wire n_3197;
-   wire n_3198;
-   wire n_3199;
-   wire n_3200;
-   wire n_3201;
-   wire n_3202;
-   wire n_3203;
-   wire n_3204;
-   wire n_3205;
-   wire n_3206;
-   wire n_3207;
-   wire n_3208;
-   wire n_3209;
-   wire n_3210;
-   wire n_3211;
-   wire n_3212;
-   wire n_3213;
-   wire n_3214;
-   wire n_3215;
-   wire n_3216;
-   wire n_3217;
-   wire n_3218;
-   wire n_3219;
-   wire n_3220;
-   wire n_3221;
-   wire n_3222;
-   wire n_3223;
-   wire n_3224;
-   wire n_3225;
-   wire n_3226;
-   wire n_3227;
-   wire n_3228;
-   wire n_3229;
-   wire n_3230;
-   wire n_3231;
-   wire n_3232;
-   wire n_3233;
-   wire n_3234;
-   wire n_3235;
-   wire n_3236;
-   wire n_3237;
-   wire n_3238;
-   wire n_3239;
-   wire n_3240;
-   wire n_3241;
-   wire n_3242;
-   wire n_3243;
-   wire n_3244;
-   wire n_3245;
-   wire n_3246;
-   wire n_3247;
-   wire n_3248;
-   wire n_3249;
-   wire n_3250;
-   wire n_3251;
-   wire n_3252;
-   wire n_3253;
-   wire n_3254;
-   wire n_3255;
-   wire n_3256;
-   wire n_3257;
-   wire n_3258;
-   wire n_3259;
-   wire n_3260;
-   wire n_3261;
-   wire n_3262;
-   wire n_3263;
-   wire n_3264;
-   wire n_3265;
-   wire n_3266;
-   wire n_3267;
-   wire n_3268;
-   wire n_3269;
-   wire n_3270;
-   wire n_3271;
-   wire n_3272;
-   wire n_3273;
-   wire n_3274;
-   wire n_3275;
-   wire n_3276;
-   wire n_3277;
-   wire n_3278;
-   wire n_3279;
-   wire n_3280;
-   wire n_3281;
-   wire n_3282;
-   wire n_3283;
-   wire n_3284;
-   wire n_3285;
-   wire n_3286;
-   wire n_3287;
-   wire n_3288;
-   wire n_3289;
-   wire n_3290;
-   wire n_3291;
-   wire n_3292;
-   wire n_3293;
-   wire n_3294;
-   wire n_3295;
-   wire n_3296;
-   wire n_3297;
-   wire n_3298;
-   wire n_3299;
-   wire n_3300;
-   wire n_3301;
-   wire n_3302;
-   wire n_3303;
-   wire n_3304;
-   wire n_3305;
-   wire n_3306;
-   wire n_3307;
-   wire n_3308;
-   wire n_3309;
-   wire n_3310;
-   wire n_3311;
-   wire n_3312;
-   wire n_3313;
-   wire n_3314;
-   wire n_3315;
-   wire n_3316;
-   wire n_3317;
-   wire n_3318;
-   wire n_3319;
-   wire n_3320;
-   wire n_3321;
-   wire n_3322;
-   wire n_3323;
-   wire n_3324;
-   wire n_3325;
-   wire n_3326;
-   wire n_3327;
-   wire n_3328;
-   wire n_3329;
-   wire n_3330;
-   wire n_3331;
-   wire n_3332;
-   wire n_3333;
-   wire n_3334;
-   wire n_3335;
-   wire n_3336;
-   wire n_3337;
-   wire n_3338;
-   wire n_3339;
-   wire n_3340;
-   wire n_3341;
-   wire n_3342;
-   wire n_3343;
-   wire n_3344;
-   wire n_3345;
-   wire n_3346;
-   wire n_3347;
-   wire n_3348;
-   wire n_3349;
-   wire n_3350;
-   wire n_3351;
-   wire n_3352;
-   wire n_3353;
-   wire n_3354;
-   wire n_3355;
-   wire n_3356;
-   wire n_3357;
-   wire n_3358;
-   wire n_3359;
-   wire n_3360;
-   wire n_3361;
-   wire n_3362;
-   wire n_3363;
-   wire n_3364;
-   wire n_3365;
-   wire n_3366;
-   wire n_3367;
-   wire n_3368;
-   wire n_3369;
-   wire n_3370;
-   wire n_3371;
-   wire n_3372;
-   wire n_3373;
-   wire n_3374;
-   wire n_3375;
-   wire n_3376;
-   wire n_3377;
-   wire n_3378;
-   wire n_3379;
-   wire n_3380;
-   wire n_3381;
-   wire n_3382;
-   wire n_3383;
-   wire n_3384;
-   wire n_3385;
-   wire n_3386;
-   wire n_3387;
-   wire n_3388;
-   wire n_3389;
-   wire n_3390;
-   wire n_3391;
-   wire n_3392;
-   wire n_3393;
-   wire n_3394;
-   wire n_3395;
-   wire n_3396;
-   wire n_3397;
-   wire n_3398;
-   wire n_3399;
-   wire n_3400;
-   wire n_3401;
-   wire n_3402;
-   wire n_3403;
-   wire n_3404;
-   wire n_3405;
-   wire n_3406;
-   wire n_3407;
-   wire n_3408;
-   wire n_3409;
-   wire n_3410;
-   wire n_3411;
-   wire n_3412;
-   wire n_3413;
-   wire n_3414;
-   wire n_3415;
-   wire n_3416;
-   wire n_3417;
-   wire n_3418;
-   wire n_3419;
-   wire n_3420;
-   wire n_3421;
-   wire n_3422;
-   wire n_3423;
-   wire n_3424;
-   wire n_3425;
-   wire n_3426;
-   wire n_3427;
-   wire n_3428;
-   wire n_3429;
-   wire n_3430;
-   wire n_3431;
-   wire n_3432;
-   wire n_3433;
-   wire n_3434;
-   wire n_3435;
-   wire n_3436;
-   wire n_3437;
-   wire n_3438;
-   wire n_3439;
-   wire n_3440;
-   wire n_3441;
-   wire n_3442;
-   wire n_3443;
-   wire n_3444;
-   wire n_3445;
-   wire n_3446;
-   wire n_3447;
-   wire n_3448;
-   wire n_3449;
-   wire n_3450;
-   wire n_3451;
-   wire n_3452;
-   wire n_3453;
-   wire n_3454;
-   wire n_3455;
-   wire n_3456;
-   wire n_3457;
-   wire n_3458;
-   wire n_3459;
-   wire n_3460;
-   wire n_3461;
-   wire n_3462;
-   wire n_3463;
-   wire n_3464;
-   wire n_3465;
-   wire n_3466;
-   wire n_3467;
-   wire n_3468;
-   wire n_3469;
-   wire n_3470;
-   wire n_3471;
-   wire n_3472;
-   wire n_3473;
-   wire n_3474;
-   wire n_3475;
-   wire n_3476;
-   wire n_3477;
-   wire n_3478;
-   wire n_3479;
-   wire n_3480;
-   wire n_3481;
-   wire n_3482;
-   wire n_3483;
-   wire n_3484;
-   wire n_3485;
-   wire n_3486;
-   wire n_3487;
-   wire n_3488;
-   wire n_3489;
-   wire n_3490;
-   wire n_3491;
-   wire n_3492;
-   wire n_3493;
-   wire n_3494;
-   wire n_3495;
-   wire n_3496;
-   wire n_3497;
-   wire n_3498;
-   wire n_3499;
-   wire n_3500;
-   wire n_3501;
-   wire n_3502;
-   wire n_3503;
-   wire n_3504;
-   wire n_3505;
-   wire n_3506;
-   wire n_3507;
-   wire n_3508;
-   wire n_3509;
-   wire n_3510;
-   wire n_3511;
-   wire n_3512;
-   wire n_3513;
-   wire n_3514;
-   wire n_3515;
-   wire n_3516;
-   wire n_3517;
-   wire n_3518;
-   wire n_3519;
-   wire n_3520;
-   wire n_3521;
-   wire n_3522;
-   wire n_3523;
-   wire n_3524;
-   wire n_3525;
-   wire n_3526;
-   wire n_3527;
-   wire n_3528;
-   wire n_3529;
-   wire n_3530;
-   wire n_3531;
-   wire n_3532;
-   wire n_3533;
-   wire n_3534;
-   wire n_3535;
-   wire n_3536;
-   wire n_3537;
-   wire n_3538;
-   wire n_3539;
-   wire n_3540;
-   wire n_3541;
-   wire n_3542;
-   wire n_3543;
-   wire n_3544;
-   wire n_3545;
-   wire n_3546;
-   wire n_3547;
-   wire n_3548;
-   wire n_3549;
-   wire n_3550;
-   wire n_3551;
-   wire n_3552;
-   wire n_3553;
-   wire n_3554;
-   wire n_3555;
-   wire n_3556;
-   wire n_3557;
-   wire n_3558;
-   wire n_3559;
-   wire n_3560;
-   wire n_3561;
-   wire n_3562;
-   wire n_3563;
-   wire n_3564;
-   wire n_3565;
-   wire n_3566;
-   wire n_3567;
-   wire n_3568;
-   wire n_3569;
-   wire n_3570;
-   wire n_3571;
-   wire n_3572;
-   wire n_3573;
-   wire n_3574;
-   wire n_3575;
-   wire n_3576;
-   wire n_3577;
-   wire n_3578;
-   wire n_3579;
-   wire n_3580;
-   wire n_3581;
-   wire n_3582;
-   wire n_3583;
-   wire n_3584;
-   wire n_3585;
-   wire n_3586;
-   wire n_3587;
-   wire n_3588;
-   wire n_3589;
-   wire n_3590;
-   wire n_3591;
-   wire n_3592;
-   wire n_3593;
-   wire n_3594;
-   wire n_3595;
-   wire n_3596;
-   wire n_3597;
-   wire n_3598;
-   wire n_3599;
-   wire n_3600;
-   wire n_3601;
-   wire n_3602;
-   wire n_3603;
-   wire n_3604;
-   wire n_3605;
-   wire n_3606;
-   wire n_3607;
-   wire n_3608;
-   wire n_3609;
-   wire n_3610;
-   wire n_3611;
-   wire n_3612;
-   wire n_3613;
-   wire n_3614;
-   wire n_3615;
-   wire n_3616;
-   wire n_3617;
-   wire n_3618;
-   wire n_3619;
-   wire n_3620;
-   wire n_3621;
-   wire n_3622;
-   wire n_3623;
-   wire n_3624;
-   wire n_3625;
-   wire n_3626;
-   wire n_3627;
-   wire n_3628;
-   wire n_3629;
-   wire n_3630;
-   wire n_3631;
-   wire n_3632;
-   wire n_3633;
-   wire n_3634;
-   wire n_3635;
-   wire n_3636;
-   wire n_3637;
-   wire n_3638;
-   wire n_3639;
-   wire n_3640;
-   wire n_3641;
-   wire n_3642;
-   wire n_3643;
-   wire n_3644;
-   wire n_3645;
-   wire n_3646;
-   wire n_3647;
-   wire n_3648;
-   wire n_3649;
-   wire n_3650;
-   wire n_3651;
-   wire n_3652;
-   wire n_3653;
-   wire n_3654;
-   wire n_3655;
-   wire n_3656;
-   wire n_3657;
-   wire n_3658;
-   wire n_3659;
-   wire n_3660;
-   wire n_3661;
-   wire n_3662;
-   wire n_3663;
-   wire n_3664;
-   wire n_3665;
-   wire n_3666;
-   wire n_3667;
-   wire n_3668;
-   wire n_3669;
-   wire n_3670;
-   wire n_3671;
-   wire n_3672;
-   wire n_3673;
-   wire n_3674;
-   wire n_3675;
-   wire n_3676;
-   wire n_3677;
-   wire n_3678;
-   wire n_3679;
-   wire n_3680;
-   wire n_3681;
-   wire n_3682;
-   wire n_3683;
-   wire n_3684;
-   wire n_3685;
-   wire n_3686;
-   wire n_3687;
-   wire n_3688;
-   wire n_3689;
-   wire n_3690;
-   wire n_3691;
-   wire n_3692;
-   wire n_3693;
-   wire n_3694;
-   wire n_3695;
-   wire n_3696;
-   wire n_3697;
-   wire n_3698;
-   wire n_3699;
-   wire n_3700;
-   wire n_3701;
-   wire n_3702;
-   wire n_3703;
-   wire n_3704;
-   wire n_3705;
-   wire n_3706;
-   wire n_3707;
-   wire n_3708;
-   wire n_3709;
-   wire n_3710;
-   wire n_3711;
-   wire n_3712;
-   wire n_3713;
-   wire n_3714;
-   wire n_3715;
-   wire n_3716;
-   wire n_3717;
-   wire n_3718;
-   wire n_3719;
-   wire n_3720;
-   wire n_3721;
-   wire n_3722;
-   wire n_3723;
-   wire n_3724;
-   wire n_3725;
-   wire n_3726;
-   wire n_3727;
-   wire n_3728;
-   wire n_3729;
-   wire n_3730;
-   wire n_3731;
-   wire n_3732;
-   wire n_3733;
-   wire n_3734;
-   wire n_3735;
-   wire n_3736;
-   wire n_3737;
-   wire n_3738;
-   wire n_3739;
-   wire n_3740;
-   wire n_3741;
-   wire n_3742;
-   wire n_3743;
-   wire n_3744;
-   wire n_3745;
-   wire n_3746;
-   wire n_3747;
-   wire n_3748;
-   wire n_3749;
-   wire n_3750;
-   wire n_3751;
-   wire n_3752;
-   wire n_3753;
-   wire n_3754;
-   wire n_3755;
-   wire n_3756;
-   wire n_3757;
-   wire n_3758;
-   wire n_3759;
-   wire n_3760;
-   wire n_3761;
-   wire n_3762;
-   wire n_3763;
-   wire n_3764;
-   wire n_3765;
-   wire n_3766;
-   wire n_3767;
-   wire n_3768;
-   wire n_3769;
-   wire n_3770;
-   wire n_3771;
-   wire n_3772;
-   wire n_3773;
-   wire n_3774;
-   wire n_3775;
-   wire n_3776;
-   wire n_3777;
-   wire n_3778;
-   wire n_3779;
-   wire n_3780;
-   wire n_3781;
-   wire n_3782;
-   wire n_3783;
-   wire n_3784;
-   wire n_3785;
-   wire n_3786;
-   wire n_3787;
-   wire n_3788;
-   wire n_3789;
-   wire n_3790;
-   wire n_3791;
-   wire n_3792;
-   wire n_3793;
-   wire n_3794;
-   wire n_3795;
-   wire n_3796;
-   wire n_3797;
-   wire n_3798;
-   wire n_3799;
-   wire n_3800;
-   wire n_3801;
-   wire n_3802;
-   wire n_3803;
-   wire n_3804;
-   wire n_3805;
-   wire n_3806;
-   wire n_3807;
-   wire n_3808;
-   wire n_3809;
-   wire n_3810;
-   wire n_3811;
-   wire n_3812;
-   wire n_3813;
-   wire n_3814;
-   wire n_3815;
-   wire n_3816;
-   wire n_3817;
-   wire n_3818;
-   wire n_3819;
-   wire n_3820;
-   wire n_3821;
-   wire n_3822;
-   wire n_3823;
-   wire n_3824;
-   wire n_3825;
-   wire n_3826;
-   wire n_3827;
-   wire n_3828;
-   wire n_3829;
-   wire n_3830;
-   wire n_3831;
-   wire n_3832;
-   wire n_3833;
-   wire n_3834;
-   wire n_3835;
-   wire n_3836;
-   wire n_3837;
-   wire n_3838;
-   wire n_3839;
-   wire n_3840;
-   wire n_3841;
-   wire n_3842;
-   wire n_3843;
-   wire n_3844;
-   wire n_3845;
-   wire n_3846;
-   wire n_3847;
-   wire n_3848;
-   wire n_3849;
-   wire n_3850;
-   wire n_3851;
-   wire n_3852;
-   wire n_3853;
-   wire n_3854;
-   wire n_3855;
-   wire n_3856;
-   wire n_3857;
-   wire n_3858;
-   wire n_3859;
-   wire n_3860;
-   wire n_3861;
-   wire n_3862;
-   wire n_3863;
-   wire n_3864;
-   wire n_3865;
-   wire n_3866;
-   wire n_3867;
-   wire n_3868;
-   wire n_3869;
-   wire n_3870;
-   wire n_3871;
-   wire n_3872;
-   wire n_3873;
-   wire n_3874;
-   wire n_3875;
-   wire n_3876;
-   wire n_3877;
-   wire n_3878;
-   wire n_3879;
-   wire n_3880;
-   wire n_3881;
-   wire n_3882;
-   wire n_3883;
-   wire n_3884;
-   wire n_3885;
-   wire n_3886;
-   wire n_3887;
-   wire n_3888;
-   wire n_3889;
-   wire n_3890;
-   wire n_3891;
-   wire n_3892;
-   wire n_3893;
-   wire n_3894;
-   wire n_3895;
-   wire n_3896;
-   wire n_3897;
-   wire n_3898;
-   wire n_3899;
-   wire n_3900;
-   wire n_3901;
-   wire n_3902;
-   wire n_3903;
-   wire n_3904;
-   wire n_3905;
-   wire n_3906;
-   wire n_3907;
-   wire n_3908;
-   wire n_3909;
-   wire n_3910;
-   wire n_3911;
-   wire n_3912;
-   wire n_3913;
-   wire n_3914;
-   wire n_3915;
-   wire n_3916;
-   wire n_3917;
-   wire n_3918;
-   wire n_3919;
-   wire n_3920;
-   wire n_3921;
-   wire n_3922;
-   wire n_3923;
-   wire n_3924;
-   wire n_3925;
-   wire n_3926;
-   wire n_3927;
-   wire n_3928;
-   wire n_3929;
-   wire n_3930;
-   wire n_3931;
-   wire n_3932;
-   wire n_3933;
-   wire n_3934;
-   wire n_3935;
-   wire n_3936;
-   wire n_3937;
-   wire n_3938;
-   wire n_3939;
-   wire n_3940;
-   wire n_3941;
-   wire n_3942;
-   wire n_3945;
-   wire n_3957;
-   wire n_3994;
-   wire n_4008;
-   wire n_4082;
-   wire n_4083;
-   wire n_4084;
-   wire n_4085;
-   wire n_4086;
-   wire n_4087;
-   wire n_4088;
-   wire n_4089;
-   wire n_4090;
-   wire n_4091;
-   wire n_4092;
-   wire n_4093;
-   wire n_4094;
-   wire n_4095;
-   wire n_4096;
-   wire n_4097;
-   wire n_4098;
-   wire n_4099;
-   wire n_4100;
-   wire n_4101;
-   wire n_4102;
-   wire n_4115;
-   wire n_4186;
-   wire n_4229;
-   wire n_4233;
-   wire n_4289;
-   wire n_4290;
-   wire n_4291;
-   wire n_4292;
-   wire n_4293;
-   wire n_4294;
-   wire n_4295;
-   wire n_4296;
-   wire n_4297;
-   wire n_4299;
-   wire n_4301;
-   wire n_4302;
-   wire n_4303;
-   wire n_4304;
-   wire n_4305;
-   wire n_4306;
-   wire n_4307;
-   wire n_4308;
-   wire n_4309;
-   wire n_4310;
-   wire n_4311;
-   wire n_4312;
-   wire n_4313;
-   wire n_4314;
-   wire n_4315;
-   wire n_4316;
-   wire n_4317;
-   wire n_4318;
-   wire n_4319;
-   wire n_4320;
-   wire n_4321;
-   wire n_4322;
-   wire n_4323;
-   wire n_4324;
-   wire n_4325;
-   wire n_4326;
-   wire n_4327;
-   wire n_4328;
-   wire n_4329;
-   wire n_4330;
-   wire n_4331;
-   wire n_4332;
-   wire n_4333;
-   wire n_4334;
-   wire n_4335;
-   wire n_4336;
-   wire n_4337;
-   wire n_4338;
-   wire n_4339;
-   wire n_4340;
-   wire n_4341;
-   wire n_4342;
-   wire n_4343;
-   wire n_4344;
-   wire n_4345;
-   wire n_4346;
-   wire n_4347;
-   wire n_4348;
-   wire n_4349;
-   wire n_4350;
-   wire n_4351;
-   wire n_4353;
-   wire n_4354;
-   wire n_4355;
-   wire n_4356;
-   wire n_4357;
-   wire n_4358;
-   wire n_4359;
-   wire n_4360;
-   wire n_4361;
-   wire n_4362;
-   wire n_4363;
-   wire n_4364;
-   wire n_4365;
-   wire n_4366;
-   wire n_4367;
-   wire n_4368;
-   wire n_4369;
-   wire n_4370;
-   wire n_4371;
-   wire n_4372;
-   wire n_4373;
-   wire n_4374;
-   wire n_4375;
-   wire n_4376;
-   wire n_4377;
-   wire n_4378;
-   wire n_4379;
-   wire n_4380;
-   wire n_4381;
-   wire n_4382;
-   wire n_4383;
-   wire n_4384;
-   wire n_4385;
-   wire n_4386;
-   wire n_4387;
-   wire n_4388;
-   wire n_4389;
-   wire n_4390;
-   wire n_4391;
-   wire n_4392;
-   wire n_4393;
-   wire n_4394;
-   wire n_4395;
-   wire n_4396;
-   wire n_4397;
-   wire n_4398;
-   wire n_4399;
-   wire n_4400;
-   wire n_4401;
-   wire n_4402;
-   wire n_4403;
-   wire n_4404;
-   wire n_4405;
-   wire n_4406;
-   wire n_4407;
-   wire n_4408;
-   wire n_4409;
-   wire n_4410;
-   wire n_4411;
-   wire n_4412;
-   wire n_4413;
-   wire n_4414;
-   wire n_4415;
-   wire n_4416;
-   wire n_4417;
-   wire n_4418;
-   wire n_4419;
-   wire n_4420;
-   wire n_4421;
-   wire n_4422;
-   wire n_4423;
-   wire n_4424;
-   wire n_4425;
-   wire n_4426;
-   wire n_4427;
-   wire n_4428;
-   wire n_4429;
-   wire n_4430;
-   wire n_4431;
-   wire n_4432;
-   wire n_4433;
-   wire n_4434;
-   wire n_4435;
-   wire n_4436;
-   wire n_4437;
-   wire n_4438;
-   wire n_4439;
-   wire n_4440;
-   wire n_4441;
-   wire n_4442;
-   wire n_4443;
-   wire n_4444;
-   wire n_4445;
-   wire n_4446;
-   wire n_4447;
-   wire n_4448;
-   wire n_4449;
-   wire n_4450;
-   wire n_4451;
-   wire n_4452;
-   wire n_4453;
-   wire n_4454;
-   wire n_4455;
-   wire n_4456;
-   wire n_4457;
-   wire n_4458;
-   wire n_4459;
-   wire n_4460;
-   wire n_4461;
-   wire n_4462;
-   wire n_4463;
-   wire n_4464;
-   wire n_4465;
-   wire n_4466;
-   wire n_4467;
-   wire n_4468;
-   wire n_4469;
-   wire n_4470;
-   wire n_4471;
-   wire n_4472;
-   wire n_4473;
-   wire n_4474;
-   wire n_4475;
-   wire n_4476;
-   wire n_4477;
-   wire n_4478;
-   wire n_4479;
-   wire n_4480;
-   wire n_4481;
-   wire n_4482;
-   wire n_4483;
-   wire n_4484;
-   wire n_4485;
-   wire n_4486;
-   wire n_4487;
-   wire n_4488;
-   wire n_4489;
-   wire n_4490;
-   wire n_4491;
-   wire n_4492;
-   wire n_4493;
-   wire n_4494;
-   wire n_4495;
-   wire n_4496;
-   wire n_4497;
-   wire n_4498;
-   wire n_4499;
-   wire n_4500;
-   wire n_4501;
-   wire n_4502;
-   wire n_4503;
-   wire n_4504;
-   wire n_4505;
-   wire n_4506;
-   wire n_4507;
-   wire n_4508;
-   wire n_4509;
-   wire n_4510;
-   wire n_4511;
-   wire n_4512;
-   wire n_4513;
-   wire n_4514;
-   wire n_4515;
-   wire n_4516;
-   wire n_4517;
-   wire n_4518;
-   wire n_4519;
-   wire n_4520;
-   wire n_4521;
-   wire n_4522;
-   wire n_4523;
-   wire n_4524;
-   wire n_4525;
-   wire n_4526;
-   wire n_4527;
-   wire n_4528;
-   wire n_4529;
-   wire n_4530;
-   wire n_4531;
-   wire n_4532;
-   wire n_4533;
-   wire n_4534;
-   wire n_4535;
-   wire n_4536;
-   wire n_4537;
-   wire n_4538;
-   wire n_4539;
-   wire n_4540;
-   wire n_4541;
-   wire n_4542;
-   wire n_4543;
-   wire n_4544;
-   wire n_4545;
-   wire n_4546;
-   wire n_4547;
-   wire n_4548;
-   wire n_4549;
-   wire n_4550;
-   wire n_4551;
-   wire n_4552;
-   wire n_4553;
-   wire n_4554;
-   wire n_4555;
-   wire n_4556;
-   wire n_4557;
-   wire n_4558;
-   wire n_4559;
-   wire n_4560;
-   wire n_4561;
-   wire n_4562;
-   wire n_4563;
-   wire n_4564;
-   wire n_4565;
-   wire n_4566;
-   wire n_4567;
-   wire n_4568;
-   wire n_4569;
-   wire n_4570;
-   wire n_4571;
-   wire n_4572;
-   wire n_4573;
-   wire n_4574;
-   wire n_4575;
-   wire n_4576;
-   wire n_4577;
-   wire n_4578;
-   wire n_4579;
-   wire n_4580;
-   wire n_4581;
-   wire n_4582;
-   wire n_4583;
-   wire n_4584;
-   wire n_4585;
-   wire n_4586;
-   wire n_4587;
-   wire n_4588;
-   wire n_4589;
-   wire n_4590;
-   wire n_4591;
-   wire n_4592;
-   wire n_4593;
-   wire n_4594;
-   wire n_4595;
-   wire n_4596;
-   wire n_4597;
-   wire n_4598;
-   wire n_4599;
-   wire n_4600;
-   wire n_4601;
-   wire n_4602;
-   wire n_4603;
-   wire n_4604;
-   wire n_4605;
-   wire n_4606;
-   wire n_4607;
-   wire n_4608;
-   wire n_4609;
-   wire n_4610;
-   wire n_4611;
-   wire n_4612;
-   wire n_4613;
-   wire n_4614;
-   wire n_4615;
-   wire n_4616;
-   wire n_4617;
-   wire n_4618;
-   wire n_4619;
-   wire n_4620;
-   wire n_4621;
-   wire n_4622;
-   wire n_4623;
-   wire n_4624;
-   wire n_4625;
-   wire n_4626;
-   wire n_4627;
-   wire n_4628;
-   wire n_4629;
-   wire n_4630;
-   wire n_4631;
-   wire n_4632;
-   wire n_4633;
-   wire n_4634;
-   wire n_4635;
-   wire n_4636;
-   wire n_4637;
-   wire n_4638;
-   wire n_4639;
-   wire n_4640;
-   wire n_4641;
-   wire n_4642;
-   wire n_4643;
-   wire n_4644;
-   wire n_4645;
-   wire n_4646;
-   wire n_4647;
-   wire n_4648;
-   wire n_4649;
-   wire n_4650;
-   wire n_4651;
-   wire n_4652;
-   wire n_4653;
-   wire n_4654;
-   wire n_4655;
-   wire n_4656;
-   wire n_4657;
-   wire n_4658;
-   wire n_4659;
-   wire n_4660;
-   wire n_4661;
-   wire n_4662;
-   wire n_4663;
-   wire n_4664;
-   wire n_4665;
-   wire n_4666;
-   wire n_4667;
-   wire n_4668;
-   wire n_4669;
-   wire n_4670;
-   wire n_4671;
-   wire n_4672;
-   wire n_4673;
-   wire n_4674;
-   wire n_4675;
-   wire n_4676;
-   wire n_4677;
-   wire n_4678;
-   wire n_4679;
-   wire n_4680;
-   wire n_4681;
-   wire n_4682;
-   wire n_4683;
-   wire n_4684;
-   wire n_4685;
-   wire n_4686;
-   wire n_4687;
-   wire n_4688;
-   wire n_4689;
-   wire n_4690;
-   wire n_4691;
-   wire n_4692;
-   wire n_4693;
-   wire n_4694;
-   wire n_4695;
-   wire n_4696;
-   wire n_4697;
-   wire n_4698;
-   wire n_4699;
-   wire n_4700;
-   wire n_4701;
-   wire n_4702;
-   wire n_4703;
-   wire n_4704;
-   wire n_4705;
-   wire n_4706;
-   wire n_4707;
-   wire n_4708;
-   wire n_4709;
-   wire n_4710;
-   wire n_4711;
-   wire n_4712;
-   wire n_4713;
-   wire n_4714;
-   wire n_4715;
-   wire n_4716;
-   wire n_4717;
-   wire n_4718;
-   wire n_4719;
-   wire n_4720;
-   wire n_4721;
-   wire n_4722;
-   wire n_4723;
-   wire n_4724;
-   wire n_4725;
-   wire n_4726;
-   wire n_4727;
-   wire n_4728;
-   wire n_4729;
-   wire n_4730;
-   wire n_4731;
-   wire n_4732;
-   wire n_4733;
-   wire n_4734;
-   wire n_4735;
-   wire n_4736;
-   wire n_4737;
-   wire n_4738;
-   wire n_4739;
-   wire n_4740;
-   wire n_4741;
-   wire n_4742;
-   wire n_4743;
-   wire n_4744;
-   wire n_4745;
-   wire n_4746;
-   wire n_4747;
-   wire n_4748;
-   wire n_4749;
-   wire n_4750;
-   wire n_4751;
-   wire n_4752;
-   wire n_4753;
-   wire n_4754;
-   wire n_4755;
-   wire n_4756;
-   wire n_4757;
-   wire n_4758;
-   wire n_4759;
-   wire n_4760;
-   wire n_4761;
-   wire n_4762;
-   wire n_4763;
-   wire n_4764;
-   wire n_4765;
-   wire n_4766;
-   wire n_4767;
-   wire n_4768;
-   wire n_4769;
-   wire n_4770;
-   wire n_4771;
-   wire n_4772;
-   wire n_4773;
-   wire n_4774;
-   wire n_4775;
-   wire n_4776;
-   wire n_4777;
-   wire n_4778;
-   wire n_4779;
-   wire n_4780;
-   wire n_4781;
-   wire n_4782;
-   wire n_4783;
-   wire n_4784;
-   wire n_4785;
-   wire n_4786;
-   wire n_4787;
-   wire n_4788;
-   wire n_4789;
-   wire n_4790;
-   wire n_4791;
-   wire n_4792;
-   wire n_4793;
-   wire n_4794;
-   wire n_4795;
-   wire n_4796;
-   wire n_4797;
-   wire n_4798;
-   wire n_4799;
-   wire n_4800;
-   wire n_4801;
-   wire n_4802;
-   wire n_4803;
-   wire n_4804;
-   wire n_4805;
-   wire n_4806;
-   wire n_4807;
-   wire n_4808;
-   wire n_4809;
-   wire n_4810;
-   wire n_4811;
-   wire n_4812;
-   wire n_4813;
-   wire n_4814;
-   wire n_4815;
-   wire n_4816;
-   wire n_4817;
-   wire n_4818;
-   wire n_4819;
-   wire n_4820;
-   wire n_4821;
-   wire n_4822;
-   wire n_4823;
-   wire n_4824;
-   wire n_4825;
-   wire n_4826;
-   wire n_4827;
-   wire n_4828;
-   wire n_4829;
-   wire n_4830;
-   wire n_4831;
-   wire n_4832;
-   wire n_4833;
-   wire n_4834;
-   wire n_4835;
-   wire n_4836;
-   wire n_4837;
-   wire n_4838;
-   wire n_4839;
-   wire n_4840;
-   wire n_4841;
-   wire n_4842;
-   wire n_4843;
-   wire n_4844;
-   wire n_4845;
-   wire n_4846;
-   wire n_4847;
-   wire n_4848;
-   wire n_4849;
-   wire n_4850;
-   wire n_4851;
-   wire n_4852;
-   wire n_4853;
-   wire n_4854;
-   wire n_4855;
-   wire n_4856;
-   wire n_4857;
-   wire n_4858;
-   wire n_4859;
-   wire n_4860;
-   wire n_4861;
-   wire n_4862;
-   wire n_4863;
-   wire n_4864;
-   wire n_4865;
-   wire n_4866;
-   wire n_4867;
-   wire n_4868;
-   wire n_4869;
-   wire n_4870;
-   wire n_4871;
-   wire n_4872;
-   wire n_4873;
-   wire n_4874;
-   wire n_4875;
-   wire n_4876;
-   wire n_4877;
-   wire n_4878;
-   wire n_4879;
-   wire n_4880;
-   wire n_4881;
-   wire n_4882;
-   wire n_4883;
-   wire n_4884;
-   wire n_4885;
-   wire n_4886;
-   wire n_4887;
-   wire n_4888;
-   wire n_4889;
-   wire n_4890;
-   wire n_4891;
-   wire n_4892;
-   wire n_4893;
-   wire n_4894;
-   wire n_4895;
-   wire n_4896;
-   wire n_4897;
-   wire n_4898;
-   wire n_4899;
-   wire n_4900;
-   wire n_4901;
-   wire n_4902;
-   wire n_4903;
-   wire n_4904;
-   wire n_4905;
-   wire n_4906;
-   wire n_4907;
-   wire n_4908;
-   wire n_4909;
-   wire n_4910;
-   wire n_4911;
-   wire n_4912;
-   wire n_4913;
-   wire n_4914;
-   wire n_4915;
-   wire n_4916;
-   wire n_4917;
-   wire n_4918;
-   wire n_4919;
-   wire n_4920;
-   wire n_4921;
-   wire n_4922;
-   wire n_4923;
-   wire n_4924;
-   wire n_4925;
-   wire n_4926;
-   wire n_4927;
-   wire n_4928;
-   wire n_4929;
-   wire n_4930;
-   wire n_4931;
-   wire n_4932;
-   wire n_4933;
-   wire n_4934;
-   wire n_4935;
-   wire n_4936;
-   wire n_4937;
-   wire n_4938;
-   wire n_4939;
-   wire n_4940;
-   wire n_4941;
-   wire n_4942;
-   wire n_4943;
-   wire n_4944;
-   wire n_4945;
-   wire n_4946;
-   wire n_4947;
-   wire n_4948;
-   wire n_4949;
-   wire n_4950;
-   wire n_4951;
-   wire n_4952;
-   wire n_4953;
-   wire n_4954;
-   wire n_4955;
-   wire n_4956;
-   wire n_4957;
-   wire n_4958;
-   wire n_4959;
-   wire n_4960;
-   wire n_4961;
-   wire n_4962;
-   wire n_4963;
-   wire n_4964;
-   wire n_4965;
-   wire n_4966;
-   wire n_4967;
-   wire n_4968;
-   wire n_4969;
-   wire n_4970;
-   wire n_4971;
-   wire n_4972;
-   wire n_4973;
-   wire n_4974;
-   wire n_4975;
-   wire n_4976;
-   wire n_4977;
-   wire n_4978;
-   wire n_4979;
-   wire n_4980;
-   wire n_4981;
-   wire n_4982;
-   wire n_4983;
-   wire n_4984;
-   wire n_4985;
-   wire n_4986;
-   wire n_4987;
-   wire n_4988;
-   wire n_4989;
-   wire n_4990;
-   wire n_4991;
-   wire n_4992;
-   wire n_4993;
-   wire n_4994;
-   wire n_4995;
-   wire n_4996;
-   wire n_4997;
-   wire n_4998;
-   wire n_4999;
-   wire n_5000;
-   wire n_5001;
-   wire n_5002;
-   wire n_5003;
-   wire n_5004;
-   wire n_5005;
-   wire n_5006;
-   wire n_5007;
-   wire n_5008;
-   wire n_5009;
-   wire n_5010;
-   wire n_5011;
-   wire n_5012;
-   wire n_5013;
-   wire n_5014;
-   wire n_5015;
-   wire n_5016;
-   wire n_5017;
-   wire n_5018;
-   wire n_5019;
-   wire n_5020;
-   wire n_5021;
-   wire n_5022;
-   wire n_5023;
-   wire n_5024;
-   wire n_5025;
-   wire n_5026;
-   wire n_5027;
-   wire n_5028;
-   wire n_5029;
-   wire n_5030;
-   wire n_5031;
-   wire n_5032;
-   wire n_5033;
-   wire n_5034;
-   wire n_5035;
-   wire n_5036;
-   wire n_5037;
-   wire n_5038;
-   wire n_5039;
-   wire n_5040;
-   wire n_5041;
-   wire n_5042;
-   wire n_5043;
-   wire n_5044;
-   wire n_5045;
-   wire n_5046;
-   wire n_5047;
-   wire n_5048;
-   wire n_5049;
-   wire n_5050;
-   wire n_5051;
-   wire n_5052;
-   wire n_5053;
-   wire n_5054;
-   wire n_5055;
-   wire n_5056;
-   wire n_5057;
-   wire n_5058;
-   wire n_5059;
-   wire n_5060;
-   wire n_5061;
-   wire n_5062;
-   wire n_5063;
-   wire n_5064;
-   wire n_5065;
-   wire n_5066;
-   wire n_5067;
-   wire n_5068;
-   wire n_5069;
-   wire n_5070;
-   wire n_5071;
-   wire n_5072;
-   wire n_5073;
-   wire n_5074;
-   wire n_5075;
-   wire n_5076;
-   wire n_5077;
-   wire n_5078;
-   wire n_5079;
-   wire n_5080;
-   wire n_5081;
-   wire n_5082;
-   wire n_5083;
-   wire n_5084;
-   wire n_5085;
-   wire n_5086;
-   wire n_5087;
-   wire n_5088;
-   wire n_5089;
-   wire n_5090;
-   wire n_5091;
-   wire n_5092;
-   wire n_5093;
-   wire n_5094;
-   wire n_5095;
-   wire n_5096;
-   wire n_5097;
-   wire n_5098;
-   wire n_5099;
-   wire n_5100;
-   wire n_5101;
-   wire n_5102;
-   wire n_5103;
-   wire n_5104;
-   wire n_5105;
-   wire n_5106;
-   wire n_5107;
-   wire n_5108;
-   wire n_5109;
-   wire n_5110;
-   wire n_5111;
-   wire n_5112;
-   wire n_5113;
-   wire n_5114;
-   wire n_5115;
-   wire n_5116;
-   wire n_5117;
-   wire n_5118;
-   wire n_5119;
-   wire n_5120;
-   wire n_5121;
-   wire n_5122;
-   wire n_5123;
-   wire n_5124;
-   wire n_5125;
-   wire n_5126;
-   wire n_5127;
-   wire n_5128;
-   wire n_5129;
-   wire n_5130;
-   wire n_5131;
-   wire n_5132;
-   wire n_5133;
-   wire n_5134;
-   wire n_5135;
-   wire n_5136;
-   wire n_5137;
-   wire n_5138;
-   wire n_5139;
-   wire n_5140;
-   wire n_5141;
-   wire n_5142;
-   wire n_5143;
-   wire n_5144;
-   wire n_5145;
-   wire n_5146;
-   wire n_5147;
-   wire n_5148;
-   wire n_5149;
-   wire n_5150;
-   wire n_5151;
-   wire n_5152;
-   wire n_5153;
-   wire n_5154;
-   wire n_5155;
-   wire n_5156;
-   wire n_5157;
-   wire n_5158;
-   wire n_5159;
-   wire n_5160;
-   wire n_5161;
-   wire n_5162;
-   wire n_5163;
-   wire n_5164;
-   wire n_5165;
-   wire n_5166;
-   wire n_5167;
-   wire n_5168;
-   wire n_5169;
-   wire n_5170;
-   wire n_5171;
-   wire n_5172;
-   wire n_5173;
-   wire n_5174;
-   wire n_5175;
-   wire n_5176;
-   wire n_5177;
-   wire n_5178;
-   wire n_5179;
-   wire n_5180;
-   wire n_5181;
-   wire n_5182;
-   wire n_5183;
-   wire n_5184;
-   wire n_5185;
-   wire n_5186;
-   wire n_5187;
-   wire n_5188;
-   wire n_5189;
-   wire n_5190;
-   wire n_5191;
-   wire n_5192;
-   wire n_5193;
-   wire n_5194;
-   wire n_5195;
-   wire n_5196;
-   wire n_5197;
-   wire n_5198;
-   wire n_5199;
-   wire n_5200;
-   wire n_5201;
-   wire n_5202;
-   wire n_5203;
-   wire n_5204;
-   wire n_5205;
-   wire n_5206;
-   wire n_5207;
-   wire n_5208;
-   wire n_5209;
-   wire n_5210;
-   wire n_5211;
-   wire n_5212;
-   wire n_5214;
-   wire n_5215;
-   wire n_5216;
-   wire n_5217;
-   wire n_5218;
-   wire n_5219;
-   wire n_5220;
-   wire n_5221;
-   wire n_5222;
-   wire n_5223;
-   wire n_5224;
-   wire n_5225;
-   wire n_5226;
-   wire n_5227;
-   wire n_5228;
-   wire n_5229;
-   wire n_5230;
-   wire n_5231;
-   wire n_5232;
-   wire n_5233;
-   wire n_5234;
-   wire n_5235;
-   wire n_5236;
-   wire n_5237;
-   wire n_5238;
-   wire n_5239;
-   wire n_5240;
-   wire n_5241;
-   wire n_5242;
-   wire n_5243;
-   wire n_5244;
-   wire n_5245;
-   wire n_5246;
-   wire n_5247;
-   wire n_5248;
-   wire n_5249;
-   wire n_5250;
-   wire n_5251;
-   wire n_5252;
-   wire n_5253;
-   wire n_5254;
-   wire n_5255;
-   wire n_5256;
-   wire n_5257;
-   wire n_5258;
-   wire n_5259;
-   wire n_5260;
-   wire n_5261;
-   wire n_5262;
-   wire n_5263;
-   wire n_5264;
-   wire n_5265;
-   wire n_5266;
-   wire n_5267;
-   wire n_5268;
-   wire n_5269;
-   wire n_5270;
-   wire n_5271;
-   wire n_5272;
-   wire n_5273;
-   wire n_5274;
-   wire n_5275;
-   wire n_5276;
-   wire n_5277;
-   wire n_5278;
-   wire n_5279;
-   wire n_5280;
-   wire n_5281;
-   wire n_5282;
-   wire n_5283;
-   wire n_5284;
-   wire n_5285;
-   wire n_5286;
-   wire n_5287;
-   wire n_5288;
-   wire n_5289;
-   wire n_5290;
-   wire n_5291;
-   wire n_5292;
-   wire n_5293;
-   wire n_5294;
-   wire n_5295;
-   wire n_5296;
-   wire n_5297;
-   wire n_5298;
-   wire n_5299;
-   wire n_5300;
-   wire n_5301;
-   wire n_5302;
-   wire n_5303;
-   wire n_5304;
-   wire n_5305;
-   wire n_5306;
-   wire n_5307;
-   wire n_5308;
-   wire n_5309;
-   wire n_5310;
-   wire n_5311;
-   wire n_5312;
-   wire n_5313;
-   wire n_5314;
-   wire n_5315;
-   wire n_5316;
-   wire n_5317;
-   wire n_5318;
-   wire n_5319;
-   wire n_5320;
-   wire n_5321;
-   wire n_5322;
-   wire n_5323;
-   wire n_5324;
-   wire n_5325;
-   wire n_5326;
-   wire n_5327;
-   wire n_5328;
-   wire n_5329;
-   wire n_5330;
-   wire n_5331;
-   wire n_5332;
-   wire n_5333;
-   wire n_5334;
-   wire n_5335;
-   wire n_5336;
-   wire n_5337;
-   wire n_5338;
-   wire n_5339;
-   wire n_5340;
-   wire n_5341;
-   wire n_5342;
-   wire n_5343;
-   wire n_5344;
-   wire n_5345;
-   wire n_5346;
-   wire n_5347;
-   wire n_5348;
-   wire n_5349;
-   wire n_5350;
-   wire n_5351;
-   wire n_5352;
-   wire n_5353;
-   wire n_5354;
-   wire n_5355;
-   wire n_5356;
-   wire n_5357;
-   wire n_5358;
-   wire n_5359;
-   wire n_5360;
-   wire n_5361;
-   wire n_5362;
-   wire n_5363;
-   wire n_5364;
-   wire n_5365;
-   wire n_5366;
-   wire n_5367;
-   wire n_5368;
-   wire n_5369;
-   wire n_5370;
-   wire n_5371;
-   wire n_5372;
-   wire n_5373;
-   wire n_5374;
-   wire n_5375;
-   wire n_5376;
-   wire n_5377;
-   wire n_5378;
-   wire n_5379;
-   wire n_5380;
-   wire n_5381;
-   wire n_5382;
-   wire n_5383;
-   wire n_5384;
-   wire n_5385;
-   wire n_5386;
-   wire n_5387;
-   wire n_5388;
-   wire n_5389;
-   wire n_5390;
-   wire n_5391;
-   wire n_5392;
-   wire n_5393;
-   wire n_5394;
-   wire n_5395;
-   wire n_5396;
-   wire n_5397;
-   wire n_5398;
-   wire n_5399;
-   wire n_5400;
-   wire n_5401;
-   wire n_5402;
-   wire n_5403;
-   wire n_5404;
-   wire n_5405;
-   wire n_5406;
-   wire n_5407;
-   wire n_5408;
-   wire n_5409;
-   wire n_5410;
-   wire n_5411;
-   wire n_5412;
-   wire n_5413;
-   wire n_5414;
-   wire n_5415;
-   wire n_5416;
-   wire n_5417;
-   wire n_5418;
-   wire n_5419;
-   wire n_5420;
-   wire n_5421;
-   wire n_5422;
-   wire n_5423;
-   wire n_5424;
-   wire n_5425;
-   wire n_5426;
-   wire n_5427;
-   wire n_5428;
-   wire n_5429;
-   wire n_5430;
-   wire n_5431;
-   wire n_5432;
-   wire n_5433;
-   wire n_5434;
-   wire n_5435;
-   wire n_5436;
-   wire n_5437;
-   wire n_5438;
-   wire n_5439;
-   wire n_5440;
-   wire n_5441;
-   wire n_5442;
-   wire n_5443;
-   wire n_5444;
-   wire n_5445;
-   wire n_5446;
-   wire n_5447;
-   wire n_5448;
-   wire n_5449;
-   wire n_5450;
-   wire n_5451;
-   wire n_5452;
-   wire n_5453;
-   wire n_5454;
-   wire n_5455;
-   wire n_5456;
-   wire n_5457;
-   wire n_5458;
-   wire n_5459;
-   wire n_5460;
-   wire n_5461;
-   wire n_5462;
-   wire n_5463;
-   wire n_5464;
-   wire n_5465;
-   wire n_5466;
-   wire n_5467;
-   wire n_5468;
-   wire n_5469;
-   wire n_5470;
-   wire n_5471;
-   wire n_5472;
-   wire n_5473;
-   wire n_5474;
-   wire n_5475;
-   wire n_5476;
-   wire n_5477;
-   wire n_5478;
-   wire n_5479;
-   wire n_5480;
-   wire n_5481;
-   wire n_5482;
-   wire n_5483;
-   wire n_5484;
-   wire n_5485;
-   wire n_5486;
-   wire n_5487;
-   wire n_5488;
-   wire n_5489;
-   wire n_5490;
-   wire n_5491;
-   wire n_5492;
-   wire n_5493;
-   wire n_5494;
-   wire n_5495;
-   wire n_5496;
-   wire n_5497;
-   wire n_5498;
-   wire n_5499;
-   wire n_5500;
-   wire n_5501;
-   wire n_5502;
-   wire n_5503;
-   wire n_5504;
-   wire n_5505;
-   wire n_5506;
-   wire n_5507;
-   wire n_5508;
-   wire n_5509;
-   wire n_5510;
-   wire n_5511;
-   wire n_5512;
-   wire n_5513;
-   wire n_5514;
-   wire n_5515;
-   wire n_5516;
-   wire n_5517;
-   wire n_5518;
-   wire n_5519;
-   wire n_5520;
-   wire n_5521;
-   wire n_5522;
-   wire n_5523;
-   wire n_5524;
-   wire n_5525;
-   wire n_5526;
-   wire n_5527;
-   wire n_5528;
-   wire n_5529;
-   wire n_5530;
-   wire n_5531;
-   wire n_5532;
-   wire n_5533;
-   wire n_5534;
-   wire n_5535;
-   wire n_5536;
-   wire n_5537;
-   wire n_5538;
-   wire n_5539;
-   wire n_5540;
-   wire n_5541;
-   wire n_5542;
-   wire n_5543;
-   wire n_5544;
-   wire n_5545;
-   wire n_5546;
-   wire n_5547;
-   wire n_5548;
-   wire n_5549;
-   wire n_5550;
-   wire n_5551;
-   wire n_5552;
-   wire n_5553;
-   wire n_5554;
-   wire n_5555;
-   wire n_5556;
-   wire n_5557;
-   wire n_5558;
-   wire n_5559;
-   wire n_5560;
-   wire n_5561;
-   wire n_5562;
-   wire n_5563;
-   wire n_5564;
-   wire n_5565;
-   wire n_5566;
-   wire n_5567;
-   wire n_5568;
-   wire n_5569;
-   wire n_5570;
-   wire n_5571;
-   wire n_5572;
-   wire n_5573;
-   wire n_5574;
-   wire n_5575;
-   wire n_5576;
-   wire n_5577;
-   wire n_5578;
-   wire n_5579;
-   wire n_5580;
-   wire n_5581;
-   wire n_5582;
-   wire n_5583;
-   wire n_5584;
-   wire n_5585;
-   wire n_5586;
-   wire n_5587;
-   wire n_5588;
-   wire n_5589;
-   wire n_5590;
-   wire n_5591;
-   wire n_5592;
-   wire n_5593;
-   wire n_5594;
-   wire n_5595;
-   wire n_5596;
-   wire n_5597;
-   wire n_5598;
-   wire n_5599;
-   wire n_5600;
-   wire n_5601;
-   wire n_5602;
-   wire n_5603;
-   wire n_5604;
-   wire n_5605;
-   wire n_5606;
-   wire n_5607;
-   wire n_5608;
-   wire n_5609;
-   wire n_5610;
-   wire n_5611;
-   wire n_5612;
-   wire n_5613;
-   wire n_5614;
-   wire n_5615;
-   wire n_5616;
-   wire n_5617;
-   wire n_5618;
-   wire n_5619;
-   wire n_5620;
-   wire n_5621;
-   wire n_5622;
-   wire n_5623;
-   wire n_5624;
-   wire n_5625;
-   wire n_5626;
-   wire n_5627;
-   wire n_5628;
-   wire n_5629;
-   wire n_5630;
-   wire n_5631;
-   wire n_5632;
-   wire n_5633;
-   wire n_5634;
-   wire n_5635;
-   wire n_5636;
-   wire n_5637;
-   wire n_5638;
-   wire n_5639;
-   wire n_5640;
-   wire n_5641;
-   wire n_5642;
-   wire n_5643;
-   wire n_5644;
-   wire n_5645;
-   wire n_5646;
-   wire n_5647;
-   wire n_5648;
-   wire n_5649;
-   wire n_5650;
-   wire n_5651;
-   wire n_5652;
-   wire n_5653;
-   wire n_5654;
-   wire n_5655;
-   wire n_5656;
-   wire n_5657;
-   wire n_5658;
-   wire n_5659;
-   wire n_5660;
-   wire n_5661;
-   wire n_5662;
-   wire n_5663;
-   wire n_5664;
-   wire n_5665;
-   wire n_5666;
-   wire n_5667;
-   wire n_5668;
-   wire n_5669;
-   wire n_5670;
-   wire n_5671;
-   wire n_5672;
-   wire n_5673;
-   wire n_5674;
-   wire n_5675;
-   wire n_5676;
-   wire n_5677;
-   wire n_5678;
-   wire n_5679;
-   wire n_5680;
-   wire n_5681;
-   wire n_5682;
-   wire n_5683;
-   wire n_5684;
-   wire n_5685;
-   wire n_5686;
-   wire n_5687;
-   wire n_5688;
-   wire n_5689;
-   wire n_5690;
-   wire n_5691;
-   wire n_5692;
-   wire n_5693;
-   wire n_5694;
-   wire n_5695;
-   wire n_5696;
-   wire n_5697;
-   wire n_5698;
-   wire n_5699;
-   wire n_5700;
-   wire n_5701;
-   wire n_5702;
-   wire n_5703;
-   wire n_5704;
-   wire n_5705;
-   wire n_5706;
-   wire n_5707;
-   wire n_5708;
-   wire n_5709;
-   wire n_5710;
-   wire n_5711;
-   wire n_5712;
-   wire n_5713;
-   wire n_5714;
-   wire n_5715;
-   wire n_5716;
-   wire n_5717;
-   wire n_5718;
-   wire n_5719;
-   wire n_5720;
-   wire n_5721;
-   wire n_5722;
-   wire n_5723;
-   wire n_5724;
-   wire n_5725;
-   wire n_5726;
-   wire n_5727;
-   wire n_5728;
-   wire n_5729;
-   wire n_5730;
-   wire n_5731;
-   wire n_5732;
-   wire n_5733;
-   wire n_5734;
-   wire n_5735;
-   wire n_5736;
-   wire n_5737;
-   wire n_5738;
-   wire n_5739;
-   wire n_5740;
-   wire n_5741;
-   wire n_5742;
-   wire n_5743;
-   wire n_5744;
-   wire n_5745;
-   wire n_5746;
-   wire n_5747;
-   wire n_5748;
-   wire n_5749;
-   wire n_5750;
-   wire n_5751;
-   wire n_5752;
-   wire n_5753;
-   wire n_5754;
-   wire n_5755;
-   wire n_5756;
-   wire n_5757;
-   wire n_5758;
-   wire n_5759;
-   wire n_5760;
-   wire n_5761;
-   wire n_5762;
-   wire n_5763;
-   wire n_5764;
-   wire n_5765;
-   wire n_5766;
-   wire n_5767;
-   wire n_5768;
-   wire n_5769;
-   wire n_5770;
-   wire n_5771;
-   wire n_5772;
-   wire n_5773;
-   wire n_5774;
-   wire n_5775;
-   wire n_5776;
-   wire n_5777;
-   wire n_5778;
-   wire n_5779;
-   wire n_5780;
-   wire n_5781;
-   wire n_5782;
-   wire n_5783;
-   wire n_5784;
-   wire n_5785;
-   wire n_5786;
-   wire n_5787;
-   wire n_5788;
-   wire n_5789;
-   wire n_5790;
-   wire n_5791;
-   wire n_5792;
-   wire n_5793;
-   wire n_5794;
-   wire n_5795;
-   wire n_5796;
-   wire n_5797;
-   wire n_5798;
-   wire n_5799;
-   wire n_5800;
-   wire n_5801;
-   wire n_5802;
-   wire n_5803;
-   wire n_5804;
-   wire n_5805;
-   wire n_5806;
-   wire n_5807;
-   wire n_5808;
-   wire n_5809;
-   wire n_5810;
-   wire n_5811;
-   wire n_5812;
-   wire n_5813;
-   wire n_5814;
-   wire n_5815;
-   wire n_5816;
-   wire n_5817;
-   wire n_5818;
-   wire n_5819;
-   wire n_5820;
-   wire n_5821;
-   wire n_5822;
-   wire n_5823;
-   wire n_5824;
-   wire n_5825;
-   wire n_5826;
-   wire n_5827;
-   wire n_5828;
-   wire n_5829;
-   wire n_5830;
-   wire n_5831;
-   wire n_5832;
-   wire n_5833;
-   wire n_5834;
-   wire n_5835;
-   wire n_5836;
-   wire n_5837;
-   wire n_5838;
-   wire n_5839;
-   wire n_5840;
-   wire n_5841;
-   wire n_5842;
-   wire n_5843;
-   wire n_5844;
-   wire n_5845;
-   wire n_5846;
-   wire n_5847;
-   wire n_5848;
-   wire n_5849;
-   wire n_5850;
-   wire n_5851;
-   wire n_5852;
-   wire n_5853;
-   wire n_5854;
-   wire n_5855;
-   wire n_5856;
-   wire n_5857;
-   wire n_5858;
-   wire n_5859;
-   wire n_5860;
-   wire n_5861;
-   wire n_5862;
-   wire n_5863;
-   wire n_5864;
-   wire n_5865;
-   wire n_5866;
-   wire n_5867;
-   wire n_5868;
-   wire n_5869;
-   wire n_5870;
-   wire n_5871;
-   wire n_5872;
-   wire n_5873;
-   wire n_5874;
-   wire n_5875;
-   wire n_5876;
-   wire n_5877;
-   wire n_5878;
-   wire n_5879;
-   wire n_5880;
-   wire n_5881;
-   wire n_5882;
-   wire n_5883;
-   wire n_5884;
-   wire n_5885;
-   wire n_5886;
-   wire n_5887;
-   wire n_5888;
-   wire n_5889;
-   wire n_5890;
-   wire n_5891;
-   wire n_5892;
-   wire n_5893;
-   wire n_5894;
-   wire n_5895;
-   wire n_5896;
-   wire n_5897;
-   wire n_5898;
-   wire n_5899;
-   wire n_5900;
-   wire n_5901;
-   wire n_5902;
-   wire n_5903;
-   wire n_5904;
-   wire n_5905;
-   wire n_5906;
-   wire n_5907;
-   wire n_5908;
-   wire n_5909;
-   wire n_5910;
-   wire n_5911;
-   wire n_5912;
-   wire n_5913;
-   wire n_5914;
-   wire n_5915;
-   wire n_5916;
-   wire n_5917;
-   wire n_5918;
-   wire n_5919;
-   wire n_5920;
-   wire n_5921;
-   wire n_5922;
-   wire n_5923;
-   wire n_5924;
-   wire n_5925;
-   wire n_5926;
-   wire n_5927;
-   wire n_5928;
-   wire n_5929;
-   wire n_5930;
-   wire n_5931;
-   wire n_5932;
-   wire n_5933;
-   wire n_5934;
-   wire n_5935;
-   wire n_5936;
-   wire n_5937;
-   wire n_5938;
-   wire n_5939;
-   wire n_5940;
-   wire n_5941;
-   wire n_5942;
-   wire n_5943;
-   wire n_5944;
-   wire n_5945;
-   wire n_5946;
-   wire n_5947;
-   wire n_5948;
-   wire n_5949;
-   wire n_5950;
-   wire n_5951;
-   wire n_5952;
-   wire n_5953;
-   wire n_5954;
-   wire n_5955;
-   wire n_5956;
-   wire n_5957;
-   wire n_5958;
-   wire n_5959;
-   wire n_5960;
-   wire n_5961;
-   wire n_5962;
-   wire n_5963;
-   wire n_5964;
-   wire n_5965;
-   wire n_5966;
-   wire n_5967;
-   wire n_5968;
-   wire n_5969;
-   wire n_5970;
-   wire n_5971;
-   wire n_5972;
-   wire n_5973;
-   wire n_5974;
-   wire n_5975;
-   wire n_5976;
-   wire n_5977;
-   wire n_5978;
-   wire n_5979;
-   wire n_5980;
-   wire n_5981;
-   wire n_5982;
-   wire n_5983;
-   wire n_5984;
-   wire n_5985;
-   wire n_5986;
-   wire n_5987;
-   wire n_5988;
-   wire n_5989;
-   wire n_5990;
-   wire n_5991;
-   wire n_5992;
-   wire n_5993;
-   wire n_5994;
-   wire n_5995;
-   wire n_5996;
-   wire n_5997;
-   wire n_5998;
-   wire n_6000;
-   wire n_8813;
-   wire n_8814;
-   wire n_8815;
-   wire n_8816;
-
-   // Module instantiations
-   sky130_fd_sc_hd__diode_2 DIODE_64 (
-	.DIODE(FE_OFN1439_xbar_to_dccm_a_data__1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3748_n_5953 (
-	.A(n_5953),
-	.X(FE_OFN17067_n_5953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00459 (
-	.A(clk_i_clone3),
-	.X(CTS_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00433 (
-	.A(clk_i_clone3),
-	.X(CTS_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00427 (
-	.A(clk_i_clone3),
-	.X(CTS_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00409 (
-	.A(clk_i_clone3),
-	.X(CTS_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00407 (
-	.A(clk_i_clone3),
-	.X(CTS_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00405 (
-	.A(clk_i_clone3),
-	.X(CTS_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00395 (
-	.A(clk_i_clone3),
-	.X(CTS_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00389 (
-	.A(clk_i_clone3),
-	.X(CTS_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00453 (
-	.A(CTS_20),
-	.X(CTS_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00451 (
-	.A(CTS_20),
-	.X(CTS_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00419 (
-	.A(CTS_20),
-	.X(CTS_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00413 (
-	.A(CTS_20),
-	.X(CTS_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00401 (
-	.A(CTS_20),
-	.X(CTS_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00399 (
-	.A(CTS_20),
-	.X(CTS_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00397 (
-	.A(CTS_20),
-	.X(CTS_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00393 (
-	.A(CTS_20),
-	.X(CTS_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00387 (
-	.A(CTS_20),
-	.X(CTS_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00383 (
-	.A(CTS_20),
-	.X(CTS_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00381 (
-	.A(CTS_20),
-	.X(CTS_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00379 (
-	.A(CTS_20),
-	.X(CTS_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00839 (
-	.A(clk_i_clone4),
-	.X(CTS_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00431 (
-	.A(clk_i_clone7),
-	.X(CTS_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00429 (
-	.A(clk_i_clone7),
-	.X(CTS_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00421 (
-	.A(clk_i_clone7),
-	.X(CTS_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00417 (
-	.A(clk_i_clone7),
-	.X(CTS_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00415 (
-	.A(clk_i_clone7),
-	.X(CTS_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00411 (
-	.A(clk_i_clone7),
-	.X(CTS_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00403 (
-	.A(clk_i_clone7),
-	.X(CTS_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00391 (
-	.A(clk_i_clone7),
-	.X(CTS_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00385 (
-	.A(clk_i_clone7),
-	.X(CTS_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2720_n_5839 (
-	.A(n_5839),
-	.X(FE_OFN16220_n_5839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1841_n_261 (
-	.A(n_261),
-	.Y(FE_OFN1785_n_261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1810_n_421 (
-	.A(n_422),
-	.Y(FE_OFN1780_n_421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1808_n_421 (
-	.A(n_421),
-	.X(n_422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1806_n_142 (
-	.A(n_142),
-	.Y(FE_OFN1779_n_142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1801_n_140 (
-	.A(n_140),
-	.Y(FE_OFN1777_n_140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1798_n_125 (
-	.A(FE_OFN1169_n_125),
-	.Y(n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1797_n_125 (
-	.A(n_125),
-	.X(FE_OFN1169_n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1791_n_121 (
-	.A(FE_OFN1167_n_121),
-	.Y(n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1790_n_121 (
-	.A(n_121),
-	.X(FE_OFN1167_n_121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1787_n_54 (
-	.A(n_55),
-	.Y(FE_OFN1773_n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1786_n_54 (
-	.A(n_54),
-	.Y(n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1775_u_uart_u_uart_core_tx_fifo_we (
-	.A(FE_OFN1389_u_uart_u_uart_core_tx_fifo_we),
-	.Y(n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1774_u_uart_u_uart_core_tx_fifo_we (
-	.A(we_i),
-	.X(FE_OFN1389_u_uart_u_uart_core_tx_fifo_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1659_n_46 (
-	.A(FE_OFN1658_n_46),
-	.X(FE_OFN1659_n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1658_n_46 (
-	.A(n_46),
-	.X(FE_OFN1658_n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1657_n_42 (
-	.A(FE_OFN1656_n_42),
-	.X(FE_OFN1657_n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1656_n_42 (
-	.A(n_42),
-	.X(FE_OFN1656_n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1655_n_40 (
-	.A(FE_OFN1654_n_40),
-	.X(FE_OFN1655_n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1654_n_40 (
-	.A(n_40),
-	.X(FE_OFN1654_n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1653_n_38 (
-	.A(n_38),
-	.X(FE_OFN1653_n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1652_n_38 (
-	.A(n_38),
-	.X(FE_OFN1652_n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1651_n_23 (
-	.A(n_23),
-	.X(FE_OFN1651_n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1644_n_48 (
-	.A(n_48),
-	.X(FE_OFN1644_n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1643_n_47 (
-	.A(n_47),
-	.X(FE_OFN1643_n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1642_n_47 (
-	.A(n_47),
-	.X(FE_OFN1642_n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1641_n_47 (
-	.A(n_47),
-	.X(FE_OFN1641_n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1640_n_44 (
-	.A(n_44),
-	.X(FE_OFN1640_n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1639_n_44 (
-	.A(n_44),
-	.X(FE_OFN1639_n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1638_n_43 (
-	.A(FE_OFN1636_n_43),
-	.X(FE_OFN1638_n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1637_n_43 (
-	.A(FE_OFN1636_n_43),
-	.X(FE_OFN1637_n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1636_n_43 (
-	.A(n_43),
-	.X(FE_OFN1636_n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1635_n_41 (
-	.A(FE_OFN1633_n_41),
-	.X(FE_OFN1635_n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1634_n_41 (
-	.A(n_41),
-	.X(FE_OFN1634_n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1633_n_41 (
-	.A(n_41),
-	.X(FE_OFN1633_n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1632_n_39 (
-	.A(n_39),
-	.X(FE_OFN1632_n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1631_n_39 (
-	.A(n_39),
-	.X(FE_OFN1631_n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1630_n_39 (
-	.A(n_39),
-	.X(FE_OFN1630_n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1629_n_36 (
-	.A(n_36),
-	.X(FE_OFN1629_n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1628_n_24 (
-	.A(n_24),
-	.X(FE_OFN1628_n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1627_n_24 (
-	.A(n_24),
-	.X(FE_OFN1627_n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1626_n_24 (
-	.A(n_24),
-	.X(FE_OFN1626_n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1614_n_49 (
-	.A(FE_OFN1612_n_49),
-	.X(FE_OFN1614_n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1613_n_49 (
-	.A(n_49),
-	.X(FE_OFN1613_n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1612_n_49 (
-	.A(n_49),
-	.X(FE_OFN1612_n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1611_n_45 (
-	.A(n_45),
-	.X(FE_OFN1611_n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1610_n_45 (
-	.A(n_45),
-	.X(FE_OFN1610_n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1609_n_37 (
-	.A(FE_OFN1608_n_37),
-	.X(FE_OFN1609_n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1608_n_37 (
-	.A(n_37),
-	.X(FE_OFN1608_n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1607_n_37 (
-	.A(n_37),
-	.X(FE_OFN1607_n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1563_n_5997 (
-	.A(n_5997),
-	.X(FE_OFN1563_n_5997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1562_n_4319 (
-	.A(n_4319),
-	.X(FE_OFN1562_n_4319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1561_n_4318 (
-	.A(n_4318),
-	.X(FE_OFN1561_n_4318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1560_n_4313 (
-	.A(FE_OFN1559_n_4313),
-	.X(FE_OFN1560_n_4313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1559_n_4313 (
-	.A(n_4313),
-	.X(FE_OFN1559_n_4313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1558_n_4311 (
-	.A(n_4311),
-	.X(FE_OFN1558_n_4311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1439_xbar_to_dccm_a_data__1 (
-	.A(wdata_i[1]),
-	.X(FE_OFN1439_xbar_to_dccm_a_data__1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1436_n_5965 (
-	.A(n_5965),
-	.X(FE_OFN1436_n_5965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1434_n_5963 (
-	.A(n_5963),
-	.X(FE_OFN1434_n_5963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1431_n_5959 (
-	.A(n_5959),
-	.X(FE_OFN1431_n_5959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1430_n_5958 (
-	.A(n_5958),
-	.X(FE_OFN1430_n_5958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1428_n_5956 (
-	.A(n_5956),
-	.X(FE_OFN1428_n_5956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1426_n_5954 (
-	.A(n_5954),
-	.X(FE_OFN1426_n_5954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1424_n_5159 (
-	.A(n_5159),
-	.X(FE_OFN1424_n_5159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1423_n_4337 (
-	.A(n_4337),
-	.X(FE_OFN1423_n_4337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1422_n_4337 (
-	.A(n_4337),
-	.X(FE_OFN1422_n_4337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1421_n_4336 (
-	.A(FE_OFN1419_n_4336),
-	.X(FE_OFN1421_n_4336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1420_n_4336 (
-	.A(n_4336),
-	.X(FE_OFN1420_n_4336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1419_n_4336 (
-	.A(n_4336),
-	.X(FE_OFN1419_n_4336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1418_n_4335 (
-	.A(FE_OFN1417_n_4335),
-	.X(FE_OFN1418_n_4335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1417_n_4335 (
-	.A(n_4335),
-	.X(FE_OFN1417_n_4335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1416_n_4334 (
-	.A(n_4334),
-	.X(FE_OFN1416_n_4334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1415_n_4334 (
-	.A(n_4334),
-	.X(FE_OFN1415_n_4334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1414_n_4320 (
-	.A(n_4320),
-	.X(FE_OFN1414_n_4320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1413_n_4315 (
-	.A(n_4315),
-	.X(FE_OFN1413_n_4315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1412_n_4314 (
-	.A(FE_OFN1411_n_4314),
-	.X(FE_OFN1412_n_4314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1411_n_4314 (
-	.A(n_4314),
-	.X(FE_OFN1411_n_4314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1410_n_4312 (
-	.A(n_4312),
-	.X(FE_OFN1410_n_4312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1409_n_4310 (
-	.A(n_4310),
-	.X(FE_OFN1409_n_4310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1408_n_4309 (
-	.A(n_4309),
-	.X(FE_OFN1408_n_4309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1407_n_4308 (
-	.A(n_4308),
-	.X(FE_OFN1407_n_4308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1406_n_4307 (
-	.A(n_4307),
-	.X(FE_OFN1406_n_4307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1405_n_11 (
-	.A(FE_OFN1403_n_11),
-	.Y(FE_OFN1405_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC1404_n_11 (
-	.A(FE_OFN1403_n_11),
-	.Y(FE_OFN1404_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1403_n_11 (
-	.A(FE_OFN1401_n_11),
-	.Y(FE_OFN1403_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1402_n_11 (
-	.A(FE_OFN1400_n_11),
-	.X(FE_OFN1402_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1401_n_11 (
-	.A(FE_OFN1399_n_11),
-	.X(FE_OFN1401_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1400_n_11 (
-	.A(n_11),
-	.X(FE_OFN1400_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1399_n_11 (
-	.A(n_11),
-	.X(FE_OFN1399_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1209_n_5966 (
-	.A(n_5966),
-	.X(FE_OFN1209_n_5966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1207_n_4639 (
-	.A(n_4639),
-	.X(FE_OFN1207_n_4639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1206_n_4636 (
-	.A(n_4636),
-	.X(FE_OFN1206_n_4636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1205_n_4635 (
-	.A(n_4635),
-	.X(FE_OFN1205_n_4635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1204_n_4632 (
-	.A(n_4632),
-	.X(FE_OFN1204_n_4632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1203_n_4345 (
-	.A(n_4345),
-	.X(FE_OFN1203_n_4345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1202_n_4343 (
-	.A(n_4343),
-	.X(FE_OFN1202_n_4343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1201_n_4229 (
-	.A(FE_OFN1199_n_4229),
-	.X(FE_OFN1201_n_4229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1200_n_4229 (
-	.A(FE_OFN1196_n_4229),
-	.X(FE_OFN1200_n_4229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1199_n_4229 (
-	.A(FE_OFN1195_n_4229),
-	.Y(FE_OFN1199_n_4229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1198_n_4229 (
-	.A(FE_OFN1195_n_4229),
-	.Y(FE_OFN1198_n_4229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1197_n_4229 (
-	.A(FE_OFN1195_n_4229),
-	.Y(FE_OFN1197_n_4229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1196_n_4229 (
-	.A(FE_OFN1195_n_4229),
-	.Y(FE_OFN1196_n_4229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1195_n_4229 (
-	.A(n_4229),
-	.Y(FE_OFN1195_n_4229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1194_n_4186 (
-	.A(FE_OFN1191_n_4186),
-	.X(FE_OFN1194_n_4186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1193_n_4186 (
-	.A(FE_OFN1190_n_4186),
-	.X(FE_OFN1193_n_4186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1192_n_4186 (
-	.A(FE_OFN1190_n_4186),
-	.X(FE_OFN1192_n_4186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1191_n_4186 (
-	.A(FE_OFN1190_n_4186),
-	.X(FE_OFN1191_n_4186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1190_n_4186 (
-	.A(n_4186),
-	.X(FE_OFN1190_n_4186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1189_n_4085 (
-	.A(n_4085),
-	.X(FE_OFN1189_n_4085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1188_n_4083 (
-	.A(n_4083),
-	.X(FE_OFN1188_n_4083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1187_n_4083 (
-	.A(n_4083),
-	.X(FE_OFN1187_n_4083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1186_n_4082 (
-	.A(n_4082),
-	.X(FE_OFN1186_n_4082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1185_n_4082 (
-	.A(n_4082),
-	.X(FE_OFN1185_n_4082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1184_n_3994 (
-	.A(FE_OFN1180_n_3994),
-	.X(FE_OFN1184_n_3994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1183_n_3994 (
-	.A(FE_OFN1179_n_3994),
-	.X(FE_OFN1183_n_3994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1182_n_3994 (
-	.A(FE_OFN1179_n_3994),
-	.X(FE_OFN1182_n_3994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1181_n_3994 (
-	.A(FE_OFN1179_n_3994),
-	.X(FE_OFN1181_n_3994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1180_n_3994 (
-	.A(n_3994),
-	.X(FE_OFN1180_n_3994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1179_n_3994 (
-	.A(n_3994),
-	.X(FE_OFN1179_n_3994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1178_n_3945 (
-	.A(FE_OFN1176_n_3945),
-	.X(FE_OFN1178_n_3945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1177_n_3945 (
-	.A(FE_OFN1174_n_3945),
-	.X(FE_OFN1177_n_3945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1176_n_3945 (
-	.A(FE_OFN1174_n_3945),
-	.X(FE_OFN1176_n_3945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1175_n_3945 (
-	.A(FE_OFN1174_n_3945),
-	.X(FE_OFN1175_n_3945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1174_n_3945 (
-	.A(n_3945),
-	.X(FE_OFN1174_n_3945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1172_n_418 (
-	.A(n_418),
-	.X(FE_OFN1172_n_418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1171_n_131 (
-	.A(n_131),
-	.X(FE_OFN1171_n_131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1170_n_127 (
-	.A(n_127),
-	.X(FE_OFN1170_n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1168_n_123 (
-	.A(n_123),
-	.X(FE_OFN1168_n_123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1166_n_119 (
-	.A(n_119),
-	.X(FE_OFN1166_n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1164_n_82 (
-	.A(n_82),
-	.X(FE_OFN1164_n_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1163_n_59 (
-	.A(n_59),
-	.X(FE_OFN1163_n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1069_u_uart_u_uart_core_tx_fifo_reset (
-	.A(rst_i),
-	.X(FE_OFN1069_u_uart_u_uart_core_tx_fifo_reset), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1068_u_uart_u_uart_core_tx_fifo_clear (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.X(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1067_u_uart_u_uart_core_tx_fifo_clear (
-	.A(clr_i),
-	.X(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC187_system_rst_ni (
-	.A(FE_OFN129_system_rst_ni),
-	.Y(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC186_system_rst_ni (
-	.A(FE_OFN113_system_rst_ni),
-	.Y(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC185_system_rst_ni (
-	.A(FE_OFN113_system_rst_ni),
-	.Y(FE_OFN185_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC140_system_rst_ni (
-	.A(FE_OFN63_system_rst_ni),
-	.X(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC139_system_rst_ni (
-	.A(FE_OFN63_system_rst_ni),
-	.X(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC138_system_rst_ni (
-	.A(FE_OFN63_system_rst_ni),
-	.X(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC137_system_rst_ni (
-	.A(FE_OFN62_system_rst_ni),
-	.X(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC136_system_rst_ni (
-	.A(FE_OFN61_system_rst_ni),
-	.X(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC135_system_rst_ni (
-	.A(FE_OFN60_system_rst_ni),
-	.X(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC134_system_rst_ni (
-	.A(FE_OFN59_system_rst_ni),
-	.X(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC133_system_rst_ni (
-	.A(FE_OFN57_system_rst_ni),
-	.X(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC132_system_rst_ni (
-	.A(FE_OFN56_system_rst_ni),
-	.X(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC131_system_rst_ni (
-	.A(FE_OFN55_system_rst_ni),
-	.X(FE_OFN131_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC130_system_rst_ni (
-	.A(FE_OFN55_system_rst_ni),
-	.X(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC129_system_rst_ni (
-	.A(FE_OFN55_system_rst_ni),
-	.Y(FE_OFN129_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC128_system_rst_ni (
-	.A(FE_OFN54_system_rst_ni),
-	.X(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC127_system_rst_ni (
-	.A(FE_OFN53_system_rst_ni),
-	.X(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC126_system_rst_ni (
-	.A(FE_OFN53_system_rst_ni),
-	.X(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC125_system_rst_ni (
-	.A(FE_OFN53_system_rst_ni),
-	.X(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC124_system_rst_ni (
-	.A(FE_OFN52_system_rst_ni),
-	.X(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC123_system_rst_ni (
-	.A(FE_OFN51_system_rst_ni),
-	.X(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC122_system_rst_ni (
-	.A(FE_OFN51_system_rst_ni),
-	.X(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC121_system_rst_ni (
-	.A(FE_OFN51_system_rst_ni),
-	.X(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC120_system_rst_ni (
-	.A(FE_OFN51_system_rst_ni),
-	.X(FE_OFN120_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC119_system_rst_ni (
-	.A(FE_OFN49_system_rst_ni),
-	.X(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC118_system_rst_ni (
-	.A(FE_OFN6_system_rst_ni),
-	.X(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC113_system_rst_ni (
-	.A(FE_OFN6_system_rst_ni),
-	.Y(FE_OFN113_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC111_system_rst_ni (
-	.A(FE_OFN6_system_rst_ni),
-	.X(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC109_system_rst_ni (
-	.A(FE_OFN6_system_rst_ni),
-	.X(FE_OFN109_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC107_system_rst_ni (
-	.A(FE_OFN46_system_rst_ni),
-	.X(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC106_system_rst_ni (
-	.A(FE_OFN46_system_rst_ni),
-	.X(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC104_system_rst_ni (
-	.A(FE_OFN44_system_rst_ni),
-	.X(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC102_system_rst_ni (
-	.A(FE_OFN42_system_rst_ni),
-	.X(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC99_system_rst_ni (
-	.A(FE_OFN39_system_rst_ni),
-	.X(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC98_system_rst_ni (
-	.A(FE_OFN33_system_rst_ni),
-	.X(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC97_system_rst_ni (
-	.A(FE_OFN32_system_rst_ni),
-	.X(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC96_system_rst_ni (
-	.A(FE_OFN31_system_rst_ni),
-	.X(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC64_system_rst_ni (
-	.A(FE_OFN16_system_rst_ni),
-	.Y(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC63_system_rst_ni (
-	.A(FE_OFN16_system_rst_ni),
-	.Y(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC62_system_rst_ni (
-	.A(FE_OFN16_system_rst_ni),
-	.Y(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC61_system_rst_ni (
-	.A(FE_OFN16_system_rst_ni),
-	.Y(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC60_system_rst_ni (
-	.A(FE_OFN16_system_rst_ni),
-	.Y(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC59_system_rst_ni (
-	.A(FE_OFN16_system_rst_ni),
-	.Y(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC58_system_rst_ni (
-	.A(FE_OFN16_system_rst_ni),
-	.Y(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC57_system_rst_ni (
-	.A(FE_OFN16_system_rst_ni),
-	.Y(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC56_system_rst_ni (
-	.A(FE_OFN16_system_rst_ni),
-	.Y(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC55_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.Y(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC54_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.Y(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC53_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.Y(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC52_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.Y(FE_OFN52_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC51_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.Y(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC50_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.Y(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC49_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.Y(FE_OFN49_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC47_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.Y(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC46_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.Y(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC44_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.Y(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC42_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.Y(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC39_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.Y(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC33_system_rst_ni (
-	.A(FE_OFN8_system_rst_ni),
-	.Y(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC32_system_rst_ni (
-	.A(FE_OFN8_system_rst_ni),
-	.Y(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC31_system_rst_ni (
-	.A(FE_OFN8_system_rst_ni),
-	.Y(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC16_system_rst_ni (
-	.A(rst_ni),
-	.Y(FE_OFN16_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC8_system_rst_ni (
-	.A(rst_ni),
-	.Y(FE_OFN8_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g198275__6417 (
-	.A1(n_4655),
-	.A2(n_5808),
-	.B1(n_4658),
-	.B2(n_5841),
-	.C1(n_5998),
-	.Y(rdata_o[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g198276__5477 (
-	.A1(n_4655),
-	.A2(n_5802),
-	.B1(n_4658),
-	.B2(n_5800),
-	.C1(FE_OFN1563_n_5997),
-	.Y(rdata_o[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g198277__2398 (
-	.A1(n_4658),
-	.A2(n_5856),
-	.B1(n_4655),
-	.B2(n_5853),
-	.C1(n_5996),
-	.Y(rdata_o[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g198278__5107 (
-	.A1(n_4656),
-	.A2(n_5829),
-	.B1(n_4647),
-	.B2(n_5826),
-	.C1(n_5995),
-	.Y(rdata_o[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g198279__6260 (
-	.A(n_5899),
-	.B(n_5917),
-	.C(n_5906),
-	.D(n_5990),
-	.Y(n_5998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g198280__4319 (
-	.A(n_5909),
-	.B(n_5908),
-	.C(n_5901),
-	.D(n_5991),
-	.Y(n_5997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g198281__8428 (
-	.A(n_5700),
-	.B(n_5697),
-	.C(n_5967),
-	.D(n_5994),
-	.X(rdata_o[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g198282__5526 (
-	.A(n_5915),
-	.B(n_5914),
-	.C(n_5904),
-	.D(n_5989),
-	.Y(n_5996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g198283__6783 (
-	.A(n_5903),
-	.B(n_5913),
-	.C(n_5902),
-	.D(n_5993),
-	.Y(n_5995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g198284__3680 (
-	.A1(n_4658),
-	.A2(n_5801),
-	.B1(n_4653),
-	.B2(n_5799),
-	.C1(n_5992),
-	.Y(rdata_o[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g198285__1617 (
-	.A1(n_4650),
-	.A2(n_5886),
-	.B1(n_4647),
-	.B2(n_5885),
-	.C1(n_5988),
-	.Y(rdata_o[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198286__2802 (
-	.A(n_5987),
-	.B(n_5949),
-	.C(n_5239),
-	.D(n_5360),
-	.Y(n_5994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g198287__1705 (
-	.A1(n_4650),
-	.A2(n_5823),
-	.B1(n_4294),
-	.B2(FE_OFN17067_n_5953),
-	.C1(n_5985),
-	.Y(n_5993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g198288__5122 (
-	.A(n_5907),
-	.B(n_5900),
-	.C(n_5986),
-	.Y(n_5992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g198289__8246 (
-	.A1(n_4647),
-	.A2(n_5797),
-	.B1(n_4293),
-	.B2(FE_OFN1426_n_5954),
-	.C1(n_5981),
-	.Y(n_5991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g198290__7098 (
-	.A1(n_4656),
-	.A2(n_5860),
-	.B1(n_4293),
-	.B2(FE_OFN1430_n_5958),
-	.C1(n_5983),
-	.Y(n_5990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g198291__6131 (
-	.A1(n_4656),
-	.A2(n_5840),
-	.B1(n_4293),
-	.B2(FE_OFN1431_n_5959),
-	.C1(n_5982),
-	.Y(n_5989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g198292__1881 (
-	.A1(n_5882),
-	.A2(n_4651),
-	.B1(n_5911),
-	.C1(n_5984),
-	.Y(n_5988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g198293__5115 (
-	.A1(n_4293),
-	.A2(n_5964),
-	.B1(n_5971),
-	.C1(n_5969),
-	.D1(n_5975),
-	.Y(rdata_o[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g198294__7482 (
-	.A1(n_4293),
-	.A2(FE_OFN1436_n_5965),
-	.B1(n_5972),
-	.C1(n_5974),
-	.D1(n_5970),
-	.Y(rdata_o[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g198295__4733 (
-	.A(n_5386),
-	.B(n_5385),
-	.C(n_5387),
-	.D(n_5977),
-	.Y(n_5987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g198296__6161 (
-	.A1(n_4294),
-	.A2(n_5957),
-	.B1(n_4293),
-	.B2(n_5961),
-	.C1(n_5968),
-	.Y(n_5986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g198297__9315 (
-	.A(n_5910),
-	.B(n_5976),
-	.Y(n_5985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g198298__9945 (
-	.A1(n_4293),
-	.A2(FE_OFN1434_n_5963),
-	.B1(n_4094),
-	.B2(n_5962),
-	.C1(n_5973),
-	.Y(n_5984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g198299__2883 (
-	.A(n_5916),
-	.B(n_5980),
-	.Y(n_5983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g198300__2346 (
-	.A(n_5912),
-	.B(n_5978),
-	.Y(n_5982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g198301__1666 (
-	.A1(n_5789),
-	.A2(n_4651),
-	.B1(n_5979),
-	.Y(n_5981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198302__7410 (
-	.A1(n_4294),
-	.A2(n_5960),
-	.B1(n_4647),
-	.B2(n_5895),
-	.Y(n_5980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198303__6417 (
-	.A1(n_4294),
-	.A2(n_5952),
-	.B1(n_4653),
-	.B2(n_5896),
-	.Y(n_5979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198304__5477 (
-	.A1(n_4294),
-	.A2(FE_OFN1428_n_5956),
-	.B1(n_4652),
-	.B2(n_5905),
-	.Y(n_5978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198305__2398 (
-	.A(FE_OFN1209_n_5966),
-	.B(n_5935),
-	.C(n_5690),
-	.D(n_5702),
-	.Y(n_5977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198306__5107 (
-	.A1(n_4293),
-	.A2(n_5955),
-	.B1(n_4652),
-	.B2(n_5897),
-	.Y(n_5976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198307__6260 (
-	.A1(n_5920),
-	.A2(n_5926),
-	.B1(n_4295),
-	.Y(n_5975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198308__4319 (
-	.A1(n_5921),
-	.A2(n_5927),
-	.B1(n_4295),
-	.Y(n_5974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198309__8428 (
-	.A1(n_5924),
-	.A2(n_5930),
-	.B1(n_4295),
-	.Y(n_5973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198310__5526 (
-	.A1(n_5945),
-	.A2(n_5919),
-	.B1(n_4095),
-	.Y(n_5972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198311__6783 (
-	.A1(n_5933),
-	.A2(n_5941),
-	.B1(n_4095),
-	.Y(n_5971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198312__3680 (
-	.A1(n_5922),
-	.A2(n_5929),
-	.B1(n_4098),
-	.Y(n_5970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198313__1617 (
-	.A1(n_5923),
-	.A2(n_5928),
-	.B1(n_4098),
-	.Y(n_5969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198314__2802 (
-	.A1(n_5925),
-	.A2(n_5931),
-	.B1(n_4098),
-	.Y(n_5968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g198315__1705 (
-	.A1(n_4324),
-	.A2(n_5200),
-	.B1(n_5500),
-	.C1(n_5553),
-	.D1(n_5951),
-	.Y(n_5967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g198316__5122 (
-	.A(n_5379),
-	.B(n_5378),
-	.C(n_5761),
-	.D(n_5950),
-	.Y(n_5966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198317__8246 (
-	.A1(n_5852),
-	.A2(FE_OFN1203_n_4345),
-	.B1(n_5854),
-	.B2(FE_OFN1202_n_4343),
-	.C1(n_5947),
-	.Y(n_5965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198318__7098 (
-	.A1(n_5873),
-	.A2(FE_OFN1202_n_4343),
-	.B1(n_5880),
-	.B2(n_4340),
-	.C1(n_5932),
-	.Y(n_5964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198319__6131 (
-	.A1(n_5875),
-	.A2(FE_OFN1203_n_4345),
-	.B1(n_5876),
-	.B2(FE_OFN1202_n_4343),
-	.C1(n_5934),
-	.Y(n_5963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198320__1881 (
-	.A1(n_5867),
-	.A2(FE_OFN1203_n_4345),
-	.B1(n_5869),
-	.B2(FE_OFN1202_n_4343),
-	.C1(n_5948),
-	.Y(n_5962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198321__5115 (
-	.A1(n_5881),
-	.A2(FE_OFN1202_n_4343),
-	.B1(n_5883),
-	.B2(n_4338),
-	.C1(n_5918),
-	.Y(n_5961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198322__7482 (
-	.A1(n_5803),
-	.A2(FE_OFN1203_n_4345),
-	.B1(n_5820),
-	.B2(FE_OFN1202_n_4343),
-	.C1(n_5946),
-	.Y(n_5960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198323__4733 (
-	.A1(n_5825),
-	.A2(FE_OFN1203_n_4345),
-	.B1(n_5827),
-	.B2(FE_OFN1202_n_4343),
-	.C1(n_5944),
-	.Y(n_5959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198324__6161 (
-	.A1(n_5782),
-	.A2(FE_OFN1203_n_4345),
-	.B1(n_5795),
-	.B2(FE_OFN1202_n_4343),
-	.C1(n_5943),
-	.Y(n_5958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198325 (
-	.A1(n_5887),
-	.A2(FE_OFN1203_n_4345),
-	.B1(n_5785),
-	.B2(FE_OFN1202_n_4343),
-	.C1(n_5937),
-	.Y(n_5957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198326 (
-	.A1(n_5804),
-	.A2(FE_OFN1203_n_4345),
-	.B1(n_5811),
-	.B2(FE_OFN1202_n_4343),
-	.C1(n_5940),
-	.Y(n_5956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198327 (
-	.A1(n_5810),
-	.A2(FE_OFN1203_n_4345),
-	.B1(n_5812),
-	.B2(FE_OFN1202_n_4343),
-	.C1(n_5939),
-	.Y(n_5955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198328 (
-	.A1(n_5791),
-	.A2(FE_OFN1203_n_4345),
-	.B1(n_5792),
-	.B2(FE_OFN1202_n_4343),
-	.C1(n_5938),
-	.Y(n_5954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198329 (
-	.A1(n_5816),
-	.A2(FE_OFN1202_n_4343),
-	.B1(n_5817),
-	.B2(FE_OFN1203_n_4345),
-	.C1(n_5942),
-	.Y(n_5953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198330 (
-	.A1(n_5781),
-	.A2(FE_OFN1203_n_4345),
-	.B1(n_5783),
-	.B2(FE_OFN1202_n_4343),
-	.C1(n_5936),
-	.Y(n_5952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g198331 (
-	.A(n_5400),
-	.B(n_5364),
-	.C(n_5696),
-	.D(n_5838),
-	.Y(n_5951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g198332 (
-	.A1(n_4316),
-	.A2(n_5151),
-	.B1(n_4327),
-	.B2(n_5150),
-	.C1(n_5898),
-	.Y(n_5950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g198333 (
-	.A(n_5395),
-	.B(n_5396),
-	.C(n_5397),
-	.D(n_5891),
-	.Y(n_5949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198334 (
-	.A1(n_4341),
-	.A2(n_5871),
-	.B1(n_4339),
-	.B2(n_5872),
-	.Y(n_5948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198335 (
-	.A1(n_4341),
-	.A2(n_5855),
-	.B1(n_4339),
-	.B2(n_5857),
-	.Y(n_5947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198336 (
-	.A1(n_4341),
-	.A2(n_5830),
-	.B1(n_4339),
-	.B2(n_5845),
-	.Y(n_5946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198337 (
-	.A1(n_4341),
-	.A2(n_5843),
-	.B1(n_4339),
-	.B2(n_5844),
-	.Y(n_5945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198338 (
-	.A1(n_4341),
-	.A2(n_5832),
-	.B1(n_4339),
-	.B2(n_5835),
-	.Y(n_5944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198339 (
-	.A1(n_4341),
-	.A2(n_5807),
-	.B1(n_4339),
-	.B2(n_5828),
-	.Y(n_5943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198340 (
-	.A1(n_4341),
-	.A2(n_5821),
-	.B1(n_4339),
-	.B2(n_5822),
-	.Y(n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198341 (
-	.A1(n_4341),
-	.A2(n_5806),
-	.B1(n_4339),
-	.B2(n_5814),
-	.Y(n_5941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198342 (
-	.A1(n_4341),
-	.A2(n_5813),
-	.B1(n_4339),
-	.B2(n_5815),
-	.Y(n_5940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198343 (
-	.A1(n_4341),
-	.A2(n_5805),
-	.B1(n_4339),
-	.B2(n_5837),
-	.Y(n_5939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198344 (
-	.A1(n_4341),
-	.A2(n_5794),
-	.B1(n_4339),
-	.B2(n_5796),
-	.Y(n_5938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198345 (
-	.A1(n_4341),
-	.A2(n_5787),
-	.B1(n_4339),
-	.B2(n_5790),
-	.Y(n_5937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198346 (
-	.A1(n_4341),
-	.A2(n_5784),
-	.B1(n_4339),
-	.B2(n_5786),
-	.Y(n_5936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g198347 (
-	.A(n_5688),
-	.B(n_5695),
-	.C(n_5692),
-	.D(n_5894),
-	.Y(n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198348 (
-	.A1(n_4341),
-	.A2(n_5877),
-	.B1(n_4339),
-	.B2(n_5879),
-	.Y(n_5934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198349 (
-	.A1(n_4344),
-	.A2(n_5819),
-	.B1(n_4342),
-	.B2(n_5824),
-	.Y(n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198350 (
-	.A1(n_4344),
-	.A2(n_5858),
-	.B1(n_4339),
-	.B2(n_5868),
-	.Y(n_5932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198351 (
-	.A1(n_4344),
-	.A2(n_5859),
-	.B1(n_4341),
-	.B2(n_5864),
-	.Y(n_5931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198352 (
-	.A1(n_4344),
-	.A2(n_5861),
-	.B1(n_4341),
-	.B2(n_5862),
-	.Y(n_5930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198353 (
-	.A1(n_4344),
-	.A2(n_5847),
-	.B1(n_4339),
-	.B2(n_5848),
-	.Y(n_5929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198354 (
-	.A1(n_4344),
-	.A2(n_5831),
-	.B1(n_4339),
-	.B2(n_5893),
-	.Y(n_5928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198355 (
-	.A1(n_4344),
-	.A2(n_5833),
-	.B1(n_4339),
-	.B2(n_5834),
-	.Y(n_5927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198356 (
-	.A1(n_4344),
-	.A2(n_5884),
-	.B1(n_4341),
-	.B2(n_5788),
-	.Y(n_5926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198357 (
-	.A1(n_4342),
-	.A2(n_5866),
-	.B1(n_4339),
-	.B2(n_5870),
-	.Y(n_5925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198358 (
-	.A1(n_4342),
-	.A2(n_5863),
-	.B1(n_4339),
-	.B2(n_5865),
-	.Y(n_5924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198359 (
-	.A1(n_4341),
-	.A2(n_5846),
-	.B1(n_4342),
-	.B2(n_5851),
-	.Y(n_5923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198360 (
-	.A1(n_4341),
-	.A2(n_5849),
-	.B1(n_4342),
-	.B2(n_5850),
-	.Y(n_5922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198361 (
-	.A1(n_4342),
-	.A2(n_5836),
-	.B1(n_4341),
-	.B2(n_5809),
-	.Y(n_5921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198362 (
-	.A1(n_4342),
-	.A2(n_5793),
-	.B1(n_4339),
-	.B2(n_5798),
-	.Y(n_5920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198363 (
-	.A1(n_4344),
-	.A2(FE_OFN16220_n_5839),
-	.B1(n_4342),
-	.B2(n_5842),
-	.Y(n_5919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198364 (
-	.A1(n_4344),
-	.A2(n_5874),
-	.B1(n_4341),
-	.B2(n_5878),
-	.Y(n_5918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198365 (
-	.A1(n_5557),
-	.A2(n_4719),
-	.A3(n_4710),
-	.A4(n_4740),
-	.B1(n_4650),
-	.Y(n_5917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198366 (
-	.A1(n_5664),
-	.A2(n_4903),
-	.A3(n_4898),
-	.A4(n_4909),
-	.B1(n_4653),
-	.Y(n_5916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198367 (
-	.A1(n_5649),
-	.A2(n_4850),
-	.A3(n_4846),
-	.A4(n_4847),
-	.B1(n_4650),
-	.Y(n_5915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198368 (
-	.A1(n_5645),
-	.A2(n_4829),
-	.A3(n_4825),
-	.A4(n_4824),
-	.B1(n_4647),
-	.Y(n_5914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198369 (
-	.A1(n_5638),
-	.A2(n_4786),
-	.A3(n_4785),
-	.A4(n_4784),
-	.B1(n_4655),
-	.Y(n_5913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198370 (
-	.A1(n_5567),
-	.A2(n_4770),
-	.A3(n_4767),
-	.A4(n_4766),
-	.B1(n_4653),
-	.Y(n_5912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198371 (
-	.A1(n_5679),
-	.A2(n_4937),
-	.A3(n_4934),
-	.A4(n_4936),
-	.B1(n_4656),
-	.Y(n_5911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198372 (
-	.A1(n_5626),
-	.A2(n_4744),
-	.A3(n_4742),
-	.A4(n_4743),
-	.B1(n_4653),
-	.Y(n_5910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198373 (
-	.A1(n_5614),
-	.A2(n_4787),
-	.A3(n_4832),
-	.A4(n_4880),
-	.B1(n_4650),
-	.Y(n_5909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198374 (
-	.A1(n_5612),
-	.A2(n_4724),
-	.A3(n_4795),
-	.A4(n_4698),
-	.B1(n_4656),
-	.Y(n_5908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198375 (
-	.A1(n_5606),
-	.A2(n_4802),
-	.A3(n_4692),
-	.A4(n_4691),
-	.B1(n_4655),
-	.Y(n_5907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198376 (
-	.A1(n_5590),
-	.A2(n_4935),
-	.A3(n_4672),
-	.A4(n_4949),
-	.B1(n_4652),
-	.Y(n_5906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198377 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[125] [5]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[252] [5]),
-	.C1(n_5818),
-	.Y(n_5905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198378 (
-	.A1(n_5647),
-	.A2(n_4837),
-	.A3(n_4841),
-	.A4(n_4838),
-	.B1(n_4657),
-	.Y(n_5904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198379 (
-	.A1(n_5636),
-	.A2(n_4781),
-	.A3(n_4780),
-	.A4(n_4783),
-	.B1(n_4658),
-	.Y(n_5903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198380 (
-	.A1(n_5635),
-	.A2(n_4774),
-	.A3(n_4773),
-	.A4(n_4772),
-	.B1(n_4657),
-	.Y(n_5902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198381 (
-	.A1(n_5615),
-	.A2(n_4702),
-	.A3(n_4701),
-	.A4(n_4919),
-	.B1(n_4657),
-	.Y(n_5901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198382 (
-	.A1(n_5609),
-	.A2(n_4728),
-	.A3(n_4688),
-	.A4(n_4810),
-	.B1(n_4657),
-	.Y(n_5900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g198383 (
-	.A1(n_5648),
-	.A2(n_4883),
-	.A3(n_4864),
-	.A4(n_4895),
-	.B1(n_4657),
-	.Y(n_5899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g198384 (
-	.A(n_5383),
-	.B(n_5689),
-	.C(n_5592),
-	.D(n_5694),
-	.Y(n_5898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198385 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[92] [1]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[221] [1]),
-	.C1(n_5889),
-	.Y(n_5897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198386 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[82] [4]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[211] [4]),
-	.C1(n_5888),
-	.Y(n_5896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198387 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[76] [8]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[205] [8]),
-	.C1(n_5890),
-	.Y(n_5895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198388 (
-	.A1(n_4324),
-	.A2(FE_OFN1424_n_5159),
-	.B1(n_5892),
-	.Y(n_5894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198389 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[124] [7]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[125] [7]),
-	.C1(n_5743),
-	.Y(n_5893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g198390 (
-	.A1(n_5157),
-	.A2(n_4326),
-	.B1(n_5698),
-	.C1(n_5701),
-	.Y(n_5892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g198391 (
-	.A1(n_4350),
-	.A2(n_5183),
-	.B1(n_5394),
-	.C1(n_5555),
-	.D1(n_5593),
-	.Y(n_5891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198392 (
-	.A(n_5652),
-	.B(n_4870),
-	.C(n_4622),
-	.D(n_4629),
-	.Y(n_5890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198393 (
-	.A(n_5625),
-	.B(n_4741),
-	.C(n_4626),
-	.D(n_4625),
-	.Y(n_5889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198394 (
-	.A(n_5599),
-	.B(n_4679),
-	.C(n_4624),
-	.D(n_4623),
-	.Y(n_5888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198395 (
-	.A(n_5591),
-	.B(n_4954),
-	.C(n_4950),
-	.D(n_4951),
-	.Y(n_5887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198396 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[85] [3]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[212] [3]),
-	.C1(n_5778),
-	.Y(n_5886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198397 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[77] [3]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[204] [3]),
-	.C1(n_5777),
-	.Y(n_5885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198398 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[64] [7]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[193] [7]),
-	.C1(n_5779),
-	.Y(n_5884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198399 (
-	.A(n_5681),
-	.B(n_4939),
-	.C(n_4938),
-	.D(n_4941),
-	.Y(n_5883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198400 (
-	.A(n_5678),
-	.B(n_4933),
-	.C(n_4932),
-	.D(n_4930),
-	.Y(n_5882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198401 (
-	.A(n_5677),
-	.B(n_4931),
-	.C(n_4928),
-	.D(n_4927),
-	.Y(n_5881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198402 (
-	.A(n_5675),
-	.B(n_4926),
-	.C(n_4925),
-	.D(n_4922),
-	.Y(n_5880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198403 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[126] [3]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[255] [3]),
-	.C1(n_5776),
-	.Y(n_5879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198404 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[87] [6]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[214] [6]),
-	.C1(n_5774),
-	.Y(n_5878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198405 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[86] [3]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[215] [3]),
-	.C1(n_5775),
-	.Y(n_5877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198406 (
-	.A(n_5672),
-	.B(n_4914),
-	.C(n_4913),
-	.D(n_4915),
-	.Y(n_5876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198407 (
-	.A(n_5671),
-	.B(n_4911),
-	.C(n_4912),
-	.D(n_4910),
-	.Y(n_5875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198408 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[71] [6]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[198] [6]),
-	.C1(n_5773),
-	.Y(n_5874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198409 (
-	.A(n_5668),
-	.B(n_4908),
-	.C(n_4905),
-	.D(n_4901),
-	.Y(n_5873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198410 (
-	.A1(FE_OFN1561_n_4318),
-	.A2(\fifo_buffer[58] [3]),
-	.B1(FE_OFN1413_n_4315),
-	.B2(\fifo_buffer[59] [3]),
-	.C1(n_5772),
-	.Y(n_5872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198411 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[82] [3]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[211] [3]),
-	.C1(n_5771),
-	.Y(n_5871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198412 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[92] [6]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[221] [6]),
-	.C1(n_5770),
-	.Y(n_5870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198413 (
-	.A(n_5665),
-	.B(n_4893),
-	.C(n_4894),
-	.D(n_4892),
-	.Y(n_5869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198414 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[126] [7]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[255] [7]),
-	.C1(n_5768),
-	.Y(n_5868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198415 (
-	.A(n_5663),
-	.B(n_5106),
-	.C(n_5105),
-	.D(n_5107),
-	.Y(n_5867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198416 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[108] [6]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[109] [6]),
-	.C1(n_5769),
-	.Y(n_5866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198417 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[88] [3]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[217] [3]),
-	.C1(n_5767),
-	.Y(n_5865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198418 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[84] [6]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[213] [6]),
-	.C1(n_5765),
-	.Y(n_5864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198419 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[72] [3]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[201] [3]),
-	.C1(n_5766),
-	.Y(n_5863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198420 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[112] [3]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[113] [3]),
-	.C1(n_5764),
-	.Y(n_5862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198421 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[64] [3]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[193] [3]),
-	.C1(n_5762),
-	.Y(n_5861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198422 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[68] [8]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[197] [8]),
-	.C1(n_5763),
-	.Y(n_5860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198423 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[68] [6]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[197] [6]),
-	.C1(n_5780),
-	.Y(n_5859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198424 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[71] [7]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[198] [7]),
-	.C1(n_5760),
-	.Y(n_5858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198425 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[127] [2]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[254] [2]),
-	.C1(n_5759),
-	.Y(n_5857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198426 (
-	.A1(FE_OFN1413_n_4315),
-	.A2(\fifo_buffer[35] [5]),
-	.B1(FE_OFN1414_n_4320),
-	.B2(\fifo_buffer[162] [5]),
-	.C1(n_5757),
-	.Y(n_5856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198427 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[119] [2]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[246] [2]),
-	.C1(n_5758),
-	.Y(n_5855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198428 (
-	.A(n_5587),
-	.B(n_4863),
-	.C(n_4862),
-	.D(n_4861),
-	.Y(n_5854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198429 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[154] [5]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[155] [5]),
-	.C1(n_5756),
-	.Y(n_5853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198430 (
-	.A(n_5585),
-	.B(n_4859),
-	.C(n_4858),
-	.D(n_4857),
-	.Y(n_5852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198431 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[108] [7]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[109] [7]),
-	.C1(n_5755),
-	.Y(n_5851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198432 (
-	.A1(FE_OFN1411_n_4314),
-	.A2(\fifo_buffer[13] [2]),
-	.B1(FE_OFN1410_n_4312),
-	.B2(\fifo_buffer[140] [2]),
-	.C1(n_5754),
-	.Y(n_5850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198433 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[117] [2]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[244] [2]),
-	.C1(n_5753),
-	.Y(n_5849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198434 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[125] [2]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[252] [2]),
-	.C1(n_5752),
-	.Y(n_5848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198435 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[101] [2]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[228] [2]),
-	.C1(n_5751),
-	.Y(n_5847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198436 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[116] [7]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[117] [7]),
-	.C1(n_5750),
-	.Y(n_5846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198437 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[89] [8]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[216] [8]),
-	.C1(n_5748),
-	.Y(n_5845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198438 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[154] [2]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[155] [2]),
-	.C1(n_5749),
-	.Y(n_5844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198439 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[146] [2]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[147] [2]),
-	.C1(n_5747),
-	.Y(n_5843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198440 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[106] [2]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[235] [2]),
-	.C1(n_5746),
-	.Y(n_5842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198441 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[67] [8]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[194] [8]),
-	.C1(n_5737),
-	.Y(n_5841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198442 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[68] [5]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[197] [5]),
-	.C1(n_5744),
-	.Y(n_5840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198443 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[130] [2]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[131] [2]),
-	.C1(n_5745),
-	.Y(n_5839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g198444 (
-	.A1(n_4321),
-	.A2(n_5192),
-	.B1(n_5499),
-	.C1(n_5543),
-	.D1(n_5703),
-	.Y(n_5838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198445 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[126] [1]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[255] [1]),
-	.C1(n_5741),
-	.Y(n_5837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198446 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[104] [2]),
-	.B1(FE_OFN1420_n_4336),
-	.B2(\fifo_buffer[233] [2]),
-	.C1(n_5740),
-	.Y(n_5836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198447 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[127] [5]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[254] [5]),
-	.C1(n_5721),
-	.Y(n_5835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198448 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[152] [2]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[153] [2]),
-	.C1(n_5739),
-	.Y(n_5834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198449 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[96] [2]),
-	.B1(FE_OFN1420_n_4336),
-	.B2(\fifo_buffer[225] [2]),
-	.C1(n_5738),
-	.Y(n_5833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198450 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[150] [5]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[151] [5]),
-	.C1(n_5736),
-	.Y(n_5832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198451 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[100] [7]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[101] [7]),
-	.C1(n_5734),
-	.Y(n_5831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198452 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[80] [8]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[209] [8]),
-	.C1(n_5735),
-	.Y(n_5830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198453 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[68] [1]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[197] [1]),
-	.C1(n_5733),
-	.Y(n_5829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198454 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[95] [8]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[222] [8]),
-	.C1(n_5732),
-	.Y(n_5828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198455 (
-	.A(n_5569),
-	.B(n_4793),
-	.C(n_4788),
-	.D(n_4791),
-	.Y(n_5827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198456 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[76] [1]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[205] [1]),
-	.C1(n_5731),
-	.Y(n_5826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198457 (
-	.A(n_5568),
-	.B(n_4779),
-	.C(n_4776),
-	.D(n_4782),
-	.Y(n_5825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198458 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[75] [7]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[202] [7]),
-	.C1(n_5730),
-	.Y(n_5824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198459 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[85] [1]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[212] [1]),
-	.C1(n_5729),
-	.Y(n_5823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198460 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[88] [1]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[217] [1]),
-	.C1(n_5728),
-	.Y(n_5822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198461 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[81] [1]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[208] [1]),
-	.C1(n_5727),
-	.Y(n_5821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198462 (
-	.A(n_5628),
-	.B(n_4747),
-	.C(n_4758),
-	.D(n_4751),
-	.Y(n_5820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198463 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[98] [7]),
-	.B1(n_4336),
-	.B2(\fifo_buffer[227] [7]),
-	.C1(n_5726),
-	.Y(n_5819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198464 (
-	.A(n_5566),
-	.B(n_4759),
-	.C(n_4627),
-	.D(n_4628),
-	.Y(n_5818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198465 (
-	.A(n_5629),
-	.B(n_4755),
-	.C(n_4756),
-	.D(n_4753),
-	.Y(n_5817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198466 (
-	.A(n_5564),
-	.B(n_4749),
-	.C(n_4750),
-	.D(n_4748),
-	.Y(n_5816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198467 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[88] [5]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[217] [5]),
-	.C1(n_5725),
-	.Y(n_5815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198468 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[154] [7]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[155] [7]),
-	.C1(n_5722),
-	.Y(n_5814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198469 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[112] [5]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[113] [5]),
-	.C1(n_5723),
-	.Y(n_5813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198470 (
-	.A(n_5623),
-	.B(n_4735),
-	.C(n_4733),
-	.D(n_4732),
-	.Y(n_5812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198471 (
-	.A(n_5621),
-	.B(n_4726),
-	.C(n_4725),
-	.D(n_4730),
-	.Y(n_5811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198472 (
-	.A(n_5622),
-	.B(n_4727),
-	.C(n_4729),
-	.D(n_4731),
-	.Y(n_5810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198473 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[144] [2]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[145] [2]),
-	.C1(n_5742),
-	.Y(n_5809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198474 (
-	.A1(FE_OFN1411_n_4314),
-	.A2(\fifo_buffer[27] [8]),
-	.B1(FE_OFN1410_n_4312),
-	.B2(\fifo_buffer[154] [8]),
-	.C1(n_5724),
-	.Y(n_5808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198475 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[118] [8]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[247] [8]),
-	.C1(n_5715),
-	.Y(n_5807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198476 (
-	.A1(n_4335),
-	.A2(\fifo_buffer[115] [7]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[242] [7]),
-	.C1(n_5719),
-	.Y(n_5806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198477 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[119] [1]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[246] [1]),
-	.C1(n_5720),
-	.Y(n_5805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198478 (
-	.A(n_5620),
-	.B(n_4721),
-	.C(n_4714),
-	.D(n_4716),
-	.Y(n_5804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198479 (
-	.A(n_5559),
-	.B(n_4708),
-	.C(n_4712),
-	.D(n_4715),
-	.Y(n_5803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198480 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[122] [4]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[123] [4]),
-	.C1(n_5718),
-	.Y(n_5802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198481 (
-	.A1(n_4335),
-	.A2(\fifo_buffer[99] [6]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[226] [6]),
-	.C1(n_5716),
-	.Y(n_5801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198482 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[98] [4]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[99] [4]),
-	.C1(n_5717),
-	.Y(n_5800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198483 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[114] [6]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[115] [6]),
-	.C1(n_5714),
-	.Y(n_5799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198484 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[89] [7]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[216] [7]),
-	.C1(n_5713),
-	.Y(n_5798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198485 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[76] [4]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[205] [4]),
-	.C1(n_5712),
-	.Y(n_5797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198486 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[126] [4]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[255] [4]),
-	.C1(n_5711),
-	.Y(n_5796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198487 (
-	.A(n_5602),
-	.B(n_4686),
-	.C(n_4693),
-	.D(n_4752),
-	.Y(n_5795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198488 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[118] [4]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[119] [4]),
-	.C1(n_5710),
-	.Y(n_5794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198489 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[104] [7]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[105] [7]),
-	.C1(n_5709),
-	.Y(n_5793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198490 (
-	.A(n_5605),
-	.B(n_4764),
-	.C(n_4690),
-	.D(n_4872),
-	.Y(n_5792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198491 (
-	.A(n_5603),
-	.B(n_4736),
-	.C(n_4687),
-	.D(n_4685),
-	.Y(n_5791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198492 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[89] [6]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[216] [6]),
-	.C1(n_5708),
-	.Y(n_5790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198493 (
-	.A(n_5600),
-	.B(n_4681),
-	.C(n_4682),
-	.D(n_4680),
-	.Y(n_5789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198494 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[112] [7]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[113] [7]),
-	.C1(n_5706),
-	.Y(n_5788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198495 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[80] [6]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[209] [6]),
-	.C1(n_5707),
-	.Y(n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198496 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[88] [4]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[217] [4]),
-	.C1(n_5705),
-	.Y(n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198497 (
-	.A(n_5556),
-	.B(n_4667),
-	.C(n_4668),
-	.D(n_4670),
-	.Y(n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198498 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[80] [4]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[209] [4]),
-	.C1(n_5704),
-	.Y(n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198499 (
-	.A(n_5594),
-	.B(n_4664),
-	.C(n_4666),
-	.D(n_4665),
-	.Y(n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198500 (
-	.A(n_5680),
-	.B(n_4955),
-	.C(n_4947),
-	.D(n_4942),
-	.Y(n_5782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198501 (
-	.A(n_5685),
-	.B(n_4663),
-	.C(n_4952),
-	.D(n_4953),
-	.Y(n_5781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198502 (
-	.A(n_5655),
-	.B(n_4877),
-	.C(n_4876),
-	.Y(n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198503 (
-	.A(n_5683),
-	.B(n_4948),
-	.C(n_4945),
-	.Y(n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198504 (
-	.A(n_5684),
-	.B(n_4944),
-	.C(n_4946),
-	.Y(n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198505 (
-	.A(n_5682),
-	.B(n_4940),
-	.C(n_4943),
-	.Y(n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198506 (
-	.A(n_5676),
-	.B(n_4923),
-	.C(n_4924),
-	.Y(n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198507 (
-	.A(n_5674),
-	.B(n_4921),
-	.C(n_4920),
-	.Y(n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198508 (
-	.A(n_5673),
-	.B(n_4918),
-	.C(n_4916),
-	.Y(n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198509 (
-	.A(n_5670),
-	.B(n_4906),
-	.C(n_4907),
-	.Y(n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198510 (
-	.A(n_5669),
-	.B(n_4902),
-	.C(n_4904),
-	.Y(n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198511 (
-	.A(n_5667),
-	.B(n_4900),
-	.C(n_4899),
-	.Y(n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198512 (
-	.A(n_5666),
-	.B(n_4897),
-	.C(n_4896),
-	.Y(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198513 (
-	.A(n_5662),
-	.B(n_5109),
-	.C(n_5108),
-	.Y(n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198514 (
-	.A(n_5660),
-	.B(n_5110),
-	.C(n_4777),
-	.Y(n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198515 (
-	.A(n_5661),
-	.B(n_5111),
-	.C(n_4890),
-	.Y(n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198516 (
-	.A(n_5659),
-	.B(n_4889),
-	.C(n_4888),
-	.Y(n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198517 (
-	.A(n_5658),
-	.B(n_4887),
-	.C(n_4884),
-	.Y(n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198518 (
-	.A(n_5657),
-	.B(n_4881),
-	.C(n_4882),
-	.Y(n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198519 (
-	.A(n_5651),
-	.B(n_4869),
-	.C(n_4860),
-	.Y(n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198520 (
-	.A(n_5656),
-	.B(n_4879),
-	.C(n_4878),
-	.Y(n_5762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g198521 (
-	.A1(n_4324),
-	.A2(n_5134),
-	.B1(n_4325),
-	.B2(n_5135),
-	.C1(n_5699),
-	.Y(n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198522 (
-	.A(n_5654),
-	.B(n_4874),
-	.C(n_4875),
-	.Y(n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198523 (
-	.A(n_5589),
-	.B(n_4871),
-	.C(n_4873),
-	.Y(n_5759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198524 (
-	.A(n_5588),
-	.B(n_4867),
-	.C(n_4868),
-	.Y(n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198525 (
-	.A(n_5653),
-	.B(n_4866),
-	.C(n_4865),
-	.Y(n_5757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198526 (
-	.A(n_5584),
-	.B(n_4855),
-	.C(n_4856),
-	.Y(n_5756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198527 (
-	.A(n_5650),
-	.B(n_4851),
-	.C(n_4854),
-	.Y(n_5755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198528 (
-	.A(n_5583),
-	.B(n_4852),
-	.C(n_4853),
-	.Y(n_5754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198529 (
-	.A(n_5582),
-	.B(n_4848),
-	.C(n_4849),
-	.Y(n_5753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198530 (
-	.A(n_5581),
-	.B(n_4843),
-	.C(n_4844),
-	.Y(n_5752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198531 (
-	.A(n_5580),
-	.B(n_4840),
-	.C(n_4839),
-	.Y(n_5751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198532 (
-	.A(n_5646),
-	.B(n_4834),
-	.C(n_4836),
-	.Y(n_5750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198533 (
-	.A(n_5579),
-	.B(n_4833),
-	.C(n_4835),
-	.Y(n_5749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198534 (
-	.A(n_5644),
-	.B(n_4823),
-	.C(n_4831),
-	.Y(n_5748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198535 (
-	.A(n_5578),
-	.B(n_4826),
-	.C(n_4827),
-	.Y(n_5747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198536 (
-	.A(n_5577),
-	.B(n_4821),
-	.C(n_4822),
-	.Y(n_5746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198537 (
-	.A(n_5576),
-	.B(n_4819),
-	.C(n_4820),
-	.Y(n_5745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198538 (
-	.A(n_5643),
-	.B(n_4818),
-	.C(n_4817),
-	.Y(n_5744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198539 (
-	.A(n_5642),
-	.B(n_4815),
-	.C(n_4813),
-	.Y(n_5743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198540 (
-	.A(n_5575),
-	.B(n_4814),
-	.C(n_4816),
-	.Y(n_5742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198541 (
-	.A(n_5562),
-	.B(n_4723),
-	.C(n_4722),
-	.Y(n_5741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198542 (
-	.A(n_5574),
-	.B(n_4811),
-	.C(n_4812),
-	.Y(n_5740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198543 (
-	.A(n_5572),
-	.B(n_4806),
-	.C(n_4807),
-	.Y(n_5739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198544 (
-	.A(n_5571),
-	.B(n_4804),
-	.C(n_4805),
-	.Y(n_5738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198545 (
-	.A(n_5618),
-	.B(n_4717),
-	.C(n_4778),
-	.Y(n_5737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198546 (
-	.A(n_5570),
-	.B(n_4803),
-	.C(n_4801),
-	.Y(n_5736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198547 (
-	.A(n_5637),
-	.B(n_4789),
-	.C(n_4796),
-	.Y(n_5735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198548 (
-	.A(n_5641),
-	.B(n_4798),
-	.C(n_4800),
-	.Y(n_5734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198549 (
-	.A(n_5640),
-	.B(n_4799),
-	.C(n_4797),
-	.Y(n_5733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198550 (
-	.A(n_5633),
-	.B(n_4790),
-	.C(n_4891),
-	.Y(n_5732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198551 (
-	.A(n_5639),
-	.B(n_4794),
-	.C(n_4792),
-	.Y(n_5731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198552 (
-	.A(n_5634),
-	.B(n_4775),
-	.C(n_4771),
-	.Y(n_5730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198553 (
-	.A(n_5632),
-	.B(n_4769),
-	.C(n_4768),
-	.Y(n_5729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198554 (
-	.A(n_5631),
-	.B(n_4763),
-	.C(n_4762),
-	.Y(n_5728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198555 (
-	.A(n_5630),
-	.B(n_4761),
-	.C(n_4760),
-	.Y(n_5727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198556 (
-	.A(n_5565),
-	.B(n_4754),
-	.C(n_4757),
-	.Y(n_5726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198557 (
-	.A(n_5627),
-	.B(n_4746),
-	.C(n_4745),
-	.Y(n_5725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198558 (
-	.A(n_5586),
-	.B(n_4886),
-	.C(n_4765),
-	.Y(n_5724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198559 (
-	.A(n_5624),
-	.B(n_4738),
-	.C(n_4739),
-	.Y(n_5723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198560 (
-	.A(n_5563),
-	.B(n_4734),
-	.C(n_4737),
-	.Y(n_5722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198561 (
-	.A(n_5573),
-	.B(n_4809),
-	.C(n_4808),
-	.Y(n_5721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198562 (
-	.A(n_5561),
-	.B(n_4720),
-	.C(n_4718),
-	.Y(n_5720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198563 (
-	.A(n_5560),
-	.B(n_4713),
-	.C(n_4711),
-	.Y(n_5719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198564 (
-	.A(n_5619),
-	.B(n_4707),
-	.C(n_4709),
-	.Y(n_5718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198565 (
-	.A(n_5617),
-	.B(n_4705),
-	.C(n_4706),
-	.Y(n_5717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198566 (
-	.A(n_5558),
-	.B(n_4704),
-	.C(n_4703),
-	.Y(n_5716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198567 (
-	.A(n_5616),
-	.B(n_4885),
-	.C(n_4845),
-	.Y(n_5715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198568 (
-	.A(n_5613),
-	.B(n_4917),
-	.C(n_4700),
-	.Y(n_5714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198569 (
-	.A(n_5611),
-	.B(n_4699),
-	.C(n_4697),
-	.Y(n_5713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198570 (
-	.A(n_5610),
-	.B(n_4694),
-	.C(n_4696),
-	.Y(n_5712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198571 (
-	.A(n_5608),
-	.B(n_4695),
-	.C(n_4929),
-	.Y(n_5711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198572 (
-	.A(n_5607),
-	.B(n_4828),
-	.C(n_4842),
-	.Y(n_5710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198573 (
-	.A(n_5604),
-	.B(n_4689),
-	.C(n_4830),
-	.Y(n_5709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198574 (
-	.A(n_5601),
-	.B(n_4683),
-	.C(n_4684),
-	.Y(n_5708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198575 (
-	.A(n_5598),
-	.B(n_4678),
-	.C(n_4677),
-	.Y(n_5707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198576 (
-	.A(n_5596),
-	.B(n_4673),
-	.C(n_4676),
-	.Y(n_5706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198577 (
-	.A(n_5597),
-	.B(n_4674),
-	.C(n_4675),
-	.Y(n_5705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198578 (
-	.A(n_5595),
-	.B(n_4669),
-	.C(n_4671),
-	.Y(n_5704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a311oi_1 g198579 (
-	.A1(n_4637),
-	.A2(n_4356),
-	.A3(\fifo_buffer[136] [0]),
-	.B1(n_5542),
-	.C1(n_5691),
-	.Y(n_5703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a311oi_1 g198580 (
-	.A1(n_4641),
-	.A2(n_4347),
-	.A3(\fifo_buffer[241] [0]),
-	.B1(n_5354),
-	.C1(n_5693),
-	.Y(n_5702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g198581 (
-	.A1(n_4324),
-	.A2(n_5158),
-	.B1(n_4961),
-	.C1(n_5351),
-	.D1(n_5550),
-	.Y(n_5701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198582 (
-	.A(n_5686),
-	.B(n_5551),
-	.C(n_4972),
-	.D(n_4973),
-	.Y(n_5700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g198583 (
-	.A(n_5367),
-	.B(n_5377),
-	.C(n_5376),
-	.D(n_5554),
-	.Y(n_5699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o311ai_1 g198584 (
-	.A1(n_4296),
-	.A2(n_4654),
-	.A3(n_4960),
-	.B1(n_5290),
-	.C1(n_5687),
-	.Y(n_5698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g198585 (
-	.A1(n_4327),
-	.A2(n_5189),
-	.B1(n_4966),
-	.C1(n_5366),
-	.D1(n_5541),
-	.Y(n_5697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g198586 (
-	.A1(n_4348),
-	.A2(n_5194),
-	.B1(n_4970),
-	.C1(n_5359),
-	.D1(n_5548),
-	.Y(n_5696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g198587 (
-	.A1(n_8814),
-	.A2(n_5155),
-	.B1(n_5212),
-	.C1(n_8815),
-	.D1(n_5546),
-	.Y(n_5695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g198588 (
-	.A1(n_4324),
-	.A2(n_5142),
-	.B1(n_4956),
-	.C1(n_5365),
-	.D1(n_5538),
-	.Y(n_5694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g198589 (
-	.A1(n_4321),
-	.A2(n_5161),
-	.B1(n_4962),
-	.C1(n_5353),
-	.D1(n_5547),
-	.Y(n_5693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g198590 (
-	.A1(n_4327),
-	.A2(n_5153),
-	.B1(n_4957),
-	.C1(n_5348),
-	.D1(n_5537),
-	.Y(n_5692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g198591 (
-	.A1(n_4333),
-	.A2(n_5190),
-	.B1(n_4967),
-	.C1(n_5357),
-	.D1(n_5356),
-	.Y(n_5691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g198592 (
-	.A1(n_5162),
-	.A2(n_4332),
-	.B1(n_5552),
-	.Y(n_5690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198593 (
-	.A1(n_4096),
-	.A2(n_5140),
-	.B1(n_5545),
-	.Y(n_5689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198594 (
-	.A1(n_8814),
-	.A2(n_5156),
-	.B1(n_5539),
-	.Y(n_5688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g198595 (
-	.A1(FE_OFN1206_n_4636),
-	.A2(n_4317),
-	.A3(\fifo_buffer[191] [0]),
-	.B1(n_5540),
-	.Y(n_5687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g198596 (
-	.A1(FE_OFN1207_n_4639),
-	.A2(n_4349),
-	.A3(\fifo_buffer[95] [0]),
-	.B1(n_5544),
-	.Y(n_5686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198597 (
-	.A1(FE_OFN1420_n_4336),
-	.A2(\fifo_buffer[225] [4]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[224] [4]),
-	.C1(n_5450),
-	.Y(n_5685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198598 (
-	.A1(FE_OFN1423_n_4337),
-	.A2(\fifo_buffer[244] [3]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[245] [3]),
-	.C1(n_5449),
-	.Y(n_5684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198599 (
-	.A1(n_4337),
-	.A2(\fifo_buffer[224] [7]),
-	.B1(FE_OFN1420_n_4336),
-	.B2(\fifo_buffer[225] [7]),
-	.C1(n_5448),
-	.Y(n_5683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198600 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[237] [3]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[236] [3]),
-	.C1(n_5498),
-	.Y(n_5682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198601 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[127] [6]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[254] [6]),
-	.C1(n_5447),
-	.Y(n_5681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198602 (
-	.A1(FE_OFN1421_n_4336),
-	.A2(\fifo_buffer[231] [8]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[230] [8]),
-	.C1(n_5497),
-	.Y(n_5680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198603 (
-	.A1(FE_OFN1423_n_4337),
-	.A2(\fifo_buffer[228] [3]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[229] [3]),
-	.C1(n_5446),
-	.Y(n_5679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198604 (
-	.A1(FE_OFN1422_n_4337),
-	.A2(\fifo_buffer[252] [3]),
-	.B1(n_4336),
-	.B2(\fifo_buffer[253] [3]),
-	.C1(n_5445),
-	.Y(n_5678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198605 (
-	.A1(FE_OFN1422_n_4337),
-	.A2(\fifo_buffer[238] [6]),
-	.B1(FE_OFN1421_n_4336),
-	.B2(\fifo_buffer[239] [6]),
-	.C1(n_5496),
-	.Y(n_5677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198606 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[127] [3]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[254] [3]),
-	.C1(n_5444),
-	.Y(n_5676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198607 (
-	.A1(FE_OFN1422_n_4337),
-	.A2(\fifo_buffer[246] [7]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[247] [7]),
-	.C1(n_5495),
-	.Y(n_5675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198608 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[247] [3]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[246] [3]),
-	.C1(n_5443),
-	.Y(n_5674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198609 (
-	.A1(FE_OFN1422_n_4337),
-	.A2(\fifo_buffer[246] [6]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[247] [6]),
-	.C1(n_5494),
-	.Y(n_5673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198610 (
-	.A1(FE_OFN1421_n_4336),
-	.A2(\fifo_buffer[239] [3]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[238] [3]),
-	.C1(n_5442),
-	.Y(n_5672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198611 (
-	.A1(FE_OFN1421_n_4336),
-	.A2(\fifo_buffer[231] [3]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[230] [3]),
-	.C1(n_5441),
-	.Y(n_5671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198612 (
-	.A1(FE_OFN1422_n_4337),
-	.A2(\fifo_buffer[230] [6]),
-	.B1(FE_OFN1421_n_4336),
-	.B2(\fifo_buffer[231] [6]),
-	.C1(n_5440),
-	.Y(n_5670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198613 (
-	.A1(FE_OFN1562_n_4319),
-	.A2(\fifo_buffer[187] [3]),
-	.B1(FE_OFN1414_n_4320),
-	.B2(\fifo_buffer[186] [3]),
-	.C1(n_5493),
-	.Y(n_5669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198614 (
-	.A1(FE_OFN1422_n_4337),
-	.A2(\fifo_buffer[238] [7]),
-	.B1(FE_OFN1421_n_4336),
-	.B2(\fifo_buffer[239] [7]),
-	.C1(n_5439),
-	.Y(n_5668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198615 (
-	.A1(FE_OFN1420_n_4336),
-	.A2(\fifo_buffer[243] [3]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[242] [3]),
-	.C1(n_5438),
-	.Y(n_5667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198616 (
-	.A1(n_4336),
-	.A2(\fifo_buffer[253] [6]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[252] [6]),
-	.C1(n_5437),
-	.Y(n_5666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198617 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[235] [3]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[234] [3]),
-	.C1(n_5491),
-	.Y(n_5665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198618 (
-	.A1(n_4308),
-	.A2(\fifo_buffer[211] [8]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[210] [8]),
-	.C1(n_5492),
-	.Y(n_5664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198619 (
-	.A1(n_4336),
-	.A2(\fifo_buffer[227] [3]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[226] [3]),
-	.C1(n_5490),
-	.Y(n_5663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198620 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[237] [6]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[236] [6]),
-	.C1(n_5436),
-	.Y(n_5662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198621 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[249] [3]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[248] [3]),
-	.C1(n_5435),
-	.Y(n_5661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198622 (
-	.A1(FE_OFN1414_n_4320),
-	.A2(\fifo_buffer[190] [7]),
-	.B1(FE_OFN1562_n_4319),
-	.B2(\fifo_buffer[191] [7]),
-	.C1(n_5489),
-	.Y(n_5660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198623 (
-	.A1(FE_OFN1420_n_4336),
-	.A2(\fifo_buffer[233] [3]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[232] [3]),
-	.C1(n_5488),
-	.Y(n_5659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198624 (
-	.A1(FE_OFN1423_n_4337),
-	.A2(\fifo_buffer[244] [6]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[245] [6]),
-	.C1(n_5487),
-	.Y(n_5658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198625 (
-	.A1(FE_OFN1420_n_4336),
-	.A2(\fifo_buffer[241] [3]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[240] [3]),
-	.C1(n_5434),
-	.Y(n_5657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198626 (
-	.A1(FE_OFN1420_n_4336),
-	.A2(\fifo_buffer[225] [3]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[224] [3]),
-	.C1(n_5433),
-	.Y(n_5656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198627 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[229] [6]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[228] [6]),
-	.C1(n_5486),
-	.Y(n_5655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198628 (
-	.A1(FE_OFN1421_n_4336),
-	.A2(\fifo_buffer[231] [7]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[230] [7]),
-	.C1(n_5485),
-	.Y(n_5654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198629 (
-	.A1(n_4337),
-	.A2(\fifo_buffer[226] [5]),
-	.B1(n_4336),
-	.B2(\fifo_buffer[227] [5]),
-	.C1(n_5432),
-	.Y(n_5653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198630 (
-	.A1(FE_OFN1422_n_4337),
-	.A2(\fifo_buffer[236] [8]),
-	.B1(n_4310),
-	.B2(\fifo_buffer[77] [8]),
-	.C1(n_5431),
-	.Y(n_5652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198631 (
-	.A1(FE_OFN1423_n_4337),
-	.A2(\fifo_buffer[228] [8]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[229] [8]),
-	.C1(n_5484),
-	.Y(n_5651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198632 (
-	.A1(FE_OFN1422_n_4337),
-	.A2(\fifo_buffer[236] [7]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[237] [7]),
-	.C1(n_5430),
-	.Y(n_5650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198633 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[245] [5]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[244] [5]),
-	.C1(n_5483),
-	.Y(n_5649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198634 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[235] [8]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[234] [8]),
-	.C1(n_5482),
-	.Y(n_5648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198635 (
-	.A1(FE_OFN1414_n_4320),
-	.A2(\fifo_buffer[170] [5]),
-	.B1(FE_OFN1562_n_4319),
-	.B2(\fifo_buffer[171] [5]),
-	.C1(n_5481),
-	.Y(n_5647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198636 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[245] [7]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[244] [7]),
-	.C1(n_5480),
-	.Y(n_5646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198637 (
-	.A1(FE_OFN1422_n_4337),
-	.A2(\fifo_buffer[236] [5]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[237] [5]),
-	.C1(n_5479),
-	.Y(n_5645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198638 (
-	.A1(FE_OFN1423_n_4337),
-	.A2(\fifo_buffer[248] [8]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[249] [8]),
-	.C1(n_5429),
-	.Y(n_5644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198639 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[229] [5]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[228] [5]),
-	.C1(n_5428),
-	.Y(n_5643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198640 (
-	.A1(FE_OFN1422_n_4337),
-	.A2(\fifo_buffer[252] [7]),
-	.B1(n_4336),
-	.B2(\fifo_buffer[253] [7]),
-	.C1(n_5427),
-	.Y(n_5642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198641 (
-	.A1(FE_OFN1423_n_4337),
-	.A2(\fifo_buffer[228] [7]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[229] [7]),
-	.C1(n_5426),
-	.Y(n_5641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198642 (
-	.A1(FE_OFN1423_n_4337),
-	.A2(\fifo_buffer[228] [1]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[229] [1]),
-	.C1(n_5478),
-	.Y(n_5640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198643 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[237] [1]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[236] [1]),
-	.C1(n_5477),
-	.Y(n_5639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198644 (
-	.A1(n_4336),
-	.A2(\fifo_buffer[251] [1]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[250] [1]),
-	.C1(n_5425),
-	.Y(n_5638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198645 (
-	.A1(n_4337),
-	.A2(\fifo_buffer[240] [8]),
-	.B1(FE_OFN1420_n_4336),
-	.B2(\fifo_buffer[241] [8]),
-	.C1(n_5424),
-	.Y(n_5637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198646 (
-	.A1(n_4336),
-	.A2(\fifo_buffer[227] [1]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[226] [1]),
-	.C1(n_5476),
-	.Y(n_5636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198647 (
-	.A1(n_4308),
-	.A2(\fifo_buffer[203] [1]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[202] [1]),
-	.C1(n_5475),
-	.Y(n_5635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198648 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[235] [7]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[234] [7]),
-	.C1(n_5423),
-	.Y(n_5634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198649 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[127] [8]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[254] [8]),
-	.C1(n_5472),
-	.Y(n_5633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198650 (
-	.A1(FE_OFN1423_n_4337),
-	.A2(\fifo_buffer[244] [1]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[245] [1]),
-	.C1(n_5474),
-	.Y(n_5632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198651 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[249] [1]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[248] [1]),
-	.C1(n_5473),
-	.Y(n_5631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198652 (
-	.A1(FE_OFN1420_n_4336),
-	.A2(\fifo_buffer[241] [1]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[240] [1]),
-	.C1(n_5422),
-	.Y(n_5630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198653 (
-	.A1(FE_OFN1420_n_4336),
-	.A2(\fifo_buffer[225] [1]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[224] [1]),
-	.C1(n_5421),
-	.Y(n_5629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198654 (
-	.A1(n_4337),
-	.A2(\fifo_buffer[232] [8]),
-	.B1(FE_OFN1420_n_4336),
-	.B2(\fifo_buffer[233] [8]),
-	.C1(n_5470),
-	.Y(n_5628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198655 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[249] [5]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[248] [5]),
-	.C1(n_5420),
-	.Y(n_5627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198656 (
-	.A1(FE_OFN1420_n_4336),
-	.A2(\fifo_buffer[243] [1]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[242] [1]),
-	.C1(n_5471),
-	.Y(n_5626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198657 (
-	.A1(FE_OFN1422_n_4337),
-	.A2(\fifo_buffer[252] [1]),
-	.B1(n_4310),
-	.B2(\fifo_buffer[93] [1]),
-	.C1(n_5469),
-	.Y(n_5625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198658 (
-	.A1(FE_OFN1420_n_4336),
-	.A2(\fifo_buffer[241] [5]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[240] [5]),
-	.C1(n_5419),
-	.Y(n_5624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198659 (
-	.A1(FE_OFN1421_n_4336),
-	.A2(\fifo_buffer[239] [1]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[238] [1]),
-	.C1(n_5468),
-	.Y(n_5623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198660 (
-	.A1(FE_OFN1422_n_4337),
-	.A2(\fifo_buffer[230] [1]),
-	.B1(FE_OFN1421_n_4336),
-	.B2(\fifo_buffer[231] [1]),
-	.C1(n_5467),
-	.Y(n_5622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198661 (
-	.A1(FE_OFN1420_n_4336),
-	.A2(\fifo_buffer[233] [5]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[232] [5]),
-	.C1(n_5466),
-	.Y(n_5621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198662 (
-	.A1(FE_OFN1420_n_4336),
-	.A2(\fifo_buffer[225] [5]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[224] [5]),
-	.C1(n_5418),
-	.Y(n_5620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198663 (
-	.A1(FE_OFN1422_n_4337),
-	.A2(\fifo_buffer[250] [4]),
-	.B1(n_4336),
-	.B2(\fifo_buffer[251] [4]),
-	.C1(n_5465),
-	.Y(n_5619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198664 (
-	.A1(n_4336),
-	.A2(\fifo_buffer[227] [8]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[226] [8]),
-	.C1(n_5455),
-	.Y(n_5618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198665 (
-	.A1(n_4337),
-	.A2(\fifo_buffer[226] [4]),
-	.B1(n_4336),
-	.B2(\fifo_buffer[227] [4]),
-	.C1(n_5464),
-	.Y(n_5617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198666 (
-	.A1(FE_OFN1407_n_4308),
-	.A2(\fifo_buffer[215] [8]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[214] [8]),
-	.C1(n_5462),
-	.Y(n_5616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198667 (
-	.A1(FE_OFN1423_n_4337),
-	.A2(\fifo_buffer[234] [4]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[235] [4]),
-	.C1(n_5463),
-	.Y(n_5615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198668 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[245] [4]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[244] [4]),
-	.C1(n_5461),
-	.Y(n_5614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198669 (
-	.A1(FE_OFN1420_n_4336),
-	.A2(\fifo_buffer[243] [6]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[242] [6]),
-	.C1(n_5460),
-	.Y(n_5613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198670 (
-	.A1(FE_OFN1423_n_4337),
-	.A2(\fifo_buffer[228] [4]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[229] [4]),
-	.C1(n_5459),
-	.Y(n_5612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198671 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[249] [7]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[248] [7]),
-	.C1(n_5417),
-	.Y(n_5611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198672 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[237] [4]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[236] [4]),
-	.C1(n_5416),
-	.Y(n_5610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198673 (
-	.A1(FE_OFN1423_n_4337),
-	.A2(\fifo_buffer[234] [6]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[235] [6]),
-	.C1(n_5415),
-	.Y(n_5609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198674 (
-	.A1(FE_OFN1422_n_4337),
-	.A2(\fifo_buffer[254] [4]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[127] [4]),
-	.C1(n_5414),
-	.Y(n_5608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198675 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[247] [4]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[246] [4]),
-	.C1(n_5458),
-	.Y(n_5607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198676 (
-	.A1(n_4336),
-	.A2(\fifo_buffer[251] [6]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[250] [6]),
-	.C1(n_5457),
-	.Y(n_5606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198677 (
-	.A1(FE_OFN1421_n_4336),
-	.A2(\fifo_buffer[239] [4]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[238] [4]),
-	.C1(n_5413),
-	.Y(n_5605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198678 (
-	.A1(FE_OFN1420_n_4336),
-	.A2(\fifo_buffer[233] [7]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[232] [7]),
-	.C1(n_5412),
-	.Y(n_5604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198679 (
-	.A1(FE_OFN1421_n_4336),
-	.A2(\fifo_buffer[231] [4]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[230] [4]),
-	.C1(n_5411),
-	.Y(n_5603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198680 (
-	.A1(FE_OFN1414_n_4320),
-	.A2(\fifo_buffer[174] [8]),
-	.B1(FE_OFN1562_n_4319),
-	.B2(\fifo_buffer[175] [8]),
-	.C1(n_5409),
-	.Y(n_5602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198681 (
-	.A1(FE_OFN1423_n_4337),
-	.A2(\fifo_buffer[248] [6]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[249] [6]),
-	.C1(n_5410),
-	.Y(n_5601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198682 (
-	.A1(n_4336),
-	.A2(\fifo_buffer[253] [4]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[252] [4]),
-	.C1(n_5456),
-	.Y(n_5600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198683 (
-	.A1(n_4337),
-	.A2(\fifo_buffer[242] [4]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[210] [4]),
-	.C1(n_5454),
-	.Y(n_5599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198684 (
-	.A1(FE_OFN1420_n_4336),
-	.A2(\fifo_buffer[241] [6]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[240] [6]),
-	.C1(n_5370),
-	.Y(n_5598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198685 (
-	.A1(FE_OFN1423_n_4337),
-	.A2(\fifo_buffer[248] [4]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[249] [4]),
-	.C1(n_5408),
-	.Y(n_5597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198686 (
-	.A1(n_4337),
-	.A2(\fifo_buffer[240] [7]),
-	.B1(FE_OFN1420_n_4336),
-	.B2(\fifo_buffer[241] [7]),
-	.C1(n_5452),
-	.Y(n_5596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198687 (
-	.A1(n_4337),
-	.A2(\fifo_buffer[240] [4]),
-	.B1(FE_OFN1420_n_4336),
-	.B2(\fifo_buffer[241] [4]),
-	.C1(n_5451),
-	.Y(n_5595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198688 (
-	.A1(n_4337),
-	.A2(\fifo_buffer[232] [4]),
-	.B1(FE_OFN1420_n_4336),
-	.B2(\fifo_buffer[233] [4]),
-	.C1(n_5407),
-	.Y(n_5594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g198689 (
-	.A(n_5368),
-	.B(n_5393),
-	.C(n_5392),
-	.Y(n_5593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198690 (
-	.A1(n_4350),
-	.A2(n_5147),
-	.B1(n_5549),
-	.Y(n_5592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198691 (
-	.A1(FE_OFN1560_n_4313),
-	.A2(\fifo_buffer[0] [6]),
-	.B1(FE_OFN1412_n_4314),
-	.B2(\fifo_buffer[1] [6]),
-	.C1(n_5535),
-	.Y(n_5591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198692 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[28] [8]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[29] [8]),
-	.C1(n_5536),
-	.Y(n_5590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198693 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[30] [2]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[31] [2]),
-	.C1(n_5534),
-	.Y(n_5589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198694 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[22] [2]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[23] [2]),
-	.C1(n_5533),
-	.Y(n_5588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198695 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[14] [2]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[15] [2]),
-	.C1(n_5532),
-	.Y(n_5587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198696 (
-	.A1(FE_OFN1422_n_4337),
-	.A2(\fifo_buffer[250] [8]),
-	.B1(n_4336),
-	.B2(\fifo_buffer[251] [8]),
-	.C1(n_5502),
-	.Y(n_5586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198697 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[6] [2]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[7] [2]),
-	.C1(n_5531),
-	.Y(n_5585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198698 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[26] [5]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[27] [5]),
-	.C1(n_5530),
-	.Y(n_5584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198699 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[237] [2]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[236] [2]),
-	.C1(n_5529),
-	.Y(n_5583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198700 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[20] [2]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[21] [2]),
-	.C1(n_5528),
-	.Y(n_5582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198701 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[28] [2]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[29] [2]),
-	.C1(n_5527),
-	.Y(n_5581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198702 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[4] [2]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[5] [2]),
-	.C1(n_5526),
-	.Y(n_5580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198703 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[26] [2]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[27] [2]),
-	.C1(n_5525),
-	.Y(n_5579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198704 (
-	.A1(FE_OFN1560_n_4313),
-	.A2(\fifo_buffer[18] [2]),
-	.B1(FE_OFN1412_n_4314),
-	.B2(\fifo_buffer[19] [2]),
-	.C1(n_5524),
-	.Y(n_5578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198705 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[10] [2]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[11] [2]),
-	.C1(n_5523),
-	.Y(n_5577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198706 (
-	.A1(FE_OFN1560_n_4313),
-	.A2(\fifo_buffer[2] [2]),
-	.B1(FE_OFN1412_n_4314),
-	.B2(\fifo_buffer[3] [2]),
-	.C1(n_5522),
-	.Y(n_5576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198707 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[16] [2]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[17] [2]),
-	.C1(n_5521),
-	.Y(n_5575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198708 (
-	.A1(FE_OFN1560_n_4313),
-	.A2(\fifo_buffer[8] [2]),
-	.B1(FE_OFN1412_n_4314),
-	.B2(\fifo_buffer[9] [2]),
-	.C1(n_5520),
-	.Y(n_5574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198709 (
-	.A1(FE_OFN1411_n_4314),
-	.A2(\fifo_buffer[31] [5]),
-	.B1(FE_OFN1559_n_4313),
-	.B2(\fifo_buffer[30] [5]),
-	.C1(n_5519),
-	.Y(n_5573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198710 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[24] [2]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[25] [2]),
-	.C1(n_5518),
-	.Y(n_5572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198711 (
-	.A1(FE_OFN1560_n_4313),
-	.A2(\fifo_buffer[0] [2]),
-	.B1(FE_OFN1412_n_4314),
-	.B2(\fifo_buffer[1] [2]),
-	.C1(n_5517),
-	.Y(n_5571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198712 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[22] [5]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[23] [5]),
-	.C1(n_5516),
-	.Y(n_5570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198713 (
-	.A1(FE_OFN1411_n_4314),
-	.A2(\fifo_buffer[15] [5]),
-	.B1(FE_OFN1559_n_4313),
-	.B2(\fifo_buffer[14] [5]),
-	.C1(n_5515),
-	.Y(n_5569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198714 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[6] [5]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[7] [5]),
-	.C1(n_5514),
-	.Y(n_5568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198715 (
-	.A1(FE_OFN1560_n_4313),
-	.A2(\fifo_buffer[18] [5]),
-	.B1(FE_OFN1412_n_4314),
-	.B2(\fifo_buffer[19] [5]),
-	.C1(n_5513),
-	.Y(n_5567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198716 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[28] [5]),
-	.B1(n_4336),
-	.B2(\fifo_buffer[253] [5]),
-	.C1(n_5512),
-	.Y(n_5566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198717 (
-	.A1(FE_OFN1560_n_4313),
-	.A2(\fifo_buffer[2] [7]),
-	.B1(FE_OFN1412_n_4314),
-	.B2(\fifo_buffer[3] [7]),
-	.C1(n_5511),
-	.Y(n_5565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198718 (
-	.A1(n_4337),
-	.A2(\fifo_buffer[232] [1]),
-	.B1(FE_OFN1420_n_4336),
-	.B2(\fifo_buffer[233] [1]),
-	.C1(n_5510),
-	.Y(n_5564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198719 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[26] [7]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[27] [7]),
-	.C1(n_5509),
-	.Y(n_5563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198720 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[30] [1]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[31] [1]),
-	.C1(n_5508),
-	.Y(n_5562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198721 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[22] [1]),
-	.B1(FE_OFN1411_n_4314),
-	.B2(\fifo_buffer[23] [1]),
-	.C1(n_5507),
-	.Y(n_5561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198722 (
-	.A1(FE_OFN1560_n_4313),
-	.A2(\fifo_buffer[18] [7]),
-	.B1(FE_OFN1412_n_4314),
-	.B2(\fifo_buffer[19] [7]),
-	.C1(n_5506),
-	.Y(n_5560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198723 (
-	.A1(n_4337),
-	.A2(\fifo_buffer[224] [8]),
-	.B1(FE_OFN1420_n_4336),
-	.B2(\fifo_buffer[225] [8]),
-	.C1(n_5505),
-	.Y(n_5559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198724 (
-	.A1(FE_OFN1560_n_4313),
-	.A2(\fifo_buffer[2] [6]),
-	.B1(FE_OFN1412_n_4314),
-	.B2(\fifo_buffer[3] [6]),
-	.C1(n_5504),
-	.Y(n_5558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198725 (
-	.A1(FE_OFN1419_n_4336),
-	.A2(\fifo_buffer[245] [8]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[244] [8]),
-	.C1(n_5503),
-	.Y(n_5557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198726 (
-	.A1(FE_OFN1560_n_4313),
-	.A2(\fifo_buffer[8] [6]),
-	.B1(FE_OFN1412_n_4314),
-	.B2(\fifo_buffer[9] [6]),
-	.C1(n_5501),
-	.Y(n_5556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g198727 (
-	.A(n_5390),
-	.B(n_5391),
-	.C(n_5389),
-	.D(n_5388),
-	.Y(n_5555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g198728 (
-	.A(n_5373),
-	.B(n_5372),
-	.C(n_5374),
-	.D(n_5375),
-	.X(n_5554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g198729 (
-	.A1(n_4637),
-	.A2(n_4326),
-	.A3(\fifo_buffer[233] [0]),
-	.B1(n_5371),
-	.Y(n_5553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g198730 (
-	.A(n_5355),
-	.B(n_4976),
-	.C(n_4964),
-	.D(n_4963),
-	.Y(n_5552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g198731 (
-	.A1(n_5203),
-	.A2(n_4322),
-	.B1(n_5401),
-	.Y(n_5551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g198732 (
-	.A1(n_4641),
-	.A2(n_4317),
-	.A3(\fifo_buffer[177] [0]),
-	.B1(n_5404),
-	.Y(n_5550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g198733 (
-	.A(n_5381),
-	.B(n_5382),
-	.Y(n_5549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g198734 (
-	.A1(n_4638),
-	.A2(n_4317),
-	.A3(\fifo_buffer[179] [0]),
-	.B1(n_5406),
-	.Y(n_5548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g198735 (
-	.A1(n_4638),
-	.A2(n_4329),
-	.A3(\fifo_buffer[146] [0]),
-	.B1(n_5405),
-	.Y(n_5547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g198736 (
-	.A1(FE_OFN1207_n_4639),
-	.A2(n_4317),
-	.A3(\fifo_buffer[63] [0]),
-	.B1(n_5403),
-	.Y(n_5546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a311oi_1 g198737 (
-	.A1(n_4634),
-	.A2(n_4347),
-	.A3(\fifo_buffer[251] [0]),
-	.B1(n_5369),
-	.C1(n_5380),
-	.Y(n_5545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g198738 (
-	.A1(n_4333),
-	.A2(n_5205),
-	.B1(n_4290),
-	.B2(n_5345),
-	.C1(n_4974),
-	.Y(n_5544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g198739 (
-	.A1(n_4637),
-	.A2(n_4329),
-	.A3(\fifo_buffer[152] [0]),
-	.B1(n_5399),
-	.Y(n_5543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o311ai_1 g198740 (
-	.A1(n_4296),
-	.A2(n_4649),
-	.A3(n_4975),
-	.B1(n_4968),
-	.C1(n_5361),
-	.Y(n_5542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g198741 (
-	.A1(n_4634),
-	.A2(n_4351),
-	.A3(\fifo_buffer[218] [0]),
-	.B1(n_5398),
-	.Y(n_5541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g198742 (
-	.A1(n_4401),
-	.A2(n_4661),
-	.B1(n_4959),
-	.C1(n_5349),
-	.Y(n_5540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a311oi_1 g198743 (
-	.A1(n_4638),
-	.A2(n_4360),
-	.A3(\fifo_buffer[163] [0]),
-	.B1(n_4958),
-	.C1(n_5384),
-	.Y(n_5539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g198744 (
-	.A1(n_4634),
-	.A2(n_4317),
-	.A3(\fifo_buffer[187] [0]),
-	.B1(n_5453),
-	.Y(n_5538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g198745 (
-	.A1(n_4641),
-	.A2(n_4329),
-	.A3(\fifo_buffer[144] [0]),
-	.B1(n_5402),
-	.Y(n_5537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198746 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5322),
-	.B1(n_6000),
-	.B2(n_5088),
-	.Y(n_5536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198747 (
-	.A1(FE_OFN1186_n_4082),
-	.A2(n_5340),
-	.B1(FE_OFN1189_n_4085),
-	.B2(n_5103),
-	.Y(n_5535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198748 (
-	.A1(n_4082),
-	.A2(n_5307),
-	.B1(FE_OFN1189_n_4085),
-	.B2(n_5069),
-	.Y(n_5534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198749 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5306),
-	.B1(n_4082),
-	.B2(n_5067),
-	.Y(n_5533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198750 (
-	.A1(n_4085),
-	.A2(n_5303),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5065),
-	.Y(n_5532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198751 (
-	.A1(n_4085),
-	.A2(n_5301),
-	.B1(n_4082),
-	.B2(n_5064),
-	.Y(n_5531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198752 (
-	.A1(FE_OFN1186_n_4082),
-	.A2(n_5300),
-	.B1(FE_OFN1189_n_4085),
-	.B2(n_5063),
-	.Y(n_5530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198753 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5299),
-	.B1(n_4082),
-	.B2(n_5062),
-	.Y(n_5529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198754 (
-	.A1(FE_OFN1185_n_4082),
-	.A2(n_5297),
-	.B1(n_4085),
-	.B2(n_5060),
-	.Y(n_5528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198755 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5295),
-	.B1(n_4082),
-	.B2(n_5057),
-	.Y(n_5527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198756 (
-	.A1(FE_OFN1185_n_4082),
-	.A2(n_5294),
-	.B1(n_4085),
-	.B2(n_5055),
-	.Y(n_5526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198757 (
-	.A1(FE_OFN1186_n_4082),
-	.A2(n_5292),
-	.B1(FE_OFN1189_n_4085),
-	.B2(n_5052),
-	.Y(n_5525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198758 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5291),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5050),
-	.Y(n_5524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198759 (
-	.A1(FE_OFN1185_n_4082),
-	.A2(n_5287),
-	.B1(n_4085),
-	.B2(n_5048),
-	.Y(n_5523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198760 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5285),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5047),
-	.Y(n_5522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198761 (
-	.A1(FE_OFN1185_n_4082),
-	.A2(n_5283),
-	.B1(n_4085),
-	.B2(n_5045),
-	.Y(n_5521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198762 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5281),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5044),
-	.Y(n_5520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198763 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5280),
-	.B1(n_4082),
-	.B2(n_5041),
-	.Y(n_5519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198764 (
-	.A1(FE_OFN1185_n_4082),
-	.A2(n_5279),
-	.B1(n_4085),
-	.B2(n_5040),
-	.Y(n_5518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198765 (
-	.A1(FE_OFN1186_n_4082),
-	.A2(n_5278),
-	.B1(FE_OFN1189_n_4085),
-	.B2(n_5039),
-	.Y(n_5517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198766 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5276),
-	.B1(n_4082),
-	.B2(n_5038),
-	.Y(n_5516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198767 (
-	.A1(n_4085),
-	.A2(n_5271),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5034),
-	.Y(n_5515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198768 (
-	.A1(n_4082),
-	.A2(n_5268),
-	.B1(n_4085),
-	.B2(n_5031),
-	.Y(n_5514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198769 (
-	.A1(n_4082),
-	.A2(n_5263),
-	.B1(FE_OFN1189_n_4085),
-	.B2(n_5027),
-	.Y(n_5513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198770 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5259),
-	.B1(n_4082),
-	.B2(n_5022),
-	.Y(n_5512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198771 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5256),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5020),
-	.Y(n_5511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198772 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5258),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5019),
-	.Y(n_5510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198773 (
-	.A1(FE_OFN1186_n_4082),
-	.A2(n_5249),
-	.B1(FE_OFN1189_n_4085),
-	.B2(n_5012),
-	.Y(n_5509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198774 (
-	.A1(n_4082),
-	.A2(n_5246),
-	.B1(FE_OFN1189_n_4085),
-	.B2(n_5009),
-	.Y(n_5508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198775 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5245),
-	.B1(n_4082),
-	.B2(n_5008),
-	.Y(n_5507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198776 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5243),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5006),
-	.Y(n_5506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198777 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5240),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5004),
-	.Y(n_5505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198778 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5238),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5002),
-	.Y(n_5504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198779 (
-	.A1(FE_OFN1185_n_4082),
-	.A2(n_5229),
-	.B1(n_4085),
-	.B2(n_4996),
-	.Y(n_5503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198780 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5331),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_4995),
-	.Y(n_5502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198781 (
-	.A1(FE_OFN1189_n_4085),
-	.A2(n_5214),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_4978),
-	.Y(n_5501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g198782 (
-	.A1(n_5118),
-	.A2(n_4089),
-	.A3(\fifo_buffer[57] [0]),
-	.B1(n_4971),
-	.Y(n_5500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g198783 (
-	.A1(n_5114),
-	.A2(n_4089),
-	.A3(\fifo_buffer[88] [0]),
-	.B1(n_4969),
-	.Y(n_5499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198784 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5337),
-	.B1(n_4082),
-	.B2(n_5100),
-	.Y(n_5498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198785 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5330),
-	.B1(n_4082),
-	.B2(n_5096),
-	.Y(n_5497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198786 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5332),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5093),
-	.Y(n_5496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198787 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5328),
-	.B1(n_4082),
-	.B2(n_5091),
-	.Y(n_5495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198788 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5326),
-	.B1(n_4082),
-	.B2(n_5089),
-	.Y(n_5494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198789 (
-	.A1(n_4083),
-	.A2(n_5323),
-	.B1(FE_OFN1189_n_4085),
-	.B2(n_5084),
-	.Y(n_5493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198790 (
-	.A1(n_4083),
-	.A2(n_5314),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5079),
-	.Y(n_5492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198791 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5318),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5081),
-	.Y(n_5491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198792 (
-	.A1(n_4083),
-	.A2(n_5317),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5078),
-	.Y(n_5490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198793 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5312),
-	.B1(FE_OFN1189_n_4085),
-	.B2(n_5075),
-	.Y(n_5489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198794 (
-	.A1(n_4083),
-	.A2(n_5313),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5074),
-	.Y(n_5488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198795 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5310),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5073),
-	.Y(n_5487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198796 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5308),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5070),
-	.Y(n_5486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198797 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5304),
-	.B1(n_4082),
-	.B2(n_5068),
-	.Y(n_5485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198798 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5289),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5056),
-	.Y(n_5484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198799 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5296),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5059),
-	.Y(n_5483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198800 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5277),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5053),
-	.Y(n_5482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198801 (
-	.A1(n_4083),
-	.A2(n_5293),
-	.B1(n_4085),
-	.B2(n_5054),
-	.Y(n_5481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198802 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5346),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5051),
-	.Y(n_5480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198803 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5288),
-	.B1(n_4082),
-	.B2(n_5049),
-	.Y(n_5479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198804 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5275),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5037),
-	.Y(n_5478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198805 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5273),
-	.B1(n_4082),
-	.B2(n_5036),
-	.Y(n_5477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198806 (
-	.A1(n_4083),
-	.A2(n_5269),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5032),
-	.Y(n_5476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198807 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5267),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5030),
-	.Y(n_5475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198808 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5264),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5028),
-	.Y(n_5474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198809 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5262),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5025),
-	.Y(n_5473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198810 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5254),
-	.B1(n_4082),
-	.B2(n_5023),
-	.Y(n_5472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198811 (
-	.A1(n_4083),
-	.A2(n_5255),
-	.B1(n_4082),
-	.B2(n_5018),
-	.Y(n_5471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198812 (
-	.A1(n_4083),
-	.A2(n_5248),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5015),
-	.Y(n_5470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198813 (
-	.A1(n_4083),
-	.A2(n_5253),
-	.B1(n_4082),
-	.B2(n_5016),
-	.Y(n_5469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198814 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5251),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5013),
-	.Y(n_5468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198815 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5250),
-	.B1(n_4085),
-	.B2(n_5011),
-	.Y(n_5467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198816 (
-	.A1(n_4083),
-	.A2(n_5247),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5010),
-	.Y(n_5466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198817 (
-	.A1(n_4083),
-	.A2(n_5242),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5005),
-	.Y(n_5465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198818 (
-	.A1(n_4083),
-	.A2(n_5241),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5003),
-	.Y(n_5464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198819 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5237),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5001),
-	.Y(n_5463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198820 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5230),
-	.B1(n_4082),
-	.B2(n_5000),
-	.Y(n_5462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198821 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5236),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_4999),
-	.Y(n_5461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198822 (
-	.A1(n_4083),
-	.A2(n_5235),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5112),
-	.Y(n_5460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198823 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5234),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_4997),
-	.Y(n_5459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198824 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5228),
-	.B1(n_4082),
-	.B2(n_5094),
-	.Y(n_5458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198825 (
-	.A1(n_4083),
-	.A2(n_5227),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_4990),
-	.Y(n_5457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198826 (
-	.A1(n_4083),
-	.A2(n_5222),
-	.B1(n_4082),
-	.B2(n_4986),
-	.Y(n_5456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198827 (
-	.A1(n_4083),
-	.A2(n_5342),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_4989),
-	.Y(n_5455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198828 (
-	.A1(n_4083),
-	.A2(n_5220),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_4984),
-	.Y(n_5454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198829 (
-	.A1(n_4348),
-	.A2(n_5141),
-	.B1(n_4290),
-	.B2(n_5343),
-	.Y(n_5453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198830 (
-	.A1(n_4083),
-	.A2(n_5216),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_4980),
-	.Y(n_5452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198831 (
-	.A1(n_4083),
-	.A2(n_5217),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_4979),
-	.Y(n_5451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198832 (
-	.A1(n_4083),
-	.A2(n_5104),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5341),
-	.Y(n_5450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198833 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5102),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5339),
-	.Y(n_5449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198834 (
-	.A1(n_4083),
-	.A2(n_5099),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5338),
-	.Y(n_5448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198835 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5098),
-	.B1(n_4082),
-	.B2(n_5336),
-	.Y(n_5447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198836 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5097),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5335),
-	.Y(n_5446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198837 (
-	.A1(n_4083),
-	.A2(n_5095),
-	.B1(n_4082),
-	.B2(n_5334),
-	.Y(n_5445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198838 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5092),
-	.B1(n_4082),
-	.B2(n_5333),
-	.Y(n_5444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198839 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5090),
-	.B1(n_4082),
-	.B2(n_5329),
-	.Y(n_5443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198840 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5087),
-	.B1(n_4085),
-	.B2(n_5327),
-	.Y(n_5442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198841 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5086),
-	.B1(n_4082),
-	.B2(n_5325),
-	.Y(n_5441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198842 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5085),
-	.B1(n_4082),
-	.B2(n_5324),
-	.Y(n_5440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198843 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5082),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5321),
-	.Y(n_5439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198844 (
-	.A1(n_4083),
-	.A2(n_5083),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5320),
-	.Y(n_5438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198845 (
-	.A1(n_4083),
-	.A2(n_5080),
-	.B1(n_4082),
-	.B2(n_5319),
-	.Y(n_5437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198846 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5077),
-	.B1(n_4082),
-	.B2(n_5316),
-	.Y(n_5436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198847 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5076),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5315),
-	.Y(n_5435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198848 (
-	.A1(n_4083),
-	.A2(n_5072),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5311),
-	.Y(n_5434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198849 (
-	.A1(n_4083),
-	.A2(n_5071),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5309),
-	.Y(n_5433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198850 (
-	.A1(n_4083),
-	.A2(n_5066),
-	.B1(FE_OFN1189_n_4085),
-	.B2(n_5305),
-	.Y(n_5432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198851 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5061),
-	.B1(n_4082),
-	.B2(n_5302),
-	.Y(n_5431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198852 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_5058),
-	.B1(n_4082),
-	.B2(n_5298),
-	.Y(n_5430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198853 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5043),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5286),
-	.Y(n_5429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198854 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5046),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5284),
-	.Y(n_5428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198855 (
-	.A1(n_4083),
-	.A2(n_5042),
-	.B1(n_4082),
-	.B2(n_5282),
-	.Y(n_5427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198856 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5035),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5274),
-	.Y(n_5426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198857 (
-	.A1(n_4083),
-	.A2(n_5033),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5272),
-	.Y(n_5425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198858 (
-	.A1(n_4083),
-	.A2(n_5029),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5270),
-	.Y(n_5424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198859 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5026),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5265),
-	.Y(n_5423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198860 (
-	.A1(n_4083),
-	.A2(n_5024),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5261),
-	.Y(n_5422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198861 (
-	.A1(n_4083),
-	.A2(n_5021),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5260),
-	.Y(n_5421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198862 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5017),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5257),
-	.Y(n_5420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198863 (
-	.A1(n_4083),
-	.A2(n_5014),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5252),
-	.Y(n_5419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198864 (
-	.A1(n_4083),
-	.A2(n_5007),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5244),
-	.Y(n_5418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198865 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_4993),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5120),
-	.Y(n_5417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198866 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_4994),
-	.B1(n_4082),
-	.B2(n_5266),
-	.Y(n_5416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198867 (
-	.A1(n_4083),
-	.A2(n_4992),
-	.B1(n_4085),
-	.B2(n_5232),
-	.Y(n_5415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198868 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_4991),
-	.B1(n_4082),
-	.B2(n_5231),
-	.Y(n_5414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198869 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_5101),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5226),
-	.Y(n_5413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198870 (
-	.A1(n_4083),
-	.A2(n_4987),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5225),
-	.Y(n_5412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198871 (
-	.A1(FE_OFN1187_n_4083),
-	.A2(n_4988),
-	.B1(n_4082),
-	.B2(n_5224),
-	.Y(n_5411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198872 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_4985),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5223),
-	.Y(n_5410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198873 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_4982),
-	.B1(n_4085),
-	.B2(n_5221),
-	.Y(n_5409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198874 (
-	.A1(FE_OFN1188_n_4083),
-	.A2(n_4981),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_5219),
-	.Y(n_5408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198875 (
-	.A1(n_4083),
-	.A2(n_4977),
-	.B1(FE_OFN1186_n_4082),
-	.B2(n_5215),
-	.Y(n_5407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198876 (
-	.A1(n_4324),
-	.A2(n_5193),
-	.B1(n_5352),
-	.Y(n_5406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198877 (
-	.A1(n_4327),
-	.A2(n_5160),
-	.B1(n_5358),
-	.Y(n_5405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198878 (
-	.A1(n_4348),
-	.A2(n_5206),
-	.B1(n_5350),
-	.Y(n_5404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198879 (
-	.A1(n_4323),
-	.A2(n_5154),
-	.B1(n_4092),
-	.B2(n_4998),
-	.Y(n_5403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198880 (
-	.A1(n_4321),
-	.A2(n_5152),
-	.B1(n_5347),
-	.Y(n_5402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198881 (
-	.A1(n_4361),
-	.A2(n_5201),
-	.B1(n_8814),
-	.B2(n_5202),
-	.Y(n_5401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198882 (
-	.A1(n_4323),
-	.A2(n_5195),
-	.B1(n_8814),
-	.B2(n_5196),
-	.Y(n_5400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198883 (
-	.A1(n_4327),
-	.A2(n_5191),
-	.B1(n_5362),
-	.Y(n_5399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198884 (
-	.A1(n_4321),
-	.A2(n_5199),
-	.B1(n_4290),
-	.B2(n_5344),
-	.Y(n_5398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198885 (
-	.A1(n_4316),
-	.A2(n_5188),
-	.B1(n_4325),
-	.B2(n_5233),
-	.Y(n_5397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198886 (
-	.A1(n_4350),
-	.A2(n_5184),
-	.B1(n_4321),
-	.B2(n_5185),
-	.Y(n_5396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198887 (
-	.A1(n_4328),
-	.A2(n_5186),
-	.B1(n_4327),
-	.B2(n_5187),
-	.Y(n_5395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g198888 (
-	.A1(n_4328),
-	.A2(n_5180),
-	.B1(n_4327),
-	.B2(n_5181),
-	.X(n_5394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198889 (
-	.A1(n_4348),
-	.A2(n_5178),
-	.B1(n_4316),
-	.B2(n_5207),
-	.Y(n_5393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198890 (
-	.A1(n_4324),
-	.A2(n_5176),
-	.B1(n_4325),
-	.B2(n_5177),
-	.Y(n_5392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198891 (
-	.A1(n_4359),
-	.A2(n_5175),
-	.B1(n_4323),
-	.B2(n_5209),
-	.Y(n_5391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198892 (
-	.A1(n_4361),
-	.A2(n_5173),
-	.B1(n_8814),
-	.B2(n_5174),
-	.Y(n_5390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198893 (
-	.A1(n_4333),
-	.A2(n_5171),
-	.B1(n_4330),
-	.B2(n_5172),
-	.Y(n_5389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198894 (
-	.A1(n_4355),
-	.A2(n_5169),
-	.B1(n_4346),
-	.B2(n_5170),
-	.Y(n_5388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198895 (
-	.A1(n_4324),
-	.A2(n_5167),
-	.B1(n_4348),
-	.B2(n_5168),
-	.Y(n_5387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198896 (
-	.A1(n_4359),
-	.A2(n_5165),
-	.B1(n_8814),
-	.B2(n_5166),
-	.Y(n_5386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198897 (
-	.A1(n_4361),
-	.A2(n_5163),
-	.B1(n_4323),
-	.B2(n_5164),
-	.Y(n_5385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198898 (
-	.A1(n_4361),
-	.A2(n_5210),
-	.B1(n_4323),
-	.B2(n_5208),
-	.Y(n_5384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198899 (
-	.A1(n_4328),
-	.A2(n_5148),
-	.B1(n_4321),
-	.B2(n_5149),
-	.Y(n_5383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198900 (
-	.A1(n_4299),
-	.A2(n_5145),
-	.B1(n_4323),
-	.B2(n_5146),
-	.Y(n_5382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198901 (
-	.A1(n_4092),
-	.A2(n_5143),
-	.B1(n_8814),
-	.B2(n_5144),
-	.Y(n_5381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198902 (
-	.A1(n_4090),
-	.A2(n_5182),
-	.B1(n_4330),
-	.B2(n_5139),
-	.Y(n_5380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198903 (
-	.A1(n_4333),
-	.A2(n_5137),
-	.B1(n_4346),
-	.B2(n_5138),
-	.Y(n_5379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198904 (
-	.A1(n_4355),
-	.A2(n_5136),
-	.B1(n_4330),
-	.B2(n_5204),
-	.Y(n_5378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198905 (
-	.A1(n_4330),
-	.A2(n_5131),
-	.B1(n_4346),
-	.B2(n_5132),
-	.Y(n_5377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198906 (
-	.A1(n_4355),
-	.A2(n_5130),
-	.B1(n_4333),
-	.B2(n_5121),
-	.Y(n_5376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198907 (
-	.A1(n_4323),
-	.A2(n_5128),
-	.B1(n_8814),
-	.B2(n_5129),
-	.Y(n_5375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198908 (
-	.A1(n_4361),
-	.A2(n_5126),
-	.B1(n_4359),
-	.B2(n_5127),
-	.Y(n_5374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198909 (
-	.A1(n_4321),
-	.A2(n_5124),
-	.B1(n_4327),
-	.B2(n_5125),
-	.Y(n_5373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198910 (
-	.A1(n_4328),
-	.A2(n_5122),
-	.B1(n_4350),
-	.B2(n_5123),
-	.Y(n_5372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g198911 (
-	.A1(n_4348),
-	.A2(n_5198),
-	.B1(n_5363),
-	.Y(n_5371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g198912 (
-	.A1(n_4083),
-	.A2(n_5218),
-	.B1(FE_OFN1185_n_4082),
-	.B2(n_4983),
-	.Y(n_5370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g198913 (
-	.A(n_5115),
-	.B(n_4289),
-	.C(\fifo_buffer[123] [0]),
-	.X(n_5369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g198914 (
-	.A(n_4321),
-	.B(n_5179),
-	.Y(n_5368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g198915 (
-	.A(n_4348),
-	.B(n_5133),
-	.Y(n_5367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198916 (
-	.A(n_5117),
-	.B(n_4289),
-	.C(\fifo_buffer[26] [0]),
-	.Y(n_5366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198917 (
-	.A(n_5113),
-	.B(n_4289),
-	.C(\fifo_buffer[107] [0]),
-	.Y(n_5365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g198918 (
-	.A(n_4361),
-	.B(n_5197),
-	.Y(n_5364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198919 (
-	.A(n_5113),
-	.B(n_4089),
-	.C(\fifo_buffer[105] [0]),
-	.Y(n_5363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198920 (
-	.A(n_5117),
-	.B(n_4089),
-	.C(\fifo_buffer[24] [0]),
-	.Y(n_5362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198921 (
-	.A(n_5116),
-	.B(n_4089),
-	.C(\fifo_buffer[72] [0]),
-	.Y(n_5361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198922 (
-	.A(n_5115),
-	.B(n_4089),
-	.C(\fifo_buffer[121] [0]),
-	.Y(n_5360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198923 (
-	.A(n_5113),
-	.B(n_4086),
-	.C(\fifo_buffer[99] [0]),
-	.Y(n_5359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198924 (
-	.A(n_5117),
-	.B(n_4086),
-	.C(\fifo_buffer[18] [0]),
-	.Y(n_5358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g198925 (
-	.A1(n_4637),
-	.A2(n_4360),
-	.A3(\fifo_buffer[169] [0]),
-	.B1(n_5211),
-	.Y(n_5357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198926 (
-	.A(n_5115),
-	.B(n_4086),
-	.C(\fifo_buffer[115] [0]),
-	.Y(n_5356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198927 (
-	.A(n_5116),
-	.B(n_4086),
-	.C(\fifo_buffer[66] [0]),
-	.Y(n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g198928 (
-	.A(n_5115),
-	.B(n_4292),
-	.C(\fifo_buffer[113] [0]),
-	.X(n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198929 (
-	.A(n_5114),
-	.B(n_4086),
-	.C(\fifo_buffer[82] [0]),
-	.Y(n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198930 (
-	.A(n_5118),
-	.B(n_4086),
-	.C(\fifo_buffer[51] [0]),
-	.Y(n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198931 (
-	.A(n_5113),
-	.B(n_4292),
-	.C(\fifo_buffer[97] [0]),
-	.Y(n_5351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198932 (
-	.A(n_5118),
-	.B(n_4292),
-	.C(\fifo_buffer[49] [0]),
-	.Y(n_5350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198933 (
-	.A(n_5116),
-	.B(n_4292),
-	.C(\fifo_buffer[64] [0]),
-	.Y(n_5349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198934 (
-	.A(n_5114),
-	.B(n_4292),
-	.C(\fifo_buffer[80] [0]),
-	.Y(n_5348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g198935 (
-	.A(n_5117),
-	.B(n_4292),
-	.C(\fifo_buffer[16] [0]),
-	.Y(n_5347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198936 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[20] [7]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[21] [7]),
-	.C1(n_4504),
-	.Y(n_5346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g198937 (
-	.A(n_5116),
-	.B(\fifo_buffer[74] [0]),
-	.Y(n_5345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g198938 (
-	.A(n_5114),
-	.B(\fifo_buffer[90] [0]),
-	.Y(n_5344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g198939 (
-	.A(n_5118),
-	.B(\fifo_buffer[59] [0]),
-	.Y(n_5343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198940 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[2] [8]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[3] [8]),
-	.C1(n_4578),
-	.Y(n_5342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198941 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[32] [4]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[33] [4]),
-	.C1(n_4593),
-	.Y(n_5341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198942 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[32] [6]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[33] [6]),
-	.C1(n_4587),
-	.Y(n_5340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198943 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[52] [3]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[53] [3]),
-	.C1(n_4588),
-	.Y(n_5339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198944 (
-	.A1(FE_OFN1181_n_3994),
-	.A2(\fifo_buffer[33] [7]),
-	.B1(FE_OFN1197_n_4229),
-	.B2(\fifo_buffer[32] [7]),
-	.C1(n_4583),
-	.Y(n_5338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198945 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[12] [3]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[13] [3]),
-	.C1(n_4582),
-	.Y(n_5337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198946 (
-	.A1(FE_OFN1192_n_4186),
-	.A2(\fifo_buffer[191] [6]),
-	.B1(FE_OFN1176_n_3945),
-	.B2(\fifo_buffer[190] [6]),
-	.C1(n_4581),
-	.Y(n_5336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198947 (
-	.A1(FE_OFN1180_n_3994),
-	.A2(\fifo_buffer[37] [3]),
-	.B1(FE_OFN1201_n_4229),
-	.B2(\fifo_buffer[36] [3]),
-	.C1(n_4579),
-	.Y(n_5335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198948 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[60] [3]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[61] [3]),
-	.C1(n_4574),
-	.Y(n_5334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198949 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[62] [3]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[63] [3]),
-	.C1(n_4569),
-	.Y(n_5333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198950 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[14] [6]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[15] [6]),
-	.C1(n_4568),
-	.Y(n_5332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198951 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[90] [8]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[91] [8]),
-	.C1(n_4523),
-	.Y(n_5331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198952 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[6] [8]),
-	.B1(FE_OFN1183_n_3994),
-	.B2(\fifo_buffer[7] [8]),
-	.C1(n_4565),
-	.Y(n_5330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198953 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[54] [3]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[55] [3]),
-	.C1(n_4566),
-	.Y(n_5329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198954 (
-	.A1(FE_OFN1178_n_3945),
-	.A2(\fifo_buffer[150] [7]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[151] [7]),
-	.C1(n_4559),
-	.Y(n_5328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198955 (
-	.A1(FE_OFN1192_n_4186),
-	.A2(\fifo_buffer[207] [3]),
-	.B1(FE_OFN1176_n_3945),
-	.B2(\fifo_buffer[206] [3]),
-	.C1(n_4560),
-	.Y(n_5327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198956 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[22] [6]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[23] [6]),
-	.C1(n_4558),
-	.Y(n_5326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198957 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[38] [3]),
-	.B1(FE_OFN1183_n_3994),
-	.B2(\fifo_buffer[39] [3]),
-	.C1(n_4556),
-	.Y(n_5325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198958 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[166] [6]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[167] [6]),
-	.C1(n_4553),
-	.Y(n_5324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198959 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[26] [3]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[27] [3]),
-	.C1(n_4549),
-	.Y(n_5323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198960 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[92] [8]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[93] [8]),
-	.C1(n_4538),
-	.Y(n_5322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198961 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[46] [7]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[47] [7]),
-	.C1(n_4546),
-	.Y(n_5321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198962 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[50] [3]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[51] [3]),
-	.C1(n_4547),
-	.Y(n_5320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198963 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[60] [6]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[61] [6]),
-	.C1(n_4544),
-	.Y(n_5319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198964 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[138] [3]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[139] [3]),
-	.C1(n_4540),
-	.Y(n_5318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198965 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[130] [3]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[131] [3]),
-	.C1(n_4536),
-	.Y(n_5317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198966 (
-	.A1(FE_OFN1192_n_4186),
-	.A2(\fifo_buffer[173] [6]),
-	.B1(FE_OFN1176_n_3945),
-	.B2(\fifo_buffer[172] [6]),
-	.C1(n_4564),
-	.Y(n_5316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198967 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[56] [3]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[57] [3]),
-	.C1(n_4533),
-	.Y(n_5315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198968 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[18] [8]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[19] [8]),
-	.C1(n_4526),
-	.Y(n_5314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198969 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[8] [3]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[9] [3]),
-	.C1(n_4527),
-	.Y(n_5313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198970 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[30] [7]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[31] [7]),
-	.C1(n_4521),
-	.Y(n_5312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198971 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[176] [3]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[177] [3]),
-	.C1(n_4522),
-	.Y(n_5311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198972 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[20] [6]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[21] [6]),
-	.C1(n_4520),
-	.Y(n_5310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198973 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[32] [3]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[33] [3]),
-	.C1(n_4518),
-	.Y(n_5309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198974 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[4] [6]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[5] [6]),
-	.C1(n_4594),
-	.Y(n_5308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198975 (
-	.A1(FE_OFN1192_n_4186),
-	.A2(\fifo_buffer[191] [2]),
-	.B1(FE_OFN1176_n_3945),
-	.B2(\fifo_buffer[190] [2]),
-	.C1(n_4595),
-	.Y(n_5307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198976 (
-	.A1(FE_OFN1192_n_4186),
-	.A2(\fifo_buffer[215] [2]),
-	.B1(FE_OFN1178_n_3945),
-	.B2(\fifo_buffer[214] [2]),
-	.C1(n_4598),
-	.Y(n_5306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198977 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[66] [5]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[67] [5]),
-	.C1(n_4599),
-	.Y(n_5305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198978 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[6] [7]),
-	.B1(FE_OFN1183_n_3994),
-	.B2(\fifo_buffer[7] [7]),
-	.C1(n_4600),
-	.Y(n_5304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198979 (
-	.A1(FE_OFN1184_n_3994),
-	.A2(\fifo_buffer[79] [2]),
-	.B1(FE_OFN1201_n_4229),
-	.B2(\fifo_buffer[78] [2]),
-	.C1(n_4603),
-	.Y(n_5303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198980 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[44] [8]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[45] [8]),
-	.C1(n_4515),
-	.Y(n_5302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198981 (
-	.A1(FE_OFN1192_n_4186),
-	.A2(\fifo_buffer[199] [2]),
-	.B1(FE_OFN1176_n_3945),
-	.B2(\fifo_buffer[198] [2]),
-	.C1(n_4606),
-	.Y(n_5301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198982 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[58] [5]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[59] [5]),
-	.C1(n_4609),
-	.Y(n_5300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198983 (
-	.A1(FE_OFN1190_n_4186),
-	.A2(\fifo_buffer[205] [2]),
-	.B1(FE_OFN1176_n_3945),
-	.B2(\fifo_buffer[204] [2]),
-	.C1(n_4610),
-	.Y(n_5299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198984 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[44] [7]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[45] [7]),
-	.C1(n_4613),
-	.Y(n_5298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198985 (
-	.A1(FE_OFN1194_n_4186),
-	.A2(\fifo_buffer[181] [2]),
-	.B1(FE_OFN1177_n_3945),
-	.B2(\fifo_buffer[180] [2]),
-	.C1(n_4612),
-	.Y(n_5297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198986 (
-	.A1(FE_OFN1184_n_3994),
-	.A2(\fifo_buffer[21] [5]),
-	.B1(FE_OFN1201_n_4229),
-	.B2(\fifo_buffer[20] [5]),
-	.C1(n_4616),
-	.Y(n_5296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198987 (
-	.A1(FE_OFN1193_n_4186),
-	.A2(\fifo_buffer[221] [2]),
-	.B1(FE_OFN1174_n_3945),
-	.B2(\fifo_buffer[220] [2]),
-	.C1(n_4617),
-	.Y(n_5295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198988 (
-	.A1(FE_OFN1191_n_4186),
-	.A2(\fifo_buffer[165] [2]),
-	.B1(FE_OFN1177_n_3945),
-	.B2(\fifo_buffer[164] [2]),
-	.C1(n_4620),
-	.Y(n_5294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198989 (
-	.A1(FE_OFN1191_n_4186),
-	.A2(\fifo_buffer[139] [5]),
-	.B1(FE_OFN1177_n_3945),
-	.B2(\fifo_buffer[138] [5]),
-	.C1(n_4511),
-	.Y(n_5293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198990 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[58] [2]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[59] [2]),
-	.C1(n_4510),
-	.Y(n_5292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198991 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[82] [2]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[83] [2]),
-	.C1(n_4507),
-	.Y(n_5291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g198992 (
-	.A(n_5119),
-	.B(\fifo_buffer[0] [0]),
-	.Y(n_5290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198993 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[4] [8]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[5] [8]),
-	.C1(n_4498),
-	.Y(n_5289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198994 (
-	.A1(FE_OFN1192_n_4186),
-	.A2(\fifo_buffer[141] [5]),
-	.B1(FE_OFN1176_n_3945),
-	.B2(\fifo_buffer[140] [5]),
-	.C1(n_4503),
-	.Y(n_5288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198995 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[42] [2]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[43] [2]),
-	.C1(n_4502),
-	.Y(n_5287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198996 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[56] [8]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[57] [8]),
-	.C1(n_4494),
-	.Y(n_5286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198997 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[66] [2]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[67] [2]),
-	.C1(n_4500),
-	.Y(n_5285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198998 (
-	.A1(FE_OFN1191_n_4186),
-	.A2(\fifo_buffer[165] [5]),
-	.B1(FE_OFN1177_n_3945),
-	.B2(\fifo_buffer[164] [5]),
-	.C1(n_4497),
-	.Y(n_5284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g198999 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[48] [2]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[49] [2]),
-	.C1(n_4492),
-	.Y(n_5283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199000 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[188] [7]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[189] [7]),
-	.C1(n_4489),
-	.Y(n_5282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199001 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[200] [2]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[201] [2]),
-	.C1(n_4488),
-	.Y(n_5281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199002 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[222] [5]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[223] [5]),
-	.C1(n_4482),
-	.Y(n_5280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199003 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[56] [2]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[57] [2]),
-	.C1(n_4483),
-	.Y(n_5279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199004 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[160] [2]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[161] [2]),
-	.C1(n_4393),
-	.Y(n_5278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199005 (
-	.A1(FE_OFN1180_n_3994),
-	.A2(\fifo_buffer[11] [8]),
-	.B1(FE_OFN1199_n_4229),
-	.B2(\fifo_buffer[10] [8]),
-	.C1(n_4469),
-	.Y(n_5277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199006 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[86] [5]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[87] [5]),
-	.C1(n_4479),
-	.Y(n_5276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199007 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[132] [1]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[133] [1]),
-	.C1(n_4478),
-	.Y(n_5275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199008 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[36] [7]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[37] [7]),
-	.C1(n_4477),
-	.Y(n_5274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199009 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[12] [1]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[13] [1]),
-	.C1(n_4476),
-	.Y(n_5273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199010 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[186] [1]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[187] [1]),
-	.C1(n_4473),
-	.Y(n_5272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199011 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[206] [5]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[207] [5]),
-	.C1(n_4472),
-	.Y(n_5271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199012 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[48] [8]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[49] [8]),
-	.C1(n_4466),
-	.Y(n_5270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199013 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[2] [1]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[3] [1]),
-	.C1(n_4468),
-	.Y(n_5269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199014 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[166] [5]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[167] [5]),
-	.C1(n_4464),
-	.Y(n_5268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199015 (
-	.A1(FE_OFN1191_n_4186),
-	.A2(\fifo_buffer[139] [1]),
-	.B1(FE_OFN1177_n_3945),
-	.B2(\fifo_buffer[138] [1]),
-	.C1(n_4463),
-	.Y(n_5267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199016 (
-	.A1(FE_OFN1192_n_4186),
-	.A2(\fifo_buffer[173] [4]),
-	.B1(FE_OFN1176_n_3945),
-	.B2(\fifo_buffer[172] [4]),
-	.C1(n_4402),
-	.Y(n_5266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199017 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[42] [7]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[43] [7]),
-	.C1(n_4461),
-	.Y(n_5265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199018 (
-	.A1(FE_OFN1194_n_4186),
-	.A2(\fifo_buffer[149] [1]),
-	.B1(FE_OFN1177_n_3945),
-	.B2(\fifo_buffer[148] [1]),
-	.C1(n_4462),
-	.Y(n_5264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199019 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[178] [5]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[179] [5]),
-	.C1(n_4457),
-	.Y(n_5263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199020 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[152] [1]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[153] [1]),
-	.C1(n_4456),
-	.Y(n_5262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199021 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[176] [1]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[177] [1]),
-	.C1(n_4416),
-	.Y(n_5261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199022 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[160] [1]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[161] [1]),
-	.C1(n_4452),
-	.Y(n_5260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199023 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[92] [5]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[93] [5]),
-	.C1(n_4451),
-	.Y(n_5259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199024 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[200] [1]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[201] [1]),
-	.C1(n_4446),
-	.Y(n_5258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199025 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[56] [5]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[57] [5]),
-	.C1(n_4444),
-	.Y(n_5257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199026 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[66] [7]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[67] [7]),
-	.C1(n_4442),
-	.Y(n_5256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199027 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[146] [1]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[147] [1]),
-	.C1(n_4440),
-	.Y(n_5255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199028 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[30] [8]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[31] [8]),
-	.C1(n_4432),
-	.Y(n_5254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199029 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[156] [1]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[157] [1]),
-	.C1(n_4512),
-	.Y(n_5253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199030 (
-	.A1(FE_OFN1191_n_4186),
-	.A2(\fifo_buffer[177] [5]),
-	.B1(FE_OFN1174_n_3945),
-	.B2(\fifo_buffer[176] [5]),
-	.C1(n_4437),
-	.Y(n_5252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199031 (
-	.A1(FE_OFN1194_n_4186),
-	.A2(\fifo_buffer[143] [1]),
-	.B1(FE_OFN1177_n_3945),
-	.B2(\fifo_buffer[142] [1]),
-	.C1(n_4433),
-	.Y(n_5251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199032 (
-	.A1(FE_OFN1192_n_4186),
-	.A2(\fifo_buffer[135] [1]),
-	.B1(FE_OFN1176_n_3945),
-	.B2(\fifo_buffer[134] [1]),
-	.C1(n_4429),
-	.Y(n_5250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199033 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[58] [7]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[59] [7]),
-	.C1(n_4425),
-	.Y(n_5249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199034 (
-	.A1(FE_OFN1190_n_4186),
-	.A2(\fifo_buffer[137] [8]),
-	.B1(FE_OFN1175_n_3945),
-	.B2(\fifo_buffer[136] [8]),
-	.C1(n_4428),
-	.Y(n_5248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199035 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[8] [5]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[9] [5]),
-	.C1(n_4424),
-	.Y(n_5247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199036 (
-	.A1(FE_OFN1192_n_4186),
-	.A2(\fifo_buffer[191] [1]),
-	.B1(FE_OFN1176_n_3945),
-	.B2(\fifo_buffer[190] [1]),
-	.C1(n_4423),
-	.Y(n_5246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199037 (
-	.A1(FE_OFN1192_n_4186),
-	.A2(\fifo_buffer[215] [1]),
-	.B1(FE_OFN1178_n_3945),
-	.B2(\fifo_buffer[214] [1]),
-	.C1(n_4421),
-	.Y(n_5245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199038 (
-	.A1(FE_OFN1190_n_4186),
-	.A2(\fifo_buffer[161] [5]),
-	.B1(FE_OFN1175_n_3945),
-	.B2(\fifo_buffer[160] [5]),
-	.C1(n_4552),
-	.Y(n_5244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199039 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[82] [7]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[83] [7]),
-	.C1(n_4408),
-	.Y(n_5243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199040 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[26] [4]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[27] [4]),
-	.C1(n_4417),
-	.Y(n_5242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199041 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[2] [4]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[3] [4]),
-	.C1(n_4530),
-	.Y(n_5241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199042 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[64] [8]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[65] [8]),
-	.C1(n_4411),
-	.Y(n_5240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g199043 (
-	.A1(n_4634),
-	.A2(n_4356),
-	.A3(\fifo_buffer[138] [0]),
-	.B1(n_4965),
-	.Y(n_5239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199044 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[66] [6]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[67] [6]),
-	.C1(n_4410),
-	.Y(n_5238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199045 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[138] [4]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[139] [4]),
-	.C1(n_4409),
-	.Y(n_5237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199046 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[20] [4]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[21] [4]),
-	.C1(n_4403),
-	.Y(n_5236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199047 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[18] [6]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[19] [6]),
-	.C1(n_4591),
-	.Y(n_5235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199048 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[4] [4]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[5] [4]),
-	.C1(n_4575),
-	.Y(n_5234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199049 (
-	.A1(FE_OFN1205_n_4635),
-	.A2(\fifo_buffer[103] [0]),
-	.B1(FE_OFN1204_n_4632),
-	.B2(\fifo_buffer[231] [0]),
-	.Y(n_5233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199050 (
-	.A1(FE_OFN1180_n_3994),
-	.A2(\fifo_buffer[75] [6]),
-	.B1(FE_OFN1199_n_4229),
-	.B2(\fifo_buffer[74] [6]),
-	.C1(n_4400),
-	.Y(n_5232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199051 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[190] [4]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[191] [4]),
-	.C1(n_4516),
-	.Y(n_5231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199052 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[22] [8]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[23] [8]),
-	.C1(n_4571),
-	.Y(n_5230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199053 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[52] [8]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[53] [8]),
-	.C1(n_4387),
-	.Y(n_5229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199054 (
-	.A1(FE_OFN1178_n_3945),
-	.A2(\fifo_buffer[150] [4]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[151] [4]),
-	.C1(n_4372),
-	.Y(n_5228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199055 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[26] [6]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[27] [6]),
-	.C1(n_4395),
-	.Y(n_5227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199056 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[174] [4]),
-	.B1(FE_OFN1194_n_4186),
-	.B2(\fifo_buffer[175] [4]),
-	.C1(n_4535),
-	.Y(n_5226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199057 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[168] [7]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[169] [7]),
-	.C1(n_4391),
-	.Y(n_5225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199058 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[166] [4]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[167] [4]),
-	.C1(n_4392),
-	.Y(n_5224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199059 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[184] [6]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[185] [6]),
-	.C1(n_4389),
-	.Y(n_5223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199060 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[28] [4]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[29] [4]),
-	.C1(n_4385),
-	.Y(n_5222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199061 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[78] [8]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[79] [8]),
-	.C1(n_4381),
-	.Y(n_5221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199062 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[18] [4]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[19] [4]),
-	.C1(n_4382),
-	.Y(n_5220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199063 (
-	.A1(FE_OFN1191_n_4186),
-	.A2(\fifo_buffer[185] [4]),
-	.B1(FE_OFN1177_n_3945),
-	.B2(\fifo_buffer[184] [4]),
-	.C1(n_4378),
-	.Y(n_5219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199064 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[144] [6]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[145] [6]),
-	.C1(n_4377),
-	.Y(n_5218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199065 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[16] [4]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[17] [4]),
-	.C1(n_4373),
-	.Y(n_5217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199066 (
-	.A1(FE_OFN1191_n_4186),
-	.A2(\fifo_buffer[145] [7]),
-	.B1(FE_OFN1174_n_3945),
-	.B2(\fifo_buffer[144] [7]),
-	.C1(n_4368),
-	.Y(n_5216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199067 (
-	.A1(FE_OFN1190_n_4186),
-	.A2(\fifo_buffer[169] [4]),
-	.B1(FE_OFN1175_n_3945),
-	.B2(\fifo_buffer[168] [4]),
-	.C1(n_4367),
-	.Y(n_5215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199068 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[72] [6]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[73] [6]),
-	.C1(n_4366),
-	.Y(n_5214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g199070 (
-	.A(n_4648),
-	.B(n_4357),
-	.C(\fifo_buffer[33] [0]),
-	.Y(n_5212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g199071 (
-	.A(n_4354),
-	.B(n_4358),
-	.C(n_4413),
-	.Y(n_5211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199072 (
-	.A1(n_4645),
-	.A2(\fifo_buffer[3] [0]),
-	.B1(n_4638),
-	.B2(\fifo_buffer[131] [0]),
-	.Y(n_5210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199073 (
-	.A1(FE_OFN1205_n_4635),
-	.A2(\fifo_buffer[71] [0]),
-	.B1(FE_OFN1204_n_4632),
-	.B2(\fifo_buffer[199] [0]),
-	.Y(n_5209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199074 (
-	.A1(n_4645),
-	.A2(\fifo_buffer[67] [0]),
-	.B1(n_4638),
-	.B2(\fifo_buffer[195] [0]),
-	.Y(n_5208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199075 (
-	.A1(n_4642),
-	.A2(\fifo_buffer[53] [0]),
-	.B1(n_4640),
-	.B2(\fifo_buffer[181] [0]),
-	.Y(n_5207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199076 (
-	.A1(n_4646),
-	.A2(\fifo_buffer[81] [0]),
-	.B1(n_4641),
-	.B2(\fifo_buffer[209] [0]),
-	.Y(n_5206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199077 (
-	.A1(n_4644),
-	.A2(\fifo_buffer[42] [0]),
-	.B1(n_4634),
-	.B2(\fifo_buffer[170] [0]),
-	.Y(n_5205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199078 (
-	.A1(n_4633),
-	.A2(\fifo_buffer[76] [0]),
-	.B1(n_4631),
-	.B2(\fifo_buffer[204] [0]),
-	.Y(n_5204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199079 (
-	.A1(n_4644),
-	.A2(\fifo_buffer[75] [0]),
-	.B1(n_4634),
-	.B2(\fifo_buffer[203] [0]),
-	.X(n_5203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199080 (
-	.A1(n_4644),
-	.A2(\fifo_buffer[122] [0]),
-	.B1(n_4634),
-	.B2(\fifo_buffer[250] [0]),
-	.Y(n_5202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199081 (
-	.A1(n_4644),
-	.A2(\fifo_buffer[11] [0]),
-	.B1(n_4634),
-	.B2(\fifo_buffer[139] [0]),
-	.Y(n_5201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199082 (
-	.A1(n_4643),
-	.A2(\fifo_buffer[25] [0]),
-	.B1(n_4637),
-	.B2(\fifo_buffer[153] [0]),
-	.Y(n_5200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199083 (
-	.A1(n_4644),
-	.A2(\fifo_buffer[106] [0]),
-	.B1(n_4634),
-	.B2(\fifo_buffer[234] [0]),
-	.Y(n_5199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199084 (
-	.A1(n_4643),
-	.A2(\fifo_buffer[89] [0]),
-	.B1(n_4637),
-	.B2(\fifo_buffer[217] [0]),
-	.Y(n_5198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199085 (
-	.A1(n_4643),
-	.A2(\fifo_buffer[9] [0]),
-	.B1(n_4637),
-	.B2(\fifo_buffer[137] [0]),
-	.Y(n_5197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199086 (
-	.A1(n_4643),
-	.A2(\fifo_buffer[120] [0]),
-	.B1(n_4637),
-	.B2(\fifo_buffer[248] [0]),
-	.Y(n_5196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199087 (
-	.A1(n_4643),
-	.A2(\fifo_buffer[73] [0]),
-	.B1(n_4637),
-	.B2(\fifo_buffer[201] [0]),
-	.Y(n_5195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199088 (
-	.A1(n_4645),
-	.A2(\fifo_buffer[83] [0]),
-	.B1(n_4638),
-	.B2(\fifo_buffer[211] [0]),
-	.Y(n_5194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199089 (
-	.A1(n_4645),
-	.A2(\fifo_buffer[19] [0]),
-	.B1(n_4638),
-	.B2(\fifo_buffer[147] [0]),
-	.Y(n_5193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199090 (
-	.A1(n_4643),
-	.A2(\fifo_buffer[104] [0]),
-	.B1(n_4637),
-	.B2(\fifo_buffer[232] [0]),
-	.Y(n_5192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199091 (
-	.A1(n_4643),
-	.A2(\fifo_buffer[56] [0]),
-	.B1(n_4637),
-	.B2(\fifo_buffer[184] [0]),
-	.Y(n_5191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199092 (
-	.A1(n_4643),
-	.A2(\fifo_buffer[40] [0]),
-	.B1(n_4637),
-	.B2(\fifo_buffer[168] [0]),
-	.Y(n_5190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199093 (
-	.A1(n_4644),
-	.A2(\fifo_buffer[58] [0]),
-	.B1(n_4634),
-	.B2(\fifo_buffer[186] [0]),
-	.Y(n_5189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199094 (
-	.A1(FE_OFN1205_n_4635),
-	.A2(\fifo_buffer[55] [0]),
-	.B1(FE_OFN1204_n_4632),
-	.B2(\fifo_buffer[183] [0]),
-	.Y(n_5188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199095 (
-	.A1(n_4633),
-	.A2(\fifo_buffer[60] [0]),
-	.B1(n_4631),
-	.B2(\fifo_buffer[188] [0]),
-	.Y(n_5187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199096 (
-	.A1(n_4633),
-	.A2(\fifo_buffer[28] [0]),
-	.B1(n_4631),
-	.B2(\fifo_buffer[156] [0]),
-	.Y(n_5186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199097 (
-	.A1(n_4633),
-	.A2(\fifo_buffer[108] [0]),
-	.B1(n_4631),
-	.B2(\fifo_buffer[236] [0]),
-	.Y(n_5185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199098 (
-	.A1(n_4633),
-	.A2(\fifo_buffer[92] [0]),
-	.B1(n_4631),
-	.B2(\fifo_buffer[220] [0]),
-	.Y(n_5184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199099 (
-	.A1(FE_OFN1205_n_4635),
-	.A2(\fifo_buffer[86] [0]),
-	.B1(FE_OFN1204_n_4632),
-	.B2(\fifo_buffer[214] [0]),
-	.Y(n_5183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199100 (
-	.A1(n_4660),
-	.A2(\fifo_buffer[4] [0]),
-	.B1(n_4659),
-	.B2(\fifo_buffer[132] [0]),
-	.Y(n_5182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199101 (
-	.A1(FE_OFN1205_n_4635),
-	.A2(\fifo_buffer[54] [0]),
-	.B1(FE_OFN1204_n_4632),
-	.B2(\fifo_buffer[182] [0]),
-	.Y(n_5181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199102 (
-	.A1(FE_OFN1205_n_4635),
-	.A2(\fifo_buffer[22] [0]),
-	.B1(FE_OFN1204_n_4632),
-	.B2(\fifo_buffer[150] [0]),
-	.Y(n_5180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199103 (
-	.A1(FE_OFN1205_n_4635),
-	.A2(\fifo_buffer[102] [0]),
-	.B1(FE_OFN1204_n_4632),
-	.B2(\fifo_buffer[230] [0]),
-	.Y(n_5179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199104 (
-	.A1(n_4642),
-	.A2(\fifo_buffer[85] [0]),
-	.B1(n_4640),
-	.B2(\fifo_buffer[213] [0]),
-	.Y(n_5178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199105 (
-	.A1(n_4642),
-	.A2(\fifo_buffer[101] [0]),
-	.B1(n_4640),
-	.B2(\fifo_buffer[229] [0]),
-	.Y(n_5177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199106 (
-	.A1(n_4642),
-	.A2(\fifo_buffer[21] [0]),
-	.B1(n_4640),
-	.B2(\fifo_buffer[149] [0]),
-	.Y(n_5176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199107 (
-	.A1(FE_OFN1205_n_4635),
-	.A2(\fifo_buffer[39] [0]),
-	.B1(FE_OFN1204_n_4632),
-	.B2(\fifo_buffer[167] [0]),
-	.Y(n_5175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199108 (
-	.A1(FE_OFN1205_n_4635),
-	.A2(\fifo_buffer[118] [0]),
-	.B1(FE_OFN1204_n_4632),
-	.B2(\fifo_buffer[246] [0]),
-	.Y(n_5174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199109 (
-	.A1(FE_OFN1205_n_4635),
-	.A2(\fifo_buffer[7] [0]),
-	.B1(FE_OFN1204_n_4632),
-	.B2(\fifo_buffer[135] [0]),
-	.Y(n_5173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199110 (
-	.A1(FE_OFN1205_n_4635),
-	.A2(\fifo_buffer[70] [0]),
-	.B1(FE_OFN1204_n_4632),
-	.B2(\fifo_buffer[198] [0]),
-	.Y(n_5172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199111 (
-	.A1(FE_OFN1205_n_4635),
-	.A2(\fifo_buffer[38] [0]),
-	.B1(FE_OFN1204_n_4632),
-	.B2(\fifo_buffer[166] [0]),
-	.Y(n_5171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199112 (
-	.A1(n_4642),
-	.A2(\fifo_buffer[117] [0]),
-	.B1(n_4640),
-	.B2(\fifo_buffer[245] [0]),
-	.Y(n_5170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199113 (
-	.A1(n_4635),
-	.A2(\fifo_buffer[6] [0]),
-	.B1(n_4632),
-	.B2(\fifo_buffer[134] [0]),
-	.Y(n_5169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199114 (
-	.A1(FE_OFN1205_n_4635),
-	.A2(\fifo_buffer[87] [0]),
-	.B1(FE_OFN1204_n_4632),
-	.B2(\fifo_buffer[215] [0]),
-	.Y(n_5168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199115 (
-	.A1(FE_OFN1205_n_4635),
-	.A2(\fifo_buffer[23] [0]),
-	.B1(FE_OFN1204_n_4632),
-	.B2(\fifo_buffer[151] [0]),
-	.Y(n_5167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199116 (
-	.A1(n_4633),
-	.A2(\fifo_buffer[124] [0]),
-	.B1(n_4631),
-	.B2(\fifo_buffer[252] [0]),
-	.Y(n_5166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199117 (
-	.A1(n_4633),
-	.A2(\fifo_buffer[45] [0]),
-	.B1(n_4631),
-	.B2(\fifo_buffer[173] [0]),
-	.Y(n_5165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199118 (
-	.A1(n_4633),
-	.A2(\fifo_buffer[77] [0]),
-	.B1(n_4631),
-	.B2(\fifo_buffer[205] [0]),
-	.Y(n_5164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199119 (
-	.A1(n_4633),
-	.A2(\fifo_buffer[13] [0]),
-	.B1(n_4631),
-	.B2(\fifo_buffer[141] [0]),
-	.Y(n_5163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199120 (
-	.A1(n_4645),
-	.A2(\fifo_buffer[34] [0]),
-	.B1(n_4638),
-	.B2(\fifo_buffer[162] [0]),
-	.X(n_5162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199121 (
-	.A1(n_4645),
-	.A2(\fifo_buffer[98] [0]),
-	.B1(n_4638),
-	.B2(\fifo_buffer[226] [0]),
-	.Y(n_5161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199122 (
-	.A1(n_4645),
-	.A2(\fifo_buffer[50] [0]),
-	.B1(n_4638),
-	.B2(\fifo_buffer[178] [0]),
-	.Y(n_5160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199123 (
-	.A1(FE_OFN1207_n_4639),
-	.A2(\fifo_buffer[31] [0]),
-	.B1(FE_OFN1206_n_4636),
-	.B2(\fifo_buffer[159] [0]),
-	.Y(n_5159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199124 (
-	.A1(n_4646),
-	.A2(\fifo_buffer[17] [0]),
-	.B1(n_4641),
-	.B2(\fifo_buffer[145] [0]),
-	.Y(n_5158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199125 (
-	.A1(FE_OFN1207_n_4639),
-	.A2(\fifo_buffer[111] [0]),
-	.B1(FE_OFN1206_n_4636),
-	.B2(\fifo_buffer[239] [0]),
-	.X(n_5157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199126 (
-	.A1(n_4645),
-	.A2(\fifo_buffer[114] [0]),
-	.B1(n_4638),
-	.B2(\fifo_buffer[242] [0]),
-	.Y(n_5156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199127 (
-	.A1(n_4646),
-	.A2(\fifo_buffer[112] [0]),
-	.B1(n_4641),
-	.B2(\fifo_buffer[240] [0]),
-	.Y(n_5155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199128 (
-	.A1(n_4646),
-	.A2(\fifo_buffer[65] [0]),
-	.B1(n_4641),
-	.B2(\fifo_buffer[193] [0]),
-	.Y(n_5154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199129 (
-	.A1(n_4646),
-	.A2(\fifo_buffer[48] [0]),
-	.B1(n_4641),
-	.B2(\fifo_buffer[176] [0]),
-	.Y(n_5153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199130 (
-	.A1(n_4646),
-	.A2(\fifo_buffer[96] [0]),
-	.B1(n_4641),
-	.B2(\fifo_buffer[224] [0]),
-	.Y(n_5152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199131 (
-	.A1(n_4633),
-	.A2(\fifo_buffer[61] [0]),
-	.B1(n_4631),
-	.B2(\fifo_buffer[189] [0]),
-	.Y(n_5151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199132 (
-	.A1(n_4642),
-	.A2(\fifo_buffer[52] [0]),
-	.B1(n_4640),
-	.B2(\fifo_buffer[180] [0]),
-	.Y(n_5150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199133 (
-	.A1(n_4642),
-	.A2(\fifo_buffer[100] [0]),
-	.B1(n_4640),
-	.B2(\fifo_buffer[228] [0]),
-	.Y(n_5149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199134 (
-	.A1(n_4642),
-	.A2(\fifo_buffer[20] [0]),
-	.B1(n_4640),
-	.B2(\fifo_buffer[148] [0]),
-	.Y(n_5148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199135 (
-	.A1(n_4642),
-	.A2(\fifo_buffer[84] [0]),
-	.B1(n_4640),
-	.B2(\fifo_buffer[212] [0]),
-	.Y(n_5147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199136 (
-	.A1(n_4642),
-	.A2(\fifo_buffer[69] [0]),
-	.B1(n_4640),
-	.B2(\fifo_buffer[197] [0]),
-	.Y(n_5146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199137 (
-	.A1(n_4660),
-	.A2(\fifo_buffer[37] [0]),
-	.B1(n_4659),
-	.B2(\fifo_buffer[165] [0]),
-	.Y(n_5145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199138 (
-	.A1(n_4642),
-	.A2(\fifo_buffer[116] [0]),
-	.B1(n_4640),
-	.B2(\fifo_buffer[244] [0]),
-	.Y(n_5144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199139 (
-	.A1(n_4660),
-	.A2(\fifo_buffer[5] [0]),
-	.B1(n_4659),
-	.B2(\fifo_buffer[133] [0]),
-	.Y(n_5143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199140 (
-	.A1(n_4644),
-	.A2(\fifo_buffer[27] [0]),
-	.B1(n_4634),
-	.B2(\fifo_buffer[155] [0]),
-	.Y(n_5142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199141 (
-	.A1(n_4644),
-	.A2(\fifo_buffer[91] [0]),
-	.B1(n_4634),
-	.B2(\fifo_buffer[219] [0]),
-	.Y(n_5141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199142 (
-	.A1(n_4660),
-	.A2(\fifo_buffer[36] [0]),
-	.B1(n_4659),
-	.B2(\fifo_buffer[164] [0]),
-	.Y(n_5140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199143 (
-	.A1(n_4642),
-	.A2(\fifo_buffer[68] [0]),
-	.B1(n_4640),
-	.B2(\fifo_buffer[196] [0]),
-	.Y(n_5139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199144 (
-	.A1(FE_OFN1205_n_4635),
-	.A2(\fifo_buffer[119] [0]),
-	.B1(FE_OFN1204_n_4632),
-	.B2(\fifo_buffer[247] [0]),
-	.Y(n_5138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199145 (
-	.A1(n_4633),
-	.A2(\fifo_buffer[44] [0]),
-	.B1(n_4631),
-	.B2(\fifo_buffer[172] [0]),
-	.Y(n_5137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199146 (
-	.A1(n_4633),
-	.A2(\fifo_buffer[12] [0]),
-	.B1(n_4631),
-	.B2(\fifo_buffer[140] [0]),
-	.Y(n_5136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199147 (
-	.A1(n_4633),
-	.A2(\fifo_buffer[109] [0]),
-	.B1(n_4631),
-	.B2(\fifo_buffer[237] [0]),
-	.Y(n_5135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199148 (
-	.A1(n_4633),
-	.A2(\fifo_buffer[29] [0]),
-	.B1(n_4631),
-	.B2(\fifo_buffer[157] [0]),
-	.Y(n_5134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199149 (
-	.A1(n_4633),
-	.A2(\fifo_buffer[93] [0]),
-	.B1(n_4631),
-	.B2(\fifo_buffer[221] [0]),
-	.Y(n_5133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199150 (
-	.A1(n_4633),
-	.A2(\fifo_buffer[125] [0]),
-	.B1(n_4631),
-	.B2(\fifo_buffer[253] [0]),
-	.Y(n_5132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199151 (
-	.A1(FE_OFN1207_n_4639),
-	.A2(\fifo_buffer[78] [0]),
-	.B1(FE_OFN1206_n_4636),
-	.B2(\fifo_buffer[206] [0]),
-	.Y(n_5131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199152 (
-	.A1(FE_OFN1207_n_4639),
-	.A2(\fifo_buffer[14] [0]),
-	.B1(FE_OFN1206_n_4636),
-	.B2(\fifo_buffer[142] [0]),
-	.Y(n_5130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199153 (
-	.A1(FE_OFN1207_n_4639),
-	.A2(\fifo_buffer[126] [0]),
-	.B1(FE_OFN1206_n_4636),
-	.B2(\fifo_buffer[254] [0]),
-	.Y(n_5129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199154 (
-	.A1(FE_OFN1207_n_4639),
-	.A2(\fifo_buffer[79] [0]),
-	.B1(FE_OFN1206_n_4636),
-	.B2(\fifo_buffer[207] [0]),
-	.Y(n_5128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199155 (
-	.A1(FE_OFN1207_n_4639),
-	.A2(\fifo_buffer[47] [0]),
-	.B1(FE_OFN1206_n_4636),
-	.B2(\fifo_buffer[175] [0]),
-	.Y(n_5127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199156 (
-	.A1(FE_OFN1207_n_4639),
-	.A2(\fifo_buffer[15] [0]),
-	.B1(FE_OFN1206_n_4636),
-	.B2(\fifo_buffer[143] [0]),
-	.Y(n_5126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199157 (
-	.A1(FE_OFN1207_n_4639),
-	.A2(\fifo_buffer[62] [0]),
-	.B1(FE_OFN1206_n_4636),
-	.B2(\fifo_buffer[190] [0]),
-	.Y(n_5125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199158 (
-	.A1(FE_OFN1207_n_4639),
-	.A2(\fifo_buffer[110] [0]),
-	.B1(FE_OFN1206_n_4636),
-	.B2(\fifo_buffer[238] [0]),
-	.Y(n_5124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199159 (
-	.A1(FE_OFN1207_n_4639),
-	.A2(\fifo_buffer[94] [0]),
-	.B1(FE_OFN1206_n_4636),
-	.B2(\fifo_buffer[222] [0]),
-	.Y(n_5123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199160 (
-	.A1(FE_OFN1207_n_4639),
-	.A2(\fifo_buffer[30] [0]),
-	.B1(FE_OFN1206_n_4636),
-	.B2(\fifo_buffer[158] [0]),
-	.Y(n_5122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199161 (
-	.A1(FE_OFN1207_n_4639),
-	.A2(\fifo_buffer[46] [0]),
-	.B1(FE_OFN1206_n_4636),
-	.B2(\fifo_buffer[174] [0]),
-	.Y(n_5121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199162 (
-	.A1(FE_OFN1180_n_3994),
-	.A2(\fifo_buffer[57] [7]),
-	.B1(FE_OFN1199_n_4229),
-	.B2(\fifo_buffer[56] [7]),
-	.C1(n_4548),
-	.Y(n_5120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199164 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[178] [6]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[179] [6]),
-	.C1(n_4404),
-	.Y(n_5112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199165 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[120] [3]),
-	.B1(FE_OFN1418_n_4335),
-	.B2(\fifo_buffer[121] [3]),
-	.Y(n_5111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199166 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[127] [7]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[254] [7]),
-	.Y(n_5110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199167 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[77] [6]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[204] [6]),
-	.Y(n_5109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199168 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[76] [6]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[205] [6]),
-	.Y(n_5108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199169 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[67] [3]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[194] [3]),
-	.Y(n_5107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199170 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[98] [3]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[99] [3]),
-	.Y(n_5106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199171 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[66] [3]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[195] [3]),
-	.Y(n_5105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199172 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[128] [4]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[129] [4]),
-	.C1(n_4592),
-	.Y(n_5104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199173 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[192] [6]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[193] [6]),
-	.C1(n_4589),
-	.Y(n_5103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199174 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[148] [3]),
-	.B1(FE_OFN1194_n_4186),
-	.B2(\fifo_buffer[149] [3]),
-	.C1(n_4586),
-	.Y(n_5102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199175 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[142] [4]),
-	.B1(FE_OFN1194_n_4186),
-	.B2(\fifo_buffer[143] [4]),
-	.C1(n_4394),
-	.Y(n_5101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199176 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[172] [3]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[173] [3]),
-	.C1(n_4584),
-	.Y(n_5100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199177 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[128] [7]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[129] [7]),
-	.C1(n_4580),
-	.Y(n_5099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199178 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[158] [6]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[159] [6]),
-	.C1(n_4576),
-	.Y(n_5098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199179 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[132] [3]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[133] [3]),
-	.C1(n_4577),
-	.Y(n_5097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199180 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[166] [8]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[167] [8]),
-	.C1(n_4573),
-	.Y(n_5096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199181 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[156] [3]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[157] [3]),
-	.C1(n_4572),
-	.Y(n_5095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199182 (
-	.A1(FE_OFN1178_n_3945),
-	.A2(\fifo_buffer[182] [4]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[183] [4]),
-	.C1(n_4418),
-	.Y(n_5094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199183 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[174] [6]),
-	.B1(FE_OFN1194_n_4186),
-	.B2(\fifo_buffer[175] [6]),
-	.C1(n_4570),
-	.Y(n_5093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199184 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[158] [3]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[159] [3]),
-	.C1(n_4567),
-	.Y(n_5092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199185 (
-	.A1(FE_OFN1178_n_3945),
-	.A2(\fifo_buffer[182] [7]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[183] [7]),
-	.C1(n_4563),
-	.Y(n_5091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199186 (
-	.A1(FE_OFN1178_n_3945),
-	.A2(\fifo_buffer[150] [3]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[151] [3]),
-	.C1(n_4562),
-	.Y(n_5090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199187 (
-	.A1(FE_OFN1178_n_3945),
-	.A2(\fifo_buffer[182] [6]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[183] [6]),
-	.C1(n_4561),
-	.Y(n_5089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199188 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[252] [8]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[253] [8]),
-	.C1(n_4554),
-	.Y(n_5088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199189 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[142] [3]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[143] [3]),
-	.C1(n_4557),
-	.Y(n_5087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199190 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[134] [3]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[135] [3]),
-	.C1(n_4555),
-	.Y(n_5086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199191 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[134] [6]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[135] [6]),
-	.C1(n_4550),
-	.Y(n_5085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199192 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[218] [3]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[219] [3]),
-	.C1(n_4551),
-	.Y(n_5084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199193 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[146] [3]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[147] [3]),
-	.C1(n_4545),
-	.Y(n_5083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199194 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[142] [7]),
-	.B1(FE_OFN1194_n_4186),
-	.B2(\fifo_buffer[143] [7]),
-	.C1(n_4542),
-	.Y(n_5082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199195 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[170] [3]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[171] [3]),
-	.C1(n_4543),
-	.Y(n_5081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199196 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[156] [6]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[157] [6]),
-	.C1(n_4541),
-	.Y(n_5080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199197 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[178] [8]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[179] [8]),
-	.C1(n_4537),
-	.Y(n_5079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199198 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[162] [3]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[163] [3]),
-	.C1(n_4539),
-	.Y(n_5078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199199 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[140] [6]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[141] [6]),
-	.C1(n_4532),
-	.Y(n_5077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199200 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[152] [3]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[153] [3]),
-	.C1(n_4531),
-	.Y(n_5076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199201 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[222] [7]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[223] [7]),
-	.C1(n_4528),
-	.Y(n_5075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199202 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[168] [3]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[169] [3]),
-	.C1(n_4529),
-	.Y(n_5074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199203 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[180] [6]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[181] [6]),
-	.C1(n_4524),
-	.Y(n_5073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199204 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[144] [3]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[145] [3]),
-	.C1(n_4519),
-	.Y(n_5072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199205 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[128] [3]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[129] [3]),
-	.C1(n_4517),
-	.Y(n_5071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199206 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[164] [6]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[165] [6]),
-	.C1(n_4514),
-	.Y(n_5070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199207 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[222] [2]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[223] [2]),
-	.C1(n_4513),
-	.Y(n_5069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199208 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[166] [7]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[167] [7]),
-	.C1(n_4597),
-	.Y(n_5068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199209 (
-	.A1(FE_OFN1178_n_3945),
-	.A2(\fifo_buffer[182] [2]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[183] [2]),
-	.C1(n_4596),
-	.Y(n_5067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199210 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[130] [5]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[131] [5]),
-	.C1(n_4602),
-	.Y(n_5066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199211 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[174] [2]),
-	.B1(FE_OFN1194_n_4186),
-	.B2(\fifo_buffer[175] [2]),
-	.C1(n_4601),
-	.Y(n_5065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199212 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[166] [2]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[167] [2]),
-	.C1(n_4605),
-	.Y(n_5064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199213 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[218] [5]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[219] [5]),
-	.C1(n_4607),
-	.Y(n_5063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199214 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[172] [2]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[173] [2]),
-	.C1(n_4608),
-	.Y(n_5062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199215 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[140] [8]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[141] [8]),
-	.C1(n_4590),
-	.Y(n_5061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199216 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[212] [2]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[213] [2]),
-	.C1(n_4611),
-	.Y(n_5060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199217 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[180] [5]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[181] [5]),
-	.C1(n_4614),
-	.Y(n_5059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199218 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[140] [7]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[141] [7]),
-	.C1(n_4618),
-	.Y(n_5058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199219 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[188] [2]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[189] [2]),
-	.C1(n_4615),
-	.Y(n_5057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199220 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[164] [8]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[165] [8]),
-	.C1(n_4621),
-	.Y(n_5056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199221 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[196] [2]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[197] [2]),
-	.C1(n_4619),
-	.Y(n_5055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199222 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[202] [5]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[203] [5]),
-	.C1(n_4630),
-	.Y(n_5054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199223 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[170] [8]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[171] [8]),
-	.C1(n_4499),
-	.Y(n_5053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199224 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[218] [2]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[219] [2]),
-	.C1(n_4438),
-	.Y(n_5052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199225 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[180] [7]),
-	.B1(FE_OFN1194_n_4186),
-	.B2(\fifo_buffer[181] [7]),
-	.C1(n_4508),
-	.Y(n_5051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199226 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[178] [2]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[179] [2]),
-	.C1(n_4509),
-	.Y(n_5050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199227 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[172] [5]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[173] [5]),
-	.C1(n_4506),
-	.Y(n_5049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199228 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[202] [2]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[203] [2]),
-	.C1(n_4505),
-	.Y(n_5048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199229 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[162] [2]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[163] [2]),
-	.C1(n_4501),
-	.Y(n_5047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199230 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[132] [5]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[133] [5]),
-	.C1(n_4493),
-	.Y(n_5046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199231 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[208] [2]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[209] [2]),
-	.C1(n_4495),
-	.Y(n_5045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199232 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[168] [2]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[169] [2]),
-	.C1(n_4490),
-	.Y(n_5044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199233 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[152] [8]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[153] [8]),
-	.C1(n_4486),
-	.Y(n_5043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199234 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[156] [7]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[157] [7]),
-	.C1(n_4484),
-	.Y(n_5042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199235 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[190] [5]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[191] [5]),
-	.C1(n_4487),
-	.Y(n_5041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199236 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[216] [2]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[217] [2]),
-	.C1(n_4485),
-	.Y(n_5040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199237 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[192] [2]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[193] [2]),
-	.C1(n_4481),
-	.Y(n_5039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199238 (
-	.A1(FE_OFN1178_n_3945),
-	.A2(\fifo_buffer[182] [5]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[183] [5]),
-	.C1(n_4399),
-	.Y(n_5038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199239 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[164] [1]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[165] [1]),
-	.C1(n_4480),
-	.Y(n_5037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199240 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[172] [1]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[173] [1]),
-	.C1(n_4369),
-	.Y(n_5036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199241 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[132] [7]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[133] [7]),
-	.C1(n_4474),
-	.Y(n_5035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199242 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[174] [5]),
-	.B1(FE_OFN1194_n_4186),
-	.B2(\fifo_buffer[175] [5]),
-	.C1(n_4475),
-	.Y(n_5034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199243 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[154] [1]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[155] [1]),
-	.C1(n_4471),
-	.Y(n_5033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199244 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[162] [1]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[163] [1]),
-	.C1(n_4470),
-	.Y(n_5032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199245 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[198] [5]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[199] [5]),
-	.C1(n_4467),
-	.Y(n_5031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199246 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[170] [1]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[171] [1]),
-	.C1(n_4465),
-	.Y(n_5030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199247 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[144] [8]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[145] [8]),
-	.C1(n_4460),
-	.Y(n_5029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199248 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[180] [1]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[181] [1]),
-	.C1(n_4496),
-	.Y(n_5028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199249 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[210] [5]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[211] [5]),
-	.C1(n_4459),
-	.Y(n_5027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199250 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[138] [7]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[139] [7]),
-	.C1(n_4455),
-	.Y(n_5026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199251 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[184] [1]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[185] [1]),
-	.C1(n_4458),
-	.Y(n_5025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199252 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[144] [1]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[145] [1]),
-	.C1(n_4454),
-	.Y(n_5024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199253 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[190] [8]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[191] [8]),
-	.C1(n_4448),
-	.Y(n_5023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199254 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[188] [5]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[189] [5]),
-	.C1(n_4453),
-	.Y(n_5022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199255 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[128] [1]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[129] [1]),
-	.C1(n_4450),
-	.Y(n_5021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199256 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[162] [7]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[163] [7]),
-	.C1(n_4447),
-	.Y(n_5020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199257 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[168] [1]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[169] [1]),
-	.C1(n_4449),
-	.Y(n_5019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199258 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[178] [1]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[179] [1]),
-	.C1(n_4443),
-	.Y(n_5018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199259 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[152] [5]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[153] [5]),
-	.C1(n_4441),
-	.Y(n_5017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199260 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[188] [1]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[189] [1]),
-	.C1(n_4439),
-	.Y(n_5016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199261 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[168] [8]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[169] [8]),
-	.C1(n_4436),
-	.Y(n_5015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199262 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[144] [5]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[145] [5]),
-	.C1(n_4434),
-	.Y(n_5014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199263 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[174] [1]),
-	.B1(FE_OFN1194_n_4186),
-	.B2(\fifo_buffer[175] [1]),
-	.C1(n_4435),
-	.Y(n_5013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199264 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[218] [7]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[219] [7]),
-	.C1(n_4430),
-	.Y(n_5012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199265 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[198] [1]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[199] [1]),
-	.C1(n_4431),
-	.Y(n_5011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199266 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[168] [5]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[169] [5]),
-	.C1(n_4427),
-	.Y(n_5010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199267 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[222] [1]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[223] [1]),
-	.C1(n_4426),
-	.Y(n_5009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199268 (
-	.A1(FE_OFN1178_n_3945),
-	.A2(\fifo_buffer[182] [1]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[183] [1]),
-	.C1(n_4422),
-	.Y(n_5008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199269 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[128] [5]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[129] [5]),
-	.C1(n_4420),
-	.Y(n_5007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199270 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[178] [7]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[179] [7]),
-	.C1(n_4419),
-	.Y(n_5006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199271 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[186] [4]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[187] [4]),
-	.C1(n_4445),
-	.Y(n_5005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199272 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[160] [8]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[161] [8]),
-	.C1(n_4414),
-	.Y(n_5004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199273 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[162] [4]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[163] [4]),
-	.C1(n_4415),
-	.Y(n_5003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199274 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[162] [6]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[163] [6]),
-	.C1(n_4412),
-	.Y(n_5002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199275 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[170] [4]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[171] [4]),
-	.C1(n_4407),
-	.Y(n_5001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199276 (
-	.A1(FE_OFN1178_n_3945),
-	.A2(\fifo_buffer[182] [8]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[183] [8]),
-	.C1(n_4491),
-	.Y(n_5000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199277 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[180] [4]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[181] [4]),
-	.C1(n_4585),
-	.Y(n_4999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199278 (
-	.A(n_4662),
-	.B(\fifo_buffer[129] [0]),
-	.Y(n_4998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199279 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[164] [4]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[165] [4]),
-	.C1(n_4534),
-	.Y(n_4997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199280 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[212] [8]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[213] [8]),
-	.C1(n_4398),
-	.Y(n_4996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199281 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[186] [8]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[187] [8]),
-	.C1(n_4406),
-	.Y(n_4995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199282 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[140] [4]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[141] [4]),
-	.C1(n_4604),
-	.Y(n_4994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199283 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[152] [7]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[153] [7]),
-	.C1(n_4397),
-	.Y(n_4993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199284 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[138] [6]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[139] [6]),
-	.C1(n_4525),
-	.Y(n_4992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199285 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[158] [4]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[159] [4]),
-	.C1(n_4396),
-	.Y(n_4991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199286 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[186] [6]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[187] [6]),
-	.C1(n_4405),
-	.Y(n_4990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199287 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[162] [8]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[163] [8]),
-	.C1(n_4380),
-	.Y(n_4989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199288 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[134] [4]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[135] [4]),
-	.C1(n_4390),
-	.Y(n_4988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199289 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[136] [7]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[137] [7]),
-	.C1(n_4386),
-	.Y(n_4987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199290 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[188] [4]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[189] [4]),
-	.C1(n_4388),
-	.Y(n_4986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199291 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[152] [6]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[153] [6]),
-	.C1(n_4384),
-	.Y(n_4985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199292 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[178] [4]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[179] [4]),
-	.C1(n_4383),
-	.Y(n_4984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199293 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[176] [6]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[177] [6]),
-	.C1(n_4379),
-	.Y(n_4983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199294 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[142] [8]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[143] [8]),
-	.C1(n_4371),
-	.Y(n_4982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199295 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[152] [4]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[153] [4]),
-	.C1(n_4376),
-	.Y(n_4981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199296 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[176] [7]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[177] [7]),
-	.C1(n_4374),
-	.Y(n_4980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199297 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[176] [4]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[177] [4]),
-	.C1(n_4375),
-	.Y(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199298 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[168] [6]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[169] [6]),
-	.C1(n_4370),
-	.Y(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g199299 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[136] [4]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[137] [4]),
-	.C1(n_4365),
-	.Y(n_4977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g199300 (
-	.A(FE_OFN1206_n_4636),
-	.B(n_4349),
-	.C(\fifo_buffer[223] [0]),
-	.Y(n_4976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g199301 (
-	.A1(\fifo_buffer[43] [0]),
-	.A2(n_4289),
-	.B1(n_4089),
-	.B2(\fifo_buffer[41] [0]),
-	.C1(n_4086),
-	.C2(\fifo_buffer[35] [0]),
-	.Y(n_4975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g199302 (
-	.A(n_4634),
-	.B(n_4331),
-	.C(\fifo_buffer[202] [0]),
-	.Y(n_4974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g199303 (
-	.A(FE_OFN1206_n_4636),
-	.B(n_4347),
-	.C(\fifo_buffer[255] [0]),
-	.Y(n_4973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g199304 (
-	.A(n_4634),
-	.B(n_4360),
-	.C(\fifo_buffer[171] [0]),
-	.Y(n_4972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g199305 (
-	.A(n_4637),
-	.B(n_4317),
-	.C(\fifo_buffer[185] [0]),
-	.X(n_4971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g199306 (
-	.A(n_4638),
-	.B(n_4326),
-	.C(\fifo_buffer[227] [0]),
-	.Y(n_4970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g199307 (
-	.A(n_4637),
-	.B(n_4351),
-	.C(\fifo_buffer[216] [0]),
-	.X(n_4969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g199308 (
-	.A(n_4637),
-	.B(n_4331),
-	.C(\fifo_buffer[200] [0]),
-	.Y(n_4968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g199309 (
-	.A(n_4638),
-	.B(n_4347),
-	.C(\fifo_buffer[243] [0]),
-	.Y(n_4967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g199310 (
-	.A(n_4634),
-	.B(n_4329),
-	.C(\fifo_buffer[154] [0]),
-	.Y(n_4966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g199311 (
-	.A(n_4637),
-	.B(n_4347),
-	.C(\fifo_buffer[249] [0]),
-	.X(n_4965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g199312 (
-	.A(n_4638),
-	.B(n_4356),
-	.C(\fifo_buffer[130] [0]),
-	.Y(n_4964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g199313 (
-	.A(n_4638),
-	.B(n_4331),
-	.C(\fifo_buffer[194] [0]),
-	.Y(n_4963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g199314 (
-	.A(n_4638),
-	.B(n_4351),
-	.C(\fifo_buffer[210] [0]),
-	.Y(n_4962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g199315 (
-	.A(n_4641),
-	.B(n_4326),
-	.C(\fifo_buffer[225] [0]),
-	.Y(n_4961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g199316 (
-	.A1(\fifo_buffer[2] [0]),
-	.A2(n_4086),
-	.B1(n_4289),
-	.B2(\fifo_buffer[10] [0]),
-	.C1(n_4089),
-	.C2(\fifo_buffer[8] [0]),
-	.Y(n_4960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g199317 (
-	.A(n_4641),
-	.B(n_4331),
-	.C(\fifo_buffer[192] [0]),
-	.Y(n_4959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g199318 (
-	.A(FE_OFN1207_n_4639),
-	.B(n_4347),
-	.C(\fifo_buffer[127] [0]),
-	.X(n_4958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g199319 (
-	.A(n_4641),
-	.B(n_4351),
-	.C(\fifo_buffer[208] [0]),
-	.Y(n_4957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g199320 (
-	.A(n_4634),
-	.B(n_4326),
-	.C(\fifo_buffer[235] [0]),
-	.Y(n_4956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199321 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[71] [8]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[198] [8]),
-	.Y(n_4955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199322 (
-	.A1(n_4335),
-	.A2(\fifo_buffer[97] [6]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[224] [6]),
-	.Y(n_4954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199323 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[96] [4]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[97] [4]),
-	.Y(n_4953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199324 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[64] [4]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[193] [4]),
-	.Y(n_4952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199325 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[128] [6]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[129] [6]),
-	.Y(n_4951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199326 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[96] [6]),
-	.B1(FE_OFN1420_n_4336),
-	.B2(\fifo_buffer[225] [6]),
-	.Y(n_4950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199327 (
-	.A1(FE_OFN1561_n_4318),
-	.A2(\fifo_buffer[60] [8]),
-	.B1(FE_OFN1562_n_4319),
-	.B2(\fifo_buffer[189] [8]),
-	.Y(n_4949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199328 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[96] [7]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[97] [7]),
-	.Y(n_4948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199329 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[70] [8]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[199] [8]),
-	.Y(n_4947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199330 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[84] [3]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[213] [3]),
-	.Y(n_4946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199331 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[65] [7]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[192] [7]),
-	.Y(n_4945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199332 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[116] [3]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[117] [3]),
-	.Y(n_4944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199333 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[76] [3]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[205] [3]),
-	.Y(n_4943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199334 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[102] [8]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[103] [8]),
-	.Y(n_4942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199335 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[94] [6]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[223] [6]),
-	.Y(n_4941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199336 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[108] [3]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[109] [3]),
-	.Y(n_4940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199337 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[95] [6]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[222] [6]),
-	.Y(n_4939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199338 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[126] [6]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[255] [6]),
-	.Y(n_4938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199339 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[100] [3]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[101] [3]),
-	.Y(n_4937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199340 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[68] [3]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[197] [3]),
-	.Y(n_4936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199341 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[156] [8]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[157] [8]),
-	.Y(n_4935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199342 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[69] [3]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[196] [3]),
-	.Y(n_4934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199343 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[124] [3]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[125] [3]),
-	.Y(n_4933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199344 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[92] [3]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[221] [3]),
-	.Y(n_4932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199345 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[110] [6]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[111] [6]),
-	.Y(n_4931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199346 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[93] [3]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[220] [3]),
-	.Y(n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199347 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[94] [4]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[223] [4]),
-	.Y(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199348 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[78] [6]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[207] [6]),
-	.Y(n_4928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199349 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[79] [6]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[206] [6]),
-	.Y(n_4927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199350 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[87] [7]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[214] [7]),
-	.Y(n_4926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199351 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[86] [7]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[215] [7]),
-	.Y(n_4925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199352 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[94] [3]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[223] [3]),
-	.Y(n_4924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199353 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[95] [3]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[222] [3]),
-	.Y(n_4923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199354 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[118] [7]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[119] [7]),
-	.Y(n_4922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199355 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[118] [3]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[119] [3]),
-	.Y(n_4921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199356 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[87] [3]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[214] [3]),
-	.Y(n_4920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199357 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[74] [4]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[203] [4]),
-	.Y(n_4919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199358 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[118] [6]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[119] [6]),
-	.Y(n_4918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199359 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[83] [6]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[210] [6]),
-	.Y(n_4917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199360 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[86] [6]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[215] [6]),
-	.Y(n_4916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199361 (
-	.A1(FE_OFN1413_n_4315),
-	.A2(\fifo_buffer[47] [3]),
-	.B1(FE_OFN1414_n_4320),
-	.B2(\fifo_buffer[174] [3]),
-	.Y(n_4915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199362 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[110] [3]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[111] [3]),
-	.Y(n_4914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199363 (
-	.A1(FE_OFN1561_n_4318),
-	.A2(\fifo_buffer[46] [3]),
-	.B1(FE_OFN1562_n_4319),
-	.B2(\fifo_buffer[175] [3]),
-	.Y(n_4913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199364 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[70] [3]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[199] [3]),
-	.Y(n_4912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199365 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[102] [3]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[103] [3]),
-	.Y(n_4911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199366 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[71] [3]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[198] [3]),
-	.Y(n_4910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199367 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[114] [8]),
-	.B1(FE_OFN1420_n_4336),
-	.B2(\fifo_buffer[243] [8]),
-	.Y(n_4909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199368 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[110] [7]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[111] [7]),
-	.Y(n_4908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199369 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[70] [6]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[199] [6]),
-	.Y(n_4907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199370 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[102] [6]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[103] [6]),
-	.Y(n_4906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199371 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[78] [7]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[207] [7]),
-	.Y(n_4905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199372 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[122] [3]),
-	.B1(n_4336),
-	.B2(\fifo_buffer[251] [3]),
-	.Y(n_4904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199373 (
-	.A1(n_4335),
-	.A2(\fifo_buffer[115] [8]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[242] [8]),
-	.Y(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199374 (
-	.A1(n_4335),
-	.A2(\fifo_buffer[123] [3]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[250] [3]),
-	.Y(n_4902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199375 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[79] [7]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[206] [7]),
-	.Y(n_4901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199376 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[114] [3]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[115] [3]),
-	.Y(n_4900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199377 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[83] [3]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[210] [3]),
-	.Y(n_4899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199378 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[82] [8]),
-	.B1(n_4310),
-	.B2(\fifo_buffer[83] [8]),
-	.Y(n_4898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199379 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[124] [6]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[125] [6]),
-	.Y(n_4897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199380 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[93] [6]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[220] [6]),
-	.Y(n_4896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199381 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[74] [8]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[203] [8]),
-	.Y(n_4895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199382 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[74] [3]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[203] [3]),
-	.Y(n_4894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199383 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[106] [3]),
-	.B1(FE_OFN1418_n_4335),
-	.B2(\fifo_buffer[107] [3]),
-	.Y(n_4893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199384 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[75] [3]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[202] [3]),
-	.Y(n_4892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199385 (
-	.A(n_4358),
-	.B(n_4654),
-	.Y(n_5119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199386 (
-	.A(n_4099),
-	.B(n_4649),
-	.Y(n_5118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199387 (
-	.A(n_4099),
-	.B(n_4654),
-	.Y(n_5117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199388 (
-	.A(n_4102),
-	.B(n_4654),
-	.Y(n_5116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199389 (
-	.A(n_4301),
-	.B(n_4649),
-	.Y(n_5115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199390 (
-	.A(n_4301),
-	.B(n_4654),
-	.Y(n_5114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199391 (
-	.A(n_4102),
-	.B(n_4649),
-	.Y(n_5113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199392 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[126] [8]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[255] [8]),
-	.Y(n_4891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199393 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[89] [3]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[216] [3]),
-	.Y(n_4890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199394 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[104] [3]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[105] [3]),
-	.Y(n_4889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199395 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[73] [3]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[200] [3]),
-	.Y(n_4888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199396 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[85] [6]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[212] [6]),
-	.Y(n_4887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199397 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[122] [8]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[123] [8]),
-	.Y(n_4886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199398 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[119] [8]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[246] [8]),
-	.Y(n_4885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199399 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[116] [6]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[117] [6]),
-	.Y(n_4884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199400 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[75] [8]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[202] [8]),
-	.Y(n_4883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199401 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[80] [3]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[209] [3]),
-	.Y(n_4882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199402 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[81] [3]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[208] [3]),
-	.Y(n_4881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199403 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[84] [4]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[213] [4]),
-	.Y(n_4880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199404 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[96] [3]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[97] [3]),
-	.Y(n_4879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199405 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[65] [3]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[192] [3]),
-	.Y(n_4878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199406 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[69] [6]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[196] [6]),
-	.Y(n_4877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199407 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[100] [6]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[101] [6]),
-	.Y(n_4876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199408 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[70] [7]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[199] [7]),
-	.Y(n_4875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199409 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[102] [7]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[103] [7]),
-	.Y(n_4874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199410 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[126] [2]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[255] [2]),
-	.Y(n_4873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199411 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[79] [4]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[206] [4]),
-	.Y(n_4872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199412 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[158] [2]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[159] [2]),
-	.Y(n_4871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199413 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[108] [8]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[237] [8]),
-	.Y(n_4870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199414 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[69] [8]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[196] [8]),
-	.Y(n_4869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199415 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[118] [2]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[247] [2]),
-	.Y(n_4868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199416 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[150] [2]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[151] [2]),
-	.Y(n_4867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199417 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[98] [5]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[99] [5]),
-	.Y(n_4866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199418 (
-	.A1(FE_OFN1561_n_4318),
-	.A2(\fifo_buffer[34] [5]),
-	.B1(FE_OFN1562_n_4319),
-	.B2(\fifo_buffer[163] [5]),
-	.Y(n_4865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199419 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[106] [8]),
-	.B1(FE_OFN1418_n_4335),
-	.B2(\fifo_buffer[107] [8]),
-	.Y(n_4864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199420 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[111] [2]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[238] [2]),
-	.Y(n_4863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199421 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[110] [2]),
-	.B1(FE_OFN1421_n_4336),
-	.B2(\fifo_buffer[239] [2]),
-	.Y(n_4862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199422 (
-	.A1(n_4312),
-	.A2(\fifo_buffer[142] [2]),
-	.B1(n_4311),
-	.B2(\fifo_buffer[143] [2]),
-	.Y(n_4861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199423 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[100] [8]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[101] [8]),
-	.Y(n_4860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199424 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[103] [2]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[230] [2]),
-	.Y(n_4859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199425 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[102] [2]),
-	.B1(FE_OFN1421_n_4336),
-	.B2(\fifo_buffer[231] [2]),
-	.Y(n_4858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199426 (
-	.A1(n_4312),
-	.A2(\fifo_buffer[134] [2]),
-	.B1(n_4311),
-	.B2(\fifo_buffer[135] [2]),
-	.Y(n_4857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199427 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[122] [5]),
-	.B1(n_4336),
-	.B2(\fifo_buffer[251] [5]),
-	.Y(n_4856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199428 (
-	.A1(n_4335),
-	.A2(\fifo_buffer[123] [5]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[250] [5]),
-	.Y(n_4855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199429 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[76] [7]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[205] [7]),
-	.Y(n_4854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199430 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[12] [2]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[141] [2]),
-	.Y(n_4853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199431 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[108] [2]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[109] [2]),
-	.Y(n_4852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199432 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[77] [7]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[204] [7]),
-	.Y(n_4851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199433 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[116] [5]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[117] [5]),
-	.Y(n_4850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199434 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[116] [2]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[245] [2]),
-	.Y(n_4849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199435 (
-	.A1(n_4312),
-	.A2(\fifo_buffer[148] [2]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[149] [2]),
-	.Y(n_4848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199436 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[84] [5]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[213] [5]),
-	.Y(n_4847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199437 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[85] [5]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[212] [5]),
-	.Y(n_4846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199438 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[86] [8]),
-	.B1(FE_OFN1409_n_4310),
-	.B2(\fifo_buffer[87] [8]),
-	.Y(n_4845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199439 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[124] [2]),
-	.B1(n_4336),
-	.B2(\fifo_buffer[253] [2]),
-	.Y(n_4844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199440 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[156] [2]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[157] [2]),
-	.Y(n_4843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199441 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[86] [4]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[215] [4]),
-	.Y(n_4842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199442 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[106] [5]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[235] [5]),
-	.Y(n_4841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199443 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[132] [2]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[133] [2]),
-	.Y(n_4840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199444 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[100] [2]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[229] [2]),
-	.Y(n_4839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199445 (
-	.A1(FE_OFN1561_n_4318),
-	.A2(\fifo_buffer[42] [5]),
-	.B1(FE_OFN1413_n_4315),
-	.B2(\fifo_buffer[43] [5]),
-	.Y(n_4838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199446 (
-	.A1(FE_OFN1418_n_4335),
-	.A2(\fifo_buffer[107] [5]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[234] [5]),
-	.Y(n_4837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199447 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[84] [7]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[213] [7]),
-	.Y(n_4836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199448 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[122] [2]),
-	.B1(n_4336),
-	.B2(\fifo_buffer[251] [2]),
-	.Y(n_4835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199449 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[85] [7]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[212] [7]),
-	.Y(n_4834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199450 (
-	.A1(n_4335),
-	.A2(\fifo_buffer[123] [2]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[250] [2]),
-	.Y(n_4833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199451 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[85] [4]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[212] [4]),
-	.Y(n_4832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199452 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[88] [8]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[217] [8]),
-	.Y(n_4831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199453 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[72] [7]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[201] [7]),
-	.Y(n_4830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199454 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[108] [5]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[109] [5]),
-	.Y(n_4829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199455 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[87] [4]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[214] [4]),
-	.Y(n_4828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199456 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[114] [2]),
-	.B1(FE_OFN1420_n_4336),
-	.B2(\fifo_buffer[243] [2]),
-	.Y(n_4827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199457 (
-	.A1(n_4335),
-	.A2(\fifo_buffer[115] [2]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[242] [2]),
-	.Y(n_4826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199458 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[77] [5]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[204] [5]),
-	.Y(n_4825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199459 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[76] [5]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[205] [5]),
-	.Y(n_4824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199460 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[120] [8]),
-	.B1(FE_OFN1418_n_4335),
-	.B2(\fifo_buffer[121] [8]),
-	.Y(n_4823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199461 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[138] [2]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[139] [2]),
-	.Y(n_4822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199462 (
-	.A1(FE_OFN1418_n_4335),
-	.A2(\fifo_buffer[107] [2]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[234] [2]),
-	.Y(n_4821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199463 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[98] [2]),
-	.B1(n_4336),
-	.B2(\fifo_buffer[227] [2]),
-	.Y(n_4820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199464 (
-	.A1(n_4335),
-	.A2(\fifo_buffer[99] [2]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[226] [2]),
-	.Y(n_4819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199465 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[100] [5]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[101] [5]),
-	.Y(n_4818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199466 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[69] [5]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[196] [5]),
-	.Y(n_4817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199467 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[112] [2]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[241] [2]),
-	.Y(n_4816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199468 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[93] [7]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[220] [7]),
-	.Y(n_4815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199469 (
-	.A1(n_4335),
-	.A2(\fifo_buffer[113] [2]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[240] [2]),
-	.Y(n_4814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199470 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[92] [7]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[221] [7]),
-	.Y(n_4813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199471 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[136] [2]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[137] [2]),
-	.Y(n_4812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199472 (
-	.A1(n_4335),
-	.A2(\fifo_buffer[105] [2]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[232] [2]),
-	.Y(n_4811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199473 (
-	.A1(FE_OFN1561_n_4318),
-	.A2(\fifo_buffer[42] [6]),
-	.B1(FE_OFN1562_n_4319),
-	.B2(\fifo_buffer[171] [6]),
-	.Y(n_4810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199474 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[126] [5]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[255] [5]),
-	.Y(n_4809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199475 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[158] [5]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[159] [5]),
-	.Y(n_4808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199476 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[120] [2]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[249] [2]),
-	.Y(n_4807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199477 (
-	.A1(FE_OFN1418_n_4335),
-	.A2(\fifo_buffer[121] [2]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[248] [2]),
-	.Y(n_4806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199478 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[128] [2]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[129] [2]),
-	.Y(n_4805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199479 (
-	.A1(n_4335),
-	.A2(\fifo_buffer[97] [2]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[224] [2]),
-	.Y(n_4804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199480 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[119] [5]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[246] [5]),
-	.Y(n_4803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199481 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[91] [6]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[218] [6]),
-	.Y(n_4802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199482 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[118] [5]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[247] [5]),
-	.Y(n_4801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199483 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[68] [7]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[197] [7]),
-	.Y(n_4800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199484 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[69] [1]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[196] [1]),
-	.Y(n_4799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199485 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[69] [7]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[196] [7]),
-	.Y(n_4798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199486 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[100] [1]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[101] [1]),
-	.Y(n_4797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199487 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[81] [8]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[208] [8]),
-	.Y(n_4796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199488 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[100] [4]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[101] [4]),
-	.Y(n_4795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199489 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[77] [1]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[204] [1]),
-	.Y(n_4794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199490 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[111] [5]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[238] [5]),
-	.Y(n_4793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199491 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[108] [1]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[109] [1]),
-	.Y(n_4792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199492 (
-	.A1(n_4312),
-	.A2(\fifo_buffer[142] [5]),
-	.B1(n_4311),
-	.B2(\fifo_buffer[143] [5]),
-	.Y(n_4791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199493 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[94] [8]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[223] [8]),
-	.Y(n_4790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199494 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[112] [8]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[113] [8]),
-	.Y(n_4789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199495 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[110] [5]),
-	.B1(FE_OFN1421_n_4336),
-	.B2(\fifo_buffer[239] [5]),
-	.Y(n_4788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199496 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[116] [4]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[117] [4]),
-	.Y(n_4787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199497 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[91] [1]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[218] [1]),
-	.Y(n_4786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199498 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[122] [1]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[123] [1]),
-	.Y(n_4785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199499 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[90] [1]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[219] [1]),
-	.Y(n_4784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199500 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[66] [1]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[195] [1]),
-	.Y(n_4783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199501 (
-	.A1(n_4312),
-	.A2(\fifo_buffer[134] [5]),
-	.B1(n_4311),
-	.B2(\fifo_buffer[135] [5]),
-	.Y(n_4782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199502 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[98] [1]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[99] [1]),
-	.Y(n_4781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199503 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[67] [1]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[194] [1]),
-	.Y(n_4780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199504 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[103] [5]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[230] [5]),
-	.Y(n_4779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199505 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[66] [8]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[195] [8]),
-	.Y(n_4778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199506 (
-	.A1(FE_OFN1561_n_4318),
-	.A2(\fifo_buffer[62] [7]),
-	.B1(FE_OFN1413_n_4315),
-	.B2(\fifo_buffer[63] [7]),
-	.Y(n_4777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199507 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[102] [5]),
-	.B1(FE_OFN1421_n_4336),
-	.B2(\fifo_buffer[231] [5]),
-	.Y(n_4776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199508 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[106] [7]),
-	.B1(FE_OFN1418_n_4335),
-	.B2(\fifo_buffer[107] [7]),
-	.Y(n_4775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199509 (
-	.A1(FE_OFN1418_n_4335),
-	.A2(\fifo_buffer[107] [1]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[234] [1]),
-	.Y(n_4774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199510 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[74] [1]),
-	.B1(n_4310),
-	.B2(\fifo_buffer[75] [1]),
-	.Y(n_4773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199511 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[106] [1]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[235] [1]),
-	.Y(n_4772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199512 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[74] [7]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[203] [7]),
-	.Y(n_4771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199513 (
-	.A1(n_4335),
-	.A2(\fifo_buffer[115] [5]),
-	.B1(FE_OFN1423_n_4337),
-	.B2(\fifo_buffer[242] [5]),
-	.Y(n_4770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199514 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[116] [1]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[117] [1]),
-	.Y(n_4769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199515 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[84] [1]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[213] [1]),
-	.Y(n_4768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199516 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[146] [5]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[147] [5]),
-	.Y(n_4767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199517 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[114] [5]),
-	.B1(FE_OFN1420_n_4336),
-	.B2(\fifo_buffer[243] [5]),
-	.Y(n_4766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199518 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[26] [8]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[155] [8]),
-	.Y(n_4765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199519 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[110] [4]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[111] [4]),
-	.Y(n_4764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199520 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[120] [1]),
-	.B1(FE_OFN1418_n_4335),
-	.B2(\fifo_buffer[121] [1]),
-	.Y(n_4763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199521 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[89] [1]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[216] [1]),
-	.Y(n_4762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199522 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[112] [1]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[113] [1]),
-	.Y(n_4761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199523 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[80] [1]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[209] [1]),
-	.Y(n_4760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199524 (
-	.A1(FE_OFN1411_n_4314),
-	.A2(\fifo_buffer[29] [5]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[157] [5]),
-	.Y(n_4759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199525 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[72] [8]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[201] [8]),
-	.Y(n_4758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199526 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[130] [7]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[131] [7]),
-	.Y(n_4757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199527 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[64] [1]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[193] [1]),
-	.Y(n_4756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199528 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[65] [1]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[192] [1]),
-	.Y(n_4755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199529 (
-	.A1(n_4335),
-	.A2(\fifo_buffer[99] [7]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[226] [7]),
-	.Y(n_4754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199530 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[96] [1]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[97] [1]),
-	.Y(n_4753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199531 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[110] [8]),
-	.B1(FE_OFN1421_n_4336),
-	.B2(\fifo_buffer[239] [8]),
-	.Y(n_4752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199532 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[73] [8]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[200] [8]),
-	.Y(n_4751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199533 (
-	.A1(FE_OFN1560_n_4313),
-	.A2(\fifo_buffer[8] [1]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[137] [1]),
-	.Y(n_4750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199534 (
-	.A1(FE_OFN1412_n_4314),
-	.A2(\fifo_buffer[9] [1]),
-	.B1(FE_OFN1410_n_4312),
-	.B2(\fifo_buffer[136] [1]),
-	.Y(n_4749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199535 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[104] [1]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[105] [1]),
-	.Y(n_4748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199536 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[104] [8]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[105] [8]),
-	.Y(n_4747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199537 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[120] [5]),
-	.B1(FE_OFN1418_n_4335),
-	.B2(\fifo_buffer[121] [5]),
-	.Y(n_4746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199538 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[89] [5]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[216] [5]),
-	.Y(n_4745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199539 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[114] [1]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[115] [1]),
-	.Y(n_4744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199540 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[82] [1]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[211] [1]),
-	.Y(n_4743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199541 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[83] [1]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[210] [1]),
-	.Y(n_4742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199542 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[124] [1]),
-	.B1(n_4336),
-	.B2(\fifo_buffer[253] [1]),
-	.Y(n_4741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199543 (
-	.A1(FE_OFN1559_n_4313),
-	.A2(\fifo_buffer[20] [8]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[149] [8]),
-	.Y(n_4740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199544 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[80] [5]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[209] [5]),
-	.Y(n_4739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199545 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[81] [5]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[208] [5]),
-	.Y(n_4738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199546 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[122] [7]),
-	.B1(n_4336),
-	.B2(\fifo_buffer[251] [7]),
-	.Y(n_4737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199547 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[71] [4]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[198] [4]),
-	.Y(n_4736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199548 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[79] [1]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[206] [1]),
-	.Y(n_4735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199549 (
-	.A1(n_4335),
-	.A2(\fifo_buffer[123] [7]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[250] [7]),
-	.Y(n_4734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199550 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[78] [1]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[207] [1]),
-	.Y(n_4733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199551 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[110] [1]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[111] [1]),
-	.Y(n_4732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199552 (
-	.A1(FE_OFN1413_n_4315),
-	.A2(\fifo_buffer[39] [1]),
-	.B1(FE_OFN1414_n_4320),
-	.B2(\fifo_buffer[166] [1]),
-	.Y(n_4731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199553 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[72] [5]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[201] [5]),
-	.Y(n_4730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199554 (
-	.A1(FE_OFN1561_n_4318),
-	.A2(\fifo_buffer[38] [1]),
-	.B1(FE_OFN1562_n_4319),
-	.B2(\fifo_buffer[167] [1]),
-	.Y(n_4729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199555 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[106] [6]),
-	.B1(FE_OFN1418_n_4335),
-	.B2(\fifo_buffer[107] [6]),
-	.Y(n_4728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199556 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[102] [1]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[103] [1]),
-	.Y(n_4727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199557 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[104] [5]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[105] [5]),
-	.Y(n_4726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199558 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[73] [5]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[200] [5]),
-	.Y(n_4725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199559 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[69] [4]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[196] [4]),
-	.Y(n_4724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199560 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[158] [1]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[159] [1]),
-	.Y(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199561 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[127] [1]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[254] [1]),
-	.Y(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199562 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[96] [5]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[97] [5]),
-	.Y(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199563 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[150] [1]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[151] [1]),
-	.Y(n_4720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199564 (
-	.A1(FE_OFN1411_n_4314),
-	.A2(\fifo_buffer[21] [8]),
-	.B1(n_4312),
-	.B2(\fifo_buffer[148] [8]),
-	.Y(n_4719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199565 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[118] [1]),
-	.B1(FE_OFN1419_n_4336),
-	.B2(\fifo_buffer[247] [1]),
-	.Y(n_4718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199566 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[98] [8]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[99] [8]),
-	.Y(n_4717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199567 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[65] [5]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[192] [5]),
-	.Y(n_4716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199568 (
-	.A1(FE_OFN1412_n_4314),
-	.A2(\fifo_buffer[1] [8]),
-	.B1(FE_OFN1410_n_4312),
-	.B2(\fifo_buffer[128] [8]),
-	.Y(n_4715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199569 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[64] [5]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[193] [5]),
-	.Y(n_4714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199570 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[146] [7]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[147] [7]),
-	.Y(n_4713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199571 (
-	.A1(FE_OFN1560_n_4313),
-	.A2(\fifo_buffer[0] [8]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[129] [8]),
-	.Y(n_4712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199572 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[114] [7]),
-	.B1(FE_OFN1420_n_4336),
-	.B2(\fifo_buffer[243] [7]),
-	.Y(n_4711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199573 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[116] [8]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[117] [8]),
-	.Y(n_4710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199574 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[90] [4]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[219] [4]),
-	.Y(n_4709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199575 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[96] [8]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[97] [8]),
-	.Y(n_4708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199576 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[91] [4]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[218] [4]),
-	.Y(n_4707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199577 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[66] [4]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[195] [4]),
-	.Y(n_4706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199578 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[67] [4]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[194] [4]),
-	.Y(n_4705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199579 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[130] [6]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[131] [6]),
-	.Y(n_4704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199580 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[98] [6]),
-	.B1(n_4336),
-	.B2(\fifo_buffer[227] [6]),
-	.Y(n_4703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199581 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[106] [4]),
-	.B1(FE_OFN1418_n_4335),
-	.B2(\fifo_buffer[107] [4]),
-	.Y(n_4702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199582 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[75] [4]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[202] [4]),
-	.Y(n_4701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199583 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[82] [6]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[211] [6]),
-	.Y(n_4700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199584 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[120] [7]),
-	.B1(FE_OFN1418_n_4335),
-	.B2(\fifo_buffer[121] [7]),
-	.Y(n_4699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199585 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[68] [4]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[197] [4]),
-	.Y(n_4698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199586 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[88] [7]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[217] [7]),
-	.Y(n_4697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199587 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[77] [4]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[204] [4]),
-	.Y(n_4696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199588 (
-	.A1(FE_OFN1409_n_4310),
-	.A2(\fifo_buffer[95] [4]),
-	.B1(FE_OFN1406_n_4307),
-	.B2(\fifo_buffer[222] [4]),
-	.Y(n_4695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199589 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[108] [4]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[109] [4]),
-	.Y(n_4694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199590 (
-	.A1(FE_OFN1561_n_4318),
-	.A2(\fifo_buffer[46] [8]),
-	.B1(FE_OFN1413_n_4315),
-	.B2(\fifo_buffer[47] [8]),
-	.Y(n_4693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199591 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[122] [6]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[123] [6]),
-	.Y(n_4692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199592 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[90] [6]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[219] [6]),
-	.Y(n_4691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199593 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[78] [4]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[207] [4]),
-	.Y(n_4690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199594 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[73] [7]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[200] [7]),
-	.Y(n_4689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199595 (
-	.A1(FE_OFN1413_n_4315),
-	.A2(\fifo_buffer[43] [6]),
-	.B1(FE_OFN1414_n_4320),
-	.B2(\fifo_buffer[170] [6]),
-	.Y(n_4688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199596 (
-	.A1(FE_OFN1408_n_4309),
-	.A2(\fifo_buffer[70] [4]),
-	.B1(FE_OFN1407_n_4308),
-	.B2(\fifo_buffer[199] [4]),
-	.Y(n_4687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199597 (
-	.A1(FE_OFN1417_n_4335),
-	.A2(\fifo_buffer[111] [8]),
-	.B1(FE_OFN1422_n_4337),
-	.B2(\fifo_buffer[238] [8]),
-	.Y(n_4686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199598 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[102] [4]),
-	.B1(FE_OFN1417_n_4335),
-	.B2(\fifo_buffer[103] [4]),
-	.Y(n_4685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199599 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[88] [6]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[217] [6]),
-	.Y(n_4684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199600 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[120] [6]),
-	.B1(FE_OFN1418_n_4335),
-	.B2(\fifo_buffer[121] [6]),
-	.Y(n_4683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199601 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[92] [4]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[221] [4]),
-	.Y(n_4682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199602 (
-	.A1(n_4334),
-	.A2(\fifo_buffer[124] [4]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[125] [4]),
-	.Y(n_4681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199603 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[93] [4]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[220] [4]),
-	.Y(n_4680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199604 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[114] [4]),
-	.B1(FE_OFN1420_n_4336),
-	.B2(\fifo_buffer[243] [4]),
-	.Y(n_4679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199605 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[112] [6]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[113] [6]),
-	.Y(n_4678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199606 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[81] [6]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[208] [6]),
-	.Y(n_4677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199607 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[80] [7]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[209] [7]),
-	.Y(n_4676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199608 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[89] [4]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[216] [4]),
-	.Y(n_4675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199609 (
-	.A1(FE_OFN1416_n_4334),
-	.A2(\fifo_buffer[120] [4]),
-	.B1(FE_OFN1418_n_4335),
-	.B2(\fifo_buffer[121] [4]),
-	.Y(n_4674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199610 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[81] [7]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[208] [7]),
-	.Y(n_4673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199611 (
-	.A1(FE_OFN1413_n_4315),
-	.A2(\fifo_buffer[61] [8]),
-	.B1(FE_OFN1414_n_4320),
-	.B2(\fifo_buffer[188] [8]),
-	.Y(n_4672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199612 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[81] [4]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[208] [4]),
-	.Y(n_4671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199613 (
-	.A1(FE_OFN1410_n_4312),
-	.A2(\fifo_buffer[136] [6]),
-	.B1(FE_OFN1558_n_4311),
-	.B2(\fifo_buffer[137] [6]),
-	.Y(n_4670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199614 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[112] [4]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[113] [4]),
-	.Y(n_4669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199615 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[104] [6]),
-	.B1(FE_OFN1420_n_4336),
-	.B2(\fifo_buffer[233] [6]),
-	.Y(n_4668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199616 (
-	.A1(n_4335),
-	.A2(\fifo_buffer[105] [6]),
-	.B1(n_4337),
-	.B2(\fifo_buffer[232] [6]),
-	.Y(n_4667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199617 (
-	.A1(n_4309),
-	.A2(\fifo_buffer[72] [4]),
-	.B1(n_4308),
-	.B2(\fifo_buffer[201] [4]),
-	.Y(n_4666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199618 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[73] [4]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[200] [4]),
-	.Y(n_4665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199619 (
-	.A1(FE_OFN1415_n_4334),
-	.A2(\fifo_buffer[104] [4]),
-	.B1(n_4335),
-	.B2(\fifo_buffer[105] [4]),
-	.Y(n_4664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199620 (
-	.A1(n_4310),
-	.A2(\fifo_buffer[65] [4]),
-	.B1(n_4307),
-	.B2(\fifo_buffer[192] [4]),
-	.Y(n_4663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g199621 (
-	.A(n_4662),
-	.Y(n_4661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g199622 (
-	.A(n_4652),
-	.Y(n_4651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g199623 (
-	.A(n_4648),
-	.Y(n_4649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199624 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[74] [5]),
-	.B1(n_3994),
-	.B2(\fifo_buffer[75] [5]),
-	.X(n_4630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199625 (
-	.A(FE_OFN1417_n_4335),
-	.B(\fifo_buffer[109] [8]),
-	.Y(n_4629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199626 (
-	.A(n_4334),
-	.B(\fifo_buffer[124] [5]),
-	.Y(n_4628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199627 (
-	.A(FE_OFN1410_n_4312),
-	.B(\fifo_buffer[156] [5]),
-	.Y(n_4627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199628 (
-	.A(n_4307),
-	.B(\fifo_buffer[220] [1]),
-	.Y(n_4626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199629 (
-	.A(n_4335),
-	.B(\fifo_buffer[125] [1]),
-	.Y(n_4625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199630 (
-	.A(n_4335),
-	.B(\fifo_buffer[115] [4]),
-	.Y(n_4624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199631 (
-	.A(n_4310),
-	.B(\fifo_buffer[83] [4]),
-	.Y(n_4623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199632 (
-	.A(n_4307),
-	.B(\fifo_buffer[204] [8]),
-	.Y(n_4622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199633 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[36] [8]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[37] [8]),
-	.X(n_4621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199634 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[36] [2]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[37] [2]),
-	.X(n_4620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199635 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[68] [2]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[69] [2]),
-	.X(n_4619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199636 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[12] [7]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[13] [7]),
-	.X(n_4618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199637 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[92] [2]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[93] [2]),
-	.X(n_4617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199638 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[148] [5]),
-	.B1(FE_OFN1194_n_4186),
-	.B2(\fifo_buffer[149] [5]),
-	.X(n_4616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199639 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[60] [2]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[61] [2]),
-	.X(n_4615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199640 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[52] [5]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[53] [5]),
-	.X(n_4614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199641 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[172] [7]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[173] [7]),
-	.X(n_4613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199642 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[52] [2]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[53] [2]),
-	.X(n_4612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199643 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[84] [2]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[85] [2]),
-	.X(n_4611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199644 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[76] [2]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[77] [2]),
-	.X(n_4610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199645 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[186] [5]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[187] [5]),
-	.X(n_4609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199646 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[44] [2]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[45] [2]),
-	.X(n_4608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199647 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[90] [5]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[91] [5]),
-	.X(n_4607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199648 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[70] [2]),
-	.B1(FE_OFN1183_n_3994),
-	.B2(\fifo_buffer[71] [2]),
-	.X(n_4606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199649 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[38] [2]),
-	.B1(FE_OFN1183_n_3994),
-	.B2(\fifo_buffer[39] [2]),
-	.X(n_4605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199650 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[12] [4]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[13] [4]),
-	.X(n_4604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199651 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[206] [2]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[207] [2]),
-	.X(n_4603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199652 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[2] [5]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[3] [5]),
-	.X(n_4602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199653 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[46] [2]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[47] [2]),
-	.X(n_4601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199654 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[134] [7]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[135] [7]),
-	.X(n_4600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199655 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[194] [5]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[195] [5]),
-	.X(n_4599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199656 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[86] [2]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[87] [2]),
-	.X(n_4598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199657 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[38] [7]),
-	.B1(FE_OFN1183_n_3994),
-	.B2(\fifo_buffer[39] [7]),
-	.X(n_4597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199658 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[54] [2]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[55] [2]),
-	.X(n_4596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199659 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[62] [2]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[63] [2]),
-	.X(n_4595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199660 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[132] [6]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[133] [6]),
-	.X(n_4594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199661 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[160] [4]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[161] [4]),
-	.X(n_4593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199662 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[0] [4]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[1] [4]),
-	.X(n_4592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199663 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[146] [6]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[147] [6]),
-	.X(n_4591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199664 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[12] [8]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[13] [8]),
-	.X(n_4590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199665 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[64] [6]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[65] [6]),
-	.X(n_4589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199666 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[180] [3]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[181] [3]),
-	.X(n_4588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199667 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[160] [6]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[161] [6]),
-	.X(n_4587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199668 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[20] [3]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[21] [3]),
-	.X(n_4586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199669 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[52] [4]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[53] [4]),
-	.X(n_4585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199670 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[44] [3]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[45] [3]),
-	.X(n_4584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199671 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[160] [7]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[161] [7]),
-	.X(n_4583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199672 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[140] [3]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[141] [3]),
-	.X(n_4582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199673 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[62] [6]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[63] [6]),
-	.X(n_4581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199674 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[0] [7]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[1] [7]),
-	.X(n_4580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199675 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[164] [3]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[165] [3]),
-	.X(n_4579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199676 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[130] [8]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[131] [8]),
-	.X(n_4578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199677 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[4] [3]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[5] [3]),
-	.X(n_4577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199678 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[30] [6]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[31] [6]),
-	.X(n_4576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199679 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[132] [4]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[133] [4]),
-	.X(n_4575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199680 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[188] [3]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[189] [3]),
-	.X(n_4574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199681 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[38] [8]),
-	.B1(FE_OFN1183_n_3994),
-	.B2(\fifo_buffer[39] [8]),
-	.X(n_4573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199682 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[28] [3]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[29] [3]),
-	.X(n_4572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199683 (
-	.A1(FE_OFN1178_n_3945),
-	.A2(\fifo_buffer[150] [8]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[151] [8]),
-	.X(n_4571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199684 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[46] [6]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[47] [6]),
-	.X(n_4570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199685 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[190] [3]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[191] [3]),
-	.X(n_4569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199686 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[142] [6]),
-	.B1(FE_OFN1194_n_4186),
-	.B2(\fifo_buffer[143] [6]),
-	.X(n_4568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199687 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[30] [3]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[31] [3]),
-	.X(n_4567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199688 (
-	.A1(FE_OFN1178_n_3945),
-	.A2(\fifo_buffer[182] [3]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[183] [3]),
-	.X(n_4566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199689 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[134] [8]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[135] [8]),
-	.X(n_4565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199690 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[44] [6]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[45] [6]),
-	.X(n_4564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199691 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[54] [7]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[55] [7]),
-	.X(n_4563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199692 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[22] [3]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[23] [3]),
-	.X(n_4562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199693 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[54] [6]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[55] [6]),
-	.X(n_4561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199694 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[78] [3]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[79] [3]),
-	.X(n_4560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199695 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[22] [7]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[23] [7]),
-	.X(n_4559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199696 (
-	.A1(FE_OFN1178_n_3945),
-	.A2(\fifo_buffer[150] [6]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[151] [6]),
-	.X(n_4558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199697 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[14] [3]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[15] [3]),
-	.X(n_4557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199698 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[166] [3]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[167] [3]),
-	.X(n_4556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199699 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[6] [3]),
-	.B1(FE_OFN1183_n_3994),
-	.B2(\fifo_buffer[7] [3]),
-	.X(n_4555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199700 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[124] [8]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[125] [8]),
-	.X(n_4554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199701 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[38] [6]),
-	.B1(FE_OFN1183_n_3994),
-	.B2(\fifo_buffer[39] [6]),
-	.X(n_4553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199702 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[32] [5]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[33] [5]),
-	.X(n_4552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199703 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[90] [3]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[91] [3]),
-	.X(n_4551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199704 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[6] [6]),
-	.B1(FE_OFN1183_n_3994),
-	.B2(\fifo_buffer[7] [6]),
-	.X(n_4550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199705 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[154] [3]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[155] [3]),
-	.X(n_4549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199706 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[184] [7]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[185] [7]),
-	.X(n_4548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199707 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[178] [3]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[179] [3]),
-	.X(n_4547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199708 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[174] [7]),
-	.B1(FE_OFN1194_n_4186),
-	.B2(\fifo_buffer[175] [7]),
-	.X(n_4546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199709 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[18] [3]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[19] [3]),
-	.X(n_4545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199710 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[188] [6]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[189] [6]),
-	.X(n_4544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199711 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[42] [3]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[43] [3]),
-	.X(n_4543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199712 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[14] [7]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[15] [7]),
-	.X(n_4542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199713 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[28] [6]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[29] [6]),
-	.X(n_4541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199714 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[10] [3]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[11] [3]),
-	.X(n_4540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199715 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[34] [3]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[35] [3]),
-	.X(n_4539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199716 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[220] [8]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[221] [8]),
-	.X(n_4538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199717 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[50] [8]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[51] [8]),
-	.X(n_4537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199718 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[2] [3]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[3] [3]),
-	.X(n_4536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199719 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[46] [4]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[47] [4]),
-	.X(n_4535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199720 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[36] [4]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[37] [4]),
-	.X(n_4534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199721 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[184] [3]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[185] [3]),
-	.X(n_4533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199722 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[12] [6]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[13] [6]),
-	.X(n_4532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199723 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[24] [3]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[25] [3]),
-	.X(n_4531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199724 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[130] [4]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[131] [4]),
-	.X(n_4530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199725 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[40] [3]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[41] [3]),
-	.X(n_4529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199726 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[94] [7]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[95] [7]),
-	.X(n_4528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199727 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[136] [3]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[137] [3]),
-	.X(n_4527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199728 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[146] [8]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[147] [8]),
-	.X(n_4526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199729 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[10] [6]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[11] [6]),
-	.X(n_4525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199730 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[52] [6]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[53] [6]),
-	.X(n_4524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199731 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[218] [8]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[219] [8]),
-	.X(n_4523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199732 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[48] [3]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[49] [3]),
-	.X(n_4522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199733 (
-	.A1(FE_OFN1178_n_3945),
-	.A2(\fifo_buffer[158] [7]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[159] [7]),
-	.X(n_4521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199734 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[148] [6]),
-	.B1(FE_OFN1194_n_4186),
-	.B2(\fifo_buffer[149] [6]),
-	.X(n_4520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199735 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[16] [3]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[17] [3]),
-	.X(n_4519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199736 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[160] [3]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[161] [3]),
-	.X(n_4518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199737 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[0] [3]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[1] [3]),
-	.X(n_4517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199738 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[62] [4]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[63] [4]),
-	.X(n_4516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199739 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[172] [8]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[173] [8]),
-	.X(n_4515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199740 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[36] [6]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[37] [6]),
-	.X(n_4514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199741 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[94] [2]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[95] [2]),
-	.X(n_4513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199742 (
-	.A(n_4364),
-	.B(n_4358),
-	.Y(n_4662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199743 (
-	.A(n_4363),
-	.B(n_4358),
-	.Y(n_4660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199744 (
-	.A(n_4362),
-	.B(n_4358),
-	.Y(n_4659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199745 (
-	.A(FE_OFN1203_n_4345),
-	.B(n_4095),
-	.Y(n_4658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199746 (
-	.A(FE_OFN1202_n_4343),
-	.B(n_4095),
-	.Y(n_4657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199747 (
-	.A(FE_OFN1203_n_4345),
-	.B(n_4098),
-	.Y(n_4656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199748 (
-	.A(n_4338),
-	.B(n_4095),
-	.Y(n_4655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199749 (
-	.A(n_4353),
-	.B(n_4091),
-	.Y(n_4654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199750 (
-	.A(n_4340),
-	.B(n_4095),
-	.Y(n_4653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199751 (
-	.A(n_4338),
-	.B(n_4098),
-	.Y(n_4652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199752 (
-	.A(n_4340),
-	.B(n_4098),
-	.Y(n_4650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199753 (
-	.A(n_4299),
-	.B(n_4354),
-	.Y(n_4648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199754 (
-	.A(FE_OFN1202_n_4343),
-	.B(n_4098),
-	.Y(n_4647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199755 (
-	.A(n_4291),
-	.B(n_4354),
-	.Y(n_4646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199756 (
-	.A(n_4087),
-	.B(n_4354),
-	.Y(n_4645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199757 (
-	.A(n_4290),
-	.B(n_4354),
-	.Y(n_4644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199758 (
-	.A(n_4088),
-	.B(n_4354),
-	.Y(n_4643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g199759 (
-	.A(n_4291),
-	.B(n_4363),
-	.Y(n_4642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199760 (
-	.A(n_4291),
-	.B(n_4364),
-	.Y(n_4641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g199761 (
-	.A(n_4291),
-	.B(n_4362),
-	.Y(n_4640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199762 (
-	.A(n_4290),
-	.B(n_4363),
-	.Y(n_4639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g199763 (
-	.A(n_4087),
-	.B(n_4364),
-	.Y(n_4638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g199764 (
-	.A(n_4364),
-	.B(n_4088),
-	.Y(n_4637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199765 (
-	.A(n_4290),
-	.B(n_4362),
-	.Y(n_4636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199766 (
-	.A(n_4087),
-	.B(n_4363),
-	.Y(n_4635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g199767 (
-	.A(n_4290),
-	.B(n_4364),
-	.Y(n_4634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g199768 (
-	.A(n_4088),
-	.B(n_4363),
-	.Y(n_4633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199769 (
-	.A(n_4087),
-	.B(n_4362),
-	.Y(n_4632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g199770 (
-	.A(n_4088),
-	.B(n_4362),
-	.Y(n_4631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199771 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[28] [1]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[29] [1]),
-	.X(n_4512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199772 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[10] [5]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[11] [5]),
-	.X(n_4511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199773 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[186] [2]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[187] [2]),
-	.X(n_4510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199774 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[50] [2]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[51] [2]),
-	.X(n_4509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199775 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[52] [7]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[53] [7]),
-	.X(n_4508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199776 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[210] [2]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[211] [2]),
-	.X(n_4507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199777 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[44] [5]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[45] [5]),
-	.X(n_4506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199778 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[74] [2]),
-	.B1(n_3994),
-	.B2(\fifo_buffer[75] [2]),
-	.X(n_4505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199779 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[148] [7]),
-	.B1(FE_OFN1194_n_4186),
-	.B2(\fifo_buffer[149] [7]),
-	.X(n_4504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199780 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[12] [5]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[13] [5]),
-	.X(n_4503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199781 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[170] [2]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[171] [2]),
-	.X(n_4502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199782 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[34] [2]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[35] [2]),
-	.X(n_4501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199783 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[194] [2]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[195] [2]),
-	.X(n_4500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199784 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[42] [8]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[43] [8]),
-	.X(n_4499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199785 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[132] [8]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[133] [8]),
-	.X(n_4498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199786 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[36] [5]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[37] [5]),
-	.X(n_4497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199787 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[52] [1]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[53] [1]),
-	.X(n_4496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199788 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[80] [2]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[81] [2]),
-	.X(n_4495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199789 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[184] [8]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[185] [8]),
-	.X(n_4494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199790 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[4] [5]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[5] [5]),
-	.X(n_4493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199791 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[176] [2]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[177] [2]),
-	.X(n_4492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199792 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[54] [8]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[55] [8]),
-	.X(n_4491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199793 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[40] [2]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[41] [2]),
-	.X(n_4490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199794 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[60] [7]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[61] [7]),
-	.X(n_4489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199795 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[72] [2]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[73] [2]),
-	.X(n_4488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199796 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[62] [5]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[63] [5]),
-	.X(n_4487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199797 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[24] [8]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[25] [8]),
-	.X(n_4486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199798 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[88] [2]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[89] [2]),
-	.X(n_4485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199799 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[28] [7]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[29] [7]),
-	.X(n_4484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199800 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[184] [2]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[185] [2]),
-	.X(n_4483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199801 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[94] [5]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[95] [5]),
-	.X(n_4482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199802 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[64] [2]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[65] [2]),
-	.X(n_4481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199803 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[36] [1]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[37] [1]),
-	.X(n_4480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199804 (
-	.A1(FE_OFN1178_n_3945),
-	.A2(\fifo_buffer[214] [5]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[215] [5]),
-	.X(n_4479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199805 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[4] [1]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[5] [1]),
-	.X(n_4478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199806 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[164] [7]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[165] [7]),
-	.X(n_4477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199807 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[140] [1]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[141] [1]),
-	.X(n_4476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199808 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[46] [5]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[47] [5]),
-	.X(n_4475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199809 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[4] [7]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[5] [7]),
-	.X(n_4474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199810 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[58] [1]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[59] [1]),
-	.X(n_4473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199811 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[78] [5]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[79] [5]),
-	.X(n_4472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199812 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[26] [1]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[27] [1]),
-	.X(n_4471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199813 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[34] [1]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[35] [1]),
-	.X(n_4470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199814 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[138] [8]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[139] [8]),
-	.X(n_4469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199815 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[130] [1]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[131] [1]),
-	.X(n_4468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199816 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[70] [5]),
-	.B1(FE_OFN1183_n_3994),
-	.B2(\fifo_buffer[71] [5]),
-	.X(n_4467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199817 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[176] [8]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[177] [8]),
-	.X(n_4466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199818 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[42] [1]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[43] [1]),
-	.X(n_4465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199819 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[38] [5]),
-	.B1(FE_OFN1183_n_3994),
-	.B2(\fifo_buffer[39] [5]),
-	.X(n_4464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199820 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[10] [1]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[11] [1]),
-	.X(n_4463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199821 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[20] [1]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[21] [1]),
-	.X(n_4462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199822 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[170] [7]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[171] [7]),
-	.X(n_4461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199823 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[16] [8]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[17] [8]),
-	.X(n_4460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199824 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[82] [5]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[83] [5]),
-	.X(n_4459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199825 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[56] [1]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[57] [1]),
-	.X(n_4458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199826 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[50] [5]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[51] [5]),
-	.X(n_4457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199827 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[24] [1]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[25] [1]),
-	.X(n_4456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199828 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[10] [7]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[11] [7]),
-	.X(n_4455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199829 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[16] [1]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[17] [1]),
-	.X(n_4454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199830 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[60] [5]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[61] [5]),
-	.X(n_4453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199831 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[32] [1]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[33] [1]),
-	.X(n_4452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199832 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[220] [5]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[221] [5]),
-	.X(n_4451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199833 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[0] [1]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[1] [1]),
-	.X(n_4450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199834 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[40] [1]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[41] [1]),
-	.X(n_4449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199835 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[62] [8]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[63] [8]),
-	.X(n_4448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199836 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[34] [7]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[35] [7]),
-	.X(n_4447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199837 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[72] [1]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[73] [1]),
-	.X(n_4446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199838 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[58] [4]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[59] [4]),
-	.X(n_4445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199839 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[184] [5]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[185] [5]),
-	.X(n_4444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199840 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[50] [1]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[51] [1]),
-	.X(n_4443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199841 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[194] [7]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[195] [7]),
-	.X(n_4442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199842 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[24] [5]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[25] [5]),
-	.X(n_4441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199843 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[18] [1]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[19] [1]),
-	.X(n_4440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199844 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[60] [1]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[61] [1]),
-	.X(n_4439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199845 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[90] [2]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[91] [2]),
-	.X(n_4438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199846 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[48] [5]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[49] [5]),
-	.X(n_4437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199847 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[40] [8]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[41] [8]),
-	.X(n_4436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199848 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[46] [1]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[47] [1]),
-	.X(n_4435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199849 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[16] [5]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[17] [5]),
-	.X(n_4434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199850 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[14] [1]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[15] [1]),
-	.X(n_4433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199851 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[158] [8]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[159] [8]),
-	.X(n_4432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199852 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[70] [1]),
-	.B1(FE_OFN1183_n_3994),
-	.B2(\fifo_buffer[71] [1]),
-	.X(n_4431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199853 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[90] [7]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[91] [7]),
-	.X(n_4430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199854 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[6] [1]),
-	.B1(FE_OFN1183_n_3994),
-	.B2(\fifo_buffer[7] [1]),
-	.X(n_4429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199855 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[8] [8]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[9] [8]),
-	.X(n_4428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199856 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[40] [5]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[41] [5]),
-	.X(n_4427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199857 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[94] [1]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[95] [1]),
-	.X(n_4426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199858 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[186] [7]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[187] [7]),
-	.X(n_4425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199859 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[136] [5]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[137] [5]),
-	.X(n_4424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199860 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[62] [1]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[63] [1]),
-	.X(n_4423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199861 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[54] [1]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[55] [1]),
-	.X(n_4422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199862 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[86] [1]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[87] [1]),
-	.X(n_4421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199863 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[0] [5]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[1] [5]),
-	.X(n_4420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199864 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[50] [7]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[51] [7]),
-	.X(n_4419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199865 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[54] [4]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[55] [4]),
-	.X(n_4418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199866 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[154] [4]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[155] [4]),
-	.X(n_4417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199867 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[48] [1]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[49] [1]),
-	.X(n_4416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199868 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[34] [4]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[35] [4]),
-	.X(n_4415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199869 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[32] [8]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[33] [8]),
-	.X(n_4414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199870 (
-	.A1(n_4093),
-	.A2(\fifo_buffer[1] [0]),
-	.B1(n_4097),
-	.B2(\fifo_buffer[32] [0]),
-	.Y(n_4413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199871 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[34] [6]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[35] [6]),
-	.X(n_4412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199872 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[192] [8]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[193] [8]),
-	.X(n_4411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199873 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[194] [6]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[195] [6]),
-	.X(n_4410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199874 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[10] [4]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[11] [4]),
-	.X(n_4409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199875 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[210] [7]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[211] [7]),
-	.X(n_4408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199876 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[42] [4]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[43] [4]),
-	.X(n_4407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199877 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[58] [8]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[59] [8]),
-	.X(n_4406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199878 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[58] [6]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[59] [6]),
-	.X(n_4405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199879 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[50] [6]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[51] [6]),
-	.X(n_4404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199880 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[148] [4]),
-	.B1(FE_OFN1194_n_4186),
-	.B2(\fifo_buffer[149] [4]),
-	.X(n_4403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199881 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[44] [4]),
-	.B1(FE_OFN1183_n_3994),
-	.B2(\fifo_buffer[45] [4]),
-	.X(n_4402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g199882 (
-	.A1(n_4091),
-	.A2(\fifo_buffer[128] [0]),
-	.B1(n_4097),
-	.B2(\fifo_buffer[160] [0]),
-	.Y(n_4401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199883 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[202] [6]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[203] [6]),
-	.X(n_4400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199884 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[54] [5]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[55] [5]),
-	.X(n_4399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199885 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[84] [8]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[85] [8]),
-	.X(n_4398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199886 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[24] [7]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[25] [7]),
-	.X(n_4397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199887 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[30] [4]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[31] [4]),
-	.X(n_4396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199888 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[154] [6]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[155] [6]),
-	.X(n_4395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199889 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[14] [4]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[15] [4]),
-	.X(n_4394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199890 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[32] [2]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[33] [2]),
-	.X(n_4393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199891 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[38] [4]),
-	.B1(FE_OFN1183_n_3994),
-	.B2(\fifo_buffer[39] [4]),
-	.X(n_4392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199892 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[40] [7]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[41] [7]),
-	.X(n_4391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199893 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[6] [4]),
-	.B1(FE_OFN1183_n_3994),
-	.B2(\fifo_buffer[7] [4]),
-	.X(n_4390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199894 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[56] [6]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[57] [6]),
-	.X(n_4389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199895 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[60] [4]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[61] [4]),
-	.X(n_4388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199896 (
-	.A1(FE_OFN1177_n_3945),
-	.A2(\fifo_buffer[180] [8]),
-	.B1(FE_OFN1194_n_4186),
-	.B2(\fifo_buffer[181] [8]),
-	.X(n_4387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199897 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[8] [7]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[9] [7]),
-	.X(n_4386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199898 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[156] [4]),
-	.B1(FE_OFN1193_n_4186),
-	.B2(\fifo_buffer[157] [4]),
-	.X(n_4385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199899 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[24] [6]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[25] [6]),
-	.X(n_4384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199900 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[50] [4]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[51] [4]),
-	.X(n_4383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199901 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[146] [4]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[147] [4]),
-	.X(n_4382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199902 (
-	.A1(FE_OFN1176_n_3945),
-	.A2(\fifo_buffer[206] [8]),
-	.B1(FE_OFN1192_n_4186),
-	.B2(\fifo_buffer[207] [8]),
-	.X(n_4381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199903 (
-	.A1(FE_OFN1198_n_4229),
-	.A2(\fifo_buffer[34] [8]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[35] [8]),
-	.X(n_4380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199904 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[48] [6]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[49] [6]),
-	.X(n_4379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199905 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[56] [4]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[57] [4]),
-	.X(n_4378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199906 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[16] [6]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[17] [6]),
-	.X(n_4377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199907 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[24] [4]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[25] [4]),
-	.X(n_4376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199908 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[48] [4]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[49] [4]),
-	.X(n_4375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199909 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[48] [7]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[49] [7]),
-	.X(n_4374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199910 (
-	.A1(FE_OFN1174_n_3945),
-	.A2(\fifo_buffer[144] [4]),
-	.B1(FE_OFN1191_n_4186),
-	.B2(\fifo_buffer[145] [4]),
-	.X(n_4373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199911 (
-	.A1(FE_OFN1200_n_4229),
-	.A2(\fifo_buffer[22] [4]),
-	.B1(FE_OFN1182_n_3994),
-	.B2(\fifo_buffer[23] [4]),
-	.X(n_4372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199912 (
-	.A1(FE_OFN1201_n_4229),
-	.A2(\fifo_buffer[14] [8]),
-	.B1(FE_OFN1184_n_3994),
-	.B2(\fifo_buffer[15] [8]),
-	.X(n_4371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199913 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[40] [6]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[41] [6]),
-	.X(n_4370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199914 (
-	.A1(FE_OFN1196_n_4229),
-	.A2(\fifo_buffer[44] [1]),
-	.B1(FE_OFN1179_n_3994),
-	.B2(\fifo_buffer[45] [1]),
-	.X(n_4369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199915 (
-	.A1(FE_OFN1199_n_4229),
-	.A2(\fifo_buffer[16] [7]),
-	.B1(FE_OFN1180_n_3994),
-	.B2(\fifo_buffer[17] [7]),
-	.X(n_4368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199916 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[40] [4]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[41] [4]),
-	.X(n_4367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199917 (
-	.A1(FE_OFN1175_n_3945),
-	.A2(\fifo_buffer[200] [6]),
-	.B1(FE_OFN1190_n_4186),
-	.B2(\fifo_buffer[201] [6]),
-	.X(n_4366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g199918 (
-	.A1(FE_OFN1197_n_4229),
-	.A2(\fifo_buffer[8] [4]),
-	.B1(FE_OFN1181_n_3994),
-	.B2(\fifo_buffer[9] [4]),
-	.X(n_4365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g199919 (
-	.A(n_4360),
-	.Y(n_4359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g199920 (
-	.A(n_4357),
-	.Y(n_4358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g199921 (
-	.A(n_4356),
-	.Y(n_4355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g199922 (
-	.A(n_4353),
-	.Y(n_4354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g199923 (
-	.A(n_4351),
-	.Y(n_4350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g199924 (
-	.A(n_4349),
-	.Y(n_4348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g199925 (
-	.A(n_4347),
-	.Y(n_4346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g199926 (
-	.A(FE_OFN1203_n_4345),
-	.Y(n_4344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g199927 (
-	.A(FE_OFN1202_n_4343),
-	.Y(n_4342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g199928 (
-	.A(n_4341),
-	.Y(n_4340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g199929 (
-	.A(n_4339),
-	.Y(n_4338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199930 (
-	.A(n_4302),
-	.B(raddr[7]),
-	.Y(n_4364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199931 (
-	.A(n_4306),
-	.B(n_3938),
-	.Y(n_4363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199932 (
-	.A(n_4306),
-	.B(raddr[7]),
-	.Y(n_4362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199933 (
-	.A(n_4093),
-	.B(n_4297),
-	.Y(n_4361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199934 (
-	.A(n_4299),
-	.B(n_4296),
-	.Y(n_4360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199935 (
-	.A(n_4296),
-	.B(n_4291),
-	.Y(n_4357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199936 (
-	.A(n_4296),
-	.B(n_4090),
-	.Y(n_4356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g199937 (
-	.A(raddr[7]),
-	.B_N(n_4302),
-	.Y(n_4353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199939 (
-	.A(n_4301),
-	.B(n_4090),
-	.Y(n_4351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199940 (
-	.A(n_4092),
-	.B(n_4301),
-	.Y(n_4349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199941 (
-	.A(n_4299),
-	.B(n_4301),
-	.Y(n_4347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g199942 (
-	.A(raddr[4]),
-	.B_N(n_4305),
-	.Y(n_4345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199943 (
-	.A(raddr[4]),
-	.B(n_4304),
-	.Y(n_4343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g199944 (
-	.A(n_4305),
-	.B(raddr[4]),
-	.Y(n_4341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g199945 (
-	.A(n_4303),
-	.B(raddr[4]),
-	.Y(n_4339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_2 g199946 (
-	.A_N(n_6000),
-	.B(FE_OFN1174_n_3945),
-	.X(n_4337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_2 g199947 (
-	.A_N(n_6000),
-	.B(FE_OFN1190_n_4186),
-	.X(n_4336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_4 g199948 (
-	.A_N(n_6000),
-	.B(FE_OFN1179_n_3994),
-	.X(n_4335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_4 g199949 (
-	.A_N(n_6000),
-	.B(FE_OFN1196_n_4229),
-	.X(n_4334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g199950 (
-	.A(n_4333),
-	.Y(n_4332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g199951 (
-	.A(n_4331),
-	.Y(n_4330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g199952 (
-	.A(n_4329),
-	.Y(n_4328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g199953 (
-	.A(n_4326),
-	.Y(n_4325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g199954 (
-	.A(n_4322),
-	.Y(n_4323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g199955 (
-	.A(n_4317),
-	.Y(n_4316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199956 (
-	.A(n_4097),
-	.B(n_4297),
-	.Y(n_4333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199957 (
-	.A(n_4090),
-	.B(n_4102),
-	.Y(n_4331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199958 (
-	.A(n_4090),
-	.B(n_4099),
-	.Y(n_4329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199959 (
-	.A(n_4097),
-	.B(n_4100),
-	.Y(n_4327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g199960 (
-	.A(n_4299),
-	.B(n_4102),
-	.Y(n_4326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199961 (
-	.A(n_4100),
-	.B(n_4093),
-	.Y(n_4324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199962 (
-	.A(n_4092),
-	.B(n_4102),
-	.Y(n_4322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g199963 (
-	.A(n_4097),
-	.B(n_4101),
-	.Y(n_4321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199964 (
-	.A(FE_OFN1185_n_4082),
-	.B(n_3957),
-	.Y(n_4320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199965 (
-	.A(FE_OFN1185_n_4082),
-	.B(n_4115),
-	.Y(n_4319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199966 (
-	.A(FE_OFN1185_n_4082),
-	.B(n_4233),
-	.Y(n_4318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199967 (
-	.A(n_4299),
-	.B(n_4099),
-	.Y(n_4317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199968 (
-	.A(FE_OFN1185_n_4082),
-	.B(n_4008),
-	.Y(n_4315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199969 (
-	.A(n_4083),
-	.B(n_4008),
-	.Y(n_4314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199970 (
-	.A(n_4083),
-	.B(n_4233),
-	.Y(n_4313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199971 (
-	.A(FE_OFN1188_n_4083),
-	.B(n_3957),
-	.Y(n_4312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g199972 (
-	.A(FE_OFN1188_n_4083),
-	.B(n_4115),
-	.Y(n_4311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g199973 (
-	.A(FE_OFN1180_n_3994),
-	.B(n_4084),
-	.X(n_4310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g199974 (
-	.A(FE_OFN1199_n_4229),
-	.B(n_4084),
-	.X(n_4309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g199975 (
-	.A(FE_OFN1191_n_4186),
-	.B(n_4084),
-	.X(n_4308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g199976 (
-	.A(FE_OFN1174_n_3945),
-	.B(n_4084),
-	.X(n_4307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g199977 (
-	.A(n_4303),
-	.Y(n_4304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g199980 (
-	.A(n_4297),
-	.Y(n_4296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g199981 (
-	.A(n_4295),
-	.Y(n_4294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g199982 (
-	.A(n_4292),
-	.Y(n_4291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g199983 (
-	.A(n_4290),
-	.Y(n_4289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g200083 (
-	.A(FE_OFN1201_n_4229),
-	.Y(n_4233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g200168 (
-	.A(raddr[8]),
-	.B(n_3941),
-	.Y(n_4306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g200169 (
-	.A(raddr[8]),
-	.B(raddr[3]),
-	.Y(n_4305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g200170 (
-	.A(raddr[8]),
-	.B(n_3942),
-	.Y(n_4303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g200171 (
-	.A(raddr[2]),
-	.B(raddr[8]),
-	.Y(n_4302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g200172 (
-	.A(raddr[6]),
-	.B(raddr[4]),
-	.Y(n_4301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g200173 (
-	.A(raddr[5]),
-	.B(raddr[6]),
-	.Y(n_6000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g200174 (
-	.A(raddr[0]),
-	.B(raddr[5]),
-	.Y(n_4299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g200175 (
-	.A(raddr[4]),
-	.B(raddr[6]),
-	.Y(n_4297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g200176 (
-	.A(raddr[2]),
-	.B(raddr[1]),
-	.Y(n_4295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g200177 (
-	.A(raddr[1]),
-	.B(raddr[2]),
-	.Y(n_4293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g200178 (
-	.A(raddr[1]),
-	.B(raddr[3]),
-	.Y(n_4292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g200179 (
-	.A(raddr[3]),
-	.B(raddr[1]),
-	.Y(n_4290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g200180 (
-	.A(raddr[7]),
-	.B(raddr[0]),
-	.Y(n_4229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g200181 (
-	.A(n_3938),
-	.B(n_3937),
-	.Y(n_4186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g200182 (
-	.A(n_4101),
-	.Y(n_4102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g200183 (
-	.A(n_4100),
-	.Y(n_4099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g200184 (
-	.A(n_4096),
-	.Y(n_4097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g200185 (
-	.A(n_4094),
-	.Y(n_4095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g200186 (
-	.A(n_4093),
-	.Y(n_4092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g200187 (
-	.A(n_4091),
-	.Y(n_4090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g200188 (
-	.A(n_4089),
-	.Y(n_4088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g200189 (
-	.A(n_4087),
-	.Y(n_4086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g200190 (
-	.A(n_4085),
-	.Y(n_4084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g200328 (
-	.A(raddr[4]),
-	.B(n_3936),
-	.Y(n_4101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g200329 (
-	.A(raddr[6]),
-	.B(n_3939),
-	.Y(n_4100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g200330 (
-	.A(raddr[1]),
-	.B(n_3941),
-	.Y(n_4098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g200331 (
-	.A(n_3937),
-	.B(raddr[5]),
-	.Y(n_4096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g200332 (
-	.A(n_3941),
-	.B(raddr[1]),
-	.Y(n_4094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g200333 (
-	.A(raddr[5]),
-	.B(n_3937),
-	.Y(n_4093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g200334 (
-	.A(raddr[5]),
-	.B(raddr[0]),
-	.Y(n_4091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g200335 (
-	.A(raddr[1]),
-	.B(n_3942),
-	.Y(n_4089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g200336 (
-	.A(n_3942),
-	.B(raddr[1]),
-	.Y(n_4087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g200337 (
-	.A(n_3940),
-	.B(raddr[6]),
-	.Y(n_4085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_4 g200338 (
-	.A(raddr[6]),
-	.B(raddr[5]),
-	.X(n_4083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2b_4 g200339 (
-	.A(raddr[6]),
-	.B_N(raddr[5]),
-	.X(n_4082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g200340 (
-	.A(raddr[7]),
-	.B(n_3937),
-	.Y(n_3994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g200341 (
-	.A(raddr[0]),
-	.B(n_3938),
-	.Y(n_3945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 buffer_empty_reg (
-	.CLK(CTS_23),
-	.D(n_0),
-	.Q(raddr[8]),
-	.RESET_B(FE_OFN106_system_rst_ni),
-	.SCD(raddr[8]),
-	.SCE(n_3405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 buffer_full_reg (
-	.CLK(CTS_23),
-	.D(n_8813),
-	.Q(buffer_full_217),
-	.RESET_B(FE_OFN106_system_rst_ni),
-	.SCD(FE_OFN1400_n_11),
-	.SCE(n_1809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[0][0]  (
-	.CLK(CTS_21),
-	.D(n_11),
-	.Q(\fifo_buffer[0] [0]),
-	.RESET_B(FE_OFN121_system_rst_ni),
-	.SCD(\fifo_buffer[0] [0]),
-	.SCE(n_2391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[0][1]  (
-	.CLK(CTS_21),
-	.D(n_3583),
-	.Q(\fifo_buffer[0] [1]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[0][2]  (
-	.CLK(CTS_21),
-	.D(n_3582),
-	.Q(\fifo_buffer[0] [2]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[0][3]  (
-	.CLK(CTS_21),
-	.D(n_3581),
-	.Q(\fifo_buffer[0] [3]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[0][4]  (
-	.CLK(CTS_21),
-	.D(n_3580),
-	.Q(\fifo_buffer[0] [4]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[0][5]  (
-	.CLK(CTS_21),
-	.D(n_3579),
-	.Q(\fifo_buffer[0] [5]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[0][6]  (
-	.CLK(CTS_21),
-	.D(n_3578),
-	.Q(\fifo_buffer[0] [6]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[0][7]  (
-	.CLK(CTS_2),
-	.D(n_3577),
-	.Q(\fifo_buffer[0] [7]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[0][8]  (
-	.CLK(CTS_21),
-	.D(n_3576),
-	.Q(\fifo_buffer[0] [8]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[1][0]  (
-	.CLK(CTS_21),
-	.D(n_11),
-	.Q(\fifo_buffer[1] [0]),
-	.RESET_B(FE_OFN121_system_rst_ni),
-	.SCD(\fifo_buffer[1] [0]),
-	.SCE(n_2410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[1][1]  (
-	.CLK(CTS_21),
-	.D(n_3575),
-	.Q(\fifo_buffer[1] [1]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[1][2]  (
-	.CLK(CTS_21),
-	.D(n_3573),
-	.Q(\fifo_buffer[1] [2]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[1][3]  (
-	.CLK(CTS_2),
-	.D(n_3572),
-	.Q(\fifo_buffer[1] [3]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[1][4]  (
-	.CLK(CTS_21),
-	.D(n_3919),
-	.Q(\fifo_buffer[1] [4]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[1][5]  (
-	.CLK(CTS_21),
-	.D(n_3571),
-	.Q(\fifo_buffer[1] [5]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[1][6]  (
-	.CLK(CTS_21),
-	.D(n_3570),
-	.Q(\fifo_buffer[1] [6]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[1][7]  (
-	.CLK(CTS_2),
-	.D(n_3569),
-	.Q(\fifo_buffer[1] [7]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[1][8]  (
-	.CLK(CTS_2),
-	.D(n_3568),
-	.Q(\fifo_buffer[1] [8]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[2][0]  (
-	.CLK(CTS_3),
-	.D(FE_OFN1399_n_11),
-	.Q(\fifo_buffer[2] [0]),
-	.RESET_B(FE_OFN119_system_rst_ni),
-	.SCD(\fifo_buffer[2] [0]),
-	.SCE(n_2419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[2][1]  (
-	.CLK(CTS_6),
-	.D(n_3566),
-	.Q(\fifo_buffer[2] [1]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[2][2]  (
-	.CLK(CTS_6),
-	.D(n_3567),
-	.Q(\fifo_buffer[2] [2]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[2][3]  (
-	.CLK(CTS_6),
-	.D(n_3565),
-	.Q(\fifo_buffer[2] [3]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[2][4]  (
-	.CLK(CTS_6),
-	.D(n_3564),
-	.Q(\fifo_buffer[2] [4]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[2][5]  (
-	.CLK(CTS_6),
-	.D(n_3563),
-	.Q(\fifo_buffer[2] [5]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[2][6]  (
-	.CLK(CTS_3),
-	.D(n_3562),
-	.Q(\fifo_buffer[2] [6]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[2][7]  (
-	.CLK(CTS_6),
-	.D(n_3561),
-	.Q(\fifo_buffer[2] [7]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[2][8]  (
-	.CLK(CTS_6),
-	.D(n_3560),
-	.Q(\fifo_buffer[2] [8]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[3][0]  (
-	.CLK(CTS_3),
-	.D(n_11),
-	.Q(\fifo_buffer[3] [0]),
-	.RESET_B(FE_OFN119_system_rst_ni),
-	.SCD(\fifo_buffer[3] [0]),
-	.SCE(n_2451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[3][1]  (
-	.CLK(CTS_6),
-	.D(n_3559),
-	.Q(\fifo_buffer[3] [1]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[3][2]  (
-	.CLK(CTS_6),
-	.D(n_3558),
-	.Q(\fifo_buffer[3] [2]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[3][3]  (
-	.CLK(CTS_6),
-	.D(n_3557),
-	.Q(\fifo_buffer[3] [3]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[3][4]  (
-	.CLK(CTS_6),
-	.D(n_3556),
-	.Q(\fifo_buffer[3] [4]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[3][5]  (
-	.CLK(CTS_6),
-	.D(n_3555),
-	.Q(\fifo_buffer[3] [5]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[3][6]  (
-	.CLK(CTS_3),
-	.D(n_3554),
-	.Q(\fifo_buffer[3] [6]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[3][7]  (
-	.CLK(CTS_6),
-	.D(n_3553),
-	.Q(\fifo_buffer[3] [7]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[3][8]  (
-	.CLK(CTS_6),
-	.D(n_3552),
-	.Q(\fifo_buffer[3] [8]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[4][0]  (
-	.CLK(CTS_9),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[4] [0]),
-	.RESET_B(FE_OFN63_system_rst_ni),
-	.SCD(\fifo_buffer[4] [0]),
-	.SCE(n_2427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[4][1]  (
-	.CLK(CTS_9),
-	.D(n_3551),
-	.Q(\fifo_buffer[4] [1]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[4][2]  (
-	.CLK(CTS_9),
-	.D(n_3550),
-	.Q(\fifo_buffer[4] [2]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[4][3]  (
-	.CLK(CTS_9),
-	.D(n_3549),
-	.Q(\fifo_buffer[4] [3]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[4][4]  (
-	.CLK(CTS_9),
-	.D(n_3545),
-	.Q(\fifo_buffer[4] [4]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[4][5]  (
-	.CLK(CTS_9),
-	.D(n_3548),
-	.Q(\fifo_buffer[4] [5]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[4][6]  (
-	.CLK(CTS_9),
-	.D(n_3547),
-	.Q(\fifo_buffer[4] [6]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[4][7]  (
-	.CLK(CTS_9),
-	.D(n_3546),
-	.Q(\fifo_buffer[4] [7]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[4][8]  (
-	.CLK(CTS_9),
-	.D(n_3544),
-	.Q(\fifo_buffer[4] [8]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[5][0]  (
-	.CLK(CTS_11),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[5] [0]),
-	.RESET_B(FE_OFN130_system_rst_ni),
-	.SCD(\fifo_buffer[5] [0]),
-	.SCE(n_2457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[5][1]  (
-	.CLK(CTS_9),
-	.D(n_3543),
-	.Q(\fifo_buffer[5] [1]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[5][2]  (
-	.CLK(CTS_11),
-	.D(n_3542),
-	.Q(\fifo_buffer[5] [2]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[5][3]  (
-	.CLK(CTS_9),
-	.D(n_3541),
-	.Q(\fifo_buffer[5] [3]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[5][4]  (
-	.CLK(CTS_9),
-	.D(n_3540),
-	.Q(\fifo_buffer[5] [4]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[5][5]  (
-	.CLK(CTS_9),
-	.D(n_3539),
-	.Q(\fifo_buffer[5] [5]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[5][6]  (
-	.CLK(CTS_9),
-	.D(n_3538),
-	.Q(\fifo_buffer[5] [6]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[5][7]  (
-	.CLK(CTS_9),
-	.D(n_3537),
-	.Q(\fifo_buffer[5] [7]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[5][8]  (
-	.CLK(CTS_9),
-	.D(n_3536),
-	.Q(\fifo_buffer[5] [8]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[6][0]  (
-	.CLK(CTS_30),
-	.D(FE_OFN1404_n_11),
-	.Q(\fifo_buffer[6] [0]),
-	.RESET_B(FE_OFN59_system_rst_ni),
-	.SCD(\fifo_buffer[6] [0]),
-	.SCE(n_2458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[6][1]  (
-	.CLK(CTS_30),
-	.D(n_3534),
-	.Q(\fifo_buffer[6] [1]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[6][2]  (
-	.CLK(CTS_30),
-	.D(n_3535),
-	.Q(\fifo_buffer[6] [2]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[6][3]  (
-	.CLK(CTS_30),
-	.D(n_3533),
-	.Q(\fifo_buffer[6] [3]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[6][4]  (
-	.CLK(CTS_30),
-	.D(n_3532),
-	.Q(\fifo_buffer[6] [4]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[6][5]  (
-	.CLK(CTS_13),
-	.D(n_3531),
-	.Q(\fifo_buffer[6] [5]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[6][6]  (
-	.CLK(CTS_30),
-	.D(n_3530),
-	.Q(\fifo_buffer[6] [6]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[6][7]  (
-	.CLK(CTS_13),
-	.D(n_3529),
-	.Q(\fifo_buffer[6] [7]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[6][8]  (
-	.CLK(CTS_30),
-	.D(n_3527),
-	.Q(\fifo_buffer[6] [8]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[7][0]  (
-	.CLK(CTS_30),
-	.D(\fifo_buffer[7] [0]),
-	.Q(\fifo_buffer[7] [0]),
-	.RESET_B(FE_OFN59_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_2428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[7][1]  (
-	.CLK(CTS_30),
-	.D(n_3528),
-	.Q(\fifo_buffer[7] [1]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[7][2]  (
-	.CLK(CTS_30),
-	.D(n_3526),
-	.Q(\fifo_buffer[7] [2]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[7][3]  (
-	.CLK(CTS_30),
-	.D(n_3525),
-	.Q(\fifo_buffer[7] [3]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[7][4]  (
-	.CLK(CTS_27),
-	.D(n_3524),
-	.Q(\fifo_buffer[7] [4]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[7][5]  (
-	.CLK(CTS_13),
-	.D(n_3523),
-	.Q(\fifo_buffer[7] [5]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[7][6]  (
-	.CLK(CTS_30),
-	.D(n_3522),
-	.Q(\fifo_buffer[7] [6]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[7][7]  (
-	.CLK(CTS_13),
-	.D(n_3521),
-	.Q(\fifo_buffer[7] [7]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[7][8]  (
-	.CLK(CTS_30),
-	.D(n_3520),
-	.Q(\fifo_buffer[7] [8]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[8][0]  (
-	.CLK(CTS_21),
-	.D(n_11),
-	.Q(\fifo_buffer[8] [0]),
-	.RESET_B(FE_OFN121_system_rst_ni),
-	.SCD(\fifo_buffer[8] [0]),
-	.SCE(n_2411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[8][1]  (
-	.CLK(CTS_1),
-	.D(n_3519),
-	.Q(\fifo_buffer[8] [1]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[8][2]  (
-	.CLK(CTS_1),
-	.D(n_3518),
-	.Q(\fifo_buffer[8] [2]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[8][3]  (
-	.CLK(CTS_2),
-	.D(n_3517),
-	.Q(\fifo_buffer[8] [3]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[8][4]  (
-	.CLK(CTS_2),
-	.D(n_3516),
-	.Q(\fifo_buffer[8] [4]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[8][5]  (
-	.CLK(CTS_2),
-	.D(n_3515),
-	.Q(\fifo_buffer[8] [5]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[8][6]  (
-	.CLK(CTS_2),
-	.D(n_3514),
-	.Q(\fifo_buffer[8] [6]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[8][7]  (
-	.CLK(CTS_2),
-	.D(n_3513),
-	.Q(\fifo_buffer[8] [7]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[8][8]  (
-	.CLK(CTS_2),
-	.D(n_3512),
-	.Q(\fifo_buffer[8] [8]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[9][0]  (
-	.CLK(CTS_21),
-	.D(n_11),
-	.Q(\fifo_buffer[9] [0]),
-	.RESET_B(FE_OFN121_system_rst_ni),
-	.SCD(\fifo_buffer[9] [0]),
-	.SCE(n_2448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[9][1]  (
-	.CLK(CTS_1),
-	.D(n_3511),
-	.Q(\fifo_buffer[9] [1]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[9][2]  (
-	.CLK(CTS_2),
-	.D(n_3510),
-	.Q(\fifo_buffer[9] [2]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[9][3]  (
-	.CLK(CTS_2),
-	.D(n_3507),
-	.Q(\fifo_buffer[9] [3]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[9][4]  (
-	.CLK(CTS_2),
-	.D(n_3509),
-	.Q(\fifo_buffer[9] [4]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[9][5]  (
-	.CLK(CTS_2),
-	.D(n_3508),
-	.Q(\fifo_buffer[9] [5]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[9][6]  (
-	.CLK(CTS_2),
-	.D(n_3506),
-	.Q(\fifo_buffer[9] [6]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[9][7]  (
-	.CLK(CTS_2),
-	.D(n_3505),
-	.Q(\fifo_buffer[9] [7]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[9][8]  (
-	.CLK(CTS_3),
-	.D(n_3504),
-	.Q(\fifo_buffer[9] [8]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[10][0]  (
-	.CLK(CTS_22),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[10] [0]),
-	.RESET_B(FE_OFN54_system_rst_ni),
-	.SCD(\fifo_buffer[10] [0]),
-	.SCE(n_2455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[10][1]  (
-	.CLK(CTS_12),
-	.D(n_3503),
-	.Q(\fifo_buffer[10] [1]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[10][2]  (
-	.CLK(CTS_12),
-	.D(n_3502),
-	.Q(\fifo_buffer[10] [2]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[10][3]  (
-	.CLK(CTS_12),
-	.D(n_3501),
-	.Q(\fifo_buffer[10] [3]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[10][4]  (
-	.CLK(CTS_12),
-	.D(n_3500),
-	.Q(\fifo_buffer[10] [4]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[10][5]  (
-	.CLK(CTS_12),
-	.D(n_3499),
-	.Q(\fifo_buffer[10] [5]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[10][6]  (
-	.CLK(CTS_12),
-	.D(n_3498),
-	.Q(\fifo_buffer[10] [6]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[10][7]  (
-	.CLK(CTS_12),
-	.D(n_3497),
-	.Q(\fifo_buffer[10] [7]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[10][8]  (
-	.CLK(CTS_12),
-	.D(n_3496),
-	.Q(\fifo_buffer[10] [8]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[11][0]  (
-	.CLK(CTS_12),
-	.D(\fifo_buffer[11] [0]),
-	.Q(\fifo_buffer[11] [0]),
-	.RESET_B(FE_OFN130_system_rst_ni),
-	.SCD(FE_OFN1402_n_11),
-	.SCE(n_2440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[11][1]  (
-	.CLK(CTS_12),
-	.D(n_3495),
-	.Q(\fifo_buffer[11] [1]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[11][2]  (
-	.CLK(CTS_12),
-	.D(n_3494),
-	.Q(\fifo_buffer[11] [2]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[11][3]  (
-	.CLK(CTS_12),
-	.D(n_3493),
-	.Q(\fifo_buffer[11] [3]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[11][4]  (
-	.CLK(CTS_12),
-	.D(n_3492),
-	.Q(\fifo_buffer[11] [4]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[11][5]  (
-	.CLK(CTS_12),
-	.D(n_3491),
-	.Q(\fifo_buffer[11] [5]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[11][6]  (
-	.CLK(CTS_12),
-	.D(n_3490),
-	.Q(\fifo_buffer[11] [6]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[11][7]  (
-	.CLK(CTS_12),
-	.D(n_3489),
-	.Q(\fifo_buffer[11] [7]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[11][8]  (
-	.CLK(CTS_12),
-	.D(n_3488),
-	.Q(\fifo_buffer[11] [8]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[12][0]  (
-	.CLK(CTS_25),
-	.D(FE_OFN1404_n_11),
-	.Q(\fifo_buffer[12] [0]),
-	.RESET_B(FE_OFN99_system_rst_ni),
-	.SCD(\fifo_buffer[12] [0]),
-	.SCE(n_2459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[12][1]  (
-	.CLK(CTS_24),
-	.D(n_3487),
-	.Q(\fifo_buffer[12] [1]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[12][2]  (
-	.CLK(CTS_25),
-	.D(n_3486),
-	.Q(\fifo_buffer[12] [2]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[12][3]  (
-	.CLK(CTS_31),
-	.D(n_3485),
-	.Q(\fifo_buffer[12] [3]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[12][4]  (
-	.CLK(CTS_24),
-	.D(n_3484),
-	.Q(\fifo_buffer[12] [4]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[12][5]  (
-	.CLK(CTS_31),
-	.D(n_3483),
-	.Q(\fifo_buffer[12] [5]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[12][6]  (
-	.CLK(CTS_25),
-	.D(n_3480),
-	.Q(\fifo_buffer[12] [6]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[12][7]  (
-	.CLK(CTS_25),
-	.D(n_3482),
-	.Q(\fifo_buffer[12] [7]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[12][8]  (
-	.CLK(CTS_31),
-	.D(n_3481),
-	.Q(\fifo_buffer[12] [8]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[13][0]  (
-	.CLK(CTS_25),
-	.D(\fifo_buffer[13] [0]),
-	.Q(\fifo_buffer[13] [0]),
-	.RESET_B(FE_OFN99_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_2436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[13][1]  (
-	.CLK(CTS_31),
-	.D(n_3479),
-	.Q(\fifo_buffer[13] [1]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[13][2]  (
-	.CLK(CTS_31),
-	.D(n_3478),
-	.Q(\fifo_buffer[13] [2]),
-	.RESET_B(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[13][3]  (
-	.CLK(CTS_31),
-	.D(n_3477),
-	.Q(\fifo_buffer[13] [3]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[13][4]  (
-	.CLK(CTS_31),
-	.D(n_3476),
-	.Q(\fifo_buffer[13] [4]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[13][5]  (
-	.CLK(CTS_31),
-	.D(n_3475),
-	.Q(\fifo_buffer[13] [5]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[13][6]  (
-	.CLK(CTS_31),
-	.D(n_3474),
-	.Q(\fifo_buffer[13] [6]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[13][7]  (
-	.CLK(CTS_25),
-	.D(n_3473),
-	.Q(\fifo_buffer[13] [7]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[13][8]  (
-	.CLK(CTS_25),
-	.D(n_3472),
-	.Q(\fifo_buffer[13] [8]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[14][0]  (
-	.CLK(CTS_18),
-	.D(\fifo_buffer[14] [0]),
-	.Q(\fifo_buffer[14] [0]),
-	.RESET_B(FE_OFN61_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_2422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[14][1]  (
-	.CLK(CTS_19),
-	.D(n_3471),
-	.Q(\fifo_buffer[14] [1]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[14][2]  (
-	.CLK(CTS_19),
-	.D(n_3469),
-	.Q(\fifo_buffer[14] [2]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[14][3]  (
-	.CLK(CTS_18),
-	.D(n_3468),
-	.Q(\fifo_buffer[14] [3]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[14][4]  (
-	.CLK(CTS_19),
-	.D(n_3470),
-	.Q(\fifo_buffer[14] [4]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[14][5]  (
-	.CLK(CTS_19),
-	.D(n_3467),
-	.Q(\fifo_buffer[14] [5]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[14][6]  (
-	.CLK(CTS_19),
-	.D(n_3466),
-	.Q(\fifo_buffer[14] [6]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[14][7]  (
-	.CLK(CTS_19),
-	.D(n_3465),
-	.Q(\fifo_buffer[14] [7]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[14][8]  (
-	.CLK(CTS_19),
-	.D(n_3464),
-	.Q(\fifo_buffer[14] [8]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[15][0]  (
-	.CLK(CTS_19),
-	.D(\fifo_buffer[15] [0]),
-	.Q(\fifo_buffer[15] [0]),
-	.RESET_B(FE_OFN61_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_2403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[15][1]  (
-	.CLK(CTS_19),
-	.D(n_3463),
-	.Q(\fifo_buffer[15] [1]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[15][2]  (
-	.CLK(CTS_19),
-	.D(n_3462),
-	.Q(\fifo_buffer[15] [2]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[15][3]  (
-	.CLK(CTS_19),
-	.D(n_3461),
-	.Q(\fifo_buffer[15] [3]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[15][4]  (
-	.CLK(CTS_19),
-	.D(n_3460),
-	.Q(\fifo_buffer[15] [4]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[15][5]  (
-	.CLK(CTS_19),
-	.D(n_3459),
-	.Q(\fifo_buffer[15] [5]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[15][6]  (
-	.CLK(CTS_19),
-	.D(n_3458),
-	.Q(\fifo_buffer[15] [6]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[15][7]  (
-	.CLK(CTS_19),
-	.D(n_3457),
-	.Q(\fifo_buffer[15] [7]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[15][8]  (
-	.CLK(CTS_19),
-	.D(n_3456),
-	.Q(\fifo_buffer[15] [8]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[16][0]  (
-	.CLK(CTS_16),
-	.D(n_11),
-	.Q(\fifo_buffer[16] [0]),
-	.RESET_B(FE_OFN124_system_rst_ni),
-	.SCD(\fifo_buffer[16] [0]),
-	.SCE(n_754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[16][1]  (
-	.CLK(CTS_14),
-	.D(n_2910),
-	.Q(\fifo_buffer[16] [1]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[16][2]  (
-	.CLK(CTS_15),
-	.D(n_2906),
-	.Q(\fifo_buffer[16] [2]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[16][3]  (
-	.CLK(CTS_15),
-	.D(n_2905),
-	.Q(\fifo_buffer[16] [3]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[16][4]  (
-	.CLK(CTS_15),
-	.D(n_2902),
-	.Q(\fifo_buffer[16] [4]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[16][5]  (
-	.CLK(CTS_14),
-	.D(n_2899),
-	.Q(\fifo_buffer[16] [5]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[16][6]  (
-	.CLK(CTS_15),
-	.D(n_2898),
-	.Q(\fifo_buffer[16] [6]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[16][7]  (
-	.CLK(CTS_15),
-	.D(n_2896),
-	.Q(\fifo_buffer[16] [7]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[16][8]  (
-	.CLK(CTS_15),
-	.D(n_2893),
-	.Q(\fifo_buffer[16] [8]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[17][0]  (
-	.CLK(CTS_14),
-	.D(n_11),
-	.Q(\fifo_buffer[17] [0]),
-	.RESET_B(FE_OFN124_system_rst_ni),
-	.SCD(\fifo_buffer[17] [0]),
-	.SCE(n_701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[17][1]  (
-	.CLK(CTS_14),
-	.D(n_2894),
-	.Q(\fifo_buffer[17] [1]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[17][2]  (
-	.CLK(CTS_15),
-	.D(n_2889),
-	.Q(\fifo_buffer[17] [2]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[17][3]  (
-	.CLK(CTS_15),
-	.D(n_2884),
-	.Q(\fifo_buffer[17] [3]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[17][4]  (
-	.CLK(CTS_15),
-	.D(n_2881),
-	.Q(\fifo_buffer[17] [4]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[17][5]  (
-	.CLK(CTS_14),
-	.D(n_2867),
-	.Q(\fifo_buffer[17] [5]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[17][6]  (
-	.CLK(CTS_15),
-	.D(n_2864),
-	.Q(\fifo_buffer[17] [6]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[17][7]  (
-	.CLK(CTS_15),
-	.D(n_2879),
-	.Q(\fifo_buffer[17] [7]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[17][8]  (
-	.CLK(CTS_15),
-	.D(n_2875),
-	.Q(\fifo_buffer[17] [8]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[18][0]  (
-	.CLK(CTS_1),
-	.D(n_11),
-	.Q(\fifo_buffer[18] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(\fifo_buffer[18] [0]),
-	.SCE(n_683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[18][1]  (
-	.CLK(CTS_1),
-	.D(n_2866),
-	.Q(\fifo_buffer[18] [1]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[18][2]  (
-	.CLK(CTS_1),
-	.D(n_2863),
-	.Q(\fifo_buffer[18] [2]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[18][3]  (
-	.CLK(CTS_3),
-	.D(n_2859),
-	.Q(\fifo_buffer[18] [3]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[18][4]  (
-	.CLK(CTS_3),
-	.D(n_2857),
-	.Q(\fifo_buffer[18] [4]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[18][5]  (
-	.CLK(CTS_1),
-	.D(n_2854),
-	.Q(\fifo_buffer[18] [5]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[18][6]  (
-	.CLK(CTS_3),
-	.D(n_2852),
-	.Q(\fifo_buffer[18] [6]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[18][7]  (
-	.CLK(CTS_1),
-	.D(n_2848),
-	.Q(\fifo_buffer[18] [7]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[18][8]  (
-	.CLK(CTS_3),
-	.D(n_2847),
-	.Q(\fifo_buffer[18] [8]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[19][0]  (
-	.CLK(CTS_22),
-	.D(\fifo_buffer[19] [0]),
-	.Q(\fifo_buffer[19] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(n_11),
-	.SCE(n_688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[19][1]  (
-	.CLK(CTS_1),
-	.D(n_2841),
-	.Q(\fifo_buffer[19] [1]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[19][2]  (
-	.CLK(CTS_1),
-	.D(n_2832),
-	.Q(\fifo_buffer[19] [2]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[19][3]  (
-	.CLK(CTS_3),
-	.D(n_2839),
-	.Q(\fifo_buffer[19] [3]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[19][4]  (
-	.CLK(CTS_3),
-	.D(n_2835),
-	.Q(\fifo_buffer[19] [4]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[19][5]  (
-	.CLK(CTS_1),
-	.D(n_2830),
-	.Q(\fifo_buffer[19] [5]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[19][6]  (
-	.CLK(CTS_3),
-	.D(n_2828),
-	.Q(\fifo_buffer[19] [6]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[19][7]  (
-	.CLK(CTS_1),
-	.D(n_2826),
-	.Q(\fifo_buffer[19] [7]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[19][8]  (
-	.CLK(CTS_3),
-	.D(n_2824),
-	.Q(\fifo_buffer[19] [8]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[20][0]  (
-	.CLK(CTS_18),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[20] [0]),
-	.RESET_B(FE_OFN137_system_rst_ni),
-	.SCD(\fifo_buffer[20] [0]),
-	.SCE(n_671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[20][1]  (
-	.CLK(CTS_18),
-	.D(n_2813),
-	.Q(\fifo_buffer[20] [1]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[20][2]  (
-	.CLK(CTS_10),
-	.D(n_2816),
-	.Q(\fifo_buffer[20] [2]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[20][3]  (
-	.CLK(CTS_18),
-	.D(n_2812),
-	.Q(\fifo_buffer[20] [3]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[20][4]  (
-	.CLK(CTS_18),
-	.D(n_2807),
-	.Q(\fifo_buffer[20] [4]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[20][5]  (
-	.CLK(CTS_18),
-	.D(n_2806),
-	.Q(\fifo_buffer[20] [5]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[20][6]  (
-	.CLK(CTS_18),
-	.D(n_2804),
-	.Q(\fifo_buffer[20] [6]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[20][7]  (
-	.CLK(CTS_18),
-	.D(n_2802),
-	.Q(\fifo_buffer[20] [7]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[20][8]  (
-	.CLK(CTS_18),
-	.D(n_2794),
-	.Q(\fifo_buffer[20] [8]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[21][0]  (
-	.CLK(CTS_18),
-	.D(\fifo_buffer[21] [0]),
-	.Q(\fifo_buffer[21] [0]),
-	.RESET_B(FE_OFN64_system_rst_ni),
-	.SCD(FE_OFN1402_n_11),
-	.SCE(n_714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[21][1]  (
-	.CLK(CTS_18),
-	.D(n_2793),
-	.Q(\fifo_buffer[21] [1]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[21][2]  (
-	.CLK(CTS_18),
-	.D(n_2791),
-	.Q(\fifo_buffer[21] [2]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[21][3]  (
-	.CLK(CTS_18),
-	.D(n_2788),
-	.Q(\fifo_buffer[21] [3]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[21][4]  (
-	.CLK(CTS_18),
-	.D(n_2785),
-	.Q(\fifo_buffer[21] [4]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[21][5]  (
-	.CLK(CTS_18),
-	.D(n_2784),
-	.Q(\fifo_buffer[21] [5]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[21][6]  (
-	.CLK(CTS_18),
-	.D(n_2780),
-	.Q(\fifo_buffer[21] [6]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[21][7]  (
-	.CLK(CTS_18),
-	.D(n_2778),
-	.Q(\fifo_buffer[21] [7]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[21][8]  (
-	.CLK(CTS_18),
-	.D(n_2776),
-	.Q(\fifo_buffer[21] [8]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[22][0]  (
-	.CLK(CTS_24),
-	.D(\fifo_buffer[22] [0]),
-	.Q(\fifo_buffer[22] [0]),
-	.RESET_B(FE_OFN96_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[22][1]  (
-	.CLK(CTS_26),
-	.D(n_2769),
-	.Q(\fifo_buffer[22] [1]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[22][2]  (
-	.CLK(CTS_26),
-	.D(n_2767),
-	.Q(\fifo_buffer[22] [2]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[22][3]  (
-	.CLK(clk_i_clone2),
-	.D(n_2765),
-	.Q(\fifo_buffer[22] [3]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[22][4]  (
-	.CLK(clk_i_clone2),
-	.D(n_2761),
-	.Q(\fifo_buffer[22] [4]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[22][5]  (
-	.CLK(CTS_26),
-	.D(n_2752),
-	.Q(\fifo_buffer[22] [5]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[22][6]  (
-	.CLK(CTS_26),
-	.D(n_2758),
-	.Q(\fifo_buffer[22] [6]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[22][7]  (
-	.CLK(clk_i_clone2),
-	.D(n_2755),
-	.Q(\fifo_buffer[22] [7]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[22][8]  (
-	.CLK(CTS_26),
-	.D(n_2751),
-	.Q(\fifo_buffer[22] [8]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[23][0]  (
-	.CLK(CTS_24),
-	.D(\fifo_buffer[23] [0]),
-	.Q(\fifo_buffer[23] [0]),
-	.RESET_B(FE_OFN96_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[23][1]  (
-	.CLK(clk_i_clone2),
-	.D(n_2745),
-	.Q(\fifo_buffer[23] [1]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[23][2]  (
-	.CLK(CTS_26),
-	.D(n_2744),
-	.Q(\fifo_buffer[23] [2]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[23][3]  (
-	.CLK(clk_i_clone2),
-	.D(n_2740),
-	.Q(\fifo_buffer[23] [3]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[23][4]  (
-	.CLK(CTS_26),
-	.D(n_2738),
-	.Q(\fifo_buffer[23] [4]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[23][5]  (
-	.CLK(CTS_26),
-	.D(n_2736),
-	.Q(\fifo_buffer[23] [5]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[23][6]  (
-	.CLK(CTS_26),
-	.D(n_2733),
-	.Q(\fifo_buffer[23] [6]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[23][7]  (
-	.CLK(CTS_26),
-	.D(n_2730),
-	.Q(\fifo_buffer[23] [7]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[23][8]  (
-	.CLK(CTS_26),
-	.D(n_2726),
-	.Q(\fifo_buffer[23] [8]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[24][0]  (
-	.CLK(CTS_8),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[24] [0]),
-	.RESET_B(FE_OFN128_system_rst_ni),
-	.SCD(\fifo_buffer[24] [0]),
-	.SCE(n_698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[24][1]  (
-	.CLK(CTS_12),
-	.D(n_2721),
-	.Q(\fifo_buffer[24] [1]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[24][2]  (
-	.CLK(CTS_12),
-	.D(n_2720),
-	.Q(\fifo_buffer[24] [2]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[24][3]  (
-	.CLK(CTS_8),
-	.D(n_2714),
-	.Q(\fifo_buffer[24] [3]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[24][4]  (
-	.CLK(CTS_12),
-	.D(n_2712),
-	.Q(\fifo_buffer[24] [4]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[24][5]  (
-	.CLK(CTS_8),
-	.D(n_2710),
-	.Q(\fifo_buffer[24] [5]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[24][6]  (
-	.CLK(CTS_8),
-	.D(n_2707),
-	.Q(\fifo_buffer[24] [6]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[24][7]  (
-	.CLK(CTS_12),
-	.D(n_2706),
-	.Q(\fifo_buffer[24] [7]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[24][8]  (
-	.CLK(CTS_12),
-	.D(n_2703),
-	.Q(\fifo_buffer[24] [8]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[25][0]  (
-	.CLK(CTS_12),
-	.D(\fifo_buffer[25] [0]),
-	.Q(\fifo_buffer[25] [0]),
-	.RESET_B(FE_OFN128_system_rst_ni),
-	.SCD(FE_OFN1402_n_11),
-	.SCE(n_684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[25][1]  (
-	.CLK(CTS_12),
-	.D(n_2697),
-	.Q(\fifo_buffer[25] [1]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[25][2]  (
-	.CLK(CTS_12),
-	.D(n_2696),
-	.Q(\fifo_buffer[25] [2]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[25][3]  (
-	.CLK(CTS_12),
-	.D(n_2694),
-	.Q(\fifo_buffer[25] [3]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[25][4]  (
-	.CLK(CTS_12),
-	.D(n_2690),
-	.Q(\fifo_buffer[25] [4]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[25][5]  (
-	.CLK(CTS_12),
-	.D(n_2686),
-	.Q(\fifo_buffer[25] [5]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[25][6]  (
-	.CLK(CTS_12),
-	.D(n_2684),
-	.Q(\fifo_buffer[25] [6]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[25][7]  (
-	.CLK(CTS_12),
-	.D(n_2683),
-	.Q(\fifo_buffer[25] [7]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[25][8]  (
-	.CLK(CTS_12),
-	.D(n_2679),
-	.Q(\fifo_buffer[25] [8]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[26][0]  (
-	.CLK(CTS_23),
-	.D(\fifo_buffer[26] [0]),
-	.Q(\fifo_buffer[26] [0]),
-	.RESET_B(FE_OFN106_system_rst_ni),
-	.SCD(FE_OFN1399_n_11),
-	.SCE(n_702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[26][1]  (
-	.CLK(clk_i_clone5),
-	.D(n_2673),
-	.Q(\fifo_buffer[26] [1]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[26][2]  (
-	.CLK(clk_i_clone5),
-	.D(n_2672),
-	.Q(\fifo_buffer[26] [2]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[26][3]  (
-	.CLK(clk_i_clone5),
-	.D(n_2667),
-	.Q(\fifo_buffer[26] [3]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[26][4]  (
-	.CLK(clk_i_clone5),
-	.D(n_2666),
-	.Q(\fifo_buffer[26] [4]),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[26][5]  (
-	.CLK(clk_i_clone5),
-	.D(n_2663),
-	.Q(\fifo_buffer[26] [5]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[26][6]  (
-	.CLK(clk_i_clone5),
-	.D(n_2660),
-	.Q(\fifo_buffer[26] [6]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[26][7]  (
-	.CLK(clk_i_clone5),
-	.D(n_2657),
-	.Q(\fifo_buffer[26] [7]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[26][8]  (
-	.CLK(clk_i_clone5),
-	.D(n_2655),
-	.Q(\fifo_buffer[26] [8]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[27][0]  (
-	.CLK(CTS_4),
-	.D(\fifo_buffer[27] [0]),
-	.Q(\fifo_buffer[27] [0]),
-	.RESET_B(FE_OFN47_system_rst_ni),
-	.SCD(FE_OFN1399_n_11),
-	.SCE(n_747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[27][1]  (
-	.CLK(clk_i_clone5),
-	.D(n_2649),
-	.Q(\fifo_buffer[27] [1]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[27][2]  (
-	.CLK(clk_i_clone5),
-	.D(n_2647),
-	.Q(\fifo_buffer[27] [2]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[27][3]  (
-	.CLK(clk_i_clone5),
-	.D(n_2645),
-	.Q(\fifo_buffer[27] [3]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[27][4]  (
-	.CLK(clk_i_clone5),
-	.D(n_2643),
-	.Q(\fifo_buffer[27] [4]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[27][5]  (
-	.CLK(clk_i_clone5),
-	.D(n_2639),
-	.Q(\fifo_buffer[27] [5]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[27][6]  (
-	.CLK(clk_i_clone5),
-	.D(n_2632),
-	.Q(\fifo_buffer[27] [6]),
-	.RESET_B(FE_OFN13_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[27][7]  (
-	.CLK(clk_i_clone5),
-	.D(n_2630),
-	.Q(\fifo_buffer[27] [7]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[27][8]  (
-	.CLK(clk_i_clone5),
-	.D(n_2634),
-	.Q(\fifo_buffer[27] [8]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[28][0]  (
-	.CLK(CTS_25),
-	.D(\fifo_buffer[28] [0]),
-	.Q(\fifo_buffer[28] [0]),
-	.RESET_B(FE_OFN99_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[28][1]  (
-	.CLK(clk_i_clone1),
-	.D(n_2626),
-	.Q(\fifo_buffer[28] [1]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[28][2]  (
-	.CLK(clk_i_clone1),
-	.D(n_2624),
-	.Q(\fifo_buffer[28] [2]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[28][3]  (
-	.CLK(clk_i_clone1),
-	.D(n_2621),
-	.Q(\fifo_buffer[28] [3]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[28][4]  (
-	.CLK(clk_i_clone1),
-	.D(n_2617),
-	.Q(\fifo_buffer[28] [4]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[28][5]  (
-	.CLK(CTS_4),
-	.D(n_2615),
-	.Q(\fifo_buffer[28] [5]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[28][6]  (
-	.CLK(clk_i_clone1),
-	.D(n_2612),
-	.Q(\fifo_buffer[28] [6]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[28][7]  (
-	.CLK(clk_i_clone1),
-	.D(n_2610),
-	.Q(\fifo_buffer[28] [7]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[28][8]  (
-	.CLK(CTS_25),
-	.D(n_2605),
-	.Q(\fifo_buffer[28] [8]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[29][0]  (
-	.CLK(CTS_25),
-	.D(\fifo_buffer[29] [0]),
-	.Q(\fifo_buffer[29] [0]),
-	.RESET_B(FE_OFN99_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[29][1]  (
-	.CLK(clk_i_clone1),
-	.D(n_2603),
-	.Q(\fifo_buffer[29] [1]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[29][2]  (
-	.CLK(clk_i_clone1),
-	.D(n_2600),
-	.Q(\fifo_buffer[29] [2]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[29][3]  (
-	.CLK(clk_i_clone1),
-	.D(n_2590),
-	.Q(\fifo_buffer[29] [3]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[29][4]  (
-	.CLK(clk_i_clone1),
-	.D(n_2597),
-	.Q(\fifo_buffer[29] [4]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[29][5]  (
-	.CLK(clk_i_clone1),
-	.D(n_2593),
-	.Q(\fifo_buffer[29] [5]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[29][6]  (
-	.CLK(clk_i_clone1),
-	.D(n_2588),
-	.Q(\fifo_buffer[29] [6]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[29][7]  (
-	.CLK(clk_i_clone1),
-	.D(n_2586),
-	.Q(\fifo_buffer[29] [7]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[29][8]  (
-	.CLK(clk_i_clone1),
-	.D(n_2583),
-	.Q(\fifo_buffer[29] [8]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[30][0]  (
-	.CLK(CTS_24),
-	.D(\fifo_buffer[30] [0]),
-	.Q(\fifo_buffer[30] [0]),
-	.RESET_B(FE_OFN132_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[30][1]  (
-	.CLK(CTS_24),
-	.D(n_2578),
-	.Q(\fifo_buffer[30] [1]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[30][2]  (
-	.CLK(clk_i_clone2),
-	.D(n_2576),
-	.Q(\fifo_buffer[30] [2]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[30][3]  (
-	.CLK(clk_i_clone2),
-	.D(n_2573),
-	.Q(\fifo_buffer[30] [3]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[30][4]  (
-	.CLK(clk_i_clone2),
-	.D(n_2570),
-	.Q(\fifo_buffer[30] [4]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[30][5]  (
-	.CLK(clk_i_clone2),
-	.D(n_2565),
-	.Q(\fifo_buffer[30] [5]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[30][6]  (
-	.CLK(clk_i_clone2),
-	.D(n_2564),
-	.Q(\fifo_buffer[30] [6]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[30][7]  (
-	.CLK(clk_i_clone2),
-	.D(n_2563),
-	.Q(\fifo_buffer[30] [7]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[30][8]  (
-	.CLK(clk_i_clone2),
-	.D(n_2559),
-	.Q(\fifo_buffer[30] [8]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[31][0]  (
-	.CLK(CTS_24),
-	.D(\fifo_buffer[31] [0]),
-	.Q(\fifo_buffer[31] [0]),
-	.RESET_B(FE_OFN132_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[31][1]  (
-	.CLK(CTS_24),
-	.D(n_2557),
-	.Q(\fifo_buffer[31] [1]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[31][2]  (
-	.CLK(clk_i_clone2),
-	.D(n_2552),
-	.Q(\fifo_buffer[31] [2]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[31][3]  (
-	.CLK(clk_i_clone2),
-	.D(n_2550),
-	.Q(\fifo_buffer[31] [3]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[31][4]  (
-	.CLK(clk_i_clone2),
-	.D(n_2545),
-	.Q(\fifo_buffer[31] [4]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[31][5]  (
-	.CLK(clk_i_clone2),
-	.D(n_2543),
-	.Q(\fifo_buffer[31] [5]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[31][6]  (
-	.CLK(clk_i_clone2),
-	.D(n_2541),
-	.Q(\fifo_buffer[31] [6]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[31][7]  (
-	.CLK(clk_i_clone2),
-	.D(n_2538),
-	.Q(\fifo_buffer[31] [7]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[31][8]  (
-	.CLK(clk_i_clone2),
-	.D(n_2535),
-	.Q(\fifo_buffer[31] [8]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[32][0]  (
-	.CLK(CTS_2),
-	.D(n_11),
-	.Q(\fifo_buffer[32] [0]),
-	.RESET_B(FE_OFN121_system_rst_ni),
-	.SCD(\fifo_buffer[32] [0]),
-	.SCE(n_2430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[32][1]  (
-	.CLK(CTS_2),
-	.D(n_3454),
-	.Q(\fifo_buffer[32] [1]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[32][2]  (
-	.CLK(CTS_2),
-	.D(n_3453),
-	.Q(\fifo_buffer[32] [2]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[32][3]  (
-	.CLK(CTS_2),
-	.D(n_3452),
-	.Q(\fifo_buffer[32] [3]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[32][4]  (
-	.CLK(CTS_2),
-	.D(n_3451),
-	.Q(\fifo_buffer[32] [4]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[32][5]  (
-	.CLK(CTS_2),
-	.D(n_3444),
-	.Q(\fifo_buffer[32] [5]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[32][6]  (
-	.CLK(CTS_2),
-	.D(n_3450),
-	.Q(\fifo_buffer[32] [6]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[32][7]  (
-	.CLK(CTS_2),
-	.D(n_3447),
-	.Q(\fifo_buffer[32] [7]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[32][8]  (
-	.CLK(CTS_2),
-	.D(n_3442),
-	.Q(\fifo_buffer[32] [8]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[33][0]  (
-	.CLK(CTS_2),
-	.D(n_11),
-	.Q(\fifo_buffer[33] [0]),
-	.RESET_B(FE_OFN121_system_rst_ni),
-	.SCD(\fifo_buffer[33] [0]),
-	.SCE(n_2460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[33][1]  (
-	.CLK(CTS_2),
-	.D(n_3438),
-	.Q(\fifo_buffer[33] [1]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[33][2]  (
-	.CLK(CTS_2),
-	.D(n_3436),
-	.Q(\fifo_buffer[33] [2]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[33][3]  (
-	.CLK(CTS_2),
-	.D(n_3432),
-	.Q(\fifo_buffer[33] [3]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[33][4]  (
-	.CLK(CTS_2),
-	.D(n_3429),
-	.Q(\fifo_buffer[33] [4]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[33][5]  (
-	.CLK(CTS_2),
-	.D(n_3427),
-	.Q(\fifo_buffer[33] [5]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[33][6]  (
-	.CLK(CTS_2),
-	.D(n_3425),
-	.Q(\fifo_buffer[33] [6]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[33][7]  (
-	.CLK(CTS_2),
-	.D(n_3422),
-	.Q(\fifo_buffer[33] [7]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[33][8]  (
-	.CLK(CTS_2),
-	.D(n_3418),
-	.Q(\fifo_buffer[33] [8]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[34][0]  (
-	.CLK(CTS_3),
-	.D(FE_OFN1399_n_11),
-	.Q(\fifo_buffer[34] [0]),
-	.RESET_B(FE_OFN119_system_rst_ni),
-	.SCD(\fifo_buffer[34] [0]),
-	.SCE(n_2461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[34][1]  (
-	.CLK(CTS_6),
-	.D(n_3413),
-	.Q(\fifo_buffer[34] [1]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[34][2]  (
-	.CLK(CTS_6),
-	.D(n_3574),
-	.Q(\fifo_buffer[34] [2]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[34][3]  (
-	.CLK(CTS_6),
-	.D(n_3744),
-	.Q(\fifo_buffer[34] [3]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[34][4]  (
-	.CLK(CTS_6),
-	.D(n_3407),
-	.Q(\fifo_buffer[34] [4]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[34][5]  (
-	.CLK(CTS_3),
-	.D(n_3745),
-	.Q(\fifo_buffer[34] [5]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[34][6]  (
-	.CLK(clk_i),
-	.D(n_3748),
-	.Q(\fifo_buffer[34] [6]),
-	.RESET_B(FE_OFN109_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[34][7]  (
-	.CLK(CTS_6),
-	.D(n_3750),
-	.Q(\fifo_buffer[34] [7]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[34][8]  (
-	.CLK(CTS_6),
-	.D(n_3752),
-	.Q(\fifo_buffer[34] [8]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[35][0]  (
-	.CLK(CTS_3),
-	.D(\fifo_buffer[35] [0]),
-	.Q(\fifo_buffer[35] [0]),
-	.RESET_B(FE_OFN119_system_rst_ni),
-	.SCD(FE_OFN1399_n_11),
-	.SCE(n_2425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[35][1]  (
-	.CLK(CTS_6),
-	.D(n_3757),
-	.Q(\fifo_buffer[35] [1]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[35][2]  (
-	.CLK(CTS_6),
-	.D(n_3759),
-	.Q(\fifo_buffer[35] [2]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[35][3]  (
-	.CLK(CTS_6),
-	.D(n_3762),
-	.Q(\fifo_buffer[35] [3]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[35][4]  (
-	.CLK(CTS_6),
-	.D(n_3766),
-	.Q(\fifo_buffer[35] [4]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[35][5]  (
-	.CLK(CTS_3),
-	.D(n_3769),
-	.Q(\fifo_buffer[35] [5]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[35][6]  (
-	.CLK(clk_i),
-	.D(n_3770),
-	.Q(\fifo_buffer[35] [6]),
-	.RESET_B(FE_OFN109_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[35][7]  (
-	.CLK(CTS_6),
-	.D(n_3772),
-	.Q(\fifo_buffer[35] [7]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[35][8]  (
-	.CLK(CTS_6),
-	.D(n_3776),
-	.Q(\fifo_buffer[35] [8]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[36][0]  (
-	.CLK(CTS_9),
-	.D(\fifo_buffer[36] [0]),
-	.Q(\fifo_buffer[36] [0]),
-	.RESET_B(FE_OFN187_system_rst_ni),
-	.SCD(FE_OFN1402_n_11),
-	.SCE(n_2449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[36][1]  (
-	.CLK(CTS_10),
-	.D(n_3783),
-	.Q(\fifo_buffer[36] [1]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[36][2]  (
-	.CLK(CTS_10),
-	.D(n_3785),
-	.Q(\fifo_buffer[36] [2]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[36][3]  (
-	.CLK(CTS_10),
-	.D(n_3789),
-	.Q(\fifo_buffer[36] [3]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[36][4]  (
-	.CLK(CTS_10),
-	.D(n_3791),
-	.Q(\fifo_buffer[36] [4]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[36][5]  (
-	.CLK(CTS_10),
-	.D(n_3793),
-	.Q(\fifo_buffer[36] [5]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[36][6]  (
-	.CLK(CTS_10),
-	.D(n_3795),
-	.Q(\fifo_buffer[36] [6]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[36][7]  (
-	.CLK(CTS_10),
-	.D(n_3798),
-	.Q(\fifo_buffer[36] [7]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[36][8]  (
-	.CLK(CTS_10),
-	.D(n_3800),
-	.Q(\fifo_buffer[36] [8]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[37][0]  (
-	.CLK(CTS_9),
-	.D(\fifo_buffer[37] [0]),
-	.Q(\fifo_buffer[37] [0]),
-	.RESET_B(FE_OFN187_system_rst_ni),
-	.SCD(FE_OFN1402_n_11),
-	.SCE(n_2412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[37][1]  (
-	.CLK(CTS_10),
-	.D(n_3805),
-	.Q(\fifo_buffer[37] [1]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[37][2]  (
-	.CLK(CTS_10),
-	.D(n_3809),
-	.Q(\fifo_buffer[37] [2]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[37][3]  (
-	.CLK(CTS_10),
-	.D(n_3811),
-	.Q(\fifo_buffer[37] [3]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[37][4]  (
-	.CLK(CTS_10),
-	.D(n_3813),
-	.Q(\fifo_buffer[37] [4]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[37][5]  (
-	.CLK(CTS_10),
-	.D(n_3817),
-	.Q(\fifo_buffer[37] [5]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[37][6]  (
-	.CLK(CTS_10),
-	.D(n_3820),
-	.Q(\fifo_buffer[37] [6]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[37][7]  (
-	.CLK(CTS_10),
-	.D(n_3823),
-	.Q(\fifo_buffer[37] [7]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[37][8]  (
-	.CLK(CTS_10),
-	.D(n_3825),
-	.Q(\fifo_buffer[37] [8]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[38][0]  (
-	.CLK(CTS_27),
-	.D(\fifo_buffer[38] [0]),
-	.Q(\fifo_buffer[38] [0]),
-	.RESET_B(FE_OFN97_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_2407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[38][1]  (
-	.CLK(CTS_27),
-	.D(n_3829),
-	.Q(\fifo_buffer[38] [1]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[38][2]  (
-	.CLK(CTS_27),
-	.D(n_3832),
-	.Q(\fifo_buffer[38] [2]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[38][3]  (
-	.CLK(CTS_27),
-	.D(n_3836),
-	.Q(\fifo_buffer[38] [3]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[38][4]  (
-	.CLK(CTS_27),
-	.D(n_3839),
-	.Q(\fifo_buffer[38] [4]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[38][5]  (
-	.CLK(CTS_27),
-	.D(n_3841),
-	.Q(\fifo_buffer[38] [5]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[38][6]  (
-	.CLK(CTS_27),
-	.D(n_3842),
-	.Q(\fifo_buffer[38] [6]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[38][7]  (
-	.CLK(CTS_27),
-	.D(n_3846),
-	.Q(\fifo_buffer[38] [7]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[38][8]  (
-	.CLK(CTS_27),
-	.D(n_3850),
-	.Q(\fifo_buffer[38] [8]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[39][0]  (
-	.CLK(CTS_27),
-	.D(\fifo_buffer[39] [0]),
-	.Q(\fifo_buffer[39] [0]),
-	.RESET_B(FE_OFN97_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_2389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[39][1]  (
-	.CLK(CTS_27),
-	.D(n_3853),
-	.Q(\fifo_buffer[39] [1]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[39][2]  (
-	.CLK(CTS_27),
-	.D(n_3856),
-	.Q(\fifo_buffer[39] [2]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[39][3]  (
-	.CLK(CTS_27),
-	.D(n_3865),
-	.Q(\fifo_buffer[39] [3]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[39][4]  (
-	.CLK(CTS_27),
-	.D(n_3859),
-	.Q(\fifo_buffer[39] [4]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[39][5]  (
-	.CLK(CTS_27),
-	.D(n_3863),
-	.Q(\fifo_buffer[39] [5]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[39][6]  (
-	.CLK(CTS_27),
-	.D(n_3866),
-	.Q(\fifo_buffer[39] [6]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[39][7]  (
-	.CLK(CTS_27),
-	.D(n_3870),
-	.Q(\fifo_buffer[39] [7]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[39][8]  (
-	.CLK(CTS_27),
-	.D(n_3872),
-	.Q(\fifo_buffer[39] [8]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[40][0]  (
-	.CLK(CTS_3),
-	.D(n_11),
-	.Q(\fifo_buffer[40] [0]),
-	.RESET_B(FE_OFN118_system_rst_ni),
-	.SCD(\fifo_buffer[40] [0]),
-	.SCE(n_2378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[40][1]  (
-	.CLK(CTS_2),
-	.D(n_3878),
-	.Q(\fifo_buffer[40] [1]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[40][2]  (
-	.CLK(CTS_2),
-	.D(n_3880),
-	.Q(\fifo_buffer[40] [2]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[40][3]  (
-	.CLK(CTS_6),
-	.D(n_3883),
-	.Q(\fifo_buffer[40] [3]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[40][4]  (
-	.CLK(CTS_6),
-	.D(n_3887),
-	.Q(\fifo_buffer[40] [4]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[40][5]  (
-	.CLK(CTS_2),
-	.D(n_3889),
-	.Q(\fifo_buffer[40] [5]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[40][6]  (
-	.CLK(CTS_2),
-	.D(n_3890),
-	.Q(\fifo_buffer[40] [6]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[40][7]  (
-	.CLK(CTS_2),
-	.D(n_3891),
-	.Q(\fifo_buffer[40] [7]),
-	.RESET_B(FE_OFN185_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[40][8]  (
-	.CLK(CTS_2),
-	.D(n_3892),
-	.Q(\fifo_buffer[40] [8]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[41][0]  (
-	.CLK(CTS_3),
-	.D(\fifo_buffer[41] [0]),
-	.Q(\fifo_buffer[41] [0]),
-	.RESET_B(FE_OFN118_system_rst_ni),
-	.SCD(n_11),
-	.SCE(n_2431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[41][1]  (
-	.CLK(CTS_2),
-	.D(n_3893),
-	.Q(\fifo_buffer[41] [1]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[41][2]  (
-	.CLK(CTS_2),
-	.D(n_3894),
-	.Q(\fifo_buffer[41] [2]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[41][3]  (
-	.CLK(CTS_6),
-	.D(n_3895),
-	.Q(\fifo_buffer[41] [3]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[41][4]  (
-	.CLK(CTS_6),
-	.D(n_3896),
-	.Q(\fifo_buffer[41] [4]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[41][5]  (
-	.CLK(CTS_6),
-	.D(n_3897),
-	.Q(\fifo_buffer[41] [5]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[41][6]  (
-	.CLK(CTS_2),
-	.D(n_3898),
-	.Q(\fifo_buffer[41] [6]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[41][7]  (
-	.CLK(CTS_6),
-	.D(n_3899),
-	.Q(\fifo_buffer[41] [7]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[41][8]  (
-	.CLK(CTS_2),
-	.D(n_3900),
-	.Q(\fifo_buffer[41] [8]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[42][0]  (
-	.CLK(CTS_11),
-	.D(\fifo_buffer[42] [0]),
-	.Q(\fifo_buffer[42] [0]),
-	.RESET_B(FE_OFN130_system_rst_ni),
-	.SCD(FE_OFN1402_n_11),
-	.SCE(n_2420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[42][1]  (
-	.CLK(CTS_12),
-	.D(n_3901),
-	.Q(\fifo_buffer[42] [1]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[42][2]  (
-	.CLK(CTS_11),
-	.D(n_3902),
-	.Q(\fifo_buffer[42] [2]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[42][3]  (
-	.CLK(CTS_9),
-	.D(n_3903),
-	.Q(\fifo_buffer[42] [3]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[42][4]  (
-	.CLK(CTS_9),
-	.D(n_3904),
-	.Q(\fifo_buffer[42] [4]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[42][5]  (
-	.CLK(CTS_11),
-	.D(n_3905),
-	.Q(\fifo_buffer[42] [5]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[42][6]  (
-	.CLK(CTS_9),
-	.D(n_3908),
-	.Q(\fifo_buffer[42] [6]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[42][7]  (
-	.CLK(CTS_12),
-	.D(n_3906),
-	.Q(\fifo_buffer[42] [7]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[42][8]  (
-	.CLK(CTS_9),
-	.D(n_3907),
-	.Q(\fifo_buffer[42] [8]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[43][0]  (
-	.CLK(CTS_22),
-	.D(\fifo_buffer[43] [0]),
-	.Q(\fifo_buffer[43] [0]),
-	.RESET_B(FE_OFN54_system_rst_ni),
-	.SCD(FE_OFN1400_n_11),
-	.SCE(n_2397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[43][1]  (
-	.CLK(CTS_12),
-	.D(n_3909),
-	.Q(\fifo_buffer[43] [1]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[43][2]  (
-	.CLK(CTS_9),
-	.D(n_3910),
-	.Q(\fifo_buffer[43] [2]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[43][3]  (
-	.CLK(CTS_9),
-	.D(n_3911),
-	.Q(\fifo_buffer[43] [3]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[43][4]  (
-	.CLK(CTS_9),
-	.D(n_3912),
-	.Q(\fifo_buffer[43] [4]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[43][5]  (
-	.CLK(CTS_11),
-	.D(n_3913),
-	.Q(\fifo_buffer[43] [5]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[43][6]  (
-	.CLK(CTS_9),
-	.D(n_3914),
-	.Q(\fifo_buffer[43] [6]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[43][7]  (
-	.CLK(CTS_12),
-	.D(n_3915),
-	.Q(\fifo_buffer[43] [7]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[43][8]  (
-	.CLK(CTS_9),
-	.D(n_3916),
-	.Q(\fifo_buffer[43] [8]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[44][0]  (
-	.CLK(CTS_31),
-	.D(\fifo_buffer[44] [0]),
-	.Q(\fifo_buffer[44] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_2416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[44][1]  (
-	.CLK(CTS_30),
-	.D(n_3917),
-	.Q(\fifo_buffer[44] [1]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[44][2]  (
-	.CLK(CTS_30),
-	.D(n_3918),
-	.Q(\fifo_buffer[44] [2]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[44][3]  (
-	.CLK(CTS_30),
-	.D(n_3738),
-	.Q(\fifo_buffer[44] [3]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[44][4]  (
-	.CLK(CTS_30),
-	.D(n_3741),
-	.Q(\fifo_buffer[44] [4]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[44][5]  (
-	.CLK(CTS_30),
-	.D(n_3740),
-	.Q(\fifo_buffer[44] [5]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[44][6]  (
-	.CLK(CTS_30),
-	.D(n_3739),
-	.Q(\fifo_buffer[44] [6]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[44][7]  (
-	.CLK(CTS_31),
-	.D(n_3737),
-	.Q(\fifo_buffer[44] [7]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[44][8]  (
-	.CLK(CTS_31),
-	.D(n_3736),
-	.Q(\fifo_buffer[44] [8]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[45][0]  (
-	.CLK(CTS_31),
-	.D(\fifo_buffer[45] [0]),
-	.Q(\fifo_buffer[45] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_2394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[45][1]  (
-	.CLK(CTS_30),
-	.D(n_3735),
-	.Q(\fifo_buffer[45] [1]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[45][2]  (
-	.CLK(CTS_30),
-	.D(n_3734),
-	.Q(\fifo_buffer[45] [2]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[45][3]  (
-	.CLK(CTS_30),
-	.D(n_3733),
-	.Q(\fifo_buffer[45] [3]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[45][4]  (
-	.CLK(CTS_13),
-	.D(n_3732),
-	.Q(\fifo_buffer[45] [4]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[45][5]  (
-	.CLK(CTS_30),
-	.D(n_3731),
-	.Q(\fifo_buffer[45] [5]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[45][6]  (
-	.CLK(CTS_13),
-	.D(n_3730),
-	.Q(\fifo_buffer[45] [6]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[45][7]  (
-	.CLK(CTS_31),
-	.D(n_3729),
-	.Q(\fifo_buffer[45] [7]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[45][8]  (
-	.CLK(CTS_31),
-	.D(n_3728),
-	.Q(\fifo_buffer[45] [8]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[46][0]  (
-	.CLK(CTS_19),
-	.D(\fifo_buffer[46] [0]),
-	.Q(\fifo_buffer[46] [0]),
-	.RESET_B(FE_OFN61_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_2387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[46][1]  (
-	.CLK(CTS_19),
-	.D(n_3726),
-	.Q(\fifo_buffer[46] [1]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[46][2]  (
-	.CLK(CTS_19),
-	.D(n_3727),
-	.Q(\fifo_buffer[46] [2]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[46][3]  (
-	.CLK(CTS_19),
-	.D(n_3725),
-	.Q(\fifo_buffer[46] [3]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[46][4]  (
-	.CLK(CTS_19),
-	.D(n_3724),
-	.Q(\fifo_buffer[46] [4]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[46][5]  (
-	.CLK(CTS_19),
-	.D(n_3723),
-	.Q(\fifo_buffer[46] [5]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[46][6]  (
-	.CLK(CTS_19),
-	.D(n_3722),
-	.Q(\fifo_buffer[46] [6]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[46][7]  (
-	.CLK(CTS_19),
-	.D(n_3721),
-	.Q(\fifo_buffer[46] [7]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[46][8]  (
-	.CLK(CTS_19),
-	.D(n_3720),
-	.Q(\fifo_buffer[46] [8]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[47][0]  (
-	.CLK(CTS_19),
-	.D(\fifo_buffer[47] [0]),
-	.Q(\fifo_buffer[47] [0]),
-	.RESET_B(FE_OFN136_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_2382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[47][1]  (
-	.CLK(CTS_19),
-	.D(n_3719),
-	.Q(\fifo_buffer[47] [1]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[47][2]  (
-	.CLK(CTS_19),
-	.D(n_3718),
-	.Q(\fifo_buffer[47] [2]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[47][3]  (
-	.CLK(CTS_19),
-	.D(n_3717),
-	.Q(\fifo_buffer[47] [3]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[47][4]  (
-	.CLK(CTS_19),
-	.D(n_3716),
-	.Q(\fifo_buffer[47] [4]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[47][5]  (
-	.CLK(CTS_19),
-	.D(n_3715),
-	.Q(\fifo_buffer[47] [5]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[47][6]  (
-	.CLK(CTS_19),
-	.D(n_3714),
-	.Q(\fifo_buffer[47] [6]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[47][7]  (
-	.CLK(CTS_19),
-	.D(n_3713),
-	.Q(\fifo_buffer[47] [7]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[47][8]  (
-	.CLK(CTS_19),
-	.D(n_3712),
-	.Q(\fifo_buffer[47] [8]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[48][0]  (
-	.CLK(CTS_15),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[48] [0]),
-	.RESET_B(FE_OFN125_system_rst_ni),
-	.SCD(\fifo_buffer[48] [0]),
-	.SCE(n_430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[48][1]  (
-	.CLK(CTS_15),
-	.D(n_1864),
-	.Q(\fifo_buffer[48] [1]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[48][2]  (
-	.CLK(CTS_15),
-	.D(n_1863),
-	.Q(\fifo_buffer[48] [2]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[48][3]  (
-	.CLK(CTS_15),
-	.D(n_1862),
-	.Q(\fifo_buffer[48] [3]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[48][4]  (
-	.CLK(CTS_15),
-	.D(n_1861),
-	.Q(\fifo_buffer[48] [4]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[48][5]  (
-	.CLK(CTS_15),
-	.D(n_1860),
-	.Q(\fifo_buffer[48] [5]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[48][6]  (
-	.CLK(CTS_15),
-	.D(n_1858),
-	.Q(\fifo_buffer[48] [6]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[48][7]  (
-	.CLK(CTS_15),
-	.D(n_1857),
-	.Q(\fifo_buffer[48] [7]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[48][8]  (
-	.CLK(CTS_15),
-	.D(n_1856),
-	.Q(\fifo_buffer[48] [8]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[49][0]  (
-	.CLK(CTS_8),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[49] [0]),
-	.RESET_B(FE_OFN125_system_rst_ni),
-	.SCD(\fifo_buffer[49] [0]),
-	.SCE(n_343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[49][1]  (
-	.CLK(CTS_15),
-	.D(n_1854),
-	.Q(\fifo_buffer[49] [1]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[49][2]  (
-	.CLK(CTS_15),
-	.D(n_1853),
-	.Q(\fifo_buffer[49] [2]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[49][3]  (
-	.CLK(CTS_15),
-	.D(n_1850),
-	.Q(\fifo_buffer[49] [3]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[49][4]  (
-	.CLK(CTS_15),
-	.D(n_1852),
-	.Q(\fifo_buffer[49] [4]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[49][5]  (
-	.CLK(CTS_15),
-	.D(n_1851),
-	.Q(\fifo_buffer[49] [5]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[49][6]  (
-	.CLK(CTS_15),
-	.D(n_1849),
-	.Q(\fifo_buffer[49] [6]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[49][7]  (
-	.CLK(CTS_15),
-	.D(n_1846),
-	.Q(\fifo_buffer[49] [7]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[49][8]  (
-	.CLK(CTS_15),
-	.D(n_1845),
-	.Q(\fifo_buffer[49] [8]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[50][0]  (
-	.CLK(CTS_1),
-	.D(n_11),
-	.Q(\fifo_buffer[50] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(\fifo_buffer[50] [0]),
-	.SCE(n_313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[50][1]  (
-	.CLK(CTS_1),
-	.D(n_1844),
-	.Q(\fifo_buffer[50] [1]),
-	.RESET_B(FE_OFN120_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[50][2]  (
-	.CLK(CTS_3),
-	.D(n_1843),
-	.Q(\fifo_buffer[50] [2]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[50][3]  (
-	.CLK(CTS_1),
-	.D(n_1842),
-	.Q(\fifo_buffer[50] [3]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[50][4]  (
-	.CLK(CTS_3),
-	.D(n_1840),
-	.Q(\fifo_buffer[50] [4]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[50][5]  (
-	.CLK(CTS_1),
-	.D(n_1839),
-	.Q(\fifo_buffer[50] [5]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[50][6]  (
-	.CLK(CTS_3),
-	.D(n_1838),
-	.Q(\fifo_buffer[50] [6]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[50][7]  (
-	.CLK(CTS_1),
-	.D(n_1836),
-	.Q(\fifo_buffer[50] [7]),
-	.RESET_B(FE_OFN120_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[50][8]  (
-	.CLK(CTS_3),
-	.D(n_1835),
-	.Q(\fifo_buffer[50] [8]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[51][0]  (
-	.CLK(CTS_1),
-	.D(n_11),
-	.Q(\fifo_buffer[51] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(\fifo_buffer[51] [0]),
-	.SCE(n_375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[51][1]  (
-	.CLK(CTS_1),
-	.D(n_1834),
-	.Q(\fifo_buffer[51] [1]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[51][2]  (
-	.CLK(CTS_3),
-	.D(n_1832),
-	.Q(\fifo_buffer[51] [2]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[51][3]  (
-	.CLK(CTS_1),
-	.D(n_1831),
-	.Q(\fifo_buffer[51] [3]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[51][4]  (
-	.CLK(CTS_3),
-	.D(n_1829),
-	.Q(\fifo_buffer[51] [4]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[51][5]  (
-	.CLK(CTS_1),
-	.D(n_1828),
-	.Q(\fifo_buffer[51] [5]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[51][6]  (
-	.CLK(CTS_3),
-	.D(n_1827),
-	.Q(\fifo_buffer[51] [6]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[51][7]  (
-	.CLK(CTS_1),
-	.D(n_1189),
-	.Q(\fifo_buffer[51] [7]),
-	.RESET_B(FE_OFN120_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[51][8]  (
-	.CLK(CTS_3),
-	.D(n_1822),
-	.Q(\fifo_buffer[51] [8]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[52][0]  (
-	.CLK(CTS_10),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[52] [0]),
-	.RESET_B(FE_OFN64_system_rst_ni),
-	.SCD(\fifo_buffer[52] [0]),
-	.SCE(n_270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[52][1]  (
-	.CLK(CTS_10),
-	.D(n_1824),
-	.Q(\fifo_buffer[52] [1]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[52][2]  (
-	.CLK(CTS_10),
-	.D(n_1821),
-	.Q(\fifo_buffer[52] [2]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[52][3]  (
-	.CLK(CTS_10),
-	.D(n_1820),
-	.Q(\fifo_buffer[52] [3]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[52][4]  (
-	.CLK(CTS_10),
-	.D(n_1819),
-	.Q(\fifo_buffer[52] [4]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[52][5]  (
-	.CLK(CTS_10),
-	.D(n_1818),
-	.Q(\fifo_buffer[52] [5]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[52][6]  (
-	.CLK(CTS_10),
-	.D(n_1816),
-	.Q(\fifo_buffer[52] [6]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[52][7]  (
-	.CLK(CTS_10),
-	.D(n_1814),
-	.Q(\fifo_buffer[52] [7]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[52][8]  (
-	.CLK(CTS_10),
-	.D(n_1815),
-	.Q(\fifo_buffer[52] [8]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[53][0]  (
-	.CLK(CTS_10),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[53] [0]),
-	.RESET_B(FE_OFN64_system_rst_ni),
-	.SCD(\fifo_buffer[53] [0]),
-	.SCE(n_351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[53][1]  (
-	.CLK(CTS_10),
-	.D(n_1812),
-	.Q(\fifo_buffer[53] [1]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[53][2]  (
-	.CLK(CTS_10),
-	.D(n_1811),
-	.Q(\fifo_buffer[53] [2]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[53][3]  (
-	.CLK(CTS_10),
-	.D(n_1810),
-	.Q(\fifo_buffer[53] [3]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[53][4]  (
-	.CLK(CTS_10),
-	.D(n_1878),
-	.Q(\fifo_buffer[53] [4]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[53][5]  (
-	.CLK(CTS_10),
-	.D(n_1880),
-	.Q(\fifo_buffer[53] [5]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[53][6]  (
-	.CLK(CTS_10),
-	.D(n_1881),
-	.Q(\fifo_buffer[53] [6]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[53][7]  (
-	.CLK(CTS_18),
-	.D(n_1882),
-	.Q(\fifo_buffer[53] [7]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[53][8]  (
-	.CLK(CTS_10),
-	.D(n_1883),
-	.Q(\fifo_buffer[53] [8]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[54][0]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1405_n_11),
-	.Q(\fifo_buffer[54] [0]),
-	.RESET_B(FE_OFN132_system_rst_ni),
-	.SCD(\fifo_buffer[54] [0]),
-	.SCE(n_346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[54][1]  (
-	.CLK(clk_i_clone2),
-	.D(n_1884),
-	.Q(\fifo_buffer[54] [1]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[54][2]  (
-	.CLK(clk_i_clone2),
-	.D(n_1885),
-	.Q(\fifo_buffer[54] [2]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[54][3]  (
-	.CLK(clk_i_clone2),
-	.D(n_1886),
-	.Q(\fifo_buffer[54] [3]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[54][4]  (
-	.CLK(clk_i_clone2),
-	.D(n_1889),
-	.Q(\fifo_buffer[54] [4]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[54][5]  (
-	.CLK(clk_i_clone2),
-	.D(n_1890),
-	.Q(\fifo_buffer[54] [5]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[54][6]  (
-	.CLK(clk_i_clone2),
-	.D(n_1888),
-	.Q(\fifo_buffer[54] [6]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[54][7]  (
-	.CLK(clk_i_clone2),
-	.D(n_1891),
-	.Q(\fifo_buffer[54] [7]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[54][8]  (
-	.CLK(clk_i_clone2),
-	.D(n_1893),
-	.Q(\fifo_buffer[54] [8]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[55][0]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1405_n_11),
-	.Q(\fifo_buffer[55] [0]),
-	.RESET_B(FE_OFN96_system_rst_ni),
-	.SCD(\fifo_buffer[55] [0]),
-	.SCE(n_277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[55][1]  (
-	.CLK(CTS_24),
-	.D(n_1894),
-	.Q(\fifo_buffer[55] [1]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[55][2]  (
-	.CLK(clk_i_clone2),
-	.D(n_1895),
-	.Q(\fifo_buffer[55] [2]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[55][3]  (
-	.CLK(clk_i_clone2),
-	.D(n_1896),
-	.Q(\fifo_buffer[55] [3]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[55][4]  (
-	.CLK(clk_i_clone2),
-	.D(n_1897),
-	.Q(\fifo_buffer[55] [4]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[55][5]  (
-	.CLK(clk_i_clone2),
-	.D(n_1898),
-	.Q(\fifo_buffer[55] [5]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[55][6]  (
-	.CLK(clk_i_clone2),
-	.D(n_1899),
-	.Q(\fifo_buffer[55] [6]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[55][7]  (
-	.CLK(clk_i_clone2),
-	.D(n_1901),
-	.Q(\fifo_buffer[55] [7]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[55][8]  (
-	.CLK(clk_i_clone2),
-	.D(n_1902),
-	.Q(\fifo_buffer[55] [8]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[56][0]  (
-	.CLK(CTS_8),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[56] [0]),
-	.RESET_B(FE_OFN126_system_rst_ni),
-	.SCD(\fifo_buffer[56] [0]),
-	.SCE(n_349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[56][1]  (
-	.CLK(CTS_8),
-	.D(n_1905),
-	.Q(\fifo_buffer[56] [1]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[56][2]  (
-	.CLK(CTS_8),
-	.D(n_1903),
-	.Q(\fifo_buffer[56] [2]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[56][3]  (
-	.CLK(CTS_8),
-	.D(n_1904),
-	.Q(\fifo_buffer[56] [3]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[56][4]  (
-	.CLK(CTS_8),
-	.D(n_1906),
-	.Q(\fifo_buffer[56] [4]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[56][5]  (
-	.CLK(CTS_8),
-	.D(n_1907),
-	.Q(\fifo_buffer[56] [5]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[56][6]  (
-	.CLK(CTS_8),
-	.D(n_1908),
-	.Q(\fifo_buffer[56] [6]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[56][7]  (
-	.CLK(CTS_8),
-	.D(n_1910),
-	.Q(\fifo_buffer[56] [7]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[56][8]  (
-	.CLK(CTS_8),
-	.D(n_1915),
-	.Q(\fifo_buffer[56] [8]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[57][0]  (
-	.CLK(CTS_8),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[57] [0]),
-	.RESET_B(FE_OFN126_system_rst_ni),
-	.SCD(\fifo_buffer[57] [0]),
-	.SCE(n_376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[57][1]  (
-	.CLK(CTS_8),
-	.D(n_1921),
-	.Q(\fifo_buffer[57] [1]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[57][2]  (
-	.CLK(CTS_8),
-	.D(n_1926),
-	.Q(\fifo_buffer[57] [2]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[57][3]  (
-	.CLK(CTS_8),
-	.D(n_1930),
-	.Q(\fifo_buffer[57] [3]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[57][4]  (
-	.CLK(CTS_8),
-	.D(n_1933),
-	.Q(\fifo_buffer[57] [4]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[57][5]  (
-	.CLK(CTS_8),
-	.D(n_1936),
-	.Q(\fifo_buffer[57] [5]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[57][6]  (
-	.CLK(CTS_8),
-	.D(n_1939),
-	.Q(\fifo_buffer[57] [6]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[57][7]  (
-	.CLK(CTS_8),
-	.D(n_1806),
-	.Q(\fifo_buffer[57] [7]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[57][8]  (
-	.CLK(CTS_8),
-	.D(n_1942),
-	.Q(\fifo_buffer[57] [8]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[58][0]  (
-	.CLK(CTS_4),
-	.D(FE_OFN1399_n_11),
-	.Q(\fifo_buffer[58] [0]),
-	.RESET_B(FE_OFN47_system_rst_ni),
-	.SCD(\fifo_buffer[58] [0]),
-	.SCE(n_367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[58][1]  (
-	.CLK(clk_i_clone5),
-	.D(n_1804),
-	.Q(\fifo_buffer[58] [1]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[58][2]  (
-	.CLK(clk_i_clone6),
-	.D(n_1801),
-	.Q(\fifo_buffer[58] [2]),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[58][3]  (
-	.CLK(CTS_5),
-	.D(n_1798),
-	.Q(\fifo_buffer[58] [3]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[58][4]  (
-	.CLK(clk_i_clone5),
-	.D(n_1797),
-	.Q(\fifo_buffer[58] [4]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[58][5]  (
-	.CLK(clk_i_clone6),
-	.D(n_1791),
-	.Q(\fifo_buffer[58] [5]),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[58][6]  (
-	.CLK(CTS_5),
-	.D(n_1790),
-	.Q(\fifo_buffer[58] [6]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[58][7]  (
-	.CLK(clk_i_clone6),
-	.D(n_1788),
-	.Q(\fifo_buffer[58] [7]),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[58][8]  (
-	.CLK(CTS_5),
-	.D(n_1785),
-	.Q(\fifo_buffer[58] [8]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[59][0]  (
-	.CLK(CTS_5),
-	.D(FE_OFN1399_n_11),
-	.Q(\fifo_buffer[59] [0]),
-	.RESET_B(FE_OFN47_system_rst_ni),
-	.SCD(\fifo_buffer[59] [0]),
-	.SCE(n_312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[59][1]  (
-	.CLK(CTS_5),
-	.D(n_1778),
-	.Q(\fifo_buffer[59] [1]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[59][2]  (
-	.CLK(clk_i_clone6),
-	.D(n_1775),
-	.Q(\fifo_buffer[59] [2]),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[59][3]  (
-	.CLK(CTS_4),
-	.D(n_1769),
-	.Q(\fifo_buffer[59] [3]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[59][4]  (
-	.CLK(CTS_5),
-	.D(n_1766),
-	.Q(\fifo_buffer[59] [4]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[59][5]  (
-	.CLK(CTS_5),
-	.D(n_1764),
-	.Q(\fifo_buffer[59] [5]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[59][6]  (
-	.CLK(CTS_5),
-	.D(n_1763),
-	.Q(\fifo_buffer[59] [6]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[59][7]  (
-	.CLK(clk_i_clone6),
-	.D(n_1758),
-	.Q(\fifo_buffer[59] [7]),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[59][8]  (
-	.CLK(CTS_5),
-	.D(n_1755),
-	.Q(\fifo_buffer[59] [8]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[60][0]  (
-	.CLK(CTS_25),
-	.D(FE_OFN1405_n_11),
-	.Q(\fifo_buffer[60] [0]),
-	.RESET_B(FE_OFN39_system_rst_ni),
-	.SCD(\fifo_buffer[60] [0]),
-	.SCE(n_357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[60][1]  (
-	.CLK(CTS_25),
-	.D(n_1751),
-	.Q(\fifo_buffer[60] [1]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[60][2]  (
-	.CLK(clk_i_clone1),
-	.D(n_1747),
-	.Q(\fifo_buffer[60] [2]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[60][3]  (
-	.CLK(clk_i_clone1),
-	.D(n_1744),
-	.Q(\fifo_buffer[60] [3]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[60][4]  (
-	.CLK(CTS_25),
-	.D(n_1741),
-	.Q(\fifo_buffer[60] [4]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[60][5]  (
-	.CLK(CTS_25),
-	.D(n_1740),
-	.Q(\fifo_buffer[60] [5]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[60][6]  (
-	.CLK(clk_i_clone1),
-	.D(n_1737),
-	.Q(\fifo_buffer[60] [6]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[60][7]  (
-	.CLK(CTS_25),
-	.D(n_1733),
-	.Q(\fifo_buffer[60] [7]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[60][8]  (
-	.CLK(CTS_24),
-	.D(n_1731),
-	.Q(\fifo_buffer[60] [8]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[61][0]  (
-	.CLK(CTS_25),
-	.D(FE_OFN1405_n_11),
-	.Q(\fifo_buffer[61] [0]),
-	.RESET_B(FE_OFN99_system_rst_ni),
-	.SCD(\fifo_buffer[61] [0]),
-	.SCE(n_287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[61][1]  (
-	.CLK(CTS_25),
-	.D(n_1726),
-	.Q(\fifo_buffer[61] [1]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[61][2]  (
-	.CLK(clk_i_clone1),
-	.D(n_1722),
-	.Q(\fifo_buffer[61] [2]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[61][3]  (
-	.CLK(clk_i_clone1),
-	.D(n_1721),
-	.Q(\fifo_buffer[61] [3]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[61][4]  (
-	.CLK(CTS_25),
-	.D(n_1716),
-	.Q(\fifo_buffer[61] [4]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[61][5]  (
-	.CLK(CTS_25),
-	.D(n_1715),
-	.Q(\fifo_buffer[61] [5]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[61][6]  (
-	.CLK(clk_i_clone1),
-	.D(n_1712),
-	.Q(\fifo_buffer[61] [6]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[61][7]  (
-	.CLK(CTS_25),
-	.D(n_1709),
-	.Q(\fifo_buffer[61] [7]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[61][8]  (
-	.CLK(CTS_25),
-	.D(n_1706),
-	.Q(\fifo_buffer[61] [8]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[62][0]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1405_n_11),
-	.Q(\fifo_buffer[62] [0]),
-	.RESET_B(FE_OFN132_system_rst_ni),
-	.SCD(\fifo_buffer[62] [0]),
-	.SCE(n_273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[62][1]  (
-	.CLK(clk_i_clone1),
-	.D(n_1701),
-	.Q(\fifo_buffer[62] [1]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[62][2]  (
-	.CLK(clk_i_clone1),
-	.D(n_1698),
-	.Q(\fifo_buffer[62] [2]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[62][3]  (
-	.CLK(clk_i_clone1),
-	.D(n_1695),
-	.Q(\fifo_buffer[62] [3]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[62][4]  (
-	.CLK(clk_i_clone1),
-	.D(n_1691),
-	.Q(\fifo_buffer[62] [4]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[62][5]  (
-	.CLK(clk_i_clone1),
-	.D(n_1689),
-	.Q(\fifo_buffer[62] [5]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[62][6]  (
-	.CLK(clk_i_clone1),
-	.D(n_1687),
-	.Q(\fifo_buffer[62] [6]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[62][7]  (
-	.CLK(clk_i_clone1),
-	.D(n_1684),
-	.Q(\fifo_buffer[62] [7]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[62][8]  (
-	.CLK(clk_i_clone1),
-	.D(n_1682),
-	.Q(\fifo_buffer[62] [8]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[63][0]  (
-	.CLK(CTS_24),
-	.D(\fifo_buffer[63] [0]),
-	.Q(\fifo_buffer[63] [0]),
-	.RESET_B(FE_OFN56_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[63][1]  (
-	.CLK(clk_i_clone1),
-	.D(n_1675),
-	.Q(\fifo_buffer[63] [1]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[63][2]  (
-	.CLK(clk_i_clone1),
-	.D(n_1671),
-	.Q(\fifo_buffer[63] [2]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[63][3]  (
-	.CLK(clk_i_clone2),
-	.D(n_1669),
-	.Q(\fifo_buffer[63] [3]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[63][4]  (
-	.CLK(clk_i_clone2),
-	.D(n_1666),
-	.Q(\fifo_buffer[63] [4]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[63][5]  (
-	.CLK(clk_i_clone1),
-	.D(n_1663),
-	.Q(\fifo_buffer[63] [5]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[63][6]  (
-	.CLK(clk_i_clone1),
-	.D(n_1659),
-	.Q(\fifo_buffer[63] [6]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[63][7]  (
-	.CLK(CTS_25),
-	.D(n_1656),
-	.Q(\fifo_buffer[63] [7]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[63][8]  (
-	.CLK(clk_i_clone2),
-	.D(n_1653),
-	.Q(\fifo_buffer[63] [8]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[64][0]  (
-	.CLK(CTS_16),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[64] [0]),
-	.RESET_B(FE_OFN52_system_rst_ni),
-	.SCD(\fifo_buffer[64] [0]),
-	.SCE(n_738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[64][1]  (
-	.CLK(CTS_14),
-	.D(n_3154),
-	.Q(\fifo_buffer[64] [1]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[64][2]  (
-	.CLK(CTS_14),
-	.D(n_3153),
-	.Q(\fifo_buffer[64] [2]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[64][3]  (
-	.CLK(CTS_14),
-	.D(n_2962),
-	.Q(\fifo_buffer[64] [3]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[64][4]  (
-	.CLK(CTS_14),
-	.D(n_3152),
-	.Q(\fifo_buffer[64] [4]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[64][5]  (
-	.CLK(CTS_14),
-	.D(n_3151),
-	.Q(\fifo_buffer[64] [5]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[64][6]  (
-	.CLK(CTS_14),
-	.D(n_3150),
-	.Q(\fifo_buffer[64] [6]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[64][7]  (
-	.CLK(CTS_14),
-	.D(n_3149),
-	.Q(\fifo_buffer[64] [7]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[64][8]  (
-	.CLK(CTS_14),
-	.D(n_3148),
-	.Q(\fifo_buffer[64] [8]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[65][0]  (
-	.CLK(CTS_14),
-	.D(n_11),
-	.Q(\fifo_buffer[65] [0]),
-	.RESET_B(FE_OFN124_system_rst_ni),
-	.SCD(\fifo_buffer[65] [0]),
-	.SCE(n_775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[65][1]  (
-	.CLK(CTS_14),
-	.D(n_3147),
-	.Q(\fifo_buffer[65] [1]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[65][2]  (
-	.CLK(CTS_14),
-	.D(n_3146),
-	.Q(\fifo_buffer[65] [2]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[65][3]  (
-	.CLK(CTS_14),
-	.D(n_3145),
-	.Q(\fifo_buffer[65] [3]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[65][4]  (
-	.CLK(CTS_14),
-	.D(n_3144),
-	.Q(\fifo_buffer[65] [4]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[65][5]  (
-	.CLK(CTS_14),
-	.D(n_3143),
-	.Q(\fifo_buffer[65] [5]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[65][6]  (
-	.CLK(CTS_14),
-	.D(n_3142),
-	.Q(\fifo_buffer[65] [6]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[65][7]  (
-	.CLK(CTS_14),
-	.D(n_3141),
-	.Q(\fifo_buffer[65] [7]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[65][8]  (
-	.CLK(CTS_14),
-	.D(n_3140),
-	.Q(\fifo_buffer[65] [8]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[66][0]  (
-	.CLK(CTS_7),
-	.D(FE_OFN1399_n_11),
-	.Q(\fifo_buffer[66] [0]),
-	.RESET_B(FE_OFN49_system_rst_ni),
-	.SCD(\fifo_buffer[66] [0]),
-	.SCE(n_761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[66][1]  (
-	.CLK(CTS_5),
-	.D(n_3139),
-	.Q(\fifo_buffer[66] [1]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[66][2]  (
-	.CLK(CTS_6),
-	.D(n_3138),
-	.Q(\fifo_buffer[66] [2]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[66][3]  (
-	.CLK(CTS_5),
-	.D(n_3136),
-	.Q(\fifo_buffer[66] [3]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[66][4]  (
-	.CLK(CTS_5),
-	.D(n_3135),
-	.Q(\fifo_buffer[66] [4]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[66][5]  (
-	.CLK(CTS_5),
-	.D(n_3134),
-	.Q(\fifo_buffer[66] [5]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[66][6]  (
-	.CLK(CTS_6),
-	.D(n_3133),
-	.Q(\fifo_buffer[66] [6]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[66][7]  (
-	.CLK(CTS_6),
-	.D(n_3132),
-	.Q(\fifo_buffer[66] [7]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[66][8]  (
-	.CLK(CTS_5),
-	.D(n_3131),
-	.Q(\fifo_buffer[66] [8]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[67][0]  (
-	.CLK(CTS_5),
-	.D(FE_OFN1399_n_11),
-	.Q(\fifo_buffer[67] [0]),
-	.RESET_B(FE_OFN49_system_rst_ni),
-	.SCD(\fifo_buffer[67] [0]),
-	.SCE(n_695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[67][1]  (
-	.CLK(CTS_5),
-	.D(n_3130),
-	.Q(\fifo_buffer[67] [1]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[67][2]  (
-	.CLK(clk_i),
-	.D(n_3129),
-	.Q(\fifo_buffer[67] [2]),
-	.RESET_B(FE_OFN109_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[67][3]  (
-	.CLK(CTS_5),
-	.D(n_3128),
-	.Q(\fifo_buffer[67] [3]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[67][4]  (
-	.CLK(CTS_5),
-	.D(n_3127),
-	.Q(\fifo_buffer[67] [4]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[67][5]  (
-	.CLK(CTS_5),
-	.D(n_3126),
-	.Q(\fifo_buffer[67] [5]),
-	.RESET_B(FE_OFN109_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[67][6]  (
-	.CLK(CTS_5),
-	.D(n_3125),
-	.Q(\fifo_buffer[67] [6]),
-	.RESET_B(FE_OFN109_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[67][7]  (
-	.CLK(clk_i),
-	.D(n_3124),
-	.Q(\fifo_buffer[67] [7]),
-	.RESET_B(FE_OFN109_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[67][8]  (
-	.CLK(CTS_5),
-	.D(n_3123),
-	.Q(\fifo_buffer[67] [8]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[68][0]  (
-	.CLK(CTS_11),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[68] [0]),
-	.RESET_B(FE_OFN55_system_rst_ni),
-	.SCD(\fifo_buffer[68] [0]),
-	.SCE(n_673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[68][1]  (
-	.CLK(CTS_17),
-	.D(n_3122),
-	.Q(\fifo_buffer[68] [1]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[68][2]  (
-	.CLK(CTS_11),
-	.D(n_3121),
-	.Q(\fifo_buffer[68] [2]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[68][3]  (
-	.CLK(CTS_17),
-	.D(n_3120),
-	.Q(\fifo_buffer[68] [3]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[68][4]  (
-	.CLK(CTS_11),
-	.D(n_3119),
-	.Q(\fifo_buffer[68] [4]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[68][5]  (
-	.CLK(CTS_17),
-	.D(n_3118),
-	.Q(\fifo_buffer[68] [5]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[68][6]  (
-	.CLK(CTS_23),
-	.D(n_3117),
-	.Q(\fifo_buffer[68] [6]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[68][7]  (
-	.CLK(CTS_11),
-	.D(n_3116),
-	.Q(\fifo_buffer[68] [7]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[68][8]  (
-	.CLK(CTS_17),
-	.D(n_3115),
-	.Q(\fifo_buffer[68] [8]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[69][0]  (
-	.CLK(CTS_11),
-	.D(\fifo_buffer[69] [0]),
-	.Q(\fifo_buffer[69] [0]),
-	.RESET_B(FE_OFN55_system_rst_ni),
-	.SCD(FE_OFN1400_n_11),
-	.SCE(n_730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[69][1]  (
-	.CLK(CTS_17),
-	.D(n_3114),
-	.Q(\fifo_buffer[69] [1]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[69][2]  (
-	.CLK(CTS_11),
-	.D(n_3113),
-	.Q(\fifo_buffer[69] [2]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[69][3]  (
-	.CLK(CTS_17),
-	.D(n_3112),
-	.Q(\fifo_buffer[69] [3]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[69][4]  (
-	.CLK(CTS_11),
-	.D(n_3111),
-	.Q(\fifo_buffer[69] [4]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[69][5]  (
-	.CLK(CTS_17),
-	.D(n_3110),
-	.Q(\fifo_buffer[69] [5]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[69][6]  (
-	.CLK(CTS_17),
-	.D(n_3155),
-	.Q(\fifo_buffer[69] [6]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[69][7]  (
-	.CLK(CTS_11),
-	.D(n_3108),
-	.Q(\fifo_buffer[69] [7]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[69][8]  (
-	.CLK(CTS_17),
-	.D(n_3107),
-	.Q(\fifo_buffer[69] [8]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[70][0]  (
-	.CLK(CTS_27),
-	.D(\fifo_buffer[70] [0]),
-	.Q(\fifo_buffer[70] [0]),
-	.RESET_B(FE_OFN98_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[70][1]  (
-	.CLK(CTS_28),
-	.D(n_3106),
-	.Q(\fifo_buffer[70] [1]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[70][2]  (
-	.CLK(CTS_27),
-	.D(n_3105),
-	.Q(\fifo_buffer[70] [2]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[70][3]  (
-	.CLK(CTS_28),
-	.D(n_3104),
-	.Q(\fifo_buffer[70] [3]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[70][4]  (
-	.CLK(CTS_28),
-	.D(n_3103),
-	.Q(\fifo_buffer[70] [4]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[70][5]  (
-	.CLK(CTS_28),
-	.D(n_3102),
-	.Q(\fifo_buffer[70] [5]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[70][6]  (
-	.CLK(CTS_28),
-	.D(n_3101),
-	.Q(\fifo_buffer[70] [6]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[70][7]  (
-	.CLK(CTS_27),
-	.D(n_3100),
-	.Q(\fifo_buffer[70] [7]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[70][8]  (
-	.CLK(CTS_28),
-	.D(n_3099),
-	.Q(\fifo_buffer[70] [8]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[71][0]  (
-	.CLK(CTS_27),
-	.D(\fifo_buffer[71] [0]),
-	.Q(\fifo_buffer[71] [0]),
-	.RESET_B(FE_OFN98_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[71][1]  (
-	.CLK(CTS_28),
-	.D(n_3098),
-	.Q(\fifo_buffer[71] [1]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[71][2]  (
-	.CLK(CTS_27),
-	.D(n_3097),
-	.Q(\fifo_buffer[71] [2]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[71][3]  (
-	.CLK(CTS_28),
-	.D(n_3096),
-	.Q(\fifo_buffer[71] [3]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[71][4]  (
-	.CLK(CTS_28),
-	.D(n_3095),
-	.Q(\fifo_buffer[71] [4]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[71][5]  (
-	.CLK(CTS_28),
-	.D(n_3094),
-	.Q(\fifo_buffer[71] [5]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[71][6]  (
-	.CLK(CTS_28),
-	.D(n_3093),
-	.Q(\fifo_buffer[71] [6]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[71][7]  (
-	.CLK(CTS_27),
-	.D(n_3092),
-	.Q(\fifo_buffer[71] [7]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[71][8]  (
-	.CLK(CTS_28),
-	.D(n_3091),
-	.Q(\fifo_buffer[71] [8]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[72][0]  (
-	.CLK(CTS_16),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[72] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(\fifo_buffer[72] [0]),
-	.SCE(n_784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[72][1]  (
-	.CLK(CTS_21),
-	.D(n_3090),
-	.Q(\fifo_buffer[72] [1]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[72][2]  (
-	.CLK(CTS_21),
-	.D(n_3089),
-	.Q(\fifo_buffer[72] [2]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[72][3]  (
-	.CLK(CTS_16),
-	.D(n_3087),
-	.Q(\fifo_buffer[72] [3]),
-	.RESET_B(FE_OFN52_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[72][4]  (
-	.CLK(CTS_21),
-	.D(n_3086),
-	.Q(\fifo_buffer[72] [4]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[72][5]  (
-	.CLK(CTS_16),
-	.D(n_3085),
-	.Q(\fifo_buffer[72] [5]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[72][6]  (
-	.CLK(CTS_21),
-	.D(n_3084),
-	.Q(\fifo_buffer[72] [6]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[72][7]  (
-	.CLK(CTS_16),
-	.D(n_3083),
-	.Q(\fifo_buffer[72] [7]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[72][8]  (
-	.CLK(CTS_16),
-	.D(n_3082),
-	.Q(\fifo_buffer[72] [8]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[73][0]  (
-	.CLK(CTS_16),
-	.D(n_11),
-	.Q(\fifo_buffer[73] [0]),
-	.RESET_B(FE_OFN124_system_rst_ni),
-	.SCD(\fifo_buffer[73] [0]),
-	.SCE(n_740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[73][1]  (
-	.CLK(CTS_21),
-	.D(n_3081),
-	.Q(\fifo_buffer[73] [1]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[73][2]  (
-	.CLK(CTS_21),
-	.D(n_3080),
-	.Q(\fifo_buffer[73] [2]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[73][3]  (
-	.CLK(CTS_14),
-	.D(n_3079),
-	.Q(\fifo_buffer[73] [3]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[73][4]  (
-	.CLK(CTS_21),
-	.D(n_3078),
-	.Q(\fifo_buffer[73] [4]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[73][5]  (
-	.CLK(CTS_21),
-	.D(n_3077),
-	.Q(\fifo_buffer[73] [5]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[73][6]  (
-	.CLK(CTS_21),
-	.D(n_3076),
-	.Q(\fifo_buffer[73] [6]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[73][7]  (
-	.CLK(CTS_21),
-	.D(n_3075),
-	.Q(\fifo_buffer[73] [7]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[73][8]  (
-	.CLK(CTS_21),
-	.D(n_3074),
-	.Q(\fifo_buffer[73] [8]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[74][0]  (
-	.CLK(CTS_22),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[74] [0]),
-	.RESET_B(FE_OFN54_system_rst_ni),
-	.SCD(\fifo_buffer[74] [0]),
-	.SCE(n_785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[74][1]  (
-	.CLK(CTS_11),
-	.D(n_3073),
-	.Q(\fifo_buffer[74] [1]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[74][2]  (
-	.CLK(CTS_22),
-	.D(n_3072),
-	.Q(\fifo_buffer[74] [2]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[74][3]  (
-	.CLK(CTS_22),
-	.D(n_3071),
-	.Q(\fifo_buffer[74] [3]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[74][4]  (
-	.CLK(CTS_11),
-	.D(n_3070),
-	.Q(\fifo_buffer[74] [4]),
-	.RESET_B(FE_OFN131_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[74][5]  (
-	.CLK(CTS_22),
-	.D(n_3069),
-	.Q(\fifo_buffer[74] [5]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[74][6]  (
-	.CLK(CTS_22),
-	.D(n_3066),
-	.Q(\fifo_buffer[74] [6]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[74][7]  (
-	.CLK(CTS_22),
-	.D(n_3065),
-	.Q(\fifo_buffer[74] [7]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[74][8]  (
-	.CLK(CTS_11),
-	.D(n_3064),
-	.Q(\fifo_buffer[74] [8]),
-	.RESET_B(FE_OFN131_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[75][0]  (
-	.CLK(CTS_11),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[75] [0]),
-	.RESET_B(FE_OFN131_system_rst_ni),
-	.SCD(\fifo_buffer[75] [0]),
-	.SCE(n_675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[75][1]  (
-	.CLK(CTS_11),
-	.D(n_3063),
-	.Q(\fifo_buffer[75] [1]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[75][2]  (
-	.CLK(CTS_22),
-	.D(n_3062),
-	.Q(\fifo_buffer[75] [2]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[75][3]  (
-	.CLK(CTS_22),
-	.D(n_3061),
-	.Q(\fifo_buffer[75] [3]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[75][4]  (
-	.CLK(CTS_11),
-	.D(n_3060),
-	.Q(\fifo_buffer[75] [4]),
-	.RESET_B(FE_OFN131_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[75][5]  (
-	.CLK(CTS_22),
-	.D(n_3059),
-	.Q(\fifo_buffer[75] [5]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[75][6]  (
-	.CLK(CTS_22),
-	.D(n_3058),
-	.Q(\fifo_buffer[75] [6]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[75][7]  (
-	.CLK(CTS_22),
-	.D(n_3057),
-	.Q(\fifo_buffer[75] [7]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[75][8]  (
-	.CLK(CTS_22),
-	.D(n_3056),
-	.Q(\fifo_buffer[75] [8]),
-	.RESET_B(FE_OFN131_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[76][0]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1401_n_11),
-	.Q(\fifo_buffer[76] [0]),
-	.RESET_B(FE_OFN46_system_rst_ni),
-	.SCD(\fifo_buffer[76] [0]),
-	.SCE(n_801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[76][1]  (
-	.CLK(CTS_23),
-	.D(n_3055),
-	.Q(\fifo_buffer[76] [1]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[76][2]  (
-	.CLK(CTS_23),
-	.D(n_3054),
-	.Q(\fifo_buffer[76] [2]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[76][3]  (
-	.CLK(CTS_23),
-	.D(n_3053),
-	.Q(\fifo_buffer[76] [3]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[76][4]  (
-	.CLK(CTS_23),
-	.D(n_3052),
-	.Q(\fifo_buffer[76] [4]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[76][5]  (
-	.CLK(CTS_23),
-	.D(n_3051),
-	.Q(\fifo_buffer[76] [5]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[76][6]  (
-	.CLK(CTS_23),
-	.D(n_3050),
-	.Q(\fifo_buffer[76] [6]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[76][7]  (
-	.CLK(CTS_23),
-	.D(n_3049),
-	.Q(\fifo_buffer[76] [7]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[76][8]  (
-	.CLK(CTS_23),
-	.D(n_3048),
-	.Q(\fifo_buffer[76] [8]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[77][0]  (
-	.CLK(CTS_31),
-	.D(FE_OFN1401_n_11),
-	.Q(\fifo_buffer[77] [0]),
-	.RESET_B(FE_OFN46_system_rst_ni),
-	.SCD(\fifo_buffer[77] [0]),
-	.SCE(n_742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[77][1]  (
-	.CLK(CTS_23),
-	.D(n_3159),
-	.Q(\fifo_buffer[77] [1]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[77][2]  (
-	.CLK(CTS_31),
-	.D(n_3047),
-	.Q(\fifo_buffer[77] [2]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[77][3]  (
-	.CLK(CTS_31),
-	.D(n_3046),
-	.Q(\fifo_buffer[77] [3]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[77][4]  (
-	.CLK(CTS_4),
-	.D(n_3045),
-	.Q(\fifo_buffer[77] [4]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[77][5]  (
-	.CLK(CTS_23),
-	.D(n_3044),
-	.Q(\fifo_buffer[77] [5]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[77][6]  (
-	.CLK(CTS_23),
-	.D(n_3043),
-	.Q(\fifo_buffer[77] [6]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[77][7]  (
-	.CLK(CTS_31),
-	.D(n_3042),
-	.Q(\fifo_buffer[77] [7]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[77][8]  (
-	.CLK(CTS_31),
-	.D(n_3041),
-	.Q(\fifo_buffer[77] [8]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[78][0]  (
-	.CLK(CTS_13),
-	.D(FE_OFN1401_n_11),
-	.Q(\fifo_buffer[78] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(\fifo_buffer[78] [0]),
-	.SCE(n_726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[78][1]  (
-	.CLK(CTS_13),
-	.D(n_3040),
-	.Q(\fifo_buffer[78] [1]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[78][2]  (
-	.CLK(CTS_18),
-	.D(n_3039),
-	.Q(\fifo_buffer[78] [2]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[78][3]  (
-	.CLK(CTS_18),
-	.D(n_3038),
-	.Q(\fifo_buffer[78] [3]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[78][4]  (
-	.CLK(CTS_13),
-	.D(n_3037),
-	.Q(\fifo_buffer[78] [4]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[78][5]  (
-	.CLK(CTS_18),
-	.D(n_3036),
-	.Q(\fifo_buffer[78] [5]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[78][6]  (
-	.CLK(CTS_13),
-	.D(n_3035),
-	.Q(\fifo_buffer[78] [6]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[78][7]  (
-	.CLK(CTS_13),
-	.D(n_3034),
-	.Q(\fifo_buffer[78] [7]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[78][8]  (
-	.CLK(CTS_18),
-	.D(n_3033),
-	.Q(\fifo_buffer[78] [8]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[79][0]  (
-	.CLK(CTS_13),
-	.D(FE_OFN1401_n_11),
-	.Q(\fifo_buffer[79] [0]),
-	.RESET_B(FE_OFN134_system_rst_ni),
-	.SCD(\fifo_buffer[79] [0]),
-	.SCE(n_669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[79][1]  (
-	.CLK(CTS_13),
-	.D(n_3032),
-	.Q(\fifo_buffer[79] [1]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[79][2]  (
-	.CLK(CTS_18),
-	.D(n_3068),
-	.Q(\fifo_buffer[79] [2]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[79][3]  (
-	.CLK(CTS_13),
-	.D(n_3031),
-	.Q(\fifo_buffer[79] [3]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[79][4]  (
-	.CLK(CTS_13),
-	.D(n_3030),
-	.Q(\fifo_buffer[79] [4]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[79][5]  (
-	.CLK(CTS_18),
-	.D(n_3029),
-	.Q(\fifo_buffer[79] [5]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[79][6]  (
-	.CLK(CTS_13),
-	.D(n_3028),
-	.Q(\fifo_buffer[79] [6]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[79][7]  (
-	.CLK(CTS_13),
-	.D(n_3027),
-	.Q(\fifo_buffer[79] [7]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[79][8]  (
-	.CLK(CTS_18),
-	.D(n_3026),
-	.Q(\fifo_buffer[79] [8]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[80][0]  (
-	.CLK(CTS_22),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[80] [0]),
-	.RESET_B(FE_OFN128_system_rst_ni),
-	.SCD(\fifo_buffer[80] [0]),
-	.SCE(n_682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[80][1]  (
-	.CLK(CTS_14),
-	.D(n_3025),
-	.Q(\fifo_buffer[80] [1]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[80][2]  (
-	.CLK(CTS_14),
-	.D(n_3024),
-	.Q(\fifo_buffer[80] [2]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[80][3]  (
-	.CLK(CTS_14),
-	.D(n_3023),
-	.Q(\fifo_buffer[80] [3]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[80][4]  (
-	.CLK(CTS_16),
-	.D(n_3022),
-	.Q(\fifo_buffer[80] [4]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[80][5]  (
-	.CLK(CTS_16),
-	.D(n_3021),
-	.Q(\fifo_buffer[80] [5]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[80][6]  (
-	.CLK(CTS_16),
-	.D(n_2982),
-	.Q(\fifo_buffer[80] [6]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[80][7]  (
-	.CLK(CTS_14),
-	.D(n_3020),
-	.Q(\fifo_buffer[80] [7]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[80][8]  (
-	.CLK(CTS_16),
-	.D(n_3019),
-	.Q(\fifo_buffer[80] [8]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[81][0]  (
-	.CLK(CTS_14),
-	.D(\fifo_buffer[81] [0]),
-	.Q(\fifo_buffer[81] [0]),
-	.RESET_B(FE_OFN124_system_rst_ni),
-	.SCD(n_11),
-	.SCE(n_720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[81][1]  (
-	.CLK(CTS_16),
-	.D(n_3018),
-	.Q(\fifo_buffer[81] [1]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[81][2]  (
-	.CLK(CTS_15),
-	.D(n_3017),
-	.Q(\fifo_buffer[81] [2]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[81][3]  (
-	.CLK(CTS_14),
-	.D(n_3016),
-	.Q(\fifo_buffer[81] [3]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[81][4]  (
-	.CLK(CTS_8),
-	.D(n_3015),
-	.Q(\fifo_buffer[81] [4]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[81][5]  (
-	.CLK(CTS_14),
-	.D(n_3014),
-	.Q(\fifo_buffer[81] [5]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[81][6]  (
-	.CLK(CTS_15),
-	.D(n_3013),
-	.Q(\fifo_buffer[81] [6]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[81][7]  (
-	.CLK(CTS_14),
-	.D(n_3012),
-	.Q(\fifo_buffer[81] [7]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[81][8]  (
-	.CLK(CTS_15),
-	.D(n_3011),
-	.Q(\fifo_buffer[81] [8]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[82][0]  (
-	.CLK(CTS_7),
-	.D(\fifo_buffer[82] [0]),
-	.Q(\fifo_buffer[82] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(n_11),
-	.SCE(n_732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[82][1]  (
-	.CLK(CTS_23),
-	.D(n_3010),
-	.Q(\fifo_buffer[82] [1]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[82][2]  (
-	.CLK(CTS_7),
-	.D(n_3009),
-	.Q(\fifo_buffer[82] [2]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[82][3]  (
-	.CLK(CTS_23),
-	.D(n_3008),
-	.Q(\fifo_buffer[82] [3]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[82][4]  (
-	.CLK(CTS_7),
-	.D(n_3007),
-	.Q(\fifo_buffer[82] [4]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[82][5]  (
-	.CLK(CTS_7),
-	.D(n_3006),
-	.Q(\fifo_buffer[82] [5]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[82][6]  (
-	.CLK(CTS_23),
-	.D(n_3005),
-	.Q(\fifo_buffer[82] [6]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[82][7]  (
-	.CLK(CTS_7),
-	.D(n_3004),
-	.Q(\fifo_buffer[82] [7]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[82][8]  (
-	.CLK(CTS_23),
-	.D(n_3003),
-	.Q(\fifo_buffer[82] [8]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[83][0]  (
-	.CLK(CTS_7),
-	.D(\fifo_buffer[83] [0]),
-	.Q(\fifo_buffer[83] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(n_11),
-	.SCE(n_788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[83][1]  (
-	.CLK(CTS_7),
-	.D(n_3002),
-	.Q(\fifo_buffer[83] [1]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[83][2]  (
-	.CLK(CTS_7),
-	.D(n_3001),
-	.Q(\fifo_buffer[83] [2]),
-	.RESET_B(FE_OFN49_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[83][3]  (
-	.CLK(CTS_7),
-	.D(n_3000),
-	.Q(\fifo_buffer[83] [3]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[83][4]  (
-	.CLK(CTS_7),
-	.D(n_2999),
-	.Q(\fifo_buffer[83] [4]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[83][5]  (
-	.CLK(CTS_7),
-	.D(n_2998),
-	.Q(\fifo_buffer[83] [5]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[83][6]  (
-	.CLK(CTS_7),
-	.D(n_2997),
-	.Q(\fifo_buffer[83] [6]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[83][7]  (
-	.CLK(CTS_7),
-	.D(n_2996),
-	.Q(\fifo_buffer[83] [7]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[83][8]  (
-	.CLK(CTS_7),
-	.D(n_2995),
-	.Q(\fifo_buffer[83] [8]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[84][0]  (
-	.CLK(CTS_31),
-	.D(\fifo_buffer[84] [0]),
-	.Q(\fifo_buffer[84] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[84][1]  (
-	.CLK(CTS_13),
-	.D(n_2994),
-	.Q(\fifo_buffer[84] [1]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[84][2]  (
-	.CLK(CTS_18),
-	.D(n_2993),
-	.Q(\fifo_buffer[84] [2]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[84][3]  (
-	.CLK(CTS_13),
-	.D(n_2992),
-	.Q(\fifo_buffer[84] [3]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[84][4]  (
-	.CLK(CTS_13),
-	.D(n_2991),
-	.Q(\fifo_buffer[84] [4]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[84][5]  (
-	.CLK(CTS_17),
-	.D(n_2990),
-	.Q(\fifo_buffer[84] [5]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[84][6]  (
-	.CLK(CTS_17),
-	.D(n_2989),
-	.Q(\fifo_buffer[84] [6]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[84][7]  (
-	.CLK(CTS_13),
-	.D(n_2988),
-	.Q(\fifo_buffer[84] [7]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[84][8]  (
-	.CLK(CTS_18),
-	.D(n_2987),
-	.Q(\fifo_buffer[84] [8]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[85][0]  (
-	.CLK(CTS_31),
-	.D(\fifo_buffer[85] [0]),
-	.Q(\fifo_buffer[85] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[85][1]  (
-	.CLK(CTS_17),
-	.D(n_2986),
-	.Q(\fifo_buffer[85] [1]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[85][2]  (
-	.CLK(CTS_18),
-	.D(n_2985),
-	.Q(\fifo_buffer[85] [2]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[85][3]  (
-	.CLK(CTS_17),
-	.D(n_2984),
-	.Q(\fifo_buffer[85] [3]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[85][4]  (
-	.CLK(CTS_17),
-	.D(n_2983),
-	.Q(\fifo_buffer[85] [4]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[85][5]  (
-	.CLK(CTS_17),
-	.D(n_2981),
-	.Q(\fifo_buffer[85] [5]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[85][6]  (
-	.CLK(CTS_17),
-	.D(n_2980),
-	.Q(\fifo_buffer[85] [6]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[85][7]  (
-	.CLK(CTS_13),
-	.D(n_2979),
-	.Q(\fifo_buffer[85] [7]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[85][8]  (
-	.CLK(CTS_17),
-	.D(n_2978),
-	.Q(\fifo_buffer[85] [8]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[86][0]  (
-	.CLK(CTS_27),
-	.D(\fifo_buffer[86] [0]),
-	.Q(\fifo_buffer[86] [0]),
-	.RESET_B(FE_OFN97_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[86][1]  (
-	.CLK(CTS_26),
-	.D(n_2977),
-	.Q(\fifo_buffer[86] [1]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[86][2]  (
-	.CLK(CTS_26),
-	.D(n_2976),
-	.Q(\fifo_buffer[86] [2]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[86][3]  (
-	.CLK(CTS_26),
-	.D(n_2975),
-	.Q(\fifo_buffer[86] [3]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[86][4]  (
-	.CLK(CTS_26),
-	.D(n_2974),
-	.Q(\fifo_buffer[86] [4]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[86][5]  (
-	.CLK(CTS_26),
-	.D(n_2973),
-	.Q(\fifo_buffer[86] [5]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[86][6]  (
-	.CLK(CTS_26),
-	.D(n_2972),
-	.Q(\fifo_buffer[86] [6]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[86][7]  (
-	.CLK(CTS_27),
-	.D(n_2971),
-	.Q(\fifo_buffer[86] [7]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[86][8]  (
-	.CLK(CTS_26),
-	.D(n_2970),
-	.Q(\fifo_buffer[86] [8]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[87][0]  (
-	.CLK(CTS_27),
-	.D(\fifo_buffer[87] [0]),
-	.Q(\fifo_buffer[87] [0]),
-	.RESET_B(FE_OFN97_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[87][1]  (
-	.CLK(CTS_26),
-	.D(n_2969),
-	.Q(\fifo_buffer[87] [1]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[87][2]  (
-	.CLK(CTS_26),
-	.D(n_2968),
-	.Q(\fifo_buffer[87] [2]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[87][3]  (
-	.CLK(CTS_27),
-	.D(n_2967),
-	.Q(\fifo_buffer[87] [3]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[87][4]  (
-	.CLK(CTS_27),
-	.D(n_2966),
-	.Q(\fifo_buffer[87] [4]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[87][5]  (
-	.CLK(CTS_26),
-	.D(n_2965),
-	.Q(\fifo_buffer[87] [5]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[87][6]  (
-	.CLK(CTS_27),
-	.D(n_2964),
-	.Q(\fifo_buffer[87] [6]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[87][7]  (
-	.CLK(CTS_27),
-	.D(n_2963),
-	.Q(\fifo_buffer[87] [7]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[87][8]  (
-	.CLK(CTS_26),
-	.D(n_2961),
-	.Q(\fifo_buffer[87] [8]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[88][0]  (
-	.CLK(CTS_22),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[88] [0]),
-	.RESET_B(FE_OFN52_system_rst_ni),
-	.SCD(\fifo_buffer[88] [0]),
-	.SCE(n_809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[88][1]  (
-	.CLK(CTS_16),
-	.D(n_2960),
-	.Q(\fifo_buffer[88] [1]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[88][2]  (
-	.CLK(CTS_16),
-	.D(n_2959),
-	.Q(\fifo_buffer[88] [2]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[88][3]  (
-	.CLK(CTS_16),
-	.D(n_2958),
-	.Q(\fifo_buffer[88] [3]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[88][4]  (
-	.CLK(CTS_16),
-	.D(n_2957),
-	.Q(\fifo_buffer[88] [4]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[88][5]  (
-	.CLK(CTS_16),
-	.D(n_2956),
-	.Q(\fifo_buffer[88] [5]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[88][6]  (
-	.CLK(CTS_22),
-	.D(n_2955),
-	.Q(\fifo_buffer[88] [6]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[88][7]  (
-	.CLK(CTS_16),
-	.D(n_2954),
-	.Q(\fifo_buffer[88] [7]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[88][8]  (
-	.CLK(CTS_22),
-	.D(n_3067),
-	.Q(\fifo_buffer[88] [8]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[89][0]  (
-	.CLK(CTS_22),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[89] [0]),
-	.RESET_B(FE_OFN128_system_rst_ni),
-	.SCD(\fifo_buffer[89] [0]),
-	.SCE(n_750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[89][1]  (
-	.CLK(CTS_22),
-	.D(n_2953),
-	.Q(\fifo_buffer[89] [1]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[89][2]  (
-	.CLK(CTS_8),
-	.D(n_2952),
-	.Q(\fifo_buffer[89] [2]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[89][3]  (
-	.CLK(CTS_22),
-	.D(n_2951),
-	.Q(\fifo_buffer[89] [3]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[89][4]  (
-	.CLK(CTS_22),
-	.D(n_2950),
-	.Q(\fifo_buffer[89] [4]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[89][5]  (
-	.CLK(CTS_22),
-	.D(n_2949),
-	.Q(\fifo_buffer[89] [5]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[89][6]  (
-	.CLK(CTS_16),
-	.D(n_2948),
-	.Q(\fifo_buffer[89] [6]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[89][7]  (
-	.CLK(CTS_22),
-	.D(n_2947),
-	.Q(\fifo_buffer[89] [7]),
-	.RESET_B(FE_OFN52_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[89][8]  (
-	.CLK(CTS_22),
-	.D(n_2946),
-	.Q(\fifo_buffer[89] [8]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[90][0]  (
-	.CLK(CTS_7),
-	.D(FE_OFN1399_n_11),
-	.Q(\fifo_buffer[90] [0]),
-	.RESET_B(FE_OFN47_system_rst_ni),
-	.SCD(\fifo_buffer[90] [0]),
-	.SCE(n_737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[90][1]  (
-	.CLK(CTS_5),
-	.D(n_3109),
-	.Q(\fifo_buffer[90] [1]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[90][2]  (
-	.CLK(clk_i),
-	.D(n_2945),
-	.Q(\fifo_buffer[90] [2]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[90][3]  (
-	.CLK(CTS_5),
-	.D(n_2944),
-	.Q(\fifo_buffer[90] [3]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[90][4]  (
-	.CLK(CTS_5),
-	.D(n_2943),
-	.Q(\fifo_buffer[90] [4]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[90][5]  (
-	.CLK(clk_i),
-	.D(n_2942),
-	.Q(\fifo_buffer[90] [5]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[90][6]  (
-	.CLK(CTS_5),
-	.D(n_2941),
-	.Q(\fifo_buffer[90] [6]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[90][7]  (
-	.CLK(clk_i_clone6),
-	.D(n_2940),
-	.Q(\fifo_buffer[90] [7]),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[90][8]  (
-	.CLK(CTS_5),
-	.D(n_2936),
-	.Q(\fifo_buffer[90] [8]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[91][0]  (
-	.CLK(CTS_7),
-	.D(FE_OFN1399_n_11),
-	.Q(\fifo_buffer[91] [0]),
-	.RESET_B(FE_OFN47_system_rst_ni),
-	.SCD(\fifo_buffer[91] [0]),
-	.SCE(n_667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[91][1]  (
-	.CLK(CTS_5),
-	.D(n_2939),
-	.Q(\fifo_buffer[91] [1]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[91][2]  (
-	.CLK(clk_i),
-	.D(n_2938),
-	.Q(\fifo_buffer[91] [2]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[91][3]  (
-	.CLK(CTS_5),
-	.D(n_2937),
-	.Q(\fifo_buffer[91] [3]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[91][4]  (
-	.CLK(CTS_5),
-	.D(n_2935),
-	.Q(\fifo_buffer[91] [4]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[91][5]  (
-	.CLK(CTS_5),
-	.D(n_2934),
-	.Q(\fifo_buffer[91] [5]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[91][6]  (
-	.CLK(CTS_5),
-	.D(n_2933),
-	.Q(\fifo_buffer[91] [6]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[91][7]  (
-	.CLK(clk_i),
-	.D(n_3088),
-	.Q(\fifo_buffer[91] [7]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[91][8]  (
-	.CLK(CTS_5),
-	.D(n_2932),
-	.Q(\fifo_buffer[91] [8]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[92][0]  (
-	.CLK(CTS_4),
-	.D(\fifo_buffer[92] [0]),
-	.Q(\fifo_buffer[92] [0]),
-	.RESET_B(FE_OFN107_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[92][1]  (
-	.CLK(CTS_4),
-	.D(n_3222),
-	.Q(\fifo_buffer[92] [1]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[92][2]  (
-	.CLK(clk_i_clone5),
-	.D(n_2931),
-	.Q(\fifo_buffer[92] [2]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[92][3]  (
-	.CLK(CTS_4),
-	.D(n_2930),
-	.Q(\fifo_buffer[92] [3]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[92][4]  (
-	.CLK(CTS_4),
-	.D(n_2929),
-	.Q(\fifo_buffer[92] [4]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[92][5]  (
-	.CLK(clk_i_clone5),
-	.D(n_2928),
-	.Q(\fifo_buffer[92] [5]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[92][6]  (
-	.CLK(CTS_4),
-	.D(n_2927),
-	.Q(\fifo_buffer[92] [6]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[92][7]  (
-	.CLK(clk_i_clone5),
-	.D(n_2926),
-	.Q(\fifo_buffer[92] [7]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[92][8]  (
-	.CLK(clk_i_clone5),
-	.D(n_2925),
-	.Q(\fifo_buffer[92] [8]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[93][0]  (
-	.CLK(CTS_4),
-	.D(\fifo_buffer[93] [0]),
-	.Q(\fifo_buffer[93] [0]),
-	.RESET_B(FE_OFN99_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[93][1]  (
-	.CLK(CTS_4),
-	.D(n_2924),
-	.Q(\fifo_buffer[93] [1]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[93][2]  (
-	.CLK(clk_i_clone5),
-	.D(n_2923),
-	.Q(\fifo_buffer[93] [2]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[93][3]  (
-	.CLK(CTS_4),
-	.D(n_2922),
-	.Q(\fifo_buffer[93] [3]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[93][4]  (
-	.CLK(CTS_4),
-	.D(n_2921),
-	.Q(\fifo_buffer[93] [4]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[93][5]  (
-	.CLK(clk_i_clone5),
-	.D(n_2920),
-	.Q(\fifo_buffer[93] [5]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[93][6]  (
-	.CLK(clk_i_clone5),
-	.D(n_2919),
-	.Q(\fifo_buffer[93] [6]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[93][7]  (
-	.CLK(clk_i_clone5),
-	.D(n_2918),
-	.Q(\fifo_buffer[93] [7]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[93][8]  (
-	.CLK(clk_i_clone5),
-	.D(n_3157),
-	.Q(\fifo_buffer[93] [8]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[94][0]  (
-	.CLK(CTS_30),
-	.D(\fifo_buffer[94] [0]),
-	.Q(\fifo_buffer[94] [0]),
-	.RESET_B(FE_OFN133_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[94][1]  (
-	.CLK(CTS_25),
-	.D(n_2521),
-	.Q(\fifo_buffer[94] [1]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[94][2]  (
-	.CLK(CTS_25),
-	.D(n_2917),
-	.Q(\fifo_buffer[94] [2]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[94][3]  (
-	.CLK(CTS_24),
-	.D(n_2916),
-	.Q(\fifo_buffer[94] [3]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[94][4]  (
-	.CLK(CTS_30),
-	.D(n_2915),
-	.Q(\fifo_buffer[94] [4]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[94][5]  (
-	.CLK(CTS_24),
-	.D(n_2914),
-	.Q(\fifo_buffer[94] [5]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[94][6]  (
-	.CLK(CTS_30),
-	.D(n_3365),
-	.Q(\fifo_buffer[94] [6]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[94][7]  (
-	.CLK(CTS_25),
-	.D(n_2912),
-	.Q(\fifo_buffer[94] [7]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[94][8]  (
-	.CLK(CTS_24),
-	.D(n_2911),
-	.Q(\fifo_buffer[94] [8]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[95][0]  (
-	.CLK(CTS_30),
-	.D(\fifo_buffer[95] [0]),
-	.Q(\fifo_buffer[95] [0]),
-	.RESET_B(FE_OFN133_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[95][1]  (
-	.CLK(CTS_25),
-	.D(n_2909),
-	.Q(\fifo_buffer[95] [1]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[95][2]  (
-	.CLK(CTS_25),
-	.D(n_2908),
-	.Q(\fifo_buffer[95] [2]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[95][3]  (
-	.CLK(CTS_24),
-	.D(n_2907),
-	.Q(\fifo_buffer[95] [3]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[95][4]  (
-	.CLK(CTS_30),
-	.D(n_2904),
-	.Q(\fifo_buffer[95] [4]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[95][5]  (
-	.CLK(CTS_24),
-	.D(n_2903),
-	.Q(\fifo_buffer[95] [5]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[95][6]  (
-	.CLK(CTS_30),
-	.D(n_2901),
-	.Q(\fifo_buffer[95] [6]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[95][7]  (
-	.CLK(CTS_31),
-	.D(n_2900),
-	.Q(\fifo_buffer[95] [7]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[95][8]  (
-	.CLK(CTS_30),
-	.D(n_3137),
-	.Q(\fifo_buffer[95] [8]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[96][0]  (
-	.CLK(CTS_14),
-	.D(n_11),
-	.Q(\fifo_buffer[96] [0]),
-	.RESET_B(FE_OFN124_system_rst_ni),
-	.SCD(\fifo_buffer[96] [0]),
-	.SCE(n_672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[96][1]  (
-	.CLK(CTS_14),
-	.D(n_2897),
-	.Q(\fifo_buffer[96] [1]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[96][2]  (
-	.CLK(CTS_21),
-	.D(n_2895),
-	.Q(\fifo_buffer[96] [2]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[96][3]  (
-	.CLK(CTS_14),
-	.D(n_3156),
-	.Q(\fifo_buffer[96] [3]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[96][4]  (
-	.CLK(CTS_14),
-	.D(n_2892),
-	.Q(\fifo_buffer[96] [4]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[96][5]  (
-	.CLK(CTS_14),
-	.D(n_2890),
-	.Q(\fifo_buffer[96] [5]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[96][6]  (
-	.CLK(CTS_21),
-	.D(n_3158),
-	.Q(\fifo_buffer[96] [6]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[96][7]  (
-	.CLK(CTS_14),
-	.D(n_2887),
-	.Q(\fifo_buffer[96] [7]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[96][8]  (
-	.CLK(CTS_21),
-	.D(n_2886),
-	.Q(\fifo_buffer[96] [8]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[97][0]  (
-	.CLK(CTS_16),
-	.D(\fifo_buffer[97] [0]),
-	.Q(\fifo_buffer[97] [0]),
-	.RESET_B(FE_OFN52_system_rst_ni),
-	.SCD(FE_OFN1400_n_11),
-	.SCE(n_728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[97][1]  (
-	.CLK(CTS_14),
-	.D(n_2885),
-	.Q(\fifo_buffer[97] [1]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[97][2]  (
-	.CLK(CTS_21),
-	.D(n_2891),
-	.Q(\fifo_buffer[97] [2]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[97][3]  (
-	.CLK(CTS_14),
-	.D(n_2888),
-	.Q(\fifo_buffer[97] [3]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[97][4]  (
-	.CLK(CTS_21),
-	.D(n_2883),
-	.Q(\fifo_buffer[97] [4]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[97][5]  (
-	.CLK(CTS_21),
-	.D(n_2882),
-	.Q(\fifo_buffer[97] [5]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[97][6]  (
-	.CLK(CTS_21),
-	.D(n_2880),
-	.Q(\fifo_buffer[97] [6]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[97][7]  (
-	.CLK(CTS_14),
-	.D(n_2878),
-	.Q(\fifo_buffer[97] [7]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[97][8]  (
-	.CLK(CTS_21),
-	.D(n_2877),
-	.Q(\fifo_buffer[97] [8]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[98][0]  (
-	.CLK(CTS_7),
-	.D(\fifo_buffer[98] [0]),
-	.Q(\fifo_buffer[98] [0]),
-	.RESET_B(FE_OFN119_system_rst_ni),
-	.SCD(FE_OFN1399_n_11),
-	.SCE(n_743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[98][1]  (
-	.CLK(CTS_5),
-	.D(n_2876),
-	.Q(\fifo_buffer[98] [1]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[98][2]  (
-	.CLK(CTS_5),
-	.D(n_2874),
-	.Q(\fifo_buffer[98] [2]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[98][3]  (
-	.CLK(CTS_5),
-	.D(n_2873),
-	.Q(\fifo_buffer[98] [3]),
-	.RESET_B(FE_OFN49_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[98][4]  (
-	.CLK(CTS_7),
-	.D(n_2872),
-	.Q(\fifo_buffer[98] [4]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[98][5]  (
-	.CLK(CTS_7),
-	.D(n_2871),
-	.Q(\fifo_buffer[98] [5]),
-	.RESET_B(FE_OFN49_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[98][6]  (
-	.CLK(CTS_6),
-	.D(n_2870),
-	.Q(\fifo_buffer[98] [6]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[98][7]  (
-	.CLK(CTS_5),
-	.D(n_2869),
-	.Q(\fifo_buffer[98] [7]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[98][8]  (
-	.CLK(CTS_5),
-	.D(n_2868),
-	.Q(\fifo_buffer[98] [8]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[99][0]  (
-	.CLK(CTS_7),
-	.D(\fifo_buffer[99] [0]),
-	.Q(\fifo_buffer[99] [0]),
-	.RESET_B(FE_OFN119_system_rst_ni),
-	.SCD(FE_OFN1399_n_11),
-	.SCE(n_792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[99][1]  (
-	.CLK(CTS_5),
-	.D(n_2865),
-	.Q(\fifo_buffer[99] [1]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[99][2]  (
-	.CLK(CTS_5),
-	.D(n_2862),
-	.Q(\fifo_buffer[99] [2]),
-	.RESET_B(FE_OFN49_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[99][3]  (
-	.CLK(CTS_5),
-	.D(n_2861),
-	.Q(\fifo_buffer[99] [3]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[99][4]  (
-	.CLK(CTS_5),
-	.D(n_2860),
-	.Q(\fifo_buffer[99] [4]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[99][5]  (
-	.CLK(CTS_7),
-	.D(n_2858),
-	.Q(\fifo_buffer[99] [5]),
-	.RESET_B(FE_OFN49_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[99][6]  (
-	.CLK(CTS_7),
-	.D(n_2856),
-	.Q(\fifo_buffer[99] [6]),
-	.RESET_B(FE_OFN49_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[99][7]  (
-	.CLK(CTS_5),
-	.D(n_2855),
-	.Q(\fifo_buffer[99] [7]),
-	.RESET_B(FE_OFN49_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[99][8]  (
-	.CLK(CTS_5),
-	.D(n_2853),
-	.Q(\fifo_buffer[99] [8]),
-	.RESET_B(FE_OFN49_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[100][0]  (
-	.CLK(CTS_17),
-	.D(\fifo_buffer[100] [0]),
-	.Q(\fifo_buffer[100] [0]),
-	.RESET_B(FE_OFN187_system_rst_ni),
-	.SCD(FE_OFN1400_n_11),
-	.SCE(n_660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[100][1]  (
-	.CLK(CTS_17),
-	.D(n_2851),
-	.Q(\fifo_buffer[100] [1]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[100][2]  (
-	.CLK(CTS_17),
-	.D(n_2850),
-	.Q(\fifo_buffer[100] [2]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[100][3]  (
-	.CLK(CTS_17),
-	.D(n_2849),
-	.Q(\fifo_buffer[100] [3]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[100][4]  (
-	.CLK(CTS_17),
-	.D(n_2846),
-	.Q(\fifo_buffer[100] [4]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[100][5]  (
-	.CLK(CTS_17),
-	.D(n_2845),
-	.Q(\fifo_buffer[100] [5]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[100][6]  (
-	.CLK(CTS_17),
-	.D(n_2844),
-	.Q(\fifo_buffer[100] [6]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[100][7]  (
-	.CLK(CTS_17),
-	.D(n_2843),
-	.Q(\fifo_buffer[100] [7]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[100][8]  (
-	.CLK(CTS_17),
-	.D(n_2842),
-	.Q(\fifo_buffer[100] [8]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[101][0]  (
-	.CLK(CTS_17),
-	.D(\fifo_buffer[101] [0]),
-	.Q(\fifo_buffer[101] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(FE_OFN1400_n_11),
-	.SCE(n_664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[101][1]  (
-	.CLK(CTS_17),
-	.D(n_2840),
-	.Q(\fifo_buffer[101] [1]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[101][2]  (
-	.CLK(CTS_17),
-	.D(n_2838),
-	.Q(\fifo_buffer[101] [2]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[101][3]  (
-	.CLK(CTS_17),
-	.D(n_2837),
-	.Q(\fifo_buffer[101] [3]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[101][4]  (
-	.CLK(CTS_17),
-	.D(n_2836),
-	.Q(\fifo_buffer[101] [4]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[101][5]  (
-	.CLK(CTS_17),
-	.D(n_2834),
-	.Q(\fifo_buffer[101] [5]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[101][6]  (
-	.CLK(CTS_17),
-	.D(n_2833),
-	.Q(\fifo_buffer[101] [6]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[101][7]  (
-	.CLK(CTS_17),
-	.D(n_2831),
-	.Q(\fifo_buffer[101] [7]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[101][8]  (
-	.CLK(CTS_17),
-	.D(n_2829),
-	.Q(\fifo_buffer[101] [8]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[102][0]  (
-	.CLK(CTS_27),
-	.D(\fifo_buffer[102] [0]),
-	.Q(\fifo_buffer[102] [0]),
-	.RESET_B(FE_OFN98_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[102][1]  (
-	.CLK(CTS_28),
-	.D(n_2827),
-	.Q(\fifo_buffer[102] [1]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[102][2]  (
-	.CLK(CTS_28),
-	.D(n_2825),
-	.Q(\fifo_buffer[102] [2]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[102][3]  (
-	.CLK(CTS_28),
-	.D(n_2823),
-	.Q(\fifo_buffer[102] [3]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[102][4]  (
-	.CLK(CTS_28),
-	.D(n_2822),
-	.Q(\fifo_buffer[102] [4]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[102][5]  (
-	.CLK(CTS_28),
-	.D(n_2821),
-	.Q(\fifo_buffer[102] [5]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[102][6]  (
-	.CLK(CTS_28),
-	.D(n_2820),
-	.Q(\fifo_buffer[102] [6]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[102][7]  (
-	.CLK(CTS_28),
-	.D(n_2819),
-	.Q(\fifo_buffer[102] [7]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[102][8]  (
-	.CLK(CTS_28),
-	.D(n_2818),
-	.Q(\fifo_buffer[102] [8]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[103][0]  (
-	.CLK(CTS_27),
-	.D(\fifo_buffer[103] [0]),
-	.Q(\fifo_buffer[103] [0]),
-	.RESET_B(FE_OFN98_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[103][1]  (
-	.CLK(CTS_28),
-	.D(n_2817),
-	.Q(\fifo_buffer[103] [1]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[103][2]  (
-	.CLK(CTS_28),
-	.D(n_2815),
-	.Q(\fifo_buffer[103] [2]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[103][3]  (
-	.CLK(CTS_28),
-	.D(n_2814),
-	.Q(\fifo_buffer[103] [3]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[103][4]  (
-	.CLK(CTS_28),
-	.D(n_2811),
-	.Q(\fifo_buffer[103] [4]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[103][5]  (
-	.CLK(CTS_13),
-	.D(n_2810),
-	.Q(\fifo_buffer[103] [5]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[103][6]  (
-	.CLK(CTS_28),
-	.D(n_2809),
-	.Q(\fifo_buffer[103] [6]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[103][7]  (
-	.CLK(CTS_28),
-	.D(n_2808),
-	.Q(\fifo_buffer[103] [7]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[103][8]  (
-	.CLK(CTS_28),
-	.D(n_2805),
-	.Q(\fifo_buffer[103] [8]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[104][0]  (
-	.CLK(CTS_1),
-	.D(n_11),
-	.Q(\fifo_buffer[104] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(\fifo_buffer[104] [0]),
-	.SCE(n_800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[104][1]  (
-	.CLK(CTS_1),
-	.D(n_2803),
-	.Q(\fifo_buffer[104] [1]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[104][2]  (
-	.CLK(CTS_16),
-	.D(n_2801),
-	.Q(\fifo_buffer[104] [2]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[104][3]  (
-	.CLK(CTS_16),
-	.D(n_2800),
-	.Q(\fifo_buffer[104] [3]),
-	.RESET_B(FE_OFN52_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[104][4]  (
-	.CLK(CTS_16),
-	.D(n_2799),
-	.Q(\fifo_buffer[104] [4]),
-	.RESET_B(FE_OFN52_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[104][5]  (
-	.CLK(CTS_16),
-	.D(n_2798),
-	.Q(\fifo_buffer[104] [5]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[104][6]  (
-	.CLK(CTS_1),
-	.D(n_2797),
-	.Q(\fifo_buffer[104] [6]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[104][7]  (
-	.CLK(CTS_16),
-	.D(n_2796),
-	.Q(\fifo_buffer[104] [7]),
-	.RESET_B(FE_OFN52_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[104][8]  (
-	.CLK(CTS_16),
-	.D(n_2795),
-	.Q(\fifo_buffer[104] [8]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[105][0]  (
-	.CLK(CTS_16),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[105] [0]),
-	.RESET_B(FE_OFN52_system_rst_ni),
-	.SCD(\fifo_buffer[105] [0]),
-	.SCE(n_741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[105][1]  (
-	.CLK(CTS_1),
-	.D(n_2792),
-	.Q(\fifo_buffer[105] [1]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[105][2]  (
-	.CLK(CTS_1),
-	.D(n_2790),
-	.Q(\fifo_buffer[105] [2]),
-	.RESET_B(FE_OFN120_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[105][3]  (
-	.CLK(CTS_16),
-	.D(n_2789),
-	.Q(\fifo_buffer[105] [3]),
-	.RESET_B(FE_OFN52_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[105][4]  (
-	.CLK(CTS_16),
-	.D(n_2787),
-	.Q(\fifo_buffer[105] [4]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[105][5]  (
-	.CLK(CTS_16),
-	.D(n_2786),
-	.Q(\fifo_buffer[105] [5]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[105][6]  (
-	.CLK(CTS_1),
-	.D(n_2783),
-	.Q(\fifo_buffer[105] [6]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[105][7]  (
-	.CLK(CTS_16),
-	.D(n_2782),
-	.Q(\fifo_buffer[105] [7]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[105][8]  (
-	.CLK(CTS_16),
-	.D(n_2781),
-	.Q(\fifo_buffer[105] [8]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[106][0]  (
-	.CLK(CTS_11),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[106] [0]),
-	.RESET_B(FE_OFN131_system_rst_ni),
-	.SCD(\fifo_buffer[106] [0]),
-	.SCE(n_727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[106][1]  (
-	.CLK(CTS_11),
-	.D(n_2779),
-	.Q(\fifo_buffer[106] [1]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[106][2]  (
-	.CLK(CTS_22),
-	.D(n_2777),
-	.Q(\fifo_buffer[106] [2]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[106][3]  (
-	.CLK(CTS_22),
-	.D(n_2775),
-	.Q(\fifo_buffer[106] [3]),
-	.RESET_B(FE_OFN131_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[106][4]  (
-	.CLK(CTS_11),
-	.D(n_2774),
-	.Q(\fifo_buffer[106] [4]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[106][5]  (
-	.CLK(CTS_11),
-	.D(n_2773),
-	.Q(\fifo_buffer[106] [5]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[106][6]  (
-	.CLK(CTS_11),
-	.D(n_2772),
-	.Q(\fifo_buffer[106] [6]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[106][7]  (
-	.CLK(CTS_22),
-	.D(n_2771),
-	.Q(\fifo_buffer[106] [7]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[106][8]  (
-	.CLK(CTS_11),
-	.D(n_2770),
-	.Q(\fifo_buffer[106] [8]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[107][0]  (
-	.CLK(CTS_22),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[107] [0]),
-	.RESET_B(FE_OFN54_system_rst_ni),
-	.SCD(\fifo_buffer[107] [0]),
-	.SCE(n_670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[107][1]  (
-	.CLK(CTS_11),
-	.D(n_2768),
-	.Q(\fifo_buffer[107] [1]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[107][2]  (
-	.CLK(CTS_22),
-	.D(n_2766),
-	.Q(\fifo_buffer[107] [2]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[107][3]  (
-	.CLK(CTS_11),
-	.D(n_2764),
-	.Q(\fifo_buffer[107] [3]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[107][4]  (
-	.CLK(CTS_11),
-	.D(n_2763),
-	.Q(\fifo_buffer[107] [4]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[107][5]  (
-	.CLK(CTS_11),
-	.D(n_2762),
-	.Q(\fifo_buffer[107] [5]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[107][6]  (
-	.CLK(CTS_11),
-	.D(n_2760),
-	.Q(\fifo_buffer[107] [6]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[107][7]  (
-	.CLK(CTS_22),
-	.D(n_2759),
-	.Q(\fifo_buffer[107] [7]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[107][8]  (
-	.CLK(CTS_11),
-	.D(n_2757),
-	.Q(\fifo_buffer[107] [8]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[108][0]  (
-	.CLK(CTS_31),
-	.D(\fifo_buffer[108] [0]),
-	.Q(\fifo_buffer[108] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[108][1]  (
-	.CLK(CTS_23),
-	.D(n_2756),
-	.Q(\fifo_buffer[108] [1]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[108][2]  (
-	.CLK(CTS_31),
-	.D(n_2754),
-	.Q(\fifo_buffer[108] [2]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[108][3]  (
-	.CLK(CTS_23),
-	.D(n_2753),
-	.Q(\fifo_buffer[108] [3]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[108][4]  (
-	.CLK(CTS_23),
-	.D(n_2750),
-	.Q(\fifo_buffer[108] [4]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[108][5]  (
-	.CLK(CTS_23),
-	.D(n_2749),
-	.Q(\fifo_buffer[108] [5]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[108][6]  (
-	.CLK(CTS_23),
-	.D(n_2748),
-	.Q(\fifo_buffer[108] [6]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[108][7]  (
-	.CLK(CTS_31),
-	.D(n_2747),
-	.Q(\fifo_buffer[108] [7]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[108][8]  (
-	.CLK(CTS_31),
-	.D(n_2746),
-	.Q(\fifo_buffer[108] [8]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[109][0]  (
-	.CLK(CTS_31),
-	.D(\fifo_buffer[109] [0]),
-	.Q(\fifo_buffer[109] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[109][1]  (
-	.CLK(CTS_31),
-	.D(n_2743),
-	.Q(\fifo_buffer[109] [1]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[109][2]  (
-	.CLK(CTS_23),
-	.D(n_2742),
-	.Q(\fifo_buffer[109] [2]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[109][3]  (
-	.CLK(CTS_31),
-	.D(n_2741),
-	.Q(\fifo_buffer[109] [3]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[109][4]  (
-	.CLK(CTS_31),
-	.D(n_2739),
-	.Q(\fifo_buffer[109] [4]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[109][5]  (
-	.CLK(CTS_31),
-	.D(n_2737),
-	.Q(\fifo_buffer[109] [5]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[109][6]  (
-	.CLK(CTS_31),
-	.D(n_2735),
-	.Q(\fifo_buffer[109] [6]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[109][7]  (
-	.CLK(CTS_31),
-	.D(n_2734),
-	.Q(\fifo_buffer[109] [7]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[109][8]  (
-	.CLK(CTS_31),
-	.D(n_2732),
-	.Q(\fifo_buffer[109] [8]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[110][0]  (
-	.CLK(CTS_13),
-	.D(\fifo_buffer[110] [0]),
-	.Q(\fifo_buffer[110] [0]),
-	.RESET_B(FE_OFN134_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[110][1]  (
-	.CLK(CTS_13),
-	.D(n_2731),
-	.Q(\fifo_buffer[110] [1]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[110][2]  (
-	.CLK(CTS_13),
-	.D(n_2729),
-	.Q(\fifo_buffer[110] [2]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[110][3]  (
-	.CLK(CTS_28),
-	.D(n_2728),
-	.Q(\fifo_buffer[110] [3]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[110][4]  (
-	.CLK(CTS_28),
-	.D(n_2727),
-	.Q(\fifo_buffer[110] [4]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[110][5]  (
-	.CLK(CTS_28),
-	.D(n_2725),
-	.Q(\fifo_buffer[110] [5]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[110][6]  (
-	.CLK(CTS_13),
-	.D(n_2724),
-	.Q(\fifo_buffer[110] [6]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[110][7]  (
-	.CLK(CTS_13),
-	.D(n_2723),
-	.Q(\fifo_buffer[110] [7]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[110][8]  (
-	.CLK(CTS_28),
-	.D(n_2722),
-	.Q(\fifo_buffer[110] [8]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[111][0]  (
-	.CLK(CTS_13),
-	.D(\fifo_buffer[111] [0]),
-	.Q(\fifo_buffer[111] [0]),
-	.RESET_B(FE_OFN134_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[111][1]  (
-	.CLK(CTS_13),
-	.D(n_2719),
-	.Q(\fifo_buffer[111] [1]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[111][2]  (
-	.CLK(CTS_13),
-	.D(n_2718),
-	.Q(\fifo_buffer[111] [2]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[111][3]  (
-	.CLK(CTS_28),
-	.D(n_2717),
-	.Q(\fifo_buffer[111] [3]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[111][4]  (
-	.CLK(CTS_28),
-	.D(n_2716),
-	.Q(\fifo_buffer[111] [4]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[111][5]  (
-	.CLK(CTS_28),
-	.D(n_2715),
-	.Q(\fifo_buffer[111] [5]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[111][6]  (
-	.CLK(CTS_13),
-	.D(n_2713),
-	.Q(\fifo_buffer[111] [6]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[111][7]  (
-	.CLK(CTS_13),
-	.D(n_2711),
-	.Q(\fifo_buffer[111] [7]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[111][8]  (
-	.CLK(CTS_28),
-	.D(n_2709),
-	.Q(\fifo_buffer[111] [8]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[112][0]  (
-	.CLK(CTS_16),
-	.D(n_11),
-	.Q(\fifo_buffer[112] [0]),
-	.RESET_B(FE_OFN124_system_rst_ni),
-	.SCD(\fifo_buffer[112] [0]),
-	.SCE(n_770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[112][1]  (
-	.CLK(CTS_16),
-	.D(n_2708),
-	.Q(\fifo_buffer[112] [1]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[112][2]  (
-	.CLK(CTS_8),
-	.D(n_2705),
-	.Q(\fifo_buffer[112] [2]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[112][3]  (
-	.CLK(CTS_16),
-	.D(n_2704),
-	.Q(\fifo_buffer[112] [3]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[112][4]  (
-	.CLK(CTS_8),
-	.D(n_2702),
-	.Q(\fifo_buffer[112] [4]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[112][5]  (
-	.CLK(CTS_16),
-	.D(n_2701),
-	.Q(\fifo_buffer[112] [5]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[112][6]  (
-	.CLK(CTS_8),
-	.D(n_2700),
-	.Q(\fifo_buffer[112] [6]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[112][7]  (
-	.CLK(CTS_22),
-	.D(n_2699),
-	.Q(\fifo_buffer[112] [7]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[112][8]  (
-	.CLK(CTS_8),
-	.D(n_2698),
-	.Q(\fifo_buffer[112] [8]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[113][0]  (
-	.CLK(CTS_22),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[113] [0]),
-	.RESET_B(FE_OFN128_system_rst_ni),
-	.SCD(\fifo_buffer[113] [0]),
-	.SCE(n_692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[113][1]  (
-	.CLK(CTS_16),
-	.D(n_2695),
-	.Q(\fifo_buffer[113] [1]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[113][2]  (
-	.CLK(CTS_8),
-	.D(n_2693),
-	.Q(\fifo_buffer[113] [2]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[113][3]  (
-	.CLK(CTS_16),
-	.D(n_2692),
-	.Q(\fifo_buffer[113] [3]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[113][4]  (
-	.CLK(CTS_8),
-	.D(n_2691),
-	.Q(\fifo_buffer[113] [4]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[113][5]  (
-	.CLK(CTS_16),
-	.D(n_2689),
-	.Q(\fifo_buffer[113] [5]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[113][6]  (
-	.CLK(CTS_8),
-	.D(n_2688),
-	.Q(\fifo_buffer[113] [6]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[113][7]  (
-	.CLK(CTS_16),
-	.D(n_2687),
-	.Q(\fifo_buffer[113] [7]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[113][8]  (
-	.CLK(CTS_16),
-	.D(n_2685),
-	.Q(\fifo_buffer[113] [8]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[114][0]  (
-	.CLK(CTS_7),
-	.D(n_11),
-	.Q(\fifo_buffer[114] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(\fifo_buffer[114] [0]),
-	.SCE(n_678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[114][1]  (
-	.CLK(CTS_23),
-	.D(n_2682),
-	.Q(\fifo_buffer[114] [1]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[114][2]  (
-	.CLK(CTS_7),
-	.D(n_2681),
-	.Q(\fifo_buffer[114] [2]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[114][3]  (
-	.CLK(CTS_23),
-	.D(n_2680),
-	.Q(\fifo_buffer[114] [3]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[114][4]  (
-	.CLK(CTS_7),
-	.D(n_2678),
-	.Q(\fifo_buffer[114] [4]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[114][5]  (
-	.CLK(CTS_23),
-	.D(n_2677),
-	.Q(\fifo_buffer[114] [5]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[114][6]  (
-	.CLK(CTS_23),
-	.D(n_2676),
-	.Q(\fifo_buffer[114] [6]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[114][7]  (
-	.CLK(CTS_7),
-	.D(n_2675),
-	.Q(\fifo_buffer[114] [7]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[114][8]  (
-	.CLK(CTS_23),
-	.D(n_2674),
-	.Q(\fifo_buffer[114] [8]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[115][0]  (
-	.CLK(CTS_7),
-	.D(\fifo_buffer[115] [0]),
-	.Q(\fifo_buffer[115] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(n_11),
-	.SCE(n_718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[115][1]  (
-	.CLK(CTS_23),
-	.D(n_2671),
-	.Q(\fifo_buffer[115] [1]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[115][2]  (
-	.CLK(CTS_7),
-	.D(n_2670),
-	.Q(\fifo_buffer[115] [2]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[115][3]  (
-	.CLK(CTS_23),
-	.D(n_2669),
-	.Q(\fifo_buffer[115] [3]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[115][4]  (
-	.CLK(CTS_7),
-	.D(n_2668),
-	.Q(\fifo_buffer[115] [4]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[115][5]  (
-	.CLK(CTS_23),
-	.D(n_2665),
-	.Q(\fifo_buffer[115] [5]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[115][6]  (
-	.CLK(CTS_23),
-	.D(n_2664),
-	.Q(\fifo_buffer[115] [6]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[115][7]  (
-	.CLK(CTS_23),
-	.D(n_2662),
-	.Q(\fifo_buffer[115] [7]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[115][8]  (
-	.CLK(CTS_23),
-	.D(n_2661),
-	.Q(\fifo_buffer[115] [8]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[116][0]  (
-	.CLK(CTS_17),
-	.D(\fifo_buffer[116] [0]),
-	.Q(\fifo_buffer[116] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[116][1]  (
-	.CLK(CTS_17),
-	.D(n_2659),
-	.Q(\fifo_buffer[116] [1]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[116][2]  (
-	.CLK(CTS_18),
-	.D(n_2658),
-	.Q(\fifo_buffer[116] [2]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[116][3]  (
-	.CLK(CTS_17),
-	.D(n_2656),
-	.Q(\fifo_buffer[116] [3]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[116][4]  (
-	.CLK(CTS_17),
-	.D(n_2654),
-	.Q(\fifo_buffer[116] [4]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[116][5]  (
-	.CLK(CTS_17),
-	.D(n_2653),
-	.Q(\fifo_buffer[116] [5]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[116][6]  (
-	.CLK(CTS_17),
-	.D(n_2652),
-	.Q(\fifo_buffer[116] [6]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[116][7]  (
-	.CLK(CTS_17),
-	.D(n_2651),
-	.Q(\fifo_buffer[116] [7]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[116][8]  (
-	.CLK(CTS_17),
-	.D(n_2650),
-	.Q(\fifo_buffer[116] [8]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[117][0]  (
-	.CLK(CTS_31),
-	.D(\fifo_buffer[117] [0]),
-	.Q(\fifo_buffer[117] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[117][1]  (
-	.CLK(CTS_17),
-	.D(n_2648),
-	.Q(\fifo_buffer[117] [1]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[117][2]  (
-	.CLK(CTS_17),
-	.D(n_2646),
-	.Q(\fifo_buffer[117] [2]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[117][3]  (
-	.CLK(CTS_17),
-	.D(n_2644),
-	.Q(\fifo_buffer[117] [3]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[117][4]  (
-	.CLK(CTS_17),
-	.D(n_2642),
-	.Q(\fifo_buffer[117] [4]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[117][5]  (
-	.CLK(CTS_17),
-	.D(n_2641),
-	.Q(\fifo_buffer[117] [5]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[117][6]  (
-	.CLK(CTS_17),
-	.D(n_2640),
-	.Q(\fifo_buffer[117] [6]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[117][7]  (
-	.CLK(CTS_17),
-	.D(n_2638),
-	.Q(\fifo_buffer[117] [7]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[117][8]  (
-	.CLK(CTS_17),
-	.D(n_2637),
-	.Q(\fifo_buffer[117] [8]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[118][0]  (
-	.CLK(CTS_27),
-	.D(\fifo_buffer[118] [0]),
-	.Q(\fifo_buffer[118] [0]),
-	.RESET_B(FE_OFN97_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[118][1]  (
-	.CLK(CTS_24),
-	.D(n_2636),
-	.Q(\fifo_buffer[118] [1]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[118][2]  (
-	.CLK(CTS_26),
-	.D(n_2635),
-	.Q(\fifo_buffer[118] [2]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[118][3]  (
-	.CLK(CTS_26),
-	.D(n_2633),
-	.Q(\fifo_buffer[118] [3]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[118][4]  (
-	.CLK(CTS_30),
-	.D(n_2631),
-	.Q(\fifo_buffer[118] [4]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[118][5]  (
-	.CLK(CTS_26),
-	.D(n_2629),
-	.Q(\fifo_buffer[118] [5]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[118][6]  (
-	.CLK(CTS_26),
-	.D(n_2628),
-	.Q(\fifo_buffer[118] [6]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[118][7]  (
-	.CLK(CTS_30),
-	.D(n_2627),
-	.Q(\fifo_buffer[118] [7]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[118][8]  (
-	.CLK(CTS_26),
-	.D(n_2625),
-	.Q(\fifo_buffer[118] [8]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[119][0]  (
-	.CLK(CTS_30),
-	.D(\fifo_buffer[119] [0]),
-	.Q(\fifo_buffer[119] [0]),
-	.RESET_B(FE_OFN97_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[119][1]  (
-	.CLK(CTS_24),
-	.D(n_2623),
-	.Q(\fifo_buffer[119] [1]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[119][2]  (
-	.CLK(CTS_24),
-	.D(n_2622),
-	.Q(\fifo_buffer[119] [2]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[119][3]  (
-	.CLK(CTS_27),
-	.D(n_2620),
-	.Q(\fifo_buffer[119] [3]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[119][4]  (
-	.CLK(CTS_30),
-	.D(n_2619),
-	.Q(\fifo_buffer[119] [4]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[119][5]  (
-	.CLK(CTS_26),
-	.D(n_2618),
-	.Q(\fifo_buffer[119] [5]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[119][6]  (
-	.CLK(CTS_26),
-	.D(n_2616),
-	.Q(\fifo_buffer[119] [6]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[119][7]  (
-	.CLK(CTS_30),
-	.D(n_2614),
-	.Q(\fifo_buffer[119] [7]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[119][8]  (
-	.CLK(CTS_26),
-	.D(n_2613),
-	.Q(\fifo_buffer[119] [8]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[120][0]  (
-	.CLK(CTS_22),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[120] [0]),
-	.RESET_B(FE_OFN128_system_rst_ni),
-	.SCD(\fifo_buffer[120] [0]),
-	.SCE(n_739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[120][1]  (
-	.CLK(CTS_22),
-	.D(n_2611),
-	.Q(\fifo_buffer[120] [1]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[120][2]  (
-	.CLK(CTS_12),
-	.D(n_2609),
-	.Q(\fifo_buffer[120] [2]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[120][3]  (
-	.CLK(CTS_22),
-	.D(n_2608),
-	.Q(\fifo_buffer[120] [3]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[120][4]  (
-	.CLK(CTS_12),
-	.D(n_2607),
-	.Q(\fifo_buffer[120] [4]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[120][5]  (
-	.CLK(CTS_22),
-	.D(n_2606),
-	.Q(\fifo_buffer[120] [5]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[120][6]  (
-	.CLK(CTS_22),
-	.D(n_2604),
-	.Q(\fifo_buffer[120] [6]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[120][7]  (
-	.CLK(CTS_22),
-	.D(n_2602),
-	.Q(\fifo_buffer[120] [7]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[120][8]  (
-	.CLK(CTS_22),
-	.D(n_2601),
-	.Q(\fifo_buffer[120] [8]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[121][0]  (
-	.CLK(CTS_22),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[121] [0]),
-	.RESET_B(FE_OFN54_system_rst_ni),
-	.SCD(\fifo_buffer[121] [0]),
-	.SCE(n_674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[121][1]  (
-	.CLK(CTS_12),
-	.D(n_2599),
-	.Q(\fifo_buffer[121] [1]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[121][2]  (
-	.CLK(CTS_12),
-	.D(n_2598),
-	.Q(\fifo_buffer[121] [2]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[121][3]  (
-	.CLK(CTS_22),
-	.D(n_2596),
-	.Q(\fifo_buffer[121] [3]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[121][4]  (
-	.CLK(CTS_22),
-	.D(n_2595),
-	.Q(\fifo_buffer[121] [4]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[121][5]  (
-	.CLK(CTS_22),
-	.D(n_2594),
-	.Q(\fifo_buffer[121] [5]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[121][6]  (
-	.CLK(CTS_22),
-	.D(n_2592),
-	.Q(\fifo_buffer[121] [6]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[121][7]  (
-	.CLK(CTS_22),
-	.D(n_2591),
-	.Q(\fifo_buffer[121] [7]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[121][8]  (
-	.CLK(CTS_22),
-	.D(n_2589),
-	.Q(\fifo_buffer[121] [8]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[122][0]  (
-	.CLK(CTS_4),
-	.D(FE_OFN1399_n_11),
-	.Q(\fifo_buffer[122] [0]),
-	.RESET_B(FE_OFN47_system_rst_ni),
-	.SCD(\fifo_buffer[122] [0]),
-	.SCE(n_804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[122][1]  (
-	.CLK(CTS_4),
-	.D(n_2587),
-	.Q(\fifo_buffer[122] [1]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[122][2]  (
-	.CLK(CTS_4),
-	.D(n_2585),
-	.Q(\fifo_buffer[122] [2]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[122][3]  (
-	.CLK(CTS_4),
-	.D(n_2584),
-	.Q(\fifo_buffer[122] [3]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[122][4]  (
-	.CLK(CTS_4),
-	.D(n_2582),
-	.Q(\fifo_buffer[122] [4]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[122][5]  (
-	.CLK(CTS_4),
-	.D(n_2581),
-	.Q(\fifo_buffer[122] [5]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[122][6]  (
-	.CLK(CTS_4),
-	.D(n_2580),
-	.Q(\fifo_buffer[122] [6]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[122][7]  (
-	.CLK(CTS_4),
-	.D(n_2579),
-	.Q(\fifo_buffer[122] [7]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[122][8]  (
-	.CLK(CTS_4),
-	.D(n_2577),
-	.Q(\fifo_buffer[122] [8]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[123][0]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1399_n_11),
-	.Q(\fifo_buffer[123] [0]),
-	.RESET_B(FE_OFN106_system_rst_ni),
-	.SCD(\fifo_buffer[123] [0]),
-	.SCE(n_751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[123][1]  (
-	.CLK(CTS_5),
-	.D(n_2575),
-	.Q(\fifo_buffer[123] [1]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[123][2]  (
-	.CLK(CTS_4),
-	.D(n_2574),
-	.Q(\fifo_buffer[123] [2]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[123][3]  (
-	.CLK(CTS_4),
-	.D(n_2572),
-	.Q(\fifo_buffer[123] [3]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[123][4]  (
-	.CLK(CTS_4),
-	.D(n_2571),
-	.Q(\fifo_buffer[123] [4]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[123][5]  (
-	.CLK(CTS_4),
-	.D(n_2569),
-	.Q(\fifo_buffer[123] [5]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[123][6]  (
-	.CLK(CTS_4),
-	.D(n_2568),
-	.Q(\fifo_buffer[123] [6]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[123][7]  (
-	.CLK(CTS_4),
-	.D(n_2567),
-	.Q(\fifo_buffer[123] [7]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[123][8]  (
-	.CLK(CTS_4),
-	.D(n_2566),
-	.Q(\fifo_buffer[123] [8]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[124][0]  (
-	.CLK(CTS_25),
-	.D(FE_OFN1401_n_11),
-	.Q(\fifo_buffer[124] [0]),
-	.RESET_B(FE_OFN99_system_rst_ni),
-	.SCD(\fifo_buffer[124] [0]),
-	.SCE(n_734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[124][1]  (
-	.CLK(CTS_25),
-	.D(n_2562),
-	.Q(\fifo_buffer[124] [1]),
-	.RESET_B(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[124][2]  (
-	.CLK(CTS_4),
-	.D(n_2561),
-	.Q(\fifo_buffer[124] [2]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[124][3]  (
-	.CLK(CTS_4),
-	.D(n_2560),
-	.Q(\fifo_buffer[124] [3]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[124][4]  (
-	.CLK(CTS_4),
-	.D(n_2558),
-	.Q(\fifo_buffer[124] [4]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[124][5]  (
-	.CLK(CTS_25),
-	.D(n_2556),
-	.Q(\fifo_buffer[124] [5]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[124][6]  (
-	.CLK(CTS_4),
-	.D(n_2555),
-	.Q(\fifo_buffer[124] [6]),
-	.RESET_B(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[124][7]  (
-	.CLK(CTS_4),
-	.D(n_2554),
-	.Q(\fifo_buffer[124] [7]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[124][8]  (
-	.CLK(CTS_4),
-	.D(n_2553),
-	.Q(\fifo_buffer[124] [8]),
-	.RESET_B(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[125][0]  (
-	.CLK(CTS_25),
-	.D(FE_OFN1404_n_11),
-	.Q(\fifo_buffer[125] [0]),
-	.RESET_B(FE_OFN99_system_rst_ni),
-	.SCD(\fifo_buffer[125] [0]),
-	.SCE(n_668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[125][1]  (
-	.CLK(CTS_4),
-	.D(n_2551),
-	.Q(\fifo_buffer[125] [1]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[125][2]  (
-	.CLK(CTS_25),
-	.D(n_2549),
-	.Q(\fifo_buffer[125] [2]),
-	.RESET_B(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[125][3]  (
-	.CLK(CTS_4),
-	.D(n_2548),
-	.Q(\fifo_buffer[125] [3]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[125][4]  (
-	.CLK(CTS_4),
-	.D(n_2547),
-	.Q(\fifo_buffer[125] [4]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[125][5]  (
-	.CLK(CTS_25),
-	.D(n_2546),
-	.Q(\fifo_buffer[125] [5]),
-	.RESET_B(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[125][6]  (
-	.CLK(CTS_25),
-	.D(n_2544),
-	.Q(\fifo_buffer[125] [6]),
-	.RESET_B(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[125][7]  (
-	.CLK(CTS_4),
-	.D(n_2542),
-	.Q(\fifo_buffer[125] [7]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[125][8]  (
-	.CLK(CTS_25),
-	.D(n_2540),
-	.Q(\fifo_buffer[125] [8]),
-	.RESET_B(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[126][0]  (
-	.CLK(CTS_30),
-	.D(\fifo_buffer[126] [0]),
-	.Q(\fifo_buffer[126] [0]),
-	.RESET_B(FE_OFN133_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[126][1]  (
-	.CLK(CTS_24),
-	.D(n_2539),
-	.Q(\fifo_buffer[126] [1]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[126][2]  (
-	.CLK(CTS_24),
-	.D(n_2537),
-	.Q(\fifo_buffer[126] [2]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[126][3]  (
-	.CLK(CTS_24),
-	.D(n_2536),
-	.Q(\fifo_buffer[126] [3]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[126][4]  (
-	.CLK(CTS_30),
-	.D(n_2534),
-	.Q(\fifo_buffer[126] [4]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[126][5]  (
-	.CLK(CTS_24),
-	.D(n_2533),
-	.Q(\fifo_buffer[126] [5]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[126][6]  (
-	.CLK(CTS_30),
-	.D(n_2532),
-	.Q(\fifo_buffer[126] [6]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[126][7]  (
-	.CLK(CTS_30),
-	.D(n_2531),
-	.Q(\fifo_buffer[126] [7]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[126][8]  (
-	.CLK(CTS_24),
-	.D(n_2530),
-	.Q(\fifo_buffer[126] [8]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[127][0]  (
-	.CLK(CTS_30),
-	.D(\fifo_buffer[127] [0]),
-	.Q(\fifo_buffer[127] [0]),
-	.RESET_B(FE_OFN133_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[127][1]  (
-	.CLK(CTS_24),
-	.D(n_2529),
-	.Q(\fifo_buffer[127] [1]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[127][2]  (
-	.CLK(CTS_24),
-	.D(n_2528),
-	.Q(\fifo_buffer[127] [2]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[127][3]  (
-	.CLK(CTS_24),
-	.D(n_2527),
-	.Q(\fifo_buffer[127] [3]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[127][4]  (
-	.CLK(CTS_24),
-	.D(n_2526),
-	.Q(\fifo_buffer[127] [4]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[127][5]  (
-	.CLK(CTS_24),
-	.D(n_2525),
-	.Q(\fifo_buffer[127] [5]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[127][6]  (
-	.CLK(CTS_24),
-	.D(n_2524),
-	.Q(\fifo_buffer[127] [6]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[127][7]  (
-	.CLK(CTS_24),
-	.D(n_2523),
-	.Q(\fifo_buffer[127] [7]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[127][8]  (
-	.CLK(CTS_24),
-	.D(n_2522),
-	.Q(\fifo_buffer[127] [8]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[128][0]  (
-	.CLK(CTS_21),
-	.D(n_11),
-	.Q(\fifo_buffer[128] [0]),
-	.RESET_B(FE_OFN121_system_rst_ni),
-	.SCD(\fifo_buffer[128] [0]),
-	.SCE(n_2379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[128][1]  (
-	.CLK(CTS_21),
-	.D(n_3449),
-	.Q(\fifo_buffer[128] [1]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[128][2]  (
-	.CLK(CTS_21),
-	.D(n_3448),
-	.Q(\fifo_buffer[128] [2]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[128][3]  (
-	.CLK(CTS_21),
-	.D(n_3446),
-	.Q(\fifo_buffer[128] [3]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[128][4]  (
-	.CLK(CTS_21),
-	.D(n_3445),
-	.Q(\fifo_buffer[128] [4]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[128][5]  (
-	.CLK(CTS_21),
-	.D(n_3443),
-	.Q(\fifo_buffer[128] [5]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[128][6]  (
-	.CLK(CTS_21),
-	.D(n_3441),
-	.Q(\fifo_buffer[128] [6]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[128][7]  (
-	.CLK(CTS_21),
-	.D(n_3440),
-	.Q(\fifo_buffer[128] [7]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[128][8]  (
-	.CLK(CTS_2),
-	.D(n_3439),
-	.Q(\fifo_buffer[128] [8]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[129][0]  (
-	.CLK(CTS_21),
-	.D(n_11),
-	.Q(\fifo_buffer[129] [0]),
-	.RESET_B(FE_OFN121_system_rst_ni),
-	.SCD(\fifo_buffer[129] [0]),
-	.SCE(n_2380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[129][1]  (
-	.CLK(CTS_21),
-	.D(n_3437),
-	.Q(\fifo_buffer[129] [1]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[129][2]  (
-	.CLK(CTS_21),
-	.D(n_3435),
-	.Q(\fifo_buffer[129] [2]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[129][3]  (
-	.CLK(CTS_21),
-	.D(n_3434),
-	.Q(\fifo_buffer[129] [3]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[129][4]  (
-	.CLK(CTS_2),
-	.D(n_3433),
-	.Q(\fifo_buffer[129] [4]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[129][5]  (
-	.CLK(CTS_21),
-	.D(n_3431),
-	.Q(\fifo_buffer[129] [5]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[129][6]  (
-	.CLK(CTS_21),
-	.D(n_3430),
-	.Q(\fifo_buffer[129] [6]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[129][7]  (
-	.CLK(CTS_2),
-	.D(n_3428),
-	.Q(\fifo_buffer[129] [7]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[129][8]  (
-	.CLK(CTS_21),
-	.D(n_3426),
-	.Q(\fifo_buffer[129] [8]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[130][0]  (
-	.CLK(CTS_3),
-	.D(n_11),
-	.Q(\fifo_buffer[130] [0]),
-	.RESET_B(FE_OFN119_system_rst_ni),
-	.SCD(\fifo_buffer[130] [0]),
-	.SCE(n_2384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[130][1]  (
-	.CLK(CTS_3),
-	.D(n_3424),
-	.Q(\fifo_buffer[130] [1]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[130][2]  (
-	.CLK(CTS_3),
-	.D(n_3423),
-	.Q(\fifo_buffer[130] [2]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[130][3]  (
-	.CLK(CTS_3),
-	.D(n_3421),
-	.Q(\fifo_buffer[130] [3]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[130][4]  (
-	.CLK(CTS_6),
-	.D(n_3420),
-	.Q(\fifo_buffer[130] [4]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[130][5]  (
-	.CLK(CTS_6),
-	.D(n_3419),
-	.Q(\fifo_buffer[130] [5]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[130][6]  (
-	.CLK(CTS_3),
-	.D(n_3417),
-	.Q(\fifo_buffer[130] [6]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[130][7]  (
-	.CLK(CTS_3),
-	.D(n_3416),
-	.Q(\fifo_buffer[130] [7]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[130][8]  (
-	.CLK(CTS_6),
-	.D(n_3415),
-	.Q(\fifo_buffer[130] [8]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[131][0]  (
-	.CLK(CTS_3),
-	.D(n_11),
-	.Q(\fifo_buffer[131] [0]),
-	.RESET_B(FE_OFN119_system_rst_ni),
-	.SCD(\fifo_buffer[131] [0]),
-	.SCE(n_2393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[131][1]  (
-	.CLK(CTS_6),
-	.D(n_3414),
-	.Q(\fifo_buffer[131] [1]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[131][2]  (
-	.CLK(CTS_6),
-	.D(n_3412),
-	.Q(\fifo_buffer[131] [2]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[131][3]  (
-	.CLK(CTS_6),
-	.D(n_3411),
-	.Q(\fifo_buffer[131] [3]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[131][4]  (
-	.CLK(CTS_6),
-	.D(n_3410),
-	.Q(\fifo_buffer[131] [4]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[131][5]  (
-	.CLK(CTS_6),
-	.D(n_3409),
-	.Q(\fifo_buffer[131] [5]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[131][6]  (
-	.CLK(CTS_3),
-	.D(n_3408),
-	.Q(\fifo_buffer[131] [6]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[131][7]  (
-	.CLK(CTS_3),
-	.D(n_3406),
-	.Q(\fifo_buffer[131] [7]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[131][8]  (
-	.CLK(CTS_6),
-	.D(n_3742),
-	.Q(\fifo_buffer[131] [8]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[132][0]  (
-	.CLK(CTS_9),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[132] [0]),
-	.RESET_B(FE_OFN63_system_rst_ni),
-	.SCD(\fifo_buffer[132] [0]),
-	.SCE(n_2385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[132][1]  (
-	.CLK(CTS_9),
-	.D(n_3746),
-	.Q(\fifo_buffer[132] [1]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[132][2]  (
-	.CLK(CTS_9),
-	.D(n_3747),
-	.Q(\fifo_buffer[132] [2]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[132][3]  (
-	.CLK(CTS_9),
-	.D(n_3749),
-	.Q(\fifo_buffer[132] [3]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[132][4]  (
-	.CLK(CTS_9),
-	.D(n_3751),
-	.Q(\fifo_buffer[132] [4]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[132][5]  (
-	.CLK(CTS_9),
-	.D(n_3753),
-	.Q(\fifo_buffer[132] [5]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[132][6]  (
-	.CLK(CTS_9),
-	.D(n_3754),
-	.Q(\fifo_buffer[132] [6]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[132][7]  (
-	.CLK(CTS_9),
-	.D(n_3755),
-	.Q(\fifo_buffer[132] [7]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[132][8]  (
-	.CLK(CTS_9),
-	.D(n_3756),
-	.Q(\fifo_buffer[132] [8]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[133][0]  (
-	.CLK(CTS_9),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[133] [0]),
-	.RESET_B(FE_OFN63_system_rst_ni),
-	.SCD(\fifo_buffer[133] [0]),
-	.SCE(n_2400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[133][1]  (
-	.CLK(CTS_9),
-	.D(n_3758),
-	.Q(\fifo_buffer[133] [1]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[133][2]  (
-	.CLK(CTS_9),
-	.D(n_3760),
-	.Q(\fifo_buffer[133] [2]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[133][3]  (
-	.CLK(CTS_9),
-	.D(n_3761),
-	.Q(\fifo_buffer[133] [3]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[133][4]  (
-	.CLK(CTS_9),
-	.D(n_3763),
-	.Q(\fifo_buffer[133] [4]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[133][5]  (
-	.CLK(CTS_9),
-	.D(n_3764),
-	.Q(\fifo_buffer[133] [5]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[133][6]  (
-	.CLK(CTS_9),
-	.D(n_3765),
-	.Q(\fifo_buffer[133] [6]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[133][7]  (
-	.CLK(CTS_9),
-	.D(n_3767),
-	.Q(\fifo_buffer[133] [7]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[133][8]  (
-	.CLK(CTS_9),
-	.D(n_3768),
-	.Q(\fifo_buffer[133] [8]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[134][0]  (
-	.CLK(CTS_30),
-	.D(FE_OFN1404_n_11),
-	.Q(\fifo_buffer[134] [0]),
-	.RESET_B(FE_OFN133_system_rst_ni),
-	.SCD(\fifo_buffer[134] [0]),
-	.SCE(n_2405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[134][1]  (
-	.CLK(CTS_30),
-	.D(n_3771),
-	.Q(\fifo_buffer[134] [1]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[134][2]  (
-	.CLK(CTS_13),
-	.D(n_3773),
-	.Q(\fifo_buffer[134] [2]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[134][3]  (
-	.CLK(CTS_30),
-	.D(n_3774),
-	.Q(\fifo_buffer[134] [3]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[134][4]  (
-	.CLK(CTS_30),
-	.D(n_3775),
-	.Q(\fifo_buffer[134] [4]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[134][5]  (
-	.CLK(CTS_13),
-	.D(n_3777),
-	.Q(\fifo_buffer[134] [5]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[134][6]  (
-	.CLK(CTS_30),
-	.D(n_3778),
-	.Q(\fifo_buffer[134] [6]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[134][7]  (
-	.CLK(CTS_13),
-	.D(n_3779),
-	.Q(\fifo_buffer[134] [7]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[134][8]  (
-	.CLK(CTS_13),
-	.D(n_3780),
-	.Q(\fifo_buffer[134] [8]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[135][0]  (
-	.CLK(CTS_30),
-	.D(FE_OFN1404_n_11),
-	.Q(\fifo_buffer[135] [0]),
-	.RESET_B(FE_OFN133_system_rst_ni),
-	.SCD(\fifo_buffer[135] [0]),
-	.SCE(n_2434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[135][1]  (
-	.CLK(CTS_30),
-	.D(n_3781),
-	.Q(\fifo_buffer[135] [1]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[135][2]  (
-	.CLK(CTS_13),
-	.D(n_3782),
-	.Q(\fifo_buffer[135] [2]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[135][3]  (
-	.CLK(CTS_30),
-	.D(n_3784),
-	.Q(\fifo_buffer[135] [3]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[135][4]  (
-	.CLK(CTS_30),
-	.D(n_3786),
-	.Q(\fifo_buffer[135] [4]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[135][5]  (
-	.CLK(CTS_13),
-	.D(n_3787),
-	.Q(\fifo_buffer[135] [5]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[135][6]  (
-	.CLK(CTS_30),
-	.D(n_3788),
-	.Q(\fifo_buffer[135] [6]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[135][7]  (
-	.CLK(CTS_30),
-	.D(n_3790),
-	.Q(\fifo_buffer[135] [7]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[135][8]  (
-	.CLK(CTS_13),
-	.D(n_3792),
-	.Q(\fifo_buffer[135] [8]),
-	.RESET_B(FE_OFN59_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[136][0]  (
-	.CLK(CTS_1),
-	.D(n_11),
-	.Q(\fifo_buffer[136] [0]),
-	.RESET_B(FE_OFN120_system_rst_ni),
-	.SCD(\fifo_buffer[136] [0]),
-	.SCE(n_2381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[136][1]  (
-	.CLK(CTS_1),
-	.D(n_3794),
-	.Q(\fifo_buffer[136] [1]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[136][2]  (
-	.CLK(CTS_3),
-	.D(n_3796),
-	.Q(\fifo_buffer[136] [2]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[136][3]  (
-	.CLK(CTS_3),
-	.D(n_3797),
-	.Q(\fifo_buffer[136] [3]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[136][4]  (
-	.CLK(CTS_1),
-	.D(n_3799),
-	.Q(\fifo_buffer[136] [4]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[136][5]  (
-	.CLK(CTS_3),
-	.D(n_3801),
-	.Q(\fifo_buffer[136] [5]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[136][6]  (
-	.CLK(CTS_1),
-	.D(n_3802),
-	.Q(\fifo_buffer[136] [6]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[136][7]  (
-	.CLK(CTS_3),
-	.D(n_3803),
-	.Q(\fifo_buffer[136] [7]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[136][8]  (
-	.CLK(CTS_3),
-	.D(n_3804),
-	.Q(\fifo_buffer[136] [8]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[137][0]  (
-	.CLK(CTS_1),
-	.D(n_11),
-	.Q(\fifo_buffer[137] [0]),
-	.RESET_B(FE_OFN120_system_rst_ni),
-	.SCD(\fifo_buffer[137] [0]),
-	.SCE(n_2392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[137][1]  (
-	.CLK(CTS_1),
-	.D(n_3806),
-	.Q(\fifo_buffer[137] [1]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[137][2]  (
-	.CLK(CTS_1),
-	.D(n_3807),
-	.Q(\fifo_buffer[137] [2]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[137][3]  (
-	.CLK(CTS_3),
-	.D(n_3808),
-	.Q(\fifo_buffer[137] [3]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[137][4]  (
-	.CLK(CTS_3),
-	.D(n_3810),
-	.Q(\fifo_buffer[137] [4]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[137][5]  (
-	.CLK(CTS_3),
-	.D(n_3812),
-	.Q(\fifo_buffer[137] [5]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[137][6]  (
-	.CLK(CTS_1),
-	.D(n_3814),
-	.Q(\fifo_buffer[137] [6]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[137][7]  (
-	.CLK(CTS_3),
-	.D(n_3815),
-	.Q(\fifo_buffer[137] [7]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[137][8]  (
-	.CLK(CTS_3),
-	.D(n_3816),
-	.Q(\fifo_buffer[137] [8]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[138][0]  (
-	.CLK(CTS_22),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[138] [0]),
-	.RESET_B(FE_OFN54_system_rst_ni),
-	.SCD(\fifo_buffer[138] [0]),
-	.SCE(n_2396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[138][1]  (
-	.CLK(CTS_9),
-	.D(n_3818),
-	.Q(\fifo_buffer[138] [1]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[138][2]  (
-	.CLK(CTS_12),
-	.D(n_3819),
-	.Q(\fifo_buffer[138] [2]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[138][3]  (
-	.CLK(CTS_12),
-	.D(n_3821),
-	.Q(\fifo_buffer[138] [3]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[138][4]  (
-	.CLK(CTS_12),
-	.D(n_3822),
-	.Q(\fifo_buffer[138] [4]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[138][5]  (
-	.CLK(CTS_12),
-	.D(n_3824),
-	.Q(\fifo_buffer[138] [5]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[138][6]  (
-	.CLK(CTS_12),
-	.D(n_3826),
-	.Q(\fifo_buffer[138] [6]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[138][7]  (
-	.CLK(CTS_12),
-	.D(n_3827),
-	.Q(\fifo_buffer[138] [7]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[138][8]  (
-	.CLK(CTS_12),
-	.D(n_3828),
-	.Q(\fifo_buffer[138] [8]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[139][0]  (
-	.CLK(CTS_12),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[139] [0]),
-	.RESET_B(FE_OFN130_system_rst_ni),
-	.SCD(\fifo_buffer[139] [0]),
-	.SCE(n_2418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[139][1]  (
-	.CLK(CTS_12),
-	.D(n_3830),
-	.Q(\fifo_buffer[139] [1]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[139][2]  (
-	.CLK(CTS_12),
-	.D(n_3831),
-	.Q(\fifo_buffer[139] [2]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[139][3]  (
-	.CLK(CTS_12),
-	.D(n_3833),
-	.Q(\fifo_buffer[139] [3]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[139][4]  (
-	.CLK(CTS_9),
-	.D(n_3834),
-	.Q(\fifo_buffer[139] [4]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[139][5]  (
-	.CLK(CTS_12),
-	.D(n_3835),
-	.Q(\fifo_buffer[139] [5]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[139][6]  (
-	.CLK(CTS_12),
-	.D(n_3837),
-	.Q(\fifo_buffer[139] [6]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[139][7]  (
-	.CLK(CTS_12),
-	.D(n_3838),
-	.Q(\fifo_buffer[139] [7]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[139][8]  (
-	.CLK(CTS_12),
-	.D(n_3840),
-	.Q(\fifo_buffer[139] [8]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[140][0]  (
-	.CLK(CTS_25),
-	.D(FE_OFN1404_n_11),
-	.Q(\fifo_buffer[140] [0]),
-	.RESET_B(FE_OFN99_system_rst_ni),
-	.SCD(\fifo_buffer[140] [0]),
-	.SCE(n_2399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[140][1]  (
-	.CLK(CTS_25),
-	.D(n_3843),
-	.Q(\fifo_buffer[140] [1]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[140][2]  (
-	.CLK(CTS_25),
-	.D(n_3844),
-	.Q(\fifo_buffer[140] [2]),
-	.RESET_B(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[140][3]  (
-	.CLK(CTS_25),
-	.D(n_3845),
-	.Q(\fifo_buffer[140] [3]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[140][4]  (
-	.CLK(CTS_25),
-	.D(n_3847),
-	.Q(\fifo_buffer[140] [4]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[140][5]  (
-	.CLK(CTS_25),
-	.D(n_3848),
-	.Q(\fifo_buffer[140] [5]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[140][6]  (
-	.CLK(CTS_25),
-	.D(n_3849),
-	.Q(\fifo_buffer[140] [6]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[140][7]  (
-	.CLK(CTS_25),
-	.D(n_3851),
-	.Q(\fifo_buffer[140] [7]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[140][8]  (
-	.CLK(CTS_25),
-	.D(n_3852),
-	.Q(\fifo_buffer[140] [8]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[141][0]  (
-	.CLK(CTS_25),
-	.D(FE_OFN1404_n_11),
-	.Q(\fifo_buffer[141] [0]),
-	.RESET_B(FE_OFN99_system_rst_ni),
-	.SCD(\fifo_buffer[141] [0]),
-	.SCE(n_2424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[141][1]  (
-	.CLK(CTS_25),
-	.D(n_3854),
-	.Q(\fifo_buffer[141] [1]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[141][2]  (
-	.CLK(CTS_25),
-	.D(n_3855),
-	.Q(\fifo_buffer[141] [2]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[141][3]  (
-	.CLK(CTS_25),
-	.D(n_3857),
-	.Q(\fifo_buffer[141] [3]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[141][4]  (
-	.CLK(CTS_25),
-	.D(n_3858),
-	.Q(\fifo_buffer[141] [4]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[141][5]  (
-	.CLK(CTS_25),
-	.D(n_3860),
-	.Q(\fifo_buffer[141] [5]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[141][6]  (
-	.CLK(CTS_25),
-	.D(n_3861),
-	.Q(\fifo_buffer[141] [6]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[141][7]  (
-	.CLK(CTS_25),
-	.D(n_3862),
-	.Q(\fifo_buffer[141] [7]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[141][8]  (
-	.CLK(CTS_25),
-	.D(n_3864),
-	.Q(\fifo_buffer[141] [8]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[142][0]  (
-	.CLK(CTS_18),
-	.D(FE_OFN1401_n_11),
-	.Q(\fifo_buffer[142] [0]),
-	.RESET_B(FE_OFN61_system_rst_ni),
-	.SCD(\fifo_buffer[142] [0]),
-	.SCE(n_2438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[142][1]  (
-	.CLK(CTS_18),
-	.D(n_3867),
-	.Q(\fifo_buffer[142] [1]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[142][2]  (
-	.CLK(CTS_19),
-	.D(n_3868),
-	.Q(\fifo_buffer[142] [2]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[142][3]  (
-	.CLK(CTS_18),
-	.D(n_3869),
-	.Q(\fifo_buffer[142] [3]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[142][4]  (
-	.CLK(CTS_18),
-	.D(n_3871),
-	.Q(\fifo_buffer[142] [4]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[142][5]  (
-	.CLK(CTS_19),
-	.D(n_3873),
-	.Q(\fifo_buffer[142] [5]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[142][6]  (
-	.CLK(CTS_18),
-	.D(n_3874),
-	.Q(\fifo_buffer[142] [6]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[142][7]  (
-	.CLK(CTS_18),
-	.D(n_3875),
-	.Q(\fifo_buffer[142] [7]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[142][8]  (
-	.CLK(CTS_18),
-	.D(n_3876),
-	.Q(\fifo_buffer[142] [8]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[143][0]  (
-	.CLK(CTS_19),
-	.D(FE_OFN1401_n_11),
-	.Q(\fifo_buffer[143] [0]),
-	.RESET_B(FE_OFN61_system_rst_ni),
-	.SCD(\fifo_buffer[143] [0]),
-	.SCE(n_2462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[143][1]  (
-	.CLK(CTS_19),
-	.D(n_3877),
-	.Q(\fifo_buffer[143] [1]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[143][2]  (
-	.CLK(CTS_13),
-	.D(n_3879),
-	.Q(\fifo_buffer[143] [2]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[143][3]  (
-	.CLK(CTS_18),
-	.D(n_3881),
-	.Q(\fifo_buffer[143] [3]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[143][4]  (
-	.CLK(CTS_19),
-	.D(n_3882),
-	.Q(\fifo_buffer[143] [4]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[143][5]  (
-	.CLK(CTS_13),
-	.D(n_3884),
-	.Q(\fifo_buffer[143] [5]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[143][6]  (
-	.CLK(CTS_19),
-	.D(n_3885),
-	.Q(\fifo_buffer[143] [6]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[143][7]  (
-	.CLK(CTS_18),
-	.D(n_3886),
-	.Q(\fifo_buffer[143] [7]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[143][8]  (
-	.CLK(CTS_19),
-	.D(n_3888),
-	.Q(\fifo_buffer[143] [8]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[144][0]  (
-	.CLK(CTS_16),
-	.D(n_11),
-	.Q(\fifo_buffer[144] [0]),
-	.RESET_B(FE_OFN124_system_rst_ni),
-	.SCD(\fifo_buffer[144] [0]),
-	.SCE(n_749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[144][1]  (
-	.CLK(CTS_14),
-	.D(n_2520),
-	.Q(\fifo_buffer[144] [1]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[144][2]  (
-	.CLK(CTS_15),
-	.D(n_2519),
-	.Q(\fifo_buffer[144] [2]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[144][3]  (
-	.CLK(CTS_15),
-	.D(n_2518),
-	.Q(\fifo_buffer[144] [3]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[144][4]  (
-	.CLK(CTS_14),
-	.D(n_2517),
-	.Q(\fifo_buffer[144] [4]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[144][5]  (
-	.CLK(CTS_14),
-	.D(n_2516),
-	.Q(\fifo_buffer[144] [5]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[144][6]  (
-	.CLK(CTS_15),
-	.D(n_2515),
-	.Q(\fifo_buffer[144] [6]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[144][7]  (
-	.CLK(CTS_15),
-	.D(n_2514),
-	.Q(\fifo_buffer[144] [7]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[144][8]  (
-	.CLK(CTS_15),
-	.D(n_2513),
-	.Q(\fifo_buffer[144] [8]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[145][0]  (
-	.CLK(CTS_14),
-	.D(n_11),
-	.Q(\fifo_buffer[145] [0]),
-	.RESET_B(FE_OFN124_system_rst_ni),
-	.SCD(\fifo_buffer[145] [0]),
-	.SCE(n_691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[145][1]  (
-	.CLK(CTS_14),
-	.D(n_2512),
-	.Q(\fifo_buffer[145] [1]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[145][2]  (
-	.CLK(CTS_15),
-	.D(n_2511),
-	.Q(\fifo_buffer[145] [2]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[145][3]  (
-	.CLK(CTS_15),
-	.D(n_2510),
-	.Q(\fifo_buffer[145] [3]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[145][4]  (
-	.CLK(CTS_14),
-	.D(n_2509),
-	.Q(\fifo_buffer[145] [4]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[145][5]  (
-	.CLK(CTS_14),
-	.D(n_2508),
-	.Q(\fifo_buffer[145] [5]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[145][6]  (
-	.CLK(CTS_15),
-	.D(n_2507),
-	.Q(\fifo_buffer[145] [6]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[145][7]  (
-	.CLK(CTS_15),
-	.D(n_2506),
-	.Q(\fifo_buffer[145] [7]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[145][8]  (
-	.CLK(CTS_15),
-	.D(n_2505),
-	.Q(\fifo_buffer[145] [8]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[146][0]  (
-	.CLK(CTS_1),
-	.D(n_11),
-	.Q(\fifo_buffer[146] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(\fifo_buffer[146] [0]),
-	.SCE(n_679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[146][1]  (
-	.CLK(CTS_1),
-	.D(n_2504),
-	.Q(\fifo_buffer[146] [1]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[146][2]  (
-	.CLK(CTS_1),
-	.D(n_2503),
-	.Q(\fifo_buffer[146] [2]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[146][3]  (
-	.CLK(CTS_7),
-	.D(n_2502),
-	.Q(\fifo_buffer[146] [3]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[146][4]  (
-	.CLK(CTS_7),
-	.D(n_2501),
-	.Q(\fifo_buffer[146] [4]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[146][5]  (
-	.CLK(CTS_1),
-	.D(n_2500),
-	.Q(\fifo_buffer[146] [5]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[146][6]  (
-	.CLK(CTS_7),
-	.D(n_2499),
-	.Q(\fifo_buffer[146] [6]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[146][7]  (
-	.CLK(CTS_1),
-	.D(n_2498),
-	.Q(\fifo_buffer[146] [7]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[146][8]  (
-	.CLK(CTS_1),
-	.D(n_2497),
-	.Q(\fifo_buffer[146] [8]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[147][0]  (
-	.CLK(CTS_1),
-	.D(\fifo_buffer[147] [0]),
-	.Q(\fifo_buffer[147] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(n_11),
-	.SCE(n_696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[147][1]  (
-	.CLK(CTS_1),
-	.D(n_2496),
-	.Q(\fifo_buffer[147] [1]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[147][2]  (
-	.CLK(CTS_1),
-	.D(n_2495),
-	.Q(\fifo_buffer[147] [2]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[147][3]  (
-	.CLK(CTS_7),
-	.D(n_2494),
-	.Q(\fifo_buffer[147] [3]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[147][4]  (
-	.CLK(CTS_7),
-	.D(n_2493),
-	.Q(\fifo_buffer[147] [4]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[147][5]  (
-	.CLK(CTS_1),
-	.D(n_2492),
-	.Q(\fifo_buffer[147] [5]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[147][6]  (
-	.CLK(CTS_7),
-	.D(n_2491),
-	.Q(\fifo_buffer[147] [6]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[147][7]  (
-	.CLK(CTS_1),
-	.D(n_2490),
-	.Q(\fifo_buffer[147] [7]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[147][8]  (
-	.CLK(CTS_1),
-	.D(n_2489),
-	.Q(\fifo_buffer[147] [8]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[148][0]  (
-	.CLK(CTS_18),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[148] [0]),
-	.RESET_B(FE_OFN64_system_rst_ni),
-	.SCD(\fifo_buffer[148] [0]),
-	.SCE(n_666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[148][1]  (
-	.CLK(CTS_18),
-	.D(n_2488),
-	.Q(\fifo_buffer[148] [1]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[148][2]  (
-	.CLK(CTS_18),
-	.D(n_2487),
-	.Q(\fifo_buffer[148] [2]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[148][3]  (
-	.CLK(CTS_18),
-	.D(n_2486),
-	.Q(\fifo_buffer[148] [3]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[148][4]  (
-	.CLK(CTS_18),
-	.D(n_2485),
-	.Q(\fifo_buffer[148] [4]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[148][5]  (
-	.CLK(CTS_18),
-	.D(n_2484),
-	.Q(\fifo_buffer[148] [5]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[148][6]  (
-	.CLK(CTS_18),
-	.D(n_2483),
-	.Q(\fifo_buffer[148] [6]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[148][7]  (
-	.CLK(CTS_18),
-	.D(n_2482),
-	.Q(\fifo_buffer[148] [7]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[148][8]  (
-	.CLK(CTS_18),
-	.D(n_2481),
-	.Q(\fifo_buffer[148] [8]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[149][0]  (
-	.CLK(CTS_18),
-	.D(\fifo_buffer[149] [0]),
-	.Q(\fifo_buffer[149] [0]),
-	.RESET_B(FE_OFN137_system_rst_ni),
-	.SCD(FE_OFN1402_n_11),
-	.SCE(n_716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[149][1]  (
-	.CLK(CTS_18),
-	.D(n_2480),
-	.Q(\fifo_buffer[149] [1]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[149][2]  (
-	.CLK(CTS_18),
-	.D(n_2479),
-	.Q(\fifo_buffer[149] [2]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[149][3]  (
-	.CLK(CTS_18),
-	.D(n_2478),
-	.Q(\fifo_buffer[149] [3]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[149][4]  (
-	.CLK(CTS_18),
-	.D(n_2477),
-	.Q(\fifo_buffer[149] [4]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[149][5]  (
-	.CLK(CTS_18),
-	.D(n_2476),
-	.Q(\fifo_buffer[149] [5]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[149][6]  (
-	.CLK(CTS_18),
-	.D(n_2475),
-	.Q(\fifo_buffer[149] [6]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[149][7]  (
-	.CLK(CTS_18),
-	.D(n_2474),
-	.Q(\fifo_buffer[149] [7]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[149][8]  (
-	.CLK(CTS_18),
-	.D(n_2473),
-	.Q(\fifo_buffer[149] [8]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[150][0]  (
-	.CLK(CTS_24),
-	.D(\fifo_buffer[150] [0]),
-	.Q(\fifo_buffer[150] [0]),
-	.RESET_B(FE_OFN96_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[150][1]  (
-	.CLK(CTS_24),
-	.D(n_2472),
-	.Q(\fifo_buffer[150] [1]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[150][2]  (
-	.CLK(CTS_26),
-	.D(n_2471),
-	.Q(\fifo_buffer[150] [2]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[150][3]  (
-	.CLK(CTS_26),
-	.D(n_2470),
-	.Q(\fifo_buffer[150] [3]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[150][4]  (
-	.CLK(CTS_26),
-	.D(n_2469),
-	.Q(\fifo_buffer[150] [4]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[150][5]  (
-	.CLK(CTS_26),
-	.D(n_2468),
-	.Q(\fifo_buffer[150] [5]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[150][6]  (
-	.CLK(CTS_26),
-	.D(n_2467),
-	.Q(\fifo_buffer[150] [6]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[150][7]  (
-	.CLK(CTS_26),
-	.D(n_2466),
-	.Q(\fifo_buffer[150] [7]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[150][8]  (
-	.CLK(CTS_26),
-	.D(n_2465),
-	.Q(\fifo_buffer[150] [8]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[151][0]  (
-	.CLK(CTS_24),
-	.D(\fifo_buffer[151] [0]),
-	.Q(\fifo_buffer[151] [0]),
-	.RESET_B(FE_OFN96_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[151][1]  (
-	.CLK(CTS_26),
-	.D(n_2464),
-	.Q(\fifo_buffer[151] [1]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[151][2]  (
-	.CLK(CTS_26),
-	.D(n_2463),
-	.Q(\fifo_buffer[151] [2]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[151][3]  (
-	.CLK(CTS_26),
-	.D(n_3233),
-	.Q(\fifo_buffer[151] [3]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[151][4]  (
-	.CLK(CTS_26),
-	.D(n_3229),
-	.Q(\fifo_buffer[151] [4]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[151][5]  (
-	.CLK(CTS_24),
-	.D(n_3228),
-	.Q(\fifo_buffer[151] [5]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[151][6]  (
-	.CLK(CTS_26),
-	.D(n_3227),
-	.Q(\fifo_buffer[151] [6]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[151][7]  (
-	.CLK(CTS_26),
-	.D(n_3226),
-	.Q(\fifo_buffer[151] [7]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[151][8]  (
-	.CLK(CTS_26),
-	.D(n_3225),
-	.Q(\fifo_buffer[151] [8]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[152][0]  (
-	.CLK(CTS_8),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[152] [0]),
-	.RESET_B(FE_OFN128_system_rst_ni),
-	.SCD(\fifo_buffer[152] [0]),
-	.SCE(n_690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[152][1]  (
-	.CLK(CTS_12),
-	.D(n_3224),
-	.Q(\fifo_buffer[152] [1]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[152][2]  (
-	.CLK(CTS_12),
-	.D(n_3223),
-	.Q(\fifo_buffer[152] [2]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[152][3]  (
-	.CLK(CTS_8),
-	.D(n_3221),
-	.Q(\fifo_buffer[152] [3]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[152][4]  (
-	.CLK(CTS_12),
-	.D(n_3220),
-	.Q(\fifo_buffer[152] [4]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[152][5]  (
-	.CLK(CTS_8),
-	.D(n_3219),
-	.Q(\fifo_buffer[152] [5]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[152][6]  (
-	.CLK(CTS_8),
-	.D(n_3218),
-	.Q(\fifo_buffer[152] [6]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[152][7]  (
-	.CLK(CTS_8),
-	.D(n_3217),
-	.Q(\fifo_buffer[152] [7]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[152][8]  (
-	.CLK(CTS_8),
-	.D(n_3216),
-	.Q(\fifo_buffer[152] [8]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[153][0]  (
-	.CLK(CTS_12),
-	.D(\fifo_buffer[153] [0]),
-	.Q(\fifo_buffer[153] [0]),
-	.RESET_B(FE_OFN128_system_rst_ni),
-	.SCD(FE_OFN1402_n_11),
-	.SCE(n_693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[153][1]  (
-	.CLK(CTS_12),
-	.D(n_3215),
-	.Q(\fifo_buffer[153] [1]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[153][2]  (
-	.CLK(CTS_12),
-	.D(n_3214),
-	.Q(\fifo_buffer[153] [2]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[153][3]  (
-	.CLK(CTS_8),
-	.D(n_3213),
-	.Q(\fifo_buffer[153] [3]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[153][4]  (
-	.CLK(CTS_8),
-	.D(n_3212),
-	.Q(\fifo_buffer[153] [4]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[153][5]  (
-	.CLK(CTS_8),
-	.D(n_3211),
-	.Q(\fifo_buffer[153] [5]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[153][6]  (
-	.CLK(CTS_8),
-	.D(n_3210),
-	.Q(\fifo_buffer[153] [6]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[153][7]  (
-	.CLK(CTS_8),
-	.D(n_3209),
-	.Q(\fifo_buffer[153] [7]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[153][8]  (
-	.CLK(CTS_12),
-	.D(n_3208),
-	.Q(\fifo_buffer[153] [8]),
-	.RESET_B(FE_OFN138_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[154][0]  (
-	.CLK(CTS_23),
-	.D(\fifo_buffer[154] [0]),
-	.Q(\fifo_buffer[154] [0]),
-	.RESET_B(FE_OFN107_system_rst_ni),
-	.SCD(FE_OFN1399_n_11),
-	.SCE(n_704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[154][1]  (
-	.CLK(clk_i_clone5),
-	.D(n_3207),
-	.Q(\fifo_buffer[154] [1]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[154][2]  (
-	.CLK(CTS_23),
-	.D(n_3206),
-	.Q(\fifo_buffer[154] [2]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[154][3]  (
-	.CLK(clk_i_clone5),
-	.D(n_3205),
-	.Q(\fifo_buffer[154] [3]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[154][4]  (
-	.CLK(clk_i_clone5),
-	.D(n_3204),
-	.Q(\fifo_buffer[154] [4]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[154][5]  (
-	.CLK(CTS_4),
-	.D(n_3203),
-	.Q(\fifo_buffer[154] [5]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[154][6]  (
-	.CLK(clk_i_clone5),
-	.D(n_3202),
-	.Q(\fifo_buffer[154] [6]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[154][7]  (
-	.CLK(CTS_4),
-	.D(n_3201),
-	.Q(\fifo_buffer[154] [7]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[154][8]  (
-	.CLK(clk_i_clone5),
-	.D(n_3200),
-	.Q(\fifo_buffer[154] [8]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[155][0]  (
-	.CLK(CTS_4),
-	.D(\fifo_buffer[155] [0]),
-	.Q(\fifo_buffer[155] [0]),
-	.RESET_B(FE_OFN47_system_rst_ni),
-	.SCD(FE_OFN1399_n_11),
-	.SCE(n_752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[155][1]  (
-	.CLK(clk_i_clone5),
-	.D(n_3199),
-	.Q(\fifo_buffer[155] [1]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[155][2]  (
-	.CLK(CTS_23),
-	.D(n_3198),
-	.Q(\fifo_buffer[155] [2]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[155][3]  (
-	.CLK(clk_i_clone5),
-	.D(n_3197),
-	.Q(\fifo_buffer[155] [3]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[155][4]  (
-	.CLK(clk_i_clone5),
-	.D(n_3196),
-	.Q(\fifo_buffer[155] [4]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[155][5]  (
-	.CLK(CTS_4),
-	.D(n_3195),
-	.Q(\fifo_buffer[155] [5]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[155][6]  (
-	.CLK(clk_i_clone5),
-	.D(n_3194),
-	.Q(\fifo_buffer[155] [6]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[155][7]  (
-	.CLK(CTS_4),
-	.D(n_3193),
-	.Q(\fifo_buffer[155] [7]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[155][8]  (
-	.CLK(clk_i_clone5),
-	.D(n_3192),
-	.Q(\fifo_buffer[155] [8]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[156][0]  (
-	.CLK(CTS_25),
-	.D(\fifo_buffer[156] [0]),
-	.Q(\fifo_buffer[156] [0]),
-	.RESET_B(FE_OFN99_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[156][1]  (
-	.CLK(clk_i_clone5),
-	.D(n_3191),
-	.Q(\fifo_buffer[156] [1]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[156][2]  (
-	.CLK(clk_i_clone1),
-	.D(n_3190),
-	.Q(\fifo_buffer[156] [2]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[156][3]  (
-	.CLK(clk_i_clone1),
-	.D(n_3189),
-	.Q(\fifo_buffer[156] [3]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[156][4]  (
-	.CLK(clk_i_clone5),
-	.D(n_3188),
-	.Q(\fifo_buffer[156] [4]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[156][5]  (
-	.CLK(CTS_25),
-	.D(n_3187),
-	.Q(\fifo_buffer[156] [5]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[156][6]  (
-	.CLK(clk_i_clone1),
-	.D(n_3186),
-	.Q(\fifo_buffer[156] [6]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[156][7]  (
-	.CLK(clk_i_clone1),
-	.D(n_3185),
-	.Q(\fifo_buffer[156] [7]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[156][8]  (
-	.CLK(CTS_25),
-	.D(n_3184),
-	.Q(\fifo_buffer[156] [8]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[157][0]  (
-	.CLK(CTS_25),
-	.D(\fifo_buffer[157] [0]),
-	.Q(\fifo_buffer[157] [0]),
-	.RESET_B(FE_OFN99_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[157][1]  (
-	.CLK(clk_i_clone5),
-	.D(n_3183),
-	.Q(\fifo_buffer[157] [1]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[157][2]  (
-	.CLK(clk_i_clone1),
-	.D(n_3182),
-	.Q(\fifo_buffer[157] [2]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[157][3]  (
-	.CLK(clk_i_clone1),
-	.D(n_3181),
-	.Q(\fifo_buffer[157] [3]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[157][4]  (
-	.CLK(clk_i_clone5),
-	.D(n_3180),
-	.Q(\fifo_buffer[157] [4]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[157][5]  (
-	.CLK(clk_i_clone1),
-	.D(n_3179),
-	.Q(\fifo_buffer[157] [5]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[157][6]  (
-	.CLK(clk_i_clone1),
-	.D(n_3178),
-	.Q(\fifo_buffer[157] [6]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[157][7]  (
-	.CLK(clk_i_clone1),
-	.D(n_3177),
-	.Q(\fifo_buffer[157] [7]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[157][8]  (
-	.CLK(CTS_25),
-	.D(n_3176),
-	.Q(\fifo_buffer[157] [8]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[158][0]  (
-	.CLK(CTS_24),
-	.D(\fifo_buffer[158] [0]),
-	.Q(\fifo_buffer[158] [0]),
-	.RESET_B(FE_OFN132_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[158][1]  (
-	.CLK(clk_i_clone2),
-	.D(n_3175),
-	.Q(\fifo_buffer[158] [1]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[158][2]  (
-	.CLK(CTS_24),
-	.D(n_3174),
-	.Q(\fifo_buffer[158] [2]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[158][3]  (
-	.CLK(clk_i_clone2),
-	.D(n_3173),
-	.Q(\fifo_buffer[158] [3]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[158][4]  (
-	.CLK(clk_i_clone2),
-	.D(n_3172),
-	.Q(\fifo_buffer[158] [4]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[158][5]  (
-	.CLK(clk_i_clone2),
-	.D(n_3171),
-	.Q(\fifo_buffer[158] [5]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[158][6]  (
-	.CLK(clk_i_clone2),
-	.D(n_3170),
-	.Q(\fifo_buffer[158] [6]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[158][7]  (
-	.CLK(clk_i_clone2),
-	.D(n_3169),
-	.Q(\fifo_buffer[158] [7]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[158][8]  (
-	.CLK(clk_i_clone2),
-	.D(n_3168),
-	.Q(\fifo_buffer[158] [8]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[159][0]  (
-	.CLK(CTS_24),
-	.D(\fifo_buffer[159] [0]),
-	.Q(\fifo_buffer[159] [0]),
-	.RESET_B(FE_OFN132_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[159][1]  (
-	.CLK(clk_i_clone2),
-	.D(n_3167),
-	.Q(\fifo_buffer[159] [1]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[159][2]  (
-	.CLK(CTS_24),
-	.D(n_3166),
-	.Q(\fifo_buffer[159] [2]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[159][3]  (
-	.CLK(clk_i_clone2),
-	.D(n_3165),
-	.Q(\fifo_buffer[159] [3]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[159][4]  (
-	.CLK(clk_i_clone2),
-	.D(n_3164),
-	.Q(\fifo_buffer[159] [4]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[159][5]  (
-	.CLK(clk_i_clone2),
-	.D(n_3163),
-	.Q(\fifo_buffer[159] [5]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[159][6]  (
-	.CLK(clk_i_clone2),
-	.D(n_3162),
-	.Q(\fifo_buffer[159] [6]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[159][7]  (
-	.CLK(clk_i_clone2),
-	.D(n_3161),
-	.Q(\fifo_buffer[159] [7]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[159][8]  (
-	.CLK(clk_i_clone2),
-	.D(n_3160),
-	.Q(\fifo_buffer[159] [8]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[160][0]  (
-	.CLK(CTS_2),
-	.D(n_11),
-	.Q(\fifo_buffer[160] [0]),
-	.RESET_B(FE_OFN118_system_rst_ni),
-	.SCD(\fifo_buffer[160] [0]),
-	.SCE(n_2386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[160][1]  (
-	.CLK(CTS_2),
-	.D(n_3711),
-	.Q(\fifo_buffer[160] [1]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[160][2]  (
-	.CLK(CTS_2),
-	.D(n_3710),
-	.Q(\fifo_buffer[160] [2]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[160][3]  (
-	.CLK(CTS_2),
-	.D(n_3709),
-	.Q(\fifo_buffer[160] [3]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[160][4]  (
-	.CLK(CTS_2),
-	.D(n_3708),
-	.Q(\fifo_buffer[160] [4]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[160][5]  (
-	.CLK(CTS_2),
-	.D(n_3707),
-	.Q(\fifo_buffer[160] [5]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[160][6]  (
-	.CLK(CTS_2),
-	.D(n_3706),
-	.Q(\fifo_buffer[160] [6]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[160][7]  (
-	.CLK(CTS_2),
-	.D(n_3705),
-	.Q(\fifo_buffer[160] [7]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[160][8]  (
-	.CLK(CTS_2),
-	.D(n_3704),
-	.Q(\fifo_buffer[160] [8]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[161][0]  (
-	.CLK(CTS_21),
-	.D(n_11),
-	.Q(\fifo_buffer[161] [0]),
-	.RESET_B(FE_OFN121_system_rst_ni),
-	.SCD(\fifo_buffer[161] [0]),
-	.SCE(n_2401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[161][1]  (
-	.CLK(CTS_2),
-	.D(n_3703),
-	.Q(\fifo_buffer[161] [1]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[161][2]  (
-	.CLK(CTS_2),
-	.D(n_3702),
-	.Q(\fifo_buffer[161] [2]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[161][3]  (
-	.CLK(CTS_2),
-	.D(n_3701),
-	.Q(\fifo_buffer[161] [3]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[161][4]  (
-	.CLK(CTS_2),
-	.D(n_3700),
-	.Q(\fifo_buffer[161] [4]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[161][5]  (
-	.CLK(CTS_2),
-	.D(n_3699),
-	.Q(\fifo_buffer[161] [5]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[161][6]  (
-	.CLK(CTS_2),
-	.D(n_3697),
-	.Q(\fifo_buffer[161] [6]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[161][7]  (
-	.CLK(CTS_2),
-	.D(n_3698),
-	.Q(\fifo_buffer[161] [7]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[161][8]  (
-	.CLK(CTS_2),
-	.D(n_3696),
-	.Q(\fifo_buffer[161] [8]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[162][0]  (
-	.CLK(CTS_3),
-	.D(FE_OFN1399_n_11),
-	.Q(\fifo_buffer[162] [0]),
-	.RESET_B(FE_OFN119_system_rst_ni),
-	.SCD(\fifo_buffer[162] [0]),
-	.SCE(n_2406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[162][1]  (
-	.CLK(CTS_6),
-	.D(n_3695),
-	.Q(\fifo_buffer[162] [1]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[162][2]  (
-	.CLK(CTS_6),
-	.D(n_3694),
-	.Q(\fifo_buffer[162] [2]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[162][3]  (
-	.CLK(CTS_6),
-	.D(n_3693),
-	.Q(\fifo_buffer[162] [3]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[162][4]  (
-	.CLK(CTS_6),
-	.D(n_3692),
-	.Q(\fifo_buffer[162] [4]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[162][5]  (
-	.CLK(CTS_3),
-	.D(n_3691),
-	.Q(\fifo_buffer[162] [5]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[162][6]  (
-	.CLK(CTS_6),
-	.D(n_3690),
-	.Q(\fifo_buffer[162] [6]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[162][7]  (
-	.CLK(CTS_6),
-	.D(n_3689),
-	.Q(\fifo_buffer[162] [7]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[162][8]  (
-	.CLK(CTS_6),
-	.D(n_3688),
-	.Q(\fifo_buffer[162] [8]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[163][0]  (
-	.CLK(CTS_3),
-	.D(FE_OFN1399_n_11),
-	.Q(\fifo_buffer[163] [0]),
-	.RESET_B(FE_OFN119_system_rst_ni),
-	.SCD(\fifo_buffer[163] [0]),
-	.SCE(n_2435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[163][1]  (
-	.CLK(CTS_6),
-	.D(n_3687),
-	.Q(\fifo_buffer[163] [1]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[163][2]  (
-	.CLK(CTS_6),
-	.D(n_3684),
-	.Q(\fifo_buffer[163] [2]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[163][3]  (
-	.CLK(CTS_6),
-	.D(n_3686),
-	.Q(\fifo_buffer[163] [3]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[163][4]  (
-	.CLK(CTS_6),
-	.D(n_3685),
-	.Q(\fifo_buffer[163] [4]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[163][5]  (
-	.CLK(CTS_3),
-	.D(n_3683),
-	.Q(\fifo_buffer[163] [5]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[163][6]  (
-	.CLK(CTS_6),
-	.D(n_3682),
-	.Q(\fifo_buffer[163] [6]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[163][7]  (
-	.CLK(CTS_6),
-	.D(n_3681),
-	.Q(\fifo_buffer[163] [7]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[163][8]  (
-	.CLK(CTS_6),
-	.D(n_3680),
-	.Q(\fifo_buffer[163] [8]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[164][0]  (
-	.CLK(CTS_9),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[164] [0]),
-	.RESET_B(FE_OFN140_system_rst_ni),
-	.SCD(\fifo_buffer[164] [0]),
-	.SCE(n_2409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[164][1]  (
-	.CLK(CTS_9),
-	.D(n_3678),
-	.Q(\fifo_buffer[164] [1]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[164][2]  (
-	.CLK(CTS_9),
-	.D(n_3679),
-	.Q(\fifo_buffer[164] [2]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[164][3]  (
-	.CLK(CTS_10),
-	.D(n_3677),
-	.Q(\fifo_buffer[164] [3]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[164][4]  (
-	.CLK(CTS_9),
-	.D(n_3676),
-	.Q(\fifo_buffer[164] [4]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[164][5]  (
-	.CLK(CTS_10),
-	.D(n_3675),
-	.Q(\fifo_buffer[164] [5]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[164][6]  (
-	.CLK(CTS_9),
-	.D(n_3674),
-	.Q(\fifo_buffer[164] [6]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[164][7]  (
-	.CLK(CTS_9),
-	.D(n_3673),
-	.Q(\fifo_buffer[164] [7]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[164][8]  (
-	.CLK(CTS_9),
-	.D(n_3670),
-	.Q(\fifo_buffer[164] [8]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[165][0]  (
-	.CLK(CTS_9),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[165] [0]),
-	.RESET_B(FE_OFN140_system_rst_ni),
-	.SCD(\fifo_buffer[165] [0]),
-	.SCE(n_2447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[165][1]  (
-	.CLK(CTS_9),
-	.D(n_3672),
-	.Q(\fifo_buffer[165] [1]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[165][2]  (
-	.CLK(CTS_9),
-	.D(n_3671),
-	.Q(\fifo_buffer[165] [2]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[165][3]  (
-	.CLK(CTS_9),
-	.D(n_3669),
-	.Q(\fifo_buffer[165] [3]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[165][4]  (
-	.CLK(CTS_9),
-	.D(n_3668),
-	.Q(\fifo_buffer[165] [4]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[165][5]  (
-	.CLK(CTS_9),
-	.D(n_3667),
-	.Q(\fifo_buffer[165] [5]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[165][6]  (
-	.CLK(CTS_10),
-	.D(n_3666),
-	.Q(\fifo_buffer[165] [6]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[165][7]  (
-	.CLK(CTS_9),
-	.D(n_3665),
-	.Q(\fifo_buffer[165] [7]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[165][8]  (
-	.CLK(CTS_9),
-	.D(n_3664),
-	.Q(\fifo_buffer[165] [8]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[166][0]  (
-	.CLK(CTS_27),
-	.D(FE_OFN1404_n_11),
-	.Q(\fifo_buffer[166] [0]),
-	.RESET_B(FE_OFN97_system_rst_ni),
-	.SCD(\fifo_buffer[166] [0]),
-	.SCE(n_2454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[166][1]  (
-	.CLK(CTS_27),
-	.D(n_3663),
-	.Q(\fifo_buffer[166] [1]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[166][2]  (
-	.CLK(CTS_27),
-	.D(n_3662),
-	.Q(\fifo_buffer[166] [2]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[166][3]  (
-	.CLK(CTS_27),
-	.D(n_3661),
-	.Q(\fifo_buffer[166] [3]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[166][4]  (
-	.CLK(CTS_27),
-	.D(n_3660),
-	.Q(\fifo_buffer[166] [4]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[166][5]  (
-	.CLK(CTS_27),
-	.D(n_3657),
-	.Q(\fifo_buffer[166] [5]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[166][6]  (
-	.CLK(CTS_27),
-	.D(n_3659),
-	.Q(\fifo_buffer[166] [6]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[166][7]  (
-	.CLK(CTS_27),
-	.D(n_3658),
-	.Q(\fifo_buffer[166] [7]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[166][8]  (
-	.CLK(CTS_27),
-	.D(n_3656),
-	.Q(\fifo_buffer[166] [8]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[167][0]  (
-	.CLK(CTS_27),
-	.D(\fifo_buffer[167] [0]),
-	.Q(\fifo_buffer[167] [0]),
-	.RESET_B(FE_OFN97_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_2445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[167][1]  (
-	.CLK(CTS_27),
-	.D(n_3655),
-	.Q(\fifo_buffer[167] [1]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[167][2]  (
-	.CLK(CTS_27),
-	.D(n_3654),
-	.Q(\fifo_buffer[167] [2]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[167][3]  (
-	.CLK(CTS_27),
-	.D(n_3653),
-	.Q(\fifo_buffer[167] [3]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[167][4]  (
-	.CLK(CTS_27),
-	.D(n_3652),
-	.Q(\fifo_buffer[167] [4]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[167][5]  (
-	.CLK(CTS_27),
-	.D(n_3651),
-	.Q(\fifo_buffer[167] [5]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[167][6]  (
-	.CLK(CTS_27),
-	.D(n_3650),
-	.Q(\fifo_buffer[167] [6]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[167][7]  (
-	.CLK(CTS_27),
-	.D(n_3649),
-	.Q(\fifo_buffer[167] [7]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[167][8]  (
-	.CLK(CTS_27),
-	.D(n_3648),
-	.Q(\fifo_buffer[167] [8]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[168][0]  (
-	.CLK(CTS_3),
-	.D(n_11),
-	.Q(\fifo_buffer[168] [0]),
-	.RESET_B(FE_OFN118_system_rst_ni),
-	.SCD(\fifo_buffer[168] [0]),
-	.SCE(n_2402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[168][1]  (
-	.CLK(CTS_2),
-	.D(n_3647),
-	.Q(\fifo_buffer[168] [1]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[168][2]  (
-	.CLK(CTS_2),
-	.D(n_3646),
-	.Q(\fifo_buffer[168] [2]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[168][3]  (
-	.CLK(CTS_3),
-	.D(n_3644),
-	.Q(\fifo_buffer[168] [3]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[168][4]  (
-	.CLK(CTS_3),
-	.D(n_3645),
-	.Q(\fifo_buffer[168] [4]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[168][5]  (
-	.CLK(CTS_2),
-	.D(n_3643),
-	.Q(\fifo_buffer[168] [5]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[168][6]  (
-	.CLK(CTS_2),
-	.D(n_3642),
-	.Q(\fifo_buffer[168] [6]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[168][7]  (
-	.CLK(CTS_3),
-	.D(n_3641),
-	.Q(\fifo_buffer[168] [7]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[168][8]  (
-	.CLK(CTS_2),
-	.D(n_3640),
-	.Q(\fifo_buffer[168] [8]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[169][0]  (
-	.CLK(CTS_1),
-	.D(n_11),
-	.Q(\fifo_buffer[169] [0]),
-	.RESET_B(FE_OFN121_system_rst_ni),
-	.SCD(\fifo_buffer[169] [0]),
-	.SCE(n_2433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[169][1]  (
-	.CLK(CTS_2),
-	.D(n_3639),
-	.Q(\fifo_buffer[169] [1]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[169][2]  (
-	.CLK(CTS_2),
-	.D(n_3638),
-	.Q(\fifo_buffer[169] [2]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[169][3]  (
-	.CLK(CTS_3),
-	.D(n_3637),
-	.Q(\fifo_buffer[169] [3]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[169][4]  (
-	.CLK(CTS_2),
-	.D(n_3636),
-	.Q(\fifo_buffer[169] [4]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[169][5]  (
-	.CLK(CTS_2),
-	.D(n_3635),
-	.Q(\fifo_buffer[169] [5]),
-	.RESET_B(FE_OFN185_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[169][6]  (
-	.CLK(CTS_2),
-	.D(n_3634),
-	.Q(\fifo_buffer[169] [6]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[169][7]  (
-	.CLK(CTS_2),
-	.D(n_3633),
-	.Q(\fifo_buffer[169] [7]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[169][8]  (
-	.CLK(CTS_2),
-	.D(n_3632),
-	.Q(\fifo_buffer[169] [8]),
-	.RESET_B(FE_OFN186_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[170][0]  (
-	.CLK(CTS_9),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[170] [0]),
-	.RESET_B(FE_OFN139_system_rst_ni),
-	.SCD(\fifo_buffer[170] [0]),
-	.SCE(n_2439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[170][1]  (
-	.CLK(CTS_9),
-	.D(n_3631),
-	.Q(\fifo_buffer[170] [1]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[170][2]  (
-	.CLK(CTS_9),
-	.D(n_3630),
-	.Q(\fifo_buffer[170] [2]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[170][3]  (
-	.CLK(CTS_9),
-	.D(n_3629),
-	.Q(\fifo_buffer[170] [3]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[170][4]  (
-	.CLK(CTS_9),
-	.D(n_3628),
-	.Q(\fifo_buffer[170] [4]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[170][5]  (
-	.CLK(CTS_9),
-	.D(n_3627),
-	.Q(\fifo_buffer[170] [5]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[170][6]  (
-	.CLK(CTS_9),
-	.D(n_3626),
-	.Q(\fifo_buffer[170] [6]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[170][7]  (
-	.CLK(CTS_9),
-	.D(n_3625),
-	.Q(\fifo_buffer[170] [7]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[170][8]  (
-	.CLK(CTS_9),
-	.D(n_3624),
-	.Q(\fifo_buffer[170] [8]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[171][0]  (
-	.CLK(CTS_11),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[171] [0]),
-	.RESET_B(FE_OFN131_system_rst_ni),
-	.SCD(\fifo_buffer[171] [0]),
-	.SCE(n_2456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[171][1]  (
-	.CLK(CTS_12),
-	.D(n_3623),
-	.Q(\fifo_buffer[171] [1]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[171][2]  (
-	.CLK(CTS_9),
-	.D(n_3622),
-	.Q(\fifo_buffer[171] [2]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[171][3]  (
-	.CLK(CTS_9),
-	.D(n_3621),
-	.Q(\fifo_buffer[171] [3]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[171][4]  (
-	.CLK(CTS_9),
-	.D(n_3620),
-	.Q(\fifo_buffer[171] [4]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[171][5]  (
-	.CLK(CTS_9),
-	.D(n_3619),
-	.Q(\fifo_buffer[171] [5]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[171][6]  (
-	.CLK(CTS_11),
-	.D(n_3618),
-	.Q(\fifo_buffer[171] [6]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[171][7]  (
-	.CLK(CTS_9),
-	.D(n_3617),
-	.Q(\fifo_buffer[171] [7]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[171][8]  (
-	.CLK(CTS_9),
-	.D(n_3616),
-	.Q(\fifo_buffer[171] [8]),
-	.RESET_B(FE_OFN139_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[172][0]  (
-	.CLK(CTS_31),
-	.D(FE_OFN1401_n_11),
-	.Q(\fifo_buffer[172] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(\fifo_buffer[172] [0]),
-	.SCE(n_2442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[172][1]  (
-	.CLK(CTS_31),
-	.D(n_3615),
-	.Q(\fifo_buffer[172] [1]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[172][2]  (
-	.CLK(CTS_31),
-	.D(n_3614),
-	.Q(\fifo_buffer[172] [2]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[172][3]  (
-	.CLK(CTS_30),
-	.D(n_3613),
-	.Q(\fifo_buffer[172] [3]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[172][4]  (
-	.CLK(CTS_30),
-	.D(n_3612),
-	.Q(\fifo_buffer[172] [4]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[172][5]  (
-	.CLK(CTS_31),
-	.D(n_3611),
-	.Q(\fifo_buffer[172] [5]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[172][6]  (
-	.CLK(CTS_31),
-	.D(n_3610),
-	.Q(\fifo_buffer[172] [6]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[172][7]  (
-	.CLK(CTS_30),
-	.D(n_3609),
-	.Q(\fifo_buffer[172] [7]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[172][8]  (
-	.CLK(CTS_31),
-	.D(n_3608),
-	.Q(\fifo_buffer[172] [8]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[173][0]  (
-	.CLK(CTS_31),
-	.D(\fifo_buffer[173] [0]),
-	.Q(\fifo_buffer[173] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_2452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[173][1]  (
-	.CLK(CTS_30),
-	.D(n_3607),
-	.Q(\fifo_buffer[173] [1]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[173][2]  (
-	.CLK(CTS_31),
-	.D(n_3606),
-	.Q(\fifo_buffer[173] [2]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[173][3]  (
-	.CLK(CTS_13),
-	.D(n_3603),
-	.Q(\fifo_buffer[173] [3]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[173][4]  (
-	.CLK(CTS_13),
-	.D(n_3605),
-	.Q(\fifo_buffer[173] [4]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[173][5]  (
-	.CLK(CTS_31),
-	.D(n_3604),
-	.Q(\fifo_buffer[173] [5]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[173][6]  (
-	.CLK(CTS_13),
-	.D(n_3602),
-	.Q(\fifo_buffer[173] [6]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[173][7]  (
-	.CLK(CTS_13),
-	.D(n_3601),
-	.Q(\fifo_buffer[173] [7]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[173][8]  (
-	.CLK(CTS_31),
-	.D(n_3600),
-	.Q(\fifo_buffer[173] [8]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[174][0]  (
-	.CLK(CTS_18),
-	.D(\fifo_buffer[174] [0]),
-	.Q(\fifo_buffer[174] [0]),
-	.RESET_B(FE_OFN61_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_2443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[174][1]  (
-	.CLK(CTS_19),
-	.D(n_3599),
-	.Q(\fifo_buffer[174] [1]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[174][2]  (
-	.CLK(CTS_19),
-	.D(n_3598),
-	.Q(\fifo_buffer[174] [2]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[174][3]  (
-	.CLK(CTS_19),
-	.D(n_3597),
-	.Q(\fifo_buffer[174] [3]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[174][4]  (
-	.CLK(CTS_19),
-	.D(n_3596),
-	.Q(\fifo_buffer[174] [4]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[174][5]  (
-	.CLK(CTS_19),
-	.D(n_3595),
-	.Q(\fifo_buffer[174] [5]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[174][6]  (
-	.CLK(CTS_19),
-	.D(n_3594),
-	.Q(\fifo_buffer[174] [6]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[174][7]  (
-	.CLK(CTS_19),
-	.D(n_3593),
-	.Q(\fifo_buffer[174] [7]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[174][8]  (
-	.CLK(CTS_19),
-	.D(n_3592),
-	.Q(\fifo_buffer[174] [8]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[175][0]  (
-	.CLK(CTS_19),
-	.D(\fifo_buffer[175] [0]),
-	.Q(\fifo_buffer[175] [0]),
-	.RESET_B(FE_OFN136_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_2414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[175][1]  (
-	.CLK(CTS_19),
-	.D(n_3591),
-	.Q(\fifo_buffer[175] [1]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[175][2]  (
-	.CLK(CTS_19),
-	.D(n_3590),
-	.Q(\fifo_buffer[175] [2]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[175][3]  (
-	.CLK(CTS_19),
-	.D(n_3589),
-	.Q(\fifo_buffer[175] [3]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[175][4]  (
-	.CLK(CTS_19),
-	.D(n_3588),
-	.Q(\fifo_buffer[175] [4]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[175][5]  (
-	.CLK(CTS_19),
-	.D(n_3587),
-	.Q(\fifo_buffer[175] [5]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[175][6]  (
-	.CLK(CTS_19),
-	.D(n_3586),
-	.Q(\fifo_buffer[175] [6]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[175][7]  (
-	.CLK(CTS_19),
-	.D(n_3585),
-	.Q(\fifo_buffer[175] [7]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[175][8]  (
-	.CLK(CTS_19),
-	.D(n_3584),
-	.Q(\fifo_buffer[175] [8]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[176][0]  (
-	.CLK(CTS_15),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[176] [0]),
-	.RESET_B(FE_OFN125_system_rst_ni),
-	.SCD(\fifo_buffer[176] [0]),
-	.SCE(n_427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[176][1]  (
-	.CLK(CTS_15),
-	.D(n_1909),
-	.Q(\fifo_buffer[176] [1]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[176][2]  (
-	.CLK(CTS_15),
-	.D(n_1911),
-	.Q(\fifo_buffer[176] [2]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[176][3]  (
-	.CLK(CTS_15),
-	.D(n_1912),
-	.Q(\fifo_buffer[176] [3]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[176][4]  (
-	.CLK(CTS_15),
-	.D(n_1914),
-	.Q(\fifo_buffer[176] [4]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[176][5]  (
-	.CLK(CTS_15),
-	.D(n_1916),
-	.Q(\fifo_buffer[176] [5]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[176][6]  (
-	.CLK(CTS_15),
-	.D(n_1917),
-	.Q(\fifo_buffer[176] [6]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[176][7]  (
-	.CLK(CTS_15),
-	.D(n_1918),
-	.Q(\fifo_buffer[176] [7]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[176][8]  (
-	.CLK(CTS_15),
-	.D(n_1920),
-	.Q(\fifo_buffer[176] [8]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[177][0]  (
-	.CLK(CTS_15),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[177] [0]),
-	.RESET_B(FE_OFN125_system_rst_ni),
-	.SCD(\fifo_buffer[177] [0]),
-	.SCE(n_386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[177][1]  (
-	.CLK(CTS_15),
-	.D(n_1922),
-	.Q(\fifo_buffer[177] [1]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[177][2]  (
-	.CLK(CTS_8),
-	.D(n_1924),
-	.Q(\fifo_buffer[177] [2]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[177][3]  (
-	.CLK(CTS_15),
-	.D(n_1925),
-	.Q(\fifo_buffer[177] [3]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[177][4]  (
-	.CLK(CTS_15),
-	.D(n_1928),
-	.Q(\fifo_buffer[177] [4]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[177][5]  (
-	.CLK(CTS_15),
-	.D(n_1929),
-	.Q(\fifo_buffer[177] [5]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[177][6]  (
-	.CLK(CTS_15),
-	.D(n_1931),
-	.Q(\fifo_buffer[177] [6]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[177][7]  (
-	.CLK(CTS_15),
-	.D(n_1932),
-	.Q(\fifo_buffer[177] [7]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[177][8]  (
-	.CLK(CTS_15),
-	.D(n_1937),
-	.Q(\fifo_buffer[177] [8]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[178][0]  (
-	.CLK(CTS_1),
-	.D(n_11),
-	.Q(\fifo_buffer[178] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(\fifo_buffer[178] [0]),
-	.SCE(n_370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[178][1]  (
-	.CLK(CTS_1),
-	.D(n_1938),
-	.Q(\fifo_buffer[178] [1]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[178][2]  (
-	.CLK(CTS_3),
-	.D(n_1940),
-	.Q(\fifo_buffer[178] [2]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[178][3]  (
-	.CLK(CTS_3),
-	.D(n_1941),
-	.Q(\fifo_buffer[178] [3]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[178][4]  (
-	.CLK(CTS_3),
-	.D(n_1943),
-	.Q(\fifo_buffer[178] [4]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[178][5]  (
-	.CLK(CTS_1),
-	.D(n_2060),
-	.Q(\fifo_buffer[178] [5]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[178][6]  (
-	.CLK(CTS_3),
-	.D(n_1491),
-	.Q(\fifo_buffer[178] [6]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[178][7]  (
-	.CLK(CTS_1),
-	.D(n_1807),
-	.Q(\fifo_buffer[178] [7]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[178][8]  (
-	.CLK(CTS_3),
-	.D(n_1805),
-	.Q(\fifo_buffer[178] [8]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[179][0]  (
-	.CLK(CTS_1),
-	.D(n_11),
-	.Q(\fifo_buffer[179] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(\fifo_buffer[179] [0]),
-	.SCE(n_315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[179][1]  (
-	.CLK(CTS_1),
-	.D(n_1803),
-	.Q(\fifo_buffer[179] [1]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[179][2]  (
-	.CLK(CTS_3),
-	.D(n_1802),
-	.Q(\fifo_buffer[179] [2]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[179][3]  (
-	.CLK(CTS_3),
-	.D(n_1800),
-	.Q(\fifo_buffer[179] [3]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[179][4]  (
-	.CLK(CTS_3),
-	.D(n_1799),
-	.Q(\fifo_buffer[179] [4]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[179][5]  (
-	.CLK(CTS_3),
-	.D(n_1796),
-	.Q(\fifo_buffer[179] [5]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[179][6]  (
-	.CLK(CTS_3),
-	.D(n_1795),
-	.Q(\fifo_buffer[179] [6]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[179][7]  (
-	.CLK(CTS_1),
-	.D(n_1794),
-	.Q(\fifo_buffer[179] [7]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[179][8]  (
-	.CLK(CTS_3),
-	.D(n_1792),
-	.Q(\fifo_buffer[179] [8]),
-	.RESET_B(FE_OFN118_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[180][0]  (
-	.CLK(CTS_10),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[180] [0]),
-	.RESET_B(FE_OFN64_system_rst_ni),
-	.SCD(\fifo_buffer[180] [0]),
-	.SCE(n_354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[180][1]  (
-	.CLK(CTS_10),
-	.D(n_1789),
-	.Q(\fifo_buffer[180] [1]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[180][2]  (
-	.CLK(CTS_18),
-	.D(n_1787),
-	.Q(\fifo_buffer[180] [2]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[180][3]  (
-	.CLK(CTS_10),
-	.D(n_1786),
-	.Q(\fifo_buffer[180] [3]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[180][4]  (
-	.CLK(CTS_10),
-	.D(n_1784),
-	.Q(\fifo_buffer[180] [4]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[180][5]  (
-	.CLK(CTS_10),
-	.D(n_1783),
-	.Q(\fifo_buffer[180] [5]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[180][6]  (
-	.CLK(CTS_10),
-	.D(n_1782),
-	.Q(\fifo_buffer[180] [6]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[180][7]  (
-	.CLK(CTS_18),
-	.D(n_1781),
-	.Q(\fifo_buffer[180] [7]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[180][8]  (
-	.CLK(CTS_10),
-	.D(n_1779),
-	.Q(\fifo_buffer[180] [8]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[181][0]  (
-	.CLK(CTS_10),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[181] [0]),
-	.RESET_B(FE_OFN64_system_rst_ni),
-	.SCD(\fifo_buffer[181] [0]),
-	.SCE(n_285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[181][1]  (
-	.CLK(CTS_10),
-	.D(n_1777),
-	.Q(\fifo_buffer[181] [1]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[181][2]  (
-	.CLK(CTS_10),
-	.D(n_1774),
-	.Q(\fifo_buffer[181] [2]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[181][3]  (
-	.CLK(CTS_10),
-	.D(n_1773),
-	.Q(\fifo_buffer[181] [3]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[181][4]  (
-	.CLK(CTS_10),
-	.D(n_1771),
-	.Q(\fifo_buffer[181] [4]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[181][5]  (
-	.CLK(CTS_10),
-	.D(n_1770),
-	.Q(\fifo_buffer[181] [5]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[181][6]  (
-	.CLK(CTS_10),
-	.D(n_1768),
-	.Q(\fifo_buffer[181] [6]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[181][7]  (
-	.CLK(CTS_18),
-	.D(n_1767),
-	.Q(\fifo_buffer[181] [7]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[181][8]  (
-	.CLK(CTS_18),
-	.D(n_1765),
-	.Q(\fifo_buffer[181] [8]),
-	.RESET_B(FE_OFN64_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[182][0]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1405_n_11),
-	.Q(\fifo_buffer[182] [0]),
-	.RESET_B(FE_OFN96_system_rst_ni),
-	.SCD(\fifo_buffer[182] [0]),
-	.SCE(n_279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[182][1]  (
-	.CLK(CTS_24),
-	.D(n_1762),
-	.Q(\fifo_buffer[182] [1]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[182][2]  (
-	.CLK(clk_i_clone2),
-	.D(n_1761),
-	.Q(\fifo_buffer[182] [2]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[182][3]  (
-	.CLK(clk_i_clone2),
-	.D(n_1759),
-	.Q(\fifo_buffer[182] [3]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[182][4]  (
-	.CLK(clk_i_clone2),
-	.D(n_1757),
-	.Q(\fifo_buffer[182] [4]),
-	.RESET_B(FE_OFN0_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[182][5]  (
-	.CLK(clk_i_clone2),
-	.D(n_1756),
-	.Q(\fifo_buffer[182] [5]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[182][6]  (
-	.CLK(clk_i_clone2),
-	.D(n_1754),
-	.Q(\fifo_buffer[182] [6]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[182][7]  (
-	.CLK(clk_i_clone2),
-	.D(n_1753),
-	.Q(\fifo_buffer[182] [7]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[182][8]  (
-	.CLK(clk_i_clone2),
-	.D(n_1752),
-	.Q(\fifo_buffer[182] [8]),
-	.RESET_B(FE_OFN0_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[183][0]  (
-	.CLK(CTS_24),
-	.D(\fifo_buffer[183] [0]),
-	.Q(\fifo_buffer[183] [0]),
-	.RESET_B(FE_OFN96_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[183][1]  (
-	.CLK(clk_i_clone2),
-	.D(n_1749),
-	.Q(\fifo_buffer[183] [1]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[183][2]  (
-	.CLK(clk_i_clone2),
-	.D(n_1748),
-	.Q(\fifo_buffer[183] [2]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[183][3]  (
-	.CLK(clk_i_clone2),
-	.D(n_1746),
-	.Q(\fifo_buffer[183] [3]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[183][4]  (
-	.CLK(clk_i_clone2),
-	.D(n_1745),
-	.Q(\fifo_buffer[183] [4]),
-	.RESET_B(FE_OFN0_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[183][5]  (
-	.CLK(clk_i_clone2),
-	.D(n_1743),
-	.Q(\fifo_buffer[183] [5]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[183][6]  (
-	.CLK(clk_i_clone2),
-	.D(n_1742),
-	.Q(\fifo_buffer[183] [6]),
-	.RESET_B(FE_OFN31_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[183][7]  (
-	.CLK(clk_i_clone2),
-	.D(n_1739),
-	.Q(\fifo_buffer[183] [7]),
-	.RESET_B(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[183][8]  (
-	.CLK(clk_i_clone2),
-	.D(n_1738),
-	.Q(\fifo_buffer[183] [8]),
-	.RESET_B(FE_OFN0_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[184][0]  (
-	.CLK(CTS_8),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[184] [0]),
-	.RESET_B(FE_OFN125_system_rst_ni),
-	.SCD(\fifo_buffer[184] [0]),
-	.SCE(n_383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[184][1]  (
-	.CLK(CTS_8),
-	.D(n_1736),
-	.Q(\fifo_buffer[184] [1]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[184][2]  (
-	.CLK(CTS_8),
-	.D(n_1735),
-	.Q(\fifo_buffer[184] [2]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[184][3]  (
-	.CLK(CTS_8),
-	.D(n_1734),
-	.Q(\fifo_buffer[184] [3]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[184][4]  (
-	.CLK(CTS_15),
-	.D(n_1732),
-	.Q(\fifo_buffer[184] [4]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[184][5]  (
-	.CLK(CTS_15),
-	.D(n_1730),
-	.Q(\fifo_buffer[184] [5]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[184][6]  (
-	.CLK(CTS_15),
-	.D(n_1729),
-	.Q(\fifo_buffer[184] [6]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[184][7]  (
-	.CLK(CTS_15),
-	.D(n_1728),
-	.Q(\fifo_buffer[184] [7]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[184][8]  (
-	.CLK(CTS_15),
-	.D(n_1727),
-	.Q(\fifo_buffer[184] [8]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[185][0]  (
-	.CLK(CTS_8),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[185] [0]),
-	.RESET_B(FE_OFN126_system_rst_ni),
-	.SCD(\fifo_buffer[185] [0]),
-	.SCE(n_318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[185][1]  (
-	.CLK(CTS_8),
-	.D(n_1725),
-	.Q(\fifo_buffer[185] [1]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[185][2]  (
-	.CLK(CTS_8),
-	.D(n_1724),
-	.Q(\fifo_buffer[185] [2]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[185][3]  (
-	.CLK(CTS_8),
-	.D(n_1723),
-	.Q(\fifo_buffer[185] [3]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[185][4]  (
-	.CLK(CTS_8),
-	.D(n_1720),
-	.Q(\fifo_buffer[185] [4]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[185][5]  (
-	.CLK(CTS_8),
-	.D(n_1719),
-	.Q(\fifo_buffer[185] [5]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[185][6]  (
-	.CLK(CTS_8),
-	.D(n_1718),
-	.Q(\fifo_buffer[185] [6]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[185][7]  (
-	.CLK(CTS_8),
-	.D(n_1717),
-	.Q(\fifo_buffer[185] [7]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[185][8]  (
-	.CLK(CTS_8),
-	.D(n_1714),
-	.Q(\fifo_buffer[185] [8]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[186][0]  (
-	.CLK(CTS_5),
-	.D(FE_OFN1399_n_11),
-	.Q(\fifo_buffer[186] [0]),
-	.RESET_B(FE_OFN47_system_rst_ni),
-	.SCD(\fifo_buffer[186] [0]),
-	.SCE(n_304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[186][1]  (
-	.CLK(CTS_5),
-	.D(n_1713),
-	.Q(\fifo_buffer[186] [1]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[186][2]  (
-	.CLK(clk_i_clone6),
-	.D(n_1711),
-	.Q(\fifo_buffer[186] [2]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[186][3]  (
-	.CLK(CTS_4),
-	.D(n_1710),
-	.Q(\fifo_buffer[186] [3]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[186][4]  (
-	.CLK(clk_i_clone5),
-	.D(n_1708),
-	.Q(\fifo_buffer[186] [4]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[186][5]  (
-	.CLK(clk_i_clone5),
-	.D(n_1705),
-	.Q(\fifo_buffer[186] [5]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[186][6]  (
-	.CLK(clk_i_clone5),
-	.D(n_1704),
-	.Q(\fifo_buffer[186] [6]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[186][7]  (
-	.CLK(clk_i_clone6),
-	.D(n_1703),
-	.Q(\fifo_buffer[186] [7]),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[186][8]  (
-	.CLK(clk_i_clone5),
-	.D(n_1702),
-	.Q(\fifo_buffer[186] [8]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[187][0]  (
-	.CLK(CTS_23),
-	.D(\fifo_buffer[187] [0]),
-	.Q(\fifo_buffer[187] [0]),
-	.RESET_B(FE_OFN106_system_rst_ni),
-	.SCD(FE_OFN1399_n_11),
-	.SCE(n_300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[187][1]  (
-	.CLK(clk_i_clone5),
-	.D(n_1700),
-	.Q(\fifo_buffer[187] [1]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[187][2]  (
-	.CLK(clk_i_clone5),
-	.D(n_1699),
-	.Q(\fifo_buffer[187] [2]),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[187][3]  (
-	.CLK(CTS_4),
-	.D(n_1697),
-	.Q(\fifo_buffer[187] [3]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[187][4]  (
-	.CLK(clk_i_clone5),
-	.D(n_1696),
-	.Q(\fifo_buffer[187] [4]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[187][5]  (
-	.CLK(clk_i_clone5),
-	.D(n_1693),
-	.Q(\fifo_buffer[187] [5]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[187][6]  (
-	.CLK(clk_i_clone5),
-	.D(n_1692),
-	.Q(\fifo_buffer[187] [6]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[187][7]  (
-	.CLK(clk_i_clone5),
-	.D(n_1690),
-	.Q(\fifo_buffer[187] [7]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[187][8]  (
-	.CLK(clk_i_clone5),
-	.D(n_1688),
-	.Q(\fifo_buffer[187] [8]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[188][0]  (
-	.CLK(CTS_25),
-	.D(FE_OFN1405_n_11),
-	.Q(\fifo_buffer[188] [0]),
-	.RESET_B(FE_OFN39_system_rst_ni),
-	.SCD(\fifo_buffer[188] [0]),
-	.SCE(n_292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[188][1]  (
-	.CLK(clk_i_clone1),
-	.D(n_1686),
-	.Q(\fifo_buffer[188] [1]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[188][2]  (
-	.CLK(clk_i_clone1),
-	.D(n_1685),
-	.Q(\fifo_buffer[188] [2]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[188][3]  (
-	.CLK(clk_i_clone1),
-	.D(n_1683),
-	.Q(\fifo_buffer[188] [3]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[188][4]  (
-	.CLK(clk_i_clone1),
-	.D(n_1681),
-	.Q(\fifo_buffer[188] [4]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[188][5]  (
-	.CLK(clk_i_clone1),
-	.D(n_1680),
-	.Q(\fifo_buffer[188] [5]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[188][6]  (
-	.CLK(clk_i_clone1),
-	.D(n_1679),
-	.Q(\fifo_buffer[188] [6]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[188][7]  (
-	.CLK(clk_i_clone1),
-	.D(n_1678),
-	.Q(\fifo_buffer[188] [7]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[188][8]  (
-	.CLK(CTS_25),
-	.D(n_1677),
-	.Q(\fifo_buffer[188] [8]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[189][0]  (
-	.CLK(CTS_25),
-	.D(\fifo_buffer[189] [0]),
-	.Q(\fifo_buffer[189] [0]),
-	.RESET_B(FE_OFN99_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[189][1]  (
-	.CLK(clk_i_clone1),
-	.D(n_1674),
-	.Q(\fifo_buffer[189] [1]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[189][2]  (
-	.CLK(clk_i_clone1),
-	.D(n_1673),
-	.Q(\fifo_buffer[189] [2]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[189][3]  (
-	.CLK(clk_i_clone1),
-	.D(n_1672),
-	.Q(\fifo_buffer[189] [3]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[189][4]  (
-	.CLK(clk_i_clone1),
-	.D(n_1670),
-	.Q(\fifo_buffer[189] [4]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[189][5]  (
-	.CLK(clk_i_clone1),
-	.D(n_1668),
-	.Q(\fifo_buffer[189] [5]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[189][6]  (
-	.CLK(clk_i_clone1),
-	.D(n_1667),
-	.Q(\fifo_buffer[189] [6]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[189][7]  (
-	.CLK(clk_i_clone1),
-	.D(n_1665),
-	.Q(\fifo_buffer[189] [7]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[189][8]  (
-	.CLK(CTS_25),
-	.D(n_1664),
-	.Q(\fifo_buffer[189] [8]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[190][0]  (
-	.CLK(CTS_24),
-	.D(\fifo_buffer[190] [0]),
-	.Q(\fifo_buffer[190] [0]),
-	.RESET_B(FE_OFN132_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[190][1]  (
-	.CLK(clk_i_clone1),
-	.D(n_1661),
-	.Q(\fifo_buffer[190] [1]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[190][2]  (
-	.CLK(clk_i_clone2),
-	.D(n_1658),
-	.Q(\fifo_buffer[190] [2]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[190][3]  (
-	.CLK(clk_i_clone1),
-	.D(n_1657),
-	.Q(\fifo_buffer[190] [3]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[190][4]  (
-	.CLK(clk_i_clone2),
-	.D(n_1655),
-	.Q(\fifo_buffer[190] [4]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[190][5]  (
-	.CLK(clk_i_clone2),
-	.D(n_1654),
-	.Q(\fifo_buffer[190] [5]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[190][6]  (
-	.CLK(clk_i_clone1),
-	.D(n_1652),
-	.Q(\fifo_buffer[190] [6]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[190][7]  (
-	.CLK(clk_i_clone2),
-	.D(n_1651),
-	.Q(\fifo_buffer[190] [7]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[190][8]  (
-	.CLK(clk_i_clone2),
-	.D(n_1650),
-	.Q(\fifo_buffer[190] [8]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[191][0]  (
-	.CLK(CTS_24),
-	.D(\fifo_buffer[191] [0]),
-	.Q(\fifo_buffer[191] [0]),
-	.RESET_B(FE_OFN56_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[191][1]  (
-	.CLK(clk_i_clone1),
-	.D(n_1649),
-	.Q(\fifo_buffer[191] [1]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[191][2]  (
-	.CLK(clk_i_clone1),
-	.D(n_1648),
-	.Q(\fifo_buffer[191] [2]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[191][3]  (
-	.CLK(clk_i_clone1),
-	.D(n_1647),
-	.Q(\fifo_buffer[191] [3]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[191][4]  (
-	.CLK(clk_i_clone2),
-	.D(n_1646),
-	.Q(\fifo_buffer[191] [4]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[191][5]  (
-	.CLK(clk_i_clone1),
-	.D(n_1645),
-	.Q(\fifo_buffer[191] [5]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[191][6]  (
-	.CLK(clk_i_clone1),
-	.D(n_1335),
-	.Q(\fifo_buffer[191] [6]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[191][7]  (
-	.CLK(clk_i_clone1),
-	.D(n_1644),
-	.Q(\fifo_buffer[191] [7]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[191][8]  (
-	.CLK(clk_i_clone2),
-	.D(n_1643),
-	.Q(\fifo_buffer[191] [8]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[192][0]  (
-	.CLK(CTS_16),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[192] [0]),
-	.RESET_B(FE_OFN52_system_rst_ni),
-	.SCD(\fifo_buffer[192] [0]),
-	.SCE(n_314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[192][1]  (
-	.CLK(CTS_14),
-	.D(n_1662),
-	.Q(\fifo_buffer[192] [1]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[192][2]  (
-	.CLK(CTS_14),
-	.D(n_1641),
-	.Q(\fifo_buffer[192] [2]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[192][3]  (
-	.CLK(CTS_14),
-	.D(n_1640),
-	.Q(\fifo_buffer[192] [3]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[192][4]  (
-	.CLK(CTS_14),
-	.D(n_1639),
-	.Q(\fifo_buffer[192] [4]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[192][5]  (
-	.CLK(CTS_14),
-	.D(n_1638),
-	.Q(\fifo_buffer[192] [5]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[192][6]  (
-	.CLK(CTS_14),
-	.D(n_1637),
-	.Q(\fifo_buffer[192] [6]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[192][7]  (
-	.CLK(CTS_14),
-	.D(n_1507),
-	.Q(\fifo_buffer[192] [7]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[192][8]  (
-	.CLK(CTS_14),
-	.D(n_1636),
-	.Q(\fifo_buffer[192] [8]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[193][0]  (
-	.CLK(CTS_14),
-	.D(n_11),
-	.Q(\fifo_buffer[193] [0]),
-	.RESET_B(FE_OFN124_system_rst_ni),
-	.SCD(\fifo_buffer[193] [0]),
-	.SCE(n_374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[193][1]  (
-	.CLK(CTS_21),
-	.D(n_1635),
-	.Q(\fifo_buffer[193] [1]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[193][2]  (
-	.CLK(CTS_14),
-	.D(n_1634),
-	.Q(\fifo_buffer[193] [2]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[193][3]  (
-	.CLK(CTS_14),
-	.D(n_1633),
-	.Q(\fifo_buffer[193] [3]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[193][4]  (
-	.CLK(CTS_14),
-	.D(n_1632),
-	.Q(\fifo_buffer[193] [4]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[193][5]  (
-	.CLK(CTS_14),
-	.D(n_1631),
-	.Q(\fifo_buffer[193] [5]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[193][6]  (
-	.CLK(CTS_14),
-	.D(n_1630),
-	.Q(\fifo_buffer[193] [6]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[193][7]  (
-	.CLK(CTS_14),
-	.D(n_1629),
-	.Q(\fifo_buffer[193] [7]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[193][8]  (
-	.CLK(CTS_14),
-	.D(n_1628),
-	.Q(\fifo_buffer[193] [8]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[194][0]  (
-	.CLK(CTS_7),
-	.D(FE_OFN1399_n_11),
-	.Q(\fifo_buffer[194] [0]),
-	.RESET_B(FE_OFN49_system_rst_ni),
-	.SCD(\fifo_buffer[194] [0]),
-	.SCE(n_364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[194][1]  (
-	.CLK(CTS_5),
-	.D(n_1627),
-	.Q(\fifo_buffer[194] [1]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[194][2]  (
-	.CLK(clk_i),
-	.D(n_1626),
-	.Q(\fifo_buffer[194] [2]),
-	.RESET_B(FE_OFN109_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[194][3]  (
-	.CLK(CTS_5),
-	.D(n_1625),
-	.Q(\fifo_buffer[194] [3]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[194][4]  (
-	.CLK(CTS_5),
-	.D(n_1624),
-	.Q(\fifo_buffer[194] [4]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[194][5]  (
-	.CLK(clk_i),
-	.D(n_1623),
-	.Q(\fifo_buffer[194] [5]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[194][6]  (
-	.CLK(CTS_5),
-	.D(n_1622),
-	.Q(\fifo_buffer[194] [6]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[194][7]  (
-	.CLK(clk_i),
-	.D(n_1621),
-	.Q(\fifo_buffer[194] [7]),
-	.RESET_B(FE_OFN109_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[194][8]  (
-	.CLK(CTS_5),
-	.D(n_1620),
-	.Q(\fifo_buffer[194] [8]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[195][0]  (
-	.CLK(CTS_7),
-	.D(FE_OFN1399_n_11),
-	.Q(\fifo_buffer[195] [0]),
-	.RESET_B(FE_OFN49_system_rst_ni),
-	.SCD(\fifo_buffer[195] [0]),
-	.SCE(n_305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[195][1]  (
-	.CLK(CTS_5),
-	.D(n_1618),
-	.Q(\fifo_buffer[195] [1]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[195][2]  (
-	.CLK(clk_i),
-	.D(n_1617),
-	.Q(\fifo_buffer[195] [2]),
-	.RESET_B(FE_OFN109_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[195][3]  (
-	.CLK(CTS_5),
-	.D(n_1616),
-	.Q(\fifo_buffer[195] [3]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[195][4]  (
-	.CLK(CTS_5),
-	.D(n_1615),
-	.Q(\fifo_buffer[195] [4]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[195][5]  (
-	.CLK(CTS_5),
-	.D(n_1614),
-	.Q(\fifo_buffer[195] [5]),
-	.RESET_B(FE_OFN109_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[195][6]  (
-	.CLK(CTS_5),
-	.D(n_1676),
-	.Q(\fifo_buffer[195] [6]),
-	.RESET_B(FE_OFN109_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[195][7]  (
-	.CLK(clk_i),
-	.D(n_1613),
-	.Q(\fifo_buffer[195] [7]),
-	.RESET_B(FE_OFN109_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[195][8]  (
-	.CLK(CTS_5),
-	.D(n_1595),
-	.Q(\fifo_buffer[195] [8]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[196][0]  (
-	.CLK(CTS_11),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[196] [0]),
-	.RESET_B(FE_OFN55_system_rst_ni),
-	.SCD(\fifo_buffer[196] [0]),
-	.SCE(n_350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[196][1]  (
-	.CLK(CTS_17),
-	.D(n_1612),
-	.Q(\fifo_buffer[196] [1]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[196][2]  (
-	.CLK(CTS_11),
-	.D(n_1611),
-	.Q(\fifo_buffer[196] [2]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[196][3]  (
-	.CLK(CTS_11),
-	.D(n_1610),
-	.Q(\fifo_buffer[196] [3]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[196][4]  (
-	.CLK(CTS_11),
-	.D(n_1760),
-	.Q(\fifo_buffer[196] [4]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[196][5]  (
-	.CLK(CTS_17),
-	.D(n_1608),
-	.Q(\fifo_buffer[196] [5]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[196][6]  (
-	.CLK(CTS_11),
-	.D(n_1607),
-	.Q(\fifo_buffer[196] [6]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[196][7]  (
-	.CLK(CTS_11),
-	.D(n_1606),
-	.Q(\fifo_buffer[196] [7]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[196][8]  (
-	.CLK(CTS_11),
-	.D(n_1605),
-	.Q(\fifo_buffer[196] [8]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[197][0]  (
-	.CLK(CTS_17),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[197] [0]),
-	.RESET_B(FE_OFN55_system_rst_ni),
-	.SCD(\fifo_buffer[197] [0]),
-	.SCE(n_278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[197][1]  (
-	.CLK(CTS_17),
-	.D(n_1604),
-	.Q(\fifo_buffer[197] [1]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[197][2]  (
-	.CLK(CTS_11),
-	.D(n_1603),
-	.Q(\fifo_buffer[197] [2]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[197][3]  (
-	.CLK(CTS_17),
-	.D(n_1602),
-	.Q(\fifo_buffer[197] [3]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[197][4]  (
-	.CLK(CTS_11),
-	.D(n_1601),
-	.Q(\fifo_buffer[197] [4]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[197][5]  (
-	.CLK(CTS_17),
-	.D(n_1600),
-	.Q(\fifo_buffer[197] [5]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[197][6]  (
-	.CLK(CTS_17),
-	.D(n_1599),
-	.Q(\fifo_buffer[197] [6]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[197][7]  (
-	.CLK(CTS_17),
-	.D(n_1598),
-	.Q(\fifo_buffer[197] [7]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[197][8]  (
-	.CLK(CTS_17),
-	.D(n_1597),
-	.Q(\fifo_buffer[197] [8]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[198][0]  (
-	.CLK(CTS_28),
-	.D(FE_OFN1404_n_11),
-	.Q(\fifo_buffer[198] [0]),
-	.RESET_B(FE_OFN98_system_rst_ni),
-	.SCD(\fifo_buffer[198] [0]),
-	.SCE(n_276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[198][1]  (
-	.CLK(CTS_28),
-	.D(n_1570),
-	.Q(\fifo_buffer[198] [1]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[198][2]  (
-	.CLK(CTS_27),
-	.D(n_1596),
-	.Q(\fifo_buffer[198] [2]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[198][3]  (
-	.CLK(CTS_28),
-	.D(n_1772),
-	.Q(\fifo_buffer[198] [3]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[198][4]  (
-	.CLK(CTS_28),
-	.D(n_1750),
-	.Q(\fifo_buffer[198] [4]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[198][5]  (
-	.CLK(CTS_28),
-	.D(n_1593),
-	.Q(\fifo_buffer[198] [5]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[198][6]  (
-	.CLK(CTS_28),
-	.D(n_1592),
-	.Q(\fifo_buffer[198] [6]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[198][7]  (
-	.CLK(CTS_28),
-	.D(n_1591),
-	.Q(\fifo_buffer[198] [7]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[198][8]  (
-	.CLK(CTS_28),
-	.D(n_1590),
-	.Q(\fifo_buffer[198] [8]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[199][0]  (
-	.CLK(CTS_27),
-	.D(\fifo_buffer[199] [0]),
-	.Q(\fifo_buffer[199] [0]),
-	.RESET_B(FE_OFN98_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[199][1]  (
-	.CLK(CTS_28),
-	.D(n_1528),
-	.Q(\fifo_buffer[199] [1]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[199][2]  (
-	.CLK(CTS_27),
-	.D(n_1429),
-	.Q(\fifo_buffer[199] [2]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[199][3]  (
-	.CLK(CTS_28),
-	.D(n_1589),
-	.Q(\fifo_buffer[199] [3]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[199][4]  (
-	.CLK(CTS_28),
-	.D(n_1588),
-	.Q(\fifo_buffer[199] [4]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[199][5]  (
-	.CLK(CTS_28),
-	.D(n_1587),
-	.Q(\fifo_buffer[199] [5]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[199][6]  (
-	.CLK(CTS_28),
-	.D(n_1579),
-	.Q(\fifo_buffer[199] [6]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[199][7]  (
-	.CLK(CTS_28),
-	.D(n_1580),
-	.Q(\fifo_buffer[199] [7]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[199][8]  (
-	.CLK(CTS_28),
-	.D(n_1585),
-	.Q(\fifo_buffer[199] [8]),
-	.RESET_B(FE_OFN33_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[200][0]  (
-	.CLK(CTS_16),
-	.D(n_11),
-	.Q(\fifo_buffer[200] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(\fifo_buffer[200] [0]),
-	.SCE(n_373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[200][1]  (
-	.CLK(CTS_21),
-	.D(n_1619),
-	.Q(\fifo_buffer[200] [1]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[200][2]  (
-	.CLK(CTS_21),
-	.D(n_1584),
-	.Q(\fifo_buffer[200] [2]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[200][3]  (
-	.CLK(CTS_21),
-	.D(n_1583),
-	.Q(\fifo_buffer[200] [3]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[200][4]  (
-	.CLK(CTS_21),
-	.D(n_1642),
-	.Q(\fifo_buffer[200] [4]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[200][5]  (
-	.CLK(CTS_21),
-	.D(n_1582),
-	.Q(\fifo_buffer[200] [5]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[200][6]  (
-	.CLK(CTS_21),
-	.D(n_1707),
-	.Q(\fifo_buffer[200] [6]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[200][7]  (
-	.CLK(CTS_21),
-	.D(n_1581),
-	.Q(\fifo_buffer[200] [7]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[200][8]  (
-	.CLK(CTS_21),
-	.D(n_1780),
-	.Q(\fifo_buffer[200] [8]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[201][0]  (
-	.CLK(CTS_16),
-	.D(n_11),
-	.Q(\fifo_buffer[201] [0]),
-	.RESET_B(FE_OFN52_system_rst_ni),
-	.SCD(\fifo_buffer[201] [0]),
-	.SCE(n_311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[201][1]  (
-	.CLK(CTS_21),
-	.D(n_1578),
-	.Q(\fifo_buffer[201] [1]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[201][2]  (
-	.CLK(CTS_21),
-	.D(n_1577),
-	.Q(\fifo_buffer[201] [2]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[201][3]  (
-	.CLK(CTS_16),
-	.D(n_1576),
-	.Q(\fifo_buffer[201] [3]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[201][4]  (
-	.CLK(CTS_16),
-	.D(n_1575),
-	.Q(\fifo_buffer[201] [4]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[201][5]  (
-	.CLK(CTS_16),
-	.D(n_1574),
-	.Q(\fifo_buffer[201] [5]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[201][6]  (
-	.CLK(CTS_14),
-	.D(n_1573),
-	.Q(\fifo_buffer[201] [6]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[201][7]  (
-	.CLK(CTS_16),
-	.D(n_1793),
-	.Q(\fifo_buffer[201] [7]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[201][8]  (
-	.CLK(CTS_16),
-	.D(n_1572),
-	.Q(\fifo_buffer[201] [8]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[202][0]  (
-	.CLK(CTS_22),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[202] [0]),
-	.RESET_B(FE_OFN131_system_rst_ni),
-	.SCD(\fifo_buffer[202] [0]),
-	.SCE(n_297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[202][1]  (
-	.CLK(CTS_22),
-	.D(n_1571),
-	.Q(\fifo_buffer[202] [1]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[202][2]  (
-	.CLK(CTS_12),
-	.D(n_1934),
-	.Q(\fifo_buffer[202] [2]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[202][3]  (
-	.CLK(CTS_22),
-	.D(n_1935),
-	.Q(\fifo_buffer[202] [3]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[202][4]  (
-	.CLK(CTS_22),
-	.D(n_1927),
-	.Q(\fifo_buffer[202] [4]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[202][5]  (
-	.CLK(CTS_12),
-	.D(n_1923),
-	.Q(\fifo_buffer[202] [5]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[202][6]  (
-	.CLK(CTS_12),
-	.D(n_1919),
-	.Q(\fifo_buffer[202] [6]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[202][7]  (
-	.CLK(CTS_22),
-	.D(n_1569),
-	.Q(\fifo_buffer[202] [7]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[202][8]  (
-	.CLK(CTS_22),
-	.D(n_1913),
-	.Q(\fifo_buffer[202] [8]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[203][0]  (
-	.CLK(CTS_11),
-	.D(\fifo_buffer[203] [0]),
-	.Q(\fifo_buffer[203] [0]),
-	.RESET_B(FE_OFN131_system_rst_ni),
-	.SCD(FE_OFN1400_n_11),
-	.SCE(n_309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[203][1]  (
-	.CLK(CTS_11),
-	.D(n_1568),
-	.Q(\fifo_buffer[203] [1]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[203][2]  (
-	.CLK(CTS_12),
-	.D(n_1567),
-	.Q(\fifo_buffer[203] [2]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[203][3]  (
-	.CLK(CTS_22),
-	.D(n_1566),
-	.Q(\fifo_buffer[203] [3]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[203][4]  (
-	.CLK(CTS_11),
-	.D(n_1813),
-	.Q(\fifo_buffer[203] [4]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[203][5]  (
-	.CLK(CTS_12),
-	.D(n_1565),
-	.Q(\fifo_buffer[203] [5]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[203][6]  (
-	.CLK(CTS_12),
-	.D(n_1823),
-	.Q(\fifo_buffer[203] [6]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[203][7]  (
-	.CLK(CTS_22),
-	.D(n_1563),
-	.Q(\fifo_buffer[203] [7]),
-	.RESET_B(FE_OFN54_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[203][8]  (
-	.CLK(CTS_11),
-	.D(n_1841),
-	.Q(\fifo_buffer[203] [8]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[204][0]  (
-	.CLK(CTS_31),
-	.D(FE_OFN1401_n_11),
-	.Q(\fifo_buffer[204] [0]),
-	.RESET_B(FE_OFN46_system_rst_ni),
-	.SCD(\fifo_buffer[204] [0]),
-	.SCE(n_284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[204][1]  (
-	.CLK(CTS_4),
-	.D(n_1848),
-	.Q(\fifo_buffer[204] [1]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[204][2]  (
-	.CLK(CTS_25),
-	.D(n_1561),
-	.Q(\fifo_buffer[204] [2]),
-	.RESET_B(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[204][3]  (
-	.CLK(CTS_31),
-	.D(n_1859),
-	.Q(\fifo_buffer[204] [3]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[204][4]  (
-	.CLK(CTS_4),
-	.D(n_1560),
-	.Q(\fifo_buffer[204] [4]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[204][5]  (
-	.CLK(CTS_23),
-	.D(n_1546),
-	.Q(\fifo_buffer[204] [5]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[204][6]  (
-	.CLK(CTS_4),
-	.D(n_1558),
-	.Q(\fifo_buffer[204] [6]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[204][7]  (
-	.CLK(CTS_4),
-	.D(n_1176),
-	.Q(\fifo_buffer[204] [7]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[204][8]  (
-	.CLK(CTS_25),
-	.D(n_1557),
-	.Q(\fifo_buffer[204] [8]),
-	.RESET_B(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[205][0]  (
-	.CLK(CTS_31),
-	.D(\fifo_buffer[205] [0]),
-	.Q(\fifo_buffer[205] [0]),
-	.RESET_B(FE_OFN46_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[205][1]  (
-	.CLK(CTS_23),
-	.D(n_1235),
-	.Q(\fifo_buffer[205] [1]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[205][2]  (
-	.CLK(CTS_31),
-	.D(n_1556),
-	.Q(\fifo_buffer[205] [2]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[205][3]  (
-	.CLK(CTS_23),
-	.D(n_1555),
-	.Q(\fifo_buffer[205] [3]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[205][4]  (
-	.CLK(CTS_23),
-	.D(n_1554),
-	.Q(\fifo_buffer[205] [4]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[205][5]  (
-	.CLK(CTS_23),
-	.D(n_1553),
-	.Q(\fifo_buffer[205] [5]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[205][6]  (
-	.CLK(CTS_23),
-	.D(n_1180),
-	.Q(\fifo_buffer[205] [6]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[205][7]  (
-	.CLK(CTS_23),
-	.D(n_1551),
-	.Q(\fifo_buffer[205] [7]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[205][8]  (
-	.CLK(CTS_23),
-	.D(n_1550),
-	.Q(\fifo_buffer[205] [8]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[206][0]  (
-	.CLK(CTS_13),
-	.D(\fifo_buffer[206] [0]),
-	.Q(\fifo_buffer[206] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[206][1]  (
-	.CLK(CTS_13),
-	.D(n_1182),
-	.Q(\fifo_buffer[206] [1]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[206][2]  (
-	.CLK(CTS_13),
-	.D(n_1549),
-	.Q(\fifo_buffer[206] [2]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[206][3]  (
-	.CLK(CTS_19),
-	.D(n_1183),
-	.Q(\fifo_buffer[206] [3]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[206][4]  (
-	.CLK(CTS_13),
-	.D(n_1548),
-	.Q(\fifo_buffer[206] [4]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[206][5]  (
-	.CLK(CTS_13),
-	.D(n_1190),
-	.Q(\fifo_buffer[206] [5]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[206][6]  (
-	.CLK(CTS_13),
-	.D(n_1547),
-	.Q(\fifo_buffer[206] [6]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[206][7]  (
-	.CLK(CTS_13),
-	.D(n_1185),
-	.Q(\fifo_buffer[206] [7]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[206][8]  (
-	.CLK(CTS_18),
-	.D(n_1186),
-	.Q(\fifo_buffer[206] [8]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[207][0]  (
-	.CLK(CTS_13),
-	.D(\fifo_buffer[207] [0]),
-	.Q(\fifo_buffer[207] [0]),
-	.RESET_B(FE_OFN134_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[207][1]  (
-	.CLK(CTS_13),
-	.D(n_1187),
-	.Q(\fifo_buffer[207] [1]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[207][2]  (
-	.CLK(CTS_13),
-	.D(n_1545),
-	.Q(\fifo_buffer[207] [2]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[207][3]  (
-	.CLK(CTS_13),
-	.D(n_1544),
-	.Q(\fifo_buffer[207] [3]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[207][4]  (
-	.CLK(CTS_13),
-	.D(n_1543),
-	.Q(\fifo_buffer[207] [4]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[207][5]  (
-	.CLK(CTS_13),
-	.D(n_1542),
-	.Q(\fifo_buffer[207] [5]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[207][6]  (
-	.CLK(CTS_13),
-	.D(n_1194),
-	.Q(\fifo_buffer[207] [6]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[207][7]  (
-	.CLK(CTS_13),
-	.D(n_1541),
-	.Q(\fifo_buffer[207] [7]),
-	.RESET_B(FE_OFN134_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[207][8]  (
-	.CLK(CTS_13),
-	.D(n_1192),
-	.Q(\fifo_buffer[207] [8]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[208][0]  (
-	.CLK(CTS_16),
-	.D(n_11),
-	.Q(\fifo_buffer[208] [0]),
-	.RESET_B(FE_OFN124_system_rst_ni),
-	.SCD(\fifo_buffer[208] [0]),
-	.SCE(n_334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[208][1]  (
-	.CLK(CTS_16),
-	.D(n_1540),
-	.Q(\fifo_buffer[208] [1]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[208][2]  (
-	.CLK(CTS_15),
-	.D(n_1539),
-	.Q(\fifo_buffer[208] [2]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[208][3]  (
-	.CLK(CTS_14),
-	.D(n_1538),
-	.Q(\fifo_buffer[208] [3]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[208][4]  (
-	.CLK(CTS_15),
-	.D(n_1537),
-	.Q(\fifo_buffer[208] [4]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[208][5]  (
-	.CLK(CTS_14),
-	.D(n_1536),
-	.Q(\fifo_buffer[208] [5]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[208][6]  (
-	.CLK(CTS_15),
-	.D(n_1535),
-	.Q(\fifo_buffer[208] [6]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[208][7]  (
-	.CLK(CTS_14),
-	.D(n_1534),
-	.Q(\fifo_buffer[208] [7]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[208][8]  (
-	.CLK(CTS_14),
-	.D(n_1533),
-	.Q(\fifo_buffer[208] [8]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[209][0]  (
-	.CLK(CTS_16),
-	.D(\fifo_buffer[209] [0]),
-	.Q(\fifo_buffer[209] [0]),
-	.RESET_B(FE_OFN124_system_rst_ni),
-	.SCD(n_11),
-	.SCE(n_280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[209][1]  (
-	.CLK(CTS_16),
-	.D(n_1531),
-	.Q(\fifo_buffer[209] [1]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[209][2]  (
-	.CLK(CTS_14),
-	.D(n_1198),
-	.Q(\fifo_buffer[209] [2]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[209][3]  (
-	.CLK(CTS_14),
-	.D(n_1199),
-	.Q(\fifo_buffer[209] [3]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[209][4]  (
-	.CLK(CTS_16),
-	.D(n_1530),
-	.Q(\fifo_buffer[209] [4]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[209][5]  (
-	.CLK(CTS_14),
-	.D(n_1529),
-	.Q(\fifo_buffer[209] [5]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[209][6]  (
-	.CLK(CTS_16),
-	.D(n_1200),
-	.Q(\fifo_buffer[209] [6]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[209][7]  (
-	.CLK(CTS_14),
-	.D(n_1201),
-	.Q(\fifo_buffer[209] [7]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[209][8]  (
-	.CLK(CTS_16),
-	.D(n_1527),
-	.Q(\fifo_buffer[209] [8]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[210][0]  (
-	.CLK(CTS_7),
-	.D(\fifo_buffer[210] [0]),
-	.Q(\fifo_buffer[210] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(n_11),
-	.SCE(n_295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[210][1]  (
-	.CLK(CTS_7),
-	.D(n_1202),
-	.Q(\fifo_buffer[210] [1]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[210][2]  (
-	.CLK(CTS_7),
-	.D(n_1525),
-	.Q(\fifo_buffer[210] [2]),
-	.RESET_B(FE_OFN49_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[210][3]  (
-	.CLK(CTS_7),
-	.D(n_1524),
-	.Q(\fifo_buffer[210] [3]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[210][4]  (
-	.CLK(CTS_7),
-	.D(n_1523),
-	.Q(\fifo_buffer[210] [4]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[210][5]  (
-	.CLK(CTS_7),
-	.D(n_1522),
-	.Q(\fifo_buffer[210] [5]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[210][6]  (
-	.CLK(CTS_7),
-	.D(n_1521),
-	.Q(\fifo_buffer[210] [6]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[210][7]  (
-	.CLK(CTS_7),
-	.D(n_1520),
-	.Q(\fifo_buffer[210] [7]),
-	.RESET_B(FE_OFN49_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[210][8]  (
-	.CLK(CTS_7),
-	.D(n_1519),
-	.Q(\fifo_buffer[210] [8]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[211][0]  (
-	.CLK(CTS_1),
-	.D(\fifo_buffer[211] [0]),
-	.Q(\fifo_buffer[211] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(n_11),
-	.SCE(n_355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[211][1]  (
-	.CLK(CTS_23),
-	.D(n_1518),
-	.Q(\fifo_buffer[211] [1]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[211][2]  (
-	.CLK(CTS_7),
-	.D(n_1375),
-	.Q(\fifo_buffer[211] [2]),
-	.RESET_B(FE_OFN49_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[211][3]  (
-	.CLK(CTS_23),
-	.D(n_1517),
-	.Q(\fifo_buffer[211] [3]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[211][4]  (
-	.CLK(CTS_23),
-	.D(n_1292),
-	.Q(\fifo_buffer[211] [4]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[211][5]  (
-	.CLK(CTS_7),
-	.D(n_1516),
-	.Q(\fifo_buffer[211] [5]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[211][6]  (
-	.CLK(CTS_7),
-	.D(n_1328),
-	.Q(\fifo_buffer[211] [6]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[211][7]  (
-	.CLK(CTS_7),
-	.D(n_1346),
-	.Q(\fifo_buffer[211] [7]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[211][8]  (
-	.CLK(CTS_7),
-	.D(n_1353),
-	.Q(\fifo_buffer[211] [8]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[212][0]  (
-	.CLK(CTS_31),
-	.D(\fifo_buffer[212] [0]),
-	.Q(\fifo_buffer[212] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[212][1]  (
-	.CLK(CTS_17),
-	.D(n_1515),
-	.Q(\fifo_buffer[212] [1]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[212][2]  (
-	.CLK(CTS_10),
-	.D(n_1514),
-	.Q(\fifo_buffer[212] [2]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[212][3]  (
-	.CLK(CTS_17),
-	.D(n_1513),
-	.Q(\fifo_buffer[212] [3]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[212][4]  (
-	.CLK(CTS_17),
-	.D(n_1512),
-	.Q(\fifo_buffer[212] [4]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[212][5]  (
-	.CLK(CTS_17),
-	.D(n_1511),
-	.Q(\fifo_buffer[212] [5]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[212][6]  (
-	.CLK(CTS_17),
-	.D(n_1510),
-	.Q(\fifo_buffer[212] [6]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[212][7]  (
-	.CLK(CTS_17),
-	.D(n_1509),
-	.Q(\fifo_buffer[212] [7]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[212][8]  (
-	.CLK(CTS_18),
-	.D(n_1508),
-	.Q(\fifo_buffer[212] [8]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[213][0]  (
-	.CLK(CTS_31),
-	.D(\fifo_buffer[213] [0]),
-	.Q(\fifo_buffer[213] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[213][1]  (
-	.CLK(CTS_13),
-	.D(n_1461),
-	.Q(\fifo_buffer[213] [1]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[213][2]  (
-	.CLK(CTS_13),
-	.D(n_1481),
-	.Q(\fifo_buffer[213] [2]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[213][3]  (
-	.CLK(CTS_13),
-	.D(n_1506),
-	.Q(\fifo_buffer[213] [3]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[213][4]  (
-	.CLK(CTS_13),
-	.D(n_1497),
-	.Q(\fifo_buffer[213] [4]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[213][5]  (
-	.CLK(CTS_13),
-	.D(n_1505),
-	.Q(\fifo_buffer[213] [5]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[213][6]  (
-	.CLK(CTS_13),
-	.D(n_1504),
-	.Q(\fifo_buffer[213] [6]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[213][7]  (
-	.CLK(CTS_13),
-	.D(n_1526),
-	.Q(\fifo_buffer[213] [7]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[213][8]  (
-	.CLK(CTS_18),
-	.D(n_1502),
-	.Q(\fifo_buffer[213] [8]),
-	.RESET_B(FE_OFN62_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[214][0]  (
-	.CLK(CTS_27),
-	.D(\fifo_buffer[214] [0]),
-	.Q(\fifo_buffer[214] [0]),
-	.RESET_B(FE_OFN97_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[214][1]  (
-	.CLK(CTS_26),
-	.D(n_1501),
-	.Q(\fifo_buffer[214] [1]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[214][2]  (
-	.CLK(CTS_26),
-	.D(n_1500),
-	.Q(\fifo_buffer[214] [2]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[214][3]  (
-	.CLK(CTS_27),
-	.D(n_1609),
-	.Q(\fifo_buffer[214] [3]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[214][4]  (
-	.CLK(CTS_26),
-	.D(n_1499),
-	.Q(\fifo_buffer[214] [4]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[214][5]  (
-	.CLK(CTS_26),
-	.D(n_1498),
-	.Q(\fifo_buffer[214] [5]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[214][6]  (
-	.CLK(CTS_27),
-	.D(n_1496),
-	.Q(\fifo_buffer[214] [6]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[214][7]  (
-	.CLK(CTS_27),
-	.D(n_1660),
-	.Q(\fifo_buffer[214] [7]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[214][8]  (
-	.CLK(CTS_26),
-	.D(n_1495),
-	.Q(\fifo_buffer[214] [8]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[215][0]  (
-	.CLK(CTS_27),
-	.D(\fifo_buffer[215] [0]),
-	.Q(\fifo_buffer[215] [0]),
-	.RESET_B(FE_OFN97_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[215][1]  (
-	.CLK(CTS_26),
-	.D(n_1494),
-	.Q(\fifo_buffer[215] [1]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[215][2]  (
-	.CLK(CTS_26),
-	.D(n_1887),
-	.Q(\fifo_buffer[215] [2]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[215][3]  (
-	.CLK(CTS_26),
-	.D(n_1493),
-	.Q(\fifo_buffer[215] [3]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[215][4]  (
-	.CLK(CTS_26),
-	.D(n_1900),
-	.Q(\fifo_buffer[215] [4]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[215][5]  (
-	.CLK(CTS_26),
-	.D(n_1492),
-	.Q(\fifo_buffer[215] [5]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[215][6]  (
-	.CLK(CTS_27),
-	.D(n_1808),
-	.Q(\fifo_buffer[215] [6]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[215][7]  (
-	.CLK(CTS_27),
-	.D(n_1892),
-	.Q(\fifo_buffer[215] [7]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[215][8]  (
-	.CLK(CTS_26),
-	.D(n_1490),
-	.Q(\fifo_buffer[215] [8]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[216][0]  (
-	.CLK(CTS_22),
-	.D(\fifo_buffer[216] [0]),
-	.Q(\fifo_buffer[216] [0]),
-	.RESET_B(FE_OFN128_system_rst_ni),
-	.SCD(FE_OFN1402_n_11),
-	.SCE(n_282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[216][1]  (
-	.CLK(CTS_8),
-	.D(n_1489),
-	.Q(\fifo_buffer[216] [1]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[216][2]  (
-	.CLK(CTS_8),
-	.D(n_1488),
-	.Q(\fifo_buffer[216] [2]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[216][3]  (
-	.CLK(CTS_22),
-	.D(n_1487),
-	.Q(\fifo_buffer[216] [3]),
-	.RESET_B(FE_OFN52_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[216][4]  (
-	.CLK(CTS_8),
-	.D(n_1486),
-	.Q(\fifo_buffer[216] [4]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[216][5]  (
-	.CLK(CTS_8),
-	.D(n_1485),
-	.Q(\fifo_buffer[216] [5]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[216][6]  (
-	.CLK(CTS_22),
-	.D(n_1484),
-	.Q(\fifo_buffer[216] [6]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[216][7]  (
-	.CLK(CTS_22),
-	.D(n_1483),
-	.Q(\fifo_buffer[216] [7]),
-	.RESET_B(FE_OFN52_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[216][8]  (
-	.CLK(CTS_22),
-	.D(n_1482),
-	.Q(\fifo_buffer[216] [8]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[217][0]  (
-	.CLK(CTS_22),
-	.D(\fifo_buffer[217] [0]),
-	.Q(\fifo_buffer[217] [0]),
-	.RESET_B(FE_OFN128_system_rst_ni),
-	.SCD(FE_OFN1402_n_11),
-	.SCE(n_352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[217][1]  (
-	.CLK(CTS_16),
-	.D(n_1480),
-	.Q(\fifo_buffer[217] [1]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[217][2]  (
-	.CLK(CTS_8),
-	.D(n_1826),
-	.Q(\fifo_buffer[217] [2]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[217][3]  (
-	.CLK(CTS_16),
-	.D(n_1479),
-	.Q(\fifo_buffer[217] [3]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[217][4]  (
-	.CLK(CTS_16),
-	.D(n_1830),
-	.Q(\fifo_buffer[217] [4]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[217][5]  (
-	.CLK(CTS_22),
-	.D(n_1478),
-	.Q(\fifo_buffer[217] [5]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[217][6]  (
-	.CLK(CTS_22),
-	.D(n_1477),
-	.Q(\fifo_buffer[217] [6]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[217][7]  (
-	.CLK(CTS_16),
-	.D(n_1837),
-	.Q(\fifo_buffer[217] [7]),
-	.RESET_B(FE_OFN124_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[217][8]  (
-	.CLK(CTS_22),
-	.D(n_1475),
-	.Q(\fifo_buffer[217] [8]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[218][0]  (
-	.CLK(CTS_7),
-	.D(\fifo_buffer[218] [0]),
-	.Q(\fifo_buffer[218] [0]),
-	.RESET_B(FE_OFN47_system_rst_ni),
-	.SCD(FE_OFN1399_n_11),
-	.SCE(n_362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[218][1]  (
-	.CLK(CTS_5),
-	.D(n_1847),
-	.Q(\fifo_buffer[218] [1]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[218][2]  (
-	.CLK(clk_i_clone6),
-	.D(n_1474),
-	.Q(\fifo_buffer[218] [2]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[218][3]  (
-	.CLK(CTS_5),
-	.D(n_1473),
-	.Q(\fifo_buffer[218] [3]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[218][4]  (
-	.CLK(CTS_5),
-	.D(n_1472),
-	.Q(\fifo_buffer[218] [4]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[218][5]  (
-	.CLK(CTS_5),
-	.D(n_1855),
-	.Q(\fifo_buffer[218] [5]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[218][6]  (
-	.CLK(CTS_5),
-	.D(n_1471),
-	.Q(\fifo_buffer[218] [6]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[218][7]  (
-	.CLK(clk_i_clone6),
-	.D(n_1470),
-	.Q(\fifo_buffer[218] [7]),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[218][8]  (
-	.CLK(CTS_5),
-	.D(n_1469),
-	.Q(\fifo_buffer[218] [8]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[219][0]  (
-	.CLK(CTS_5),
-	.D(\fifo_buffer[219] [0]),
-	.Q(\fifo_buffer[219] [0]),
-	.RESET_B(FE_OFN47_system_rst_ni),
-	.SCD(FE_OFN1399_n_11),
-	.SCE(n_271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[219][1]  (
-	.CLK(CTS_5),
-	.D(n_1468),
-	.Q(\fifo_buffer[219] [1]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[219][2]  (
-	.CLK(clk_i_clone6),
-	.D(n_1872),
-	.Q(\fifo_buffer[219] [2]),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[219][3]  (
-	.CLK(CTS_5),
-	.D(n_1467),
-	.Q(\fifo_buffer[219] [3]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[219][4]  (
-	.CLK(CTS_5),
-	.D(n_1207),
-	.Q(\fifo_buffer[219] [4]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[219][5]  (
-	.CLK(CTS_5),
-	.D(n_1466),
-	.Q(\fifo_buffer[219] [5]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[219][6]  (
-	.CLK(CTS_5),
-	.D(n_1465),
-	.Q(\fifo_buffer[219] [6]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[219][7]  (
-	.CLK(clk_i_clone6),
-	.D(n_1206),
-	.Q(\fifo_buffer[219] [7]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[219][8]  (
-	.CLK(CTS_5),
-	.D(n_1216),
-	.Q(\fifo_buffer[219] [8]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[220][0]  (
-	.CLK(CTS_4),
-	.D(\fifo_buffer[220] [0]),
-	.Q(\fifo_buffer[220] [0]),
-	.RESET_B(FE_OFN99_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[220][1]  (
-	.CLK(CTS_4),
-	.D(n_1464),
-	.Q(\fifo_buffer[220] [1]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[220][2]  (
-	.CLK(clk_i_clone5),
-	.D(n_1463),
-	.Q(\fifo_buffer[220] [2]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[220][3]  (
-	.CLK(clk_i_clone5),
-	.D(n_1462),
-	.Q(\fifo_buffer[220] [3]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[220][4]  (
-	.CLK(clk_i_clone5),
-	.D(n_1460),
-	.Q(\fifo_buffer[220] [4]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[220][5]  (
-	.CLK(clk_i_clone5),
-	.D(n_1459),
-	.Q(\fifo_buffer[220] [5]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[220][6]  (
-	.CLK(clk_i_clone5),
-	.D(n_1458),
-	.Q(\fifo_buffer[220] [6]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[220][7]  (
-	.CLK(clk_i_clone5),
-	.D(n_1457),
-	.Q(\fifo_buffer[220] [7]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[220][8]  (
-	.CLK(clk_i_clone5),
-	.D(n_1456),
-	.Q(\fifo_buffer[220] [8]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[221][0]  (
-	.CLK(CTS_4),
-	.D(\fifo_buffer[221] [0]),
-	.Q(\fifo_buffer[221] [0]),
-	.RESET_B(FE_OFN99_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[221][1]  (
-	.CLK(CTS_4),
-	.D(n_1455),
-	.Q(\fifo_buffer[221] [1]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[221][2]  (
-	.CLK(clk_i_clone5),
-	.D(n_1454),
-	.Q(\fifo_buffer[221] [2]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[221][3]  (
-	.CLK(CTS_4),
-	.D(n_1453),
-	.Q(\fifo_buffer[221] [3]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[221][4]  (
-	.CLK(CTS_4),
-	.D(n_1246),
-	.Q(\fifo_buffer[221] [4]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[221][5]  (
-	.CLK(clk_i_clone5),
-	.D(n_1452),
-	.Q(\fifo_buffer[221] [5]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[221][6]  (
-	.CLK(CTS_4),
-	.D(n_1299),
-	.Q(\fifo_buffer[221] [6]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[221][7]  (
-	.CLK(clk_i_clone5),
-	.D(n_1382),
-	.Q(\fifo_buffer[221] [7]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[221][8]  (
-	.CLK(clk_i_clone5),
-	.D(n_1450),
-	.Q(\fifo_buffer[221] [8]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[222][0]  (
-	.CLK(CTS_30),
-	.D(\fifo_buffer[222] [0]),
-	.Q(\fifo_buffer[222] [0]),
-	.RESET_B(FE_OFN133_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[222][1]  (
-	.CLK(CTS_24),
-	.D(n_1449),
-	.Q(\fifo_buffer[222] [1]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[222][2]  (
-	.CLK(CTS_24),
-	.D(n_1448),
-	.Q(\fifo_buffer[222] [2]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[222][3]  (
-	.CLK(CTS_24),
-	.D(n_1447),
-	.Q(\fifo_buffer[222] [3]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[222][4]  (
-	.CLK(CTS_30),
-	.D(n_1446),
-	.Q(\fifo_buffer[222] [4]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[222][5]  (
-	.CLK(CTS_24),
-	.D(n_1444),
-	.Q(\fifo_buffer[222] [5]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[222][6]  (
-	.CLK(CTS_30),
-	.D(n_1443),
-	.Q(\fifo_buffer[222] [6]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[222][7]  (
-	.CLK(CTS_24),
-	.D(n_1251),
-	.Q(\fifo_buffer[222] [7]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[222][8]  (
-	.CLK(CTS_30),
-	.D(n_1442),
-	.Q(\fifo_buffer[222] [8]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[223][0]  (
-	.CLK(CTS_30),
-	.D(\fifo_buffer[223] [0]),
-	.Q(\fifo_buffer[223] [0]),
-	.RESET_B(FE_OFN133_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[223][1]  (
-	.CLK(CTS_24),
-	.D(n_1441),
-	.Q(\fifo_buffer[223] [1]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[223][2]  (
-	.CLK(CTS_24),
-	.D(n_1254),
-	.Q(\fifo_buffer[223] [2]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[223][3]  (
-	.CLK(CTS_30),
-	.D(n_1440),
-	.Q(\fifo_buffer[223] [3]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[223][4]  (
-	.CLK(CTS_24),
-	.D(n_1392),
-	.Q(\fifo_buffer[223] [4]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[223][5]  (
-	.CLK(CTS_24),
-	.D(n_1439),
-	.Q(\fifo_buffer[223] [5]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[223][6]  (
-	.CLK(CTS_30),
-	.D(n_1438),
-	.Q(\fifo_buffer[223] [6]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[223][7]  (
-	.CLK(CTS_25),
-	.D(n_1437),
-	.Q(\fifo_buffer[223] [7]),
-	.RESET_B(FE_OFN56_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[223][8]  (
-	.CLK(CTS_24),
-	.D(n_1236),
-	.Q(\fifo_buffer[223] [8]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[224][0]  (
-	.CLK(CTS_14),
-	.D(n_11),
-	.Q(\fifo_buffer[224] [0]),
-	.RESET_B(FE_OFN124_system_rst_ni),
-	.SCD(\fifo_buffer[224] [0]),
-	.SCE(n_329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[224][1]  (
-	.CLK(CTS_21),
-	.D(n_1252),
-	.Q(\fifo_buffer[224] [1]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[224][2]  (
-	.CLK(CTS_21),
-	.D(n_1435),
-	.Q(\fifo_buffer[224] [2]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[224][3]  (
-	.CLK(CTS_21),
-	.D(n_1434),
-	.Q(\fifo_buffer[224] [3]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[224][4]  (
-	.CLK(CTS_21),
-	.D(n_1433),
-	.Q(\fifo_buffer[224] [4]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[224][5]  (
-	.CLK(CTS_21),
-	.D(n_1432),
-	.Q(\fifo_buffer[224] [5]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[224][6]  (
-	.CLK(CTS_21),
-	.D(n_1431),
-	.Q(\fifo_buffer[224] [6]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[224][7]  (
-	.CLK(CTS_21),
-	.D(n_1430),
-	.Q(\fifo_buffer[224] [7]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[224][8]  (
-	.CLK(CTS_21),
-	.D(n_1397),
-	.Q(\fifo_buffer[224] [8]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[225][0]  (
-	.CLK(CTS_16),
-	.D(\fifo_buffer[225] [0]),
-	.Q(\fifo_buffer[225] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(FE_OFN1400_n_11),
-	.SCE(n_288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[225][1]  (
-	.CLK(CTS_21),
-	.D(n_1239),
-	.Q(\fifo_buffer[225] [1]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[225][2]  (
-	.CLK(CTS_16),
-	.D(n_1428),
-	.Q(\fifo_buffer[225] [2]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[225][3]  (
-	.CLK(CTS_21),
-	.D(n_1222),
-	.Q(\fifo_buffer[225] [3]),
-	.RESET_B(FE_OFN123_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[225][4]  (
-	.CLK(CTS_21),
-	.D(n_1427),
-	.Q(\fifo_buffer[225] [4]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[225][5]  (
-	.CLK(CTS_21),
-	.D(n_1396),
-	.Q(\fifo_buffer[225] [5]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[225][6]  (
-	.CLK(CTS_16),
-	.D(n_1425),
-	.Q(\fifo_buffer[225] [6]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[225][7]  (
-	.CLK(CTS_21),
-	.D(n_1197),
-	.Q(\fifo_buffer[225] [7]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[225][8]  (
-	.CLK(CTS_21),
-	.D(n_1411),
-	.Q(\fifo_buffer[225] [8]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[226][0]  (
-	.CLK(CTS_3),
-	.D(\fifo_buffer[226] [0]),
-	.Q(\fifo_buffer[226] [0]),
-	.RESET_B(FE_OFN119_system_rst_ni),
-	.SCD(FE_OFN1399_n_11),
-	.SCE(n_302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[226][1]  (
-	.CLK(CTS_5),
-	.D(n_1424),
-	.Q(\fifo_buffer[226] [1]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[226][2]  (
-	.CLK(CTS_6),
-	.D(n_1423),
-	.Q(\fifo_buffer[226] [2]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[226][3]  (
-	.CLK(CTS_5),
-	.D(n_1422),
-	.Q(\fifo_buffer[226] [3]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[226][4]  (
-	.CLK(CTS_6),
-	.D(n_1421),
-	.Q(\fifo_buffer[226] [4]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[226][5]  (
-	.CLK(CTS_5),
-	.D(n_1871),
-	.Q(\fifo_buffer[226] [5]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[226][6]  (
-	.CLK(CTS_5),
-	.D(n_1420),
-	.Q(\fifo_buffer[226] [6]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[226][7]  (
-	.CLK(CTS_6),
-	.D(n_1215),
-	.Q(\fifo_buffer[226] [7]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[226][8]  (
-	.CLK(CTS_6),
-	.D(n_1237),
-	.Q(\fifo_buffer[226] [8]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[227][0]  (
-	.CLK(CTS_3),
-	.D(\fifo_buffer[227] [0]),
-	.Q(\fifo_buffer[227] [0]),
-	.RESET_B(FE_OFN119_system_rst_ni),
-	.SCD(FE_OFN1399_n_11),
-	.SCE(n_360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[227][1]  (
-	.CLK(CTS_6),
-	.D(n_1274),
-	.Q(\fifo_buffer[227] [1]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[227][2]  (
-	.CLK(CTS_6),
-	.D(n_1284),
-	.Q(\fifo_buffer[227] [2]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[227][3]  (
-	.CLK(CTS_6),
-	.D(n_1876),
-	.Q(\fifo_buffer[227] [3]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[227][4]  (
-	.CLK(CTS_6),
-	.D(n_1238),
-	.Q(\fifo_buffer[227] [4]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[227][5]  (
-	.CLK(CTS_6),
-	.D(n_1241),
-	.Q(\fifo_buffer[227] [5]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[227][6]  (
-	.CLK(CTS_3),
-	.D(n_1419),
-	.Q(\fifo_buffer[227] [6]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[227][7]  (
-	.CLK(CTS_3),
-	.D(n_1213),
-	.Q(\fifo_buffer[227] [7]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[227][8]  (
-	.CLK(CTS_6),
-	.D(n_1865),
-	.Q(\fifo_buffer[227] [8]),
-	.RESET_B(FE_OFN111_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[228][0]  (
-	.CLK(CTS_17),
-	.D(\fifo_buffer[228] [0]),
-	.Q(\fifo_buffer[228] [0]),
-	.RESET_B(FE_OFN187_system_rst_ni),
-	.SCD(FE_OFN1400_n_11),
-	.SCE(n_327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[228][1]  (
-	.CLK(CTS_17),
-	.D(n_1417),
-	.Q(\fifo_buffer[228] [1]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[228][2]  (
-	.CLK(CTS_10),
-	.D(n_1209),
-	.Q(\fifo_buffer[228] [2]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[228][3]  (
-	.CLK(CTS_10),
-	.D(n_1416),
-	.Q(\fifo_buffer[228] [3]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[228][4]  (
-	.CLK(CTS_11),
-	.D(n_1415),
-	.Q(\fifo_buffer[228] [4]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[228][5]  (
-	.CLK(CTS_10),
-	.D(n_1414),
-	.Q(\fifo_buffer[228] [5]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[228][6]  (
-	.CLK(CTS_10),
-	.D(n_1220),
-	.Q(\fifo_buffer[228] [6]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[228][7]  (
-	.CLK(CTS_10),
-	.D(n_1413),
-	.Q(\fifo_buffer[228] [7]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[228][8]  (
-	.CLK(CTS_11),
-	.D(n_1256),
-	.Q(\fifo_buffer[228] [8]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[229][0]  (
-	.CLK(CTS_17),
-	.D(\fifo_buffer[229] [0]),
-	.Q(\fifo_buffer[229] [0]),
-	.RESET_B(FE_OFN187_system_rst_ni),
-	.SCD(FE_OFN1400_n_11),
-	.SCE(n_390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[229][1]  (
-	.CLK(CTS_10),
-	.D(n_1258),
-	.Q(\fifo_buffer[229] [1]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[229][2]  (
-	.CLK(CTS_10),
-	.D(n_1412),
-	.Q(\fifo_buffer[229] [2]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[229][3]  (
-	.CLK(CTS_10),
-	.D(n_1559),
-	.Q(\fifo_buffer[229] [3]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[229][4]  (
-	.CLK(CTS_11),
-	.D(n_1409),
-	.Q(\fifo_buffer[229] [4]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[229][5]  (
-	.CLK(CTS_10),
-	.D(n_1223),
-	.Q(\fifo_buffer[229] [5]),
-	.RESET_B(FE_OFN140_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[229][6]  (
-	.CLK(CTS_10),
-	.D(n_1408),
-	.Q(\fifo_buffer[229] [6]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[229][7]  (
-	.CLK(CTS_10),
-	.D(n_1262),
-	.Q(\fifo_buffer[229] [7]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[229][8]  (
-	.CLK(CTS_11),
-	.D(n_1564),
-	.Q(\fifo_buffer[229] [8]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[230][0]  (
-	.CLK(CTS_27),
-	.D(\fifo_buffer[230] [0]),
-	.Q(\fifo_buffer[230] [0]),
-	.RESET_B(FE_OFN98_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[230][1]  (
-	.CLK(CTS_28),
-	.D(n_1407),
-	.Q(\fifo_buffer[230] [1]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[230][2]  (
-	.CLK(CTS_28),
-	.D(n_1259),
-	.Q(\fifo_buffer[230] [2]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[230][3]  (
-	.CLK(CTS_28),
-	.D(n_1240),
-	.Q(\fifo_buffer[230] [3]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[230][4]  (
-	.CLK(CTS_28),
-	.D(n_1418),
-	.Q(\fifo_buffer[230] [4]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[230][5]  (
-	.CLK(CTS_28),
-	.D(n_1405),
-	.Q(\fifo_buffer[230] [5]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[230][6]  (
-	.CLK(CTS_28),
-	.D(n_1404),
-	.Q(\fifo_buffer[230] [6]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[230][7]  (
-	.CLK(CTS_27),
-	.D(n_1224),
-	.Q(\fifo_buffer[230] [7]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[230][8]  (
-	.CLK(CTS_28),
-	.D(n_1174),
-	.Q(\fifo_buffer[230] [8]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[231][0]  (
-	.CLK(CTS_27),
-	.D(\fifo_buffer[231] [0]),
-	.Q(\fifo_buffer[231] [0]),
-	.RESET_B(FE_OFN98_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[231][1]  (
-	.CLK(CTS_28),
-	.D(n_1245),
-	.Q(\fifo_buffer[231] [1]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[231][2]  (
-	.CLK(CTS_28),
-	.D(n_1426),
-	.Q(\fifo_buffer[231] [2]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[231][3]  (
-	.CLK(CTS_28),
-	.D(n_1870),
-	.Q(\fifo_buffer[231] [3]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[231][4]  (
-	.CLK(CTS_28),
-	.D(n_1249),
-	.Q(\fifo_buffer[231] [4]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[231][5]  (
-	.CLK(CTS_28),
-	.D(n_1221),
-	.Q(\fifo_buffer[231] [5]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[231][6]  (
-	.CLK(CTS_28),
-	.D(n_1866),
-	.Q(\fifo_buffer[231] [6]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[231][7]  (
-	.CLK(CTS_27),
-	.D(n_1869),
-	.Q(\fifo_buffer[231] [7]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[231][8]  (
-	.CLK(CTS_28),
-	.D(n_1218),
-	.Q(\fifo_buffer[231] [8]),
-	.RESET_B(FE_OFN98_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[232][0]  (
-	.CLK(CTS_1),
-	.D(\fifo_buffer[232] [0]),
-	.Q(\fifo_buffer[232] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(n_11),
-	.SCE(n_290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[232][1]  (
-	.CLK(CTS_1),
-	.D(n_1403),
-	.Q(\fifo_buffer[232] [1]),
-	.RESET_B(FE_OFN120_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[232][2]  (
-	.CLK(CTS_1),
-	.D(n_1402),
-	.Q(\fifo_buffer[232] [2]),
-	.RESET_B(FE_OFN120_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[232][3]  (
-	.CLK(CTS_1),
-	.D(n_1401),
-	.Q(\fifo_buffer[232] [3]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[232][4]  (
-	.CLK(CTS_1),
-	.D(n_1257),
-	.Q(\fifo_buffer[232] [4]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[232][5]  (
-	.CLK(CTS_1),
-	.D(n_1400),
-	.Q(\fifo_buffer[232] [5]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[232][6]  (
-	.CLK(CTS_1),
-	.D(n_1399),
-	.Q(\fifo_buffer[232] [6]),
-	.RESET_B(FE_OFN120_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[232][7]  (
-	.CLK(CTS_1),
-	.D(n_1398),
-	.Q(\fifo_buffer[232] [7]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[232][8]  (
-	.CLK(CTS_1),
-	.D(n_1242),
-	.Q(\fifo_buffer[232] [8]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[233][0]  (
-	.CLK(CTS_16),
-	.D(\fifo_buffer[233] [0]),
-	.Q(\fifo_buffer[233] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(n_11),
-	.SCE(n_358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[233][1]  (
-	.CLK(CTS_1),
-	.D(n_1227),
-	.Q(\fifo_buffer[233] [1]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[233][2]  (
-	.CLK(CTS_1),
-	.D(n_1208),
-	.Q(\fifo_buffer[233] [2]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[233][3]  (
-	.CLK(CTS_1),
-	.D(n_1875),
-	.Q(\fifo_buffer[233] [3]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[233][4]  (
-	.CLK(CTS_1),
-	.D(n_1308),
-	.Q(\fifo_buffer[233] [4]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[233][5]  (
-	.CLK(CTS_16),
-	.D(n_1210),
-	.Q(\fifo_buffer[233] [5]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[233][6]  (
-	.CLK(CTS_1),
-	.D(n_1395),
-	.Q(\fifo_buffer[233] [6]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[233][7]  (
-	.CLK(CTS_1),
-	.D(n_1211),
-	.Q(\fifo_buffer[233] [7]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[233][8]  (
-	.CLK(CTS_16),
-	.D(n_1243),
-	.Q(\fifo_buffer[233] [8]),
-	.RESET_B(FE_OFN121_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[234][0]  (
-	.CLK(CTS_11),
-	.D(\fifo_buffer[234] [0]),
-	.Q(\fifo_buffer[234] [0]),
-	.RESET_B(FE_OFN131_system_rst_ni),
-	.SCD(FE_OFN1400_n_11),
-	.SCE(n_368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[234][1]  (
-	.CLK(CTS_11),
-	.D(n_1393),
-	.Q(\fifo_buffer[234] [1]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[234][2]  (
-	.CLK(CTS_11),
-	.D(n_1175),
-	.Q(\fifo_buffer[234] [2]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[234][3]  (
-	.CLK(CTS_11),
-	.D(n_1230),
-	.Q(\fifo_buffer[234] [3]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[234][4]  (
-	.CLK(CTS_11),
-	.D(n_1317),
-	.Q(\fifo_buffer[234] [4]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[234][5]  (
-	.CLK(CTS_11),
-	.D(n_1322),
-	.Q(\fifo_buffer[234] [5]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[234][6]  (
-	.CLK(CTS_11),
-	.D(n_1244),
-	.Q(\fifo_buffer[234] [6]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[234][7]  (
-	.CLK(CTS_12),
-	.D(n_1253),
-	.Q(\fifo_buffer[234] [7]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[234][8]  (
-	.CLK(CTS_11),
-	.D(n_1391),
-	.Q(\fifo_buffer[234] [8]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[235][0]  (
-	.CLK(CTS_11),
-	.D(\fifo_buffer[235] [0]),
-	.Q(\fifo_buffer[235] [0]),
-	.RESET_B(FE_OFN131_system_rst_ni),
-	.SCD(FE_OFN1400_n_11),
-	.SCE(n_274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[235][1]  (
-	.CLK(CTS_11),
-	.D(n_1594),
-	.Q(\fifo_buffer[235] [1]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[235][2]  (
-	.CLK(CTS_11),
-	.D(n_1390),
-	.Q(\fifo_buffer[235] [2]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[235][3]  (
-	.CLK(CTS_11),
-	.D(n_1229),
-	.Q(\fifo_buffer[235] [3]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[235][4]  (
-	.CLK(CTS_11),
-	.D(n_1225),
-	.Q(\fifo_buffer[235] [4]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[235][5]  (
-	.CLK(CTS_11),
-	.D(n_1228),
-	.Q(\fifo_buffer[235] [5]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[235][6]  (
-	.CLK(CTS_11),
-	.D(n_1389),
-	.Q(\fifo_buffer[235] [6]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[235][7]  (
-	.CLK(CTS_22),
-	.D(n_1445),
-	.Q(\fifo_buffer[235] [7]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[235][8]  (
-	.CLK(CTS_11),
-	.D(n_1436),
-	.Q(\fifo_buffer[235] [8]),
-	.RESET_B(FE_OFN130_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[236][0]  (
-	.CLK(CTS_31),
-	.D(\fifo_buffer[236] [0]),
-	.Q(\fifo_buffer[236] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[236][1]  (
-	.CLK(CTS_31),
-	.D(n_1776),
-	.Q(\fifo_buffer[236] [1]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[236][2]  (
-	.CLK(CTS_31),
-	.D(n_1874),
-	.Q(\fifo_buffer[236] [2]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[236][3]  (
-	.CLK(CTS_31),
-	.D(n_1388),
-	.Q(\fifo_buffer[236] [3]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[236][4]  (
-	.CLK(CTS_31),
-	.D(n_1178),
-	.Q(\fifo_buffer[236] [4]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[236][5]  (
-	.CLK(CTS_31),
-	.D(n_1387),
-	.Q(\fifo_buffer[236] [5]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[236][6]  (
-	.CLK(CTS_31),
-	.D(n_1226),
-	.Q(\fifo_buffer[236] [6]),
-	.RESET_B(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[236][7]  (
-	.CLK(CTS_31),
-	.D(n_1386),
-	.Q(\fifo_buffer[236] [7]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[236][8]  (
-	.CLK(CTS_31),
-	.D(n_1586),
-	.Q(\fifo_buffer[236] [8]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[237][0]  (
-	.CLK(CTS_31),
-	.D(\fifo_buffer[237] [0]),
-	.Q(\fifo_buffer[237] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[237][1]  (
-	.CLK(CTS_31),
-	.D(n_1232),
-	.Q(\fifo_buffer[237] [1]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[237][2]  (
-	.CLK(CTS_31),
-	.D(n_1385),
-	.Q(\fifo_buffer[237] [2]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[237][3]  (
-	.CLK(CTS_31),
-	.D(n_1181),
-	.Q(\fifo_buffer[237] [3]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[237][4]  (
-	.CLK(CTS_31),
-	.D(n_1384),
-	.Q(\fifo_buffer[237] [4]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[237][5]  (
-	.CLK(CTS_31),
-	.D(n_1231),
-	.Q(\fifo_buffer[237] [5]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[237][6]  (
-	.CLK(CTS_31),
-	.D(n_1383),
-	.Q(\fifo_buffer[237] [6]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[237][7]  (
-	.CLK(CTS_31),
-	.D(n_1212),
-	.Q(\fifo_buffer[237] [7]),
-	.RESET_B(FE_OFN58_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[237][8]  (
-	.CLK(CTS_31),
-	.D(n_1214),
-	.Q(\fifo_buffer[237] [8]),
-	.RESET_B(FE_OFN46_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[238][0]  (
-	.CLK(CTS_13),
-	.D(\fifo_buffer[238] [0]),
-	.Q(\fifo_buffer[238] [0]),
-	.RESET_B(FE_OFN61_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[238][1]  (
-	.CLK(CTS_19),
-	.D(n_1217),
-	.Q(\fifo_buffer[238] [1]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[238][2]  (
-	.CLK(CTS_19),
-	.D(n_1381),
-	.Q(\fifo_buffer[238] [2]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[238][3]  (
-	.CLK(CTS_19),
-	.D(n_1219),
-	.Q(\fifo_buffer[238] [3]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[238][4]  (
-	.CLK(CTS_19),
-	.D(n_1380),
-	.Q(\fifo_buffer[238] [4]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[238][5]  (
-	.CLK(CTS_19),
-	.D(n_1234),
-	.Q(\fifo_buffer[238] [5]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[238][6]  (
-	.CLK(CTS_19),
-	.D(n_1233),
-	.Q(\fifo_buffer[238] [6]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[238][7]  (
-	.CLK(CTS_19),
-	.D(n_1248),
-	.Q(\fifo_buffer[238] [7]),
-	.RESET_B(FE_OFN136_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[238][8]  (
-	.CLK(CTS_19),
-	.D(n_1379),
-	.Q(\fifo_buffer[238] [8]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[239][0]  (
-	.CLK(CTS_13),
-	.D(\fifo_buffer[239] [0]),
-	.Q(\fifo_buffer[239] [0]),
-	.RESET_B(FE_OFN134_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[239][1]  (
-	.CLK(CTS_13),
-	.D(n_1247),
-	.Q(\fifo_buffer[239] [1]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[239][2]  (
-	.CLK(CTS_28),
-	.D(n_1378),
-	.Q(\fifo_buffer[239] [2]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[239][3]  (
-	.CLK(CTS_28),
-	.D(n_1250),
-	.Q(\fifo_buffer[239] [3]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[239][4]  (
-	.CLK(CTS_13),
-	.D(n_1377),
-	.Q(\fifo_buffer[239] [4]),
-	.RESET_B(FE_OFN60_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[239][5]  (
-	.CLK(CTS_28),
-	.D(n_1260),
-	.Q(\fifo_buffer[239] [5]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[239][6]  (
-	.CLK(CTS_13),
-	.D(n_1261),
-	.Q(\fifo_buffer[239] [6]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[239][7]  (
-	.CLK(CTS_13),
-	.D(n_1376),
-	.Q(\fifo_buffer[239] [7]),
-	.RESET_B(FE_OFN61_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[239][8]  (
-	.CLK(CTS_28),
-	.D(n_1341),
-	.Q(\fifo_buffer[239] [8]),
-	.RESET_B(FE_OFN135_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[240][0]  (
-	.CLK(CTS_14),
-	.D(n_11),
-	.Q(\fifo_buffer[240] [0]),
-	.RESET_B(FE_OFN124_system_rst_ni),
-	.SCD(\fifo_buffer[240] [0]),
-	.SCE(n_389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[240][1]  (
-	.CLK(CTS_14),
-	.D(n_1374),
-	.Q(\fifo_buffer[240] [1]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[240][2]  (
-	.CLK(CTS_15),
-	.D(n_1361),
-	.Q(\fifo_buffer[240] [2]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[240][3]  (
-	.CLK(CTS_15),
-	.D(n_1373),
-	.Q(\fifo_buffer[240] [3]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[240][4]  (
-	.CLK(CTS_15),
-	.D(n_1451),
-	.Q(\fifo_buffer[240] [4]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[240][5]  (
-	.CLK(CTS_14),
-	.D(n_1372),
-	.Q(\fifo_buffer[240] [5]),
-	.RESET_B(FE_OFN122_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[240][6]  (
-	.CLK(CTS_15),
-	.D(n_1371),
-	.Q(\fifo_buffer[240] [6]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[240][7]  (
-	.CLK(CTS_15),
-	.D(n_1370),
-	.Q(\fifo_buffer[240] [7]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[240][8]  (
-	.CLK(CTS_15),
-	.D(n_1476),
-	.Q(\fifo_buffer[240] [8]),
-	.RESET_B(FE_OFN127_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[241][0]  (
-	.CLK(CTS_22),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[241] [0]),
-	.RESET_B(FE_OFN54_system_rst_ni),
-	.SCD(\fifo_buffer[241] [0]),
-	.SCE(n_324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[241][1]  (
-	.CLK(CTS_14),
-	.D(n_1369),
-	.Q(\fifo_buffer[241] [1]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[241][2]  (
-	.CLK(CTS_8),
-	.D(n_1503),
-	.Q(\fifo_buffer[241] [2]),
-	.RESET_B(FE_OFN125_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[241][3]  (
-	.CLK(CTS_15),
-	.D(n_1368),
-	.Q(\fifo_buffer[241] [3]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[241][4]  (
-	.CLK(CTS_15),
-	.D(n_1367),
-	.Q(\fifo_buffer[241] [4]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[241][5]  (
-	.CLK(CTS_14),
-	.D(n_1366),
-	.Q(\fifo_buffer[241] [5]),
-	.RESET_B(FE_OFN51_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[241][6]  (
-	.CLK(CTS_15),
-	.D(n_1365),
-	.Q(\fifo_buffer[241] [6]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[241][7]  (
-	.CLK(CTS_15),
-	.D(n_1364),
-	.Q(\fifo_buffer[241] [7]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[241][8]  (
-	.CLK(CTS_15),
-	.D(n_1363),
-	.Q(\fifo_buffer[241] [8]),
-	.RESET_B(FE_OFN53_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[242][0]  (
-	.CLK(CTS_7),
-	.D(n_11),
-	.Q(\fifo_buffer[242] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(\fifo_buffer[242] [0]),
-	.SCE(n_308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[242][1]  (
-	.CLK(CTS_7),
-	.D(n_1532),
-	.Q(\fifo_buffer[242] [1]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[242][2]  (
-	.CLK(CTS_7),
-	.D(n_1360),
-	.Q(\fifo_buffer[242] [2]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[242][3]  (
-	.CLK(CTS_7),
-	.D(n_1359),
-	.Q(\fifo_buffer[242] [3]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[242][4]  (
-	.CLK(CTS_7),
-	.D(n_1358),
-	.Q(\fifo_buffer[242] [4]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[242][5]  (
-	.CLK(CTS_23),
-	.D(n_1357),
-	.Q(\fifo_buffer[242] [5]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[242][6]  (
-	.CLK(CTS_7),
-	.D(n_1179),
-	.Q(\fifo_buffer[242] [6]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[242][7]  (
-	.CLK(CTS_23),
-	.D(n_1356),
-	.Q(\fifo_buffer[242] [7]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[242][8]  (
-	.CLK(CTS_23),
-	.D(n_1833),
-	.Q(\fifo_buffer[242] [8]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[243][0]  (
-	.CLK(CTS_7),
-	.D(\fifo_buffer[243] [0]),
-	.Q(\fifo_buffer[243] [0]),
-	.RESET_B(FE_OFN50_system_rst_ni),
-	.SCD(n_11),
-	.SCE(n_298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[243][1]  (
-	.CLK(CTS_7),
-	.D(n_1355),
-	.Q(\fifo_buffer[243] [1]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[243][2]  (
-	.CLK(CTS_7),
-	.D(n_1354),
-	.Q(\fifo_buffer[243] [2]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[243][3]  (
-	.CLK(CTS_7),
-	.D(n_1195),
-	.Q(\fifo_buffer[243] [3]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[243][4]  (
-	.CLK(CTS_7),
-	.D(n_1352),
-	.Q(\fifo_buffer[243] [4]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[243][5]  (
-	.CLK(CTS_7),
-	.D(n_1351),
-	.Q(\fifo_buffer[243] [5]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[243][6]  (
-	.CLK(CTS_7),
-	.D(n_1350),
-	.Q(\fifo_buffer[243] [6]),
-	.RESET_B(FE_OFN119_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[243][7]  (
-	.CLK(CTS_7),
-	.D(n_1552),
-	.Q(\fifo_buffer[243] [7]),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[243][8]  (
-	.CLK(CTS_7),
-	.D(n_1349),
-	.Q(\fifo_buffer[243] [8]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[244][0]  (
-	.CLK(CTS_17),
-	.D(FE_OFN1400_n_11),
-	.Q(\fifo_buffer[244] [0]),
-	.RESET_B(FE_OFN187_system_rst_ni),
-	.SCD(\fifo_buffer[244] [0]),
-	.SCE(n_286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[244][1]  (
-	.CLK(CTS_10),
-	.D(n_1348),
-	.Q(\fifo_buffer[244] [1]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[244][2]  (
-	.CLK(CTS_17),
-	.D(n_1694),
-	.Q(\fifo_buffer[244] [2]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[244][3]  (
-	.CLK(CTS_10),
-	.D(n_1347),
-	.Q(\fifo_buffer[244] [3]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[244][4]  (
-	.CLK(CTS_10),
-	.D(n_1867),
-	.Q(\fifo_buffer[244] [4]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[244][5]  (
-	.CLK(CTS_10),
-	.D(n_1345),
-	.Q(\fifo_buffer[244] [5]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[244][6]  (
-	.CLK(CTS_10),
-	.D(n_1205),
-	.Q(\fifo_buffer[244] [6]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[244][7]  (
-	.CLK(CTS_10),
-	.D(n_1344),
-	.Q(\fifo_buffer[244] [7]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[244][8]  (
-	.CLK(CTS_17),
-	.D(n_1868),
-	.Q(\fifo_buffer[244] [8]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[245][0]  (
-	.CLK(CTS_17),
-	.D(\fifo_buffer[245] [0]),
-	.Q(\fifo_buffer[245] [0]),
-	.RESET_B(FE_OFN58_system_rst_ni),
-	.SCD(FE_OFN1401_n_11),
-	.SCE(n_330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[245][1]  (
-	.CLK(CTS_10),
-	.D(n_1343),
-	.Q(\fifo_buffer[245] [1]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[245][2]  (
-	.CLK(CTS_10),
-	.D(n_1342),
-	.Q(\fifo_buffer[245] [2]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[245][3]  (
-	.CLK(CTS_10),
-	.D(n_1340),
-	.Q(\fifo_buffer[245] [3]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[245][4]  (
-	.CLK(CTS_10),
-	.D(n_1301),
-	.Q(\fifo_buffer[245] [4]),
-	.RESET_B(FE_OFN187_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[245][5]  (
-	.CLK(CTS_10),
-	.D(n_1339),
-	.Q(\fifo_buffer[245] [5]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[245][6]  (
-	.CLK(CTS_10),
-	.D(n_1338),
-	.Q(\fifo_buffer[245] [6]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[245][7]  (
-	.CLK(CTS_10),
-	.D(n_1337),
-	.Q(\fifo_buffer[245] [7]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[245][8]  (
-	.CLK(CTS_17),
-	.D(n_1336),
-	.Q(\fifo_buffer[245] [8]),
-	.RESET_B(FE_OFN137_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[246][0]  (
-	.CLK(CTS_27),
-	.D(\fifo_buffer[246] [0]),
-	.Q(\fifo_buffer[246] [0]),
-	.RESET_B(FE_OFN97_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[246][1]  (
-	.CLK(CTS_26),
-	.D(n_1334),
-	.Q(\fifo_buffer[246] [1]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[246][2]  (
-	.CLK(CTS_24),
-	.D(n_1873),
-	.Q(\fifo_buffer[246] [2]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[246][3]  (
-	.CLK(CTS_26),
-	.D(n_1333),
-	.Q(\fifo_buffer[246] [3]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[246][4]  (
-	.CLK(CTS_26),
-	.D(n_1332),
-	.Q(\fifo_buffer[246] [4]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[246][5]  (
-	.CLK(CTS_26),
-	.D(n_1331),
-	.Q(\fifo_buffer[246] [5]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[246][6]  (
-	.CLK(CTS_26),
-	.D(n_1305),
-	.Q(\fifo_buffer[246] [6]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[246][7]  (
-	.CLK(CTS_26),
-	.D(n_1330),
-	.Q(\fifo_buffer[246] [7]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[246][8]  (
-	.CLK(CTS_26),
-	.D(n_1255),
-	.Q(\fifo_buffer[246] [8]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[247][0]  (
-	.CLK(CTS_30),
-	.D(\fifo_buffer[247] [0]),
-	.Q(\fifo_buffer[247] [0]),
-	.RESET_B(FE_OFN97_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[247][1]  (
-	.CLK(CTS_26),
-	.D(n_1406),
-	.Q(\fifo_buffer[247] [1]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[247][2]  (
-	.CLK(CTS_26),
-	.D(n_1329),
-	.Q(\fifo_buffer[247] [2]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[247][3]  (
-	.CLK(CTS_26),
-	.D(n_1327),
-	.Q(\fifo_buffer[247] [3]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[247][4]  (
-	.CLK(CTS_26),
-	.D(n_1326),
-	.Q(\fifo_buffer[247] [4]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[247][5]  (
-	.CLK(CTS_26),
-	.D(n_1325),
-	.Q(\fifo_buffer[247] [5]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[247][6]  (
-	.CLK(CTS_26),
-	.D(n_1324),
-	.Q(\fifo_buffer[247] [6]),
-	.RESET_B(FE_OFN96_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[247][7]  (
-	.CLK(CTS_26),
-	.D(n_1323),
-	.Q(\fifo_buffer[247] [7]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[247][8]  (
-	.CLK(CTS_26),
-	.D(n_1321),
-	.Q(\fifo_buffer[247] [8]),
-	.RESET_B(FE_OFN32_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[248][0]  (
-	.CLK(CTS_12),
-	.D(FE_OFN1402_n_11),
-	.Q(\fifo_buffer[248] [0]),
-	.RESET_B(FE_OFN128_system_rst_ni),
-	.SCD(\fifo_buffer[248] [0]),
-	.SCE(n_323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[248][1]  (
-	.CLK(CTS_12),
-	.D(n_1320),
-	.Q(\fifo_buffer[248] [1]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[248][2]  (
-	.CLK(CTS_8),
-	.D(n_1177),
-	.Q(\fifo_buffer[248] [2]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[248][3]  (
-	.CLK(CTS_8),
-	.D(n_1319),
-	.Q(\fifo_buffer[248] [3]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[248][4]  (
-	.CLK(CTS_12),
-	.D(n_1817),
-	.Q(\fifo_buffer[248] [4]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[248][5]  (
-	.CLK(CTS_8),
-	.D(n_1318),
-	.Q(\fifo_buffer[248] [5]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[248][6]  (
-	.CLK(CTS_8),
-	.D(n_1196),
-	.Q(\fifo_buffer[248] [6]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[248][7]  (
-	.CLK(CTS_8),
-	.D(n_1316),
-	.Q(\fifo_buffer[248] [7]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[248][8]  (
-	.CLK(CTS_12),
-	.D(n_1394),
-	.Q(\fifo_buffer[248] [8]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[249][0]  (
-	.CLK(CTS_22),
-	.D(\fifo_buffer[249] [0]),
-	.Q(\fifo_buffer[249] [0]),
-	.RESET_B(FE_OFN54_system_rst_ni),
-	.SCD(FE_OFN1400_n_11),
-	.SCE(n_293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[249][1]  (
-	.CLK(CTS_12),
-	.D(n_1315),
-	.Q(\fifo_buffer[249] [1]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[249][2]  (
-	.CLK(CTS_12),
-	.D(n_1314),
-	.Q(\fifo_buffer[249] [2]),
-	.RESET_B(FE_OFN128_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[249][3]  (
-	.CLK(CTS_8),
-	.D(n_1313),
-	.Q(\fifo_buffer[249] [3]),
-	.RESET_B(FE_OFN126_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[249][4]  (
-	.CLK(CTS_8),
-	.D(n_1312),
-	.Q(\fifo_buffer[249] [4]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[249][5]  (
-	.CLK(CTS_8),
-	.D(n_1311),
-	.Q(\fifo_buffer[249] [5]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[249][6]  (
-	.CLK(CTS_8),
-	.D(n_1310),
-	.Q(\fifo_buffer[249] [6]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[249][7]  (
-	.CLK(CTS_8),
-	.D(n_1309),
-	.Q(\fifo_buffer[249] [7]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[249][8]  (
-	.CLK(CTS_8),
-	.D(n_1307),
-	.Q(\fifo_buffer[249] [8]),
-	.RESET_B(FE_OFN63_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[250][0]  (
-	.CLK(CTS_4),
-	.D(\fifo_buffer[250] [0]),
-	.Q(\fifo_buffer[250] [0]),
-	.RESET_B(FE_OFN47_system_rst_ni),
-	.SCD(FE_OFN1399_n_11),
-	.SCE(n_306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[250][1]  (
-	.CLK(CTS_4),
-	.D(n_1306),
-	.Q(\fifo_buffer[250] [1]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[250][2]  (
-	.CLK(CTS_4),
-	.D(n_1362),
-	.Q(\fifo_buffer[250] [2]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[250][3]  (
-	.CLK(CTS_4),
-	.D(n_1304),
-	.Q(\fifo_buffer[250] [3]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[250][4]  (
-	.CLK(CTS_5),
-	.D(n_1410),
-	.Q(\fifo_buffer[250] [4]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[250][5]  (
-	.CLK(CTS_4),
-	.D(n_1303),
-	.Q(\fifo_buffer[250] [5]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[250][6]  (
-	.CLK(CTS_5),
-	.D(n_1302),
-	.Q(\fifo_buffer[250] [6]),
-	.RESET_B(FE_OFN104_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[250][7]  (
-	.CLK(CTS_4),
-	.D(n_1300),
-	.Q(\fifo_buffer[250] [7]),
-	.RESET_B(FE_OFN47_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[250][8]  (
-	.CLK(CTS_4),
-	.D(n_1562),
-	.Q(\fifo_buffer[250] [8]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[251][0]  (
-	.CLK(CTS_23),
-	.D(\fifo_buffer[251] [0]),
-	.Q(\fifo_buffer[251] [0]),
-	.RESET_B(FE_OFN107_system_rst_ni),
-	.SCD(FE_OFN1399_n_11),
-	.SCE(n_365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[251][1]  (
-	.CLK(clk_i_clone5),
-	.D(n_1298),
-	.Q(\fifo_buffer[251] [1]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[251][2]  (
-	.CLK(CTS_4),
-	.D(n_1297),
-	.Q(\fifo_buffer[251] [2]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[251][3]  (
-	.CLK(CTS_4),
-	.D(n_1825),
-	.Q(\fifo_buffer[251] [3]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[251][4]  (
-	.CLK(clk_i_clone5),
-	.D(n_1296),
-	.Q(\fifo_buffer[251] [4]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[251][5]  (
-	.CLK(CTS_4),
-	.D(n_1295),
-	.Q(\fifo_buffer[251] [5]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[251][6]  (
-	.CLK(clk_i_clone5),
-	.D(n_1294),
-	.Q(\fifo_buffer[251] [6]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[251][7]  (
-	.CLK(CTS_4),
-	.D(n_1184),
-	.Q(\fifo_buffer[251] [7]),
-	.RESET_B(FE_OFN102_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[251][8]  (
-	.CLK(clk_i_clone5),
-	.D(n_1293),
-	.Q(\fifo_buffer[251] [8]),
-	.RESET_B(FE_OFN44_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[252][0]  (
-	.CLK(CTS_25),
-	.D(\fifo_buffer[252] [0]),
-	.Q(\fifo_buffer[252] [0]),
-	.RESET_B(FE_OFN99_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[252][1]  (
-	.CLK(CTS_4),
-	.D(n_1291),
-	.Q(\fifo_buffer[252] [1]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[252][2]  (
-	.CLK(CTS_25),
-	.D(n_1188),
-	.Q(\fifo_buffer[252] [2]),
-	.RESET_B(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[252][3]  (
-	.CLK(CTS_4),
-	.D(n_1290),
-	.Q(\fifo_buffer[252] [3]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[252][4]  (
-	.CLK(clk_i_clone1),
-	.D(n_1289),
-	.Q(\fifo_buffer[252] [4]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[252][5]  (
-	.CLK(CTS_25),
-	.D(n_1288),
-	.Q(\fifo_buffer[252] [5]),
-	.RESET_B(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[252][6]  (
-	.CLK(clk_i_clone1),
-	.D(n_1191),
-	.Q(\fifo_buffer[252] [6]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[252][7]  (
-	.CLK(clk_i_clone5),
-	.D(n_1287),
-	.Q(\fifo_buffer[252] [7]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[252][8]  (
-	.CLK(CTS_25),
-	.D(n_1193),
-	.Q(\fifo_buffer[252] [8]),
-	.RESET_B(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[253][0]  (
-	.CLK(CTS_25),
-	.D(\fifo_buffer[253] [0]),
-	.Q(\fifo_buffer[253] [0]),
-	.RESET_B(FE_OFN99_system_rst_ni),
-	.SCD(FE_OFN1405_n_11),
-	.SCE(n_387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[253][1]  (
-	.CLK(CTS_25),
-	.D(n_1286),
-	.Q(\fifo_buffer[253] [1]),
-	.RESET_B(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[253][2]  (
-	.CLK(CTS_4),
-	.D(n_1285),
-	.Q(\fifo_buffer[253] [2]),
-	.RESET_B(FE_OFN42_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[253][3]  (
-	.CLK(clk_i_clone5),
-	.D(n_1283),
-	.Q(\fifo_buffer[253] [3]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[253][4]  (
-	.CLK(clk_i_clone5),
-	.D(n_1282),
-	.Q(\fifo_buffer[253] [4]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[253][5]  (
-	.CLK(clk_i_clone1),
-	.D(n_1281),
-	.Q(\fifo_buffer[253] [5]),
-	.RESET_B(FE_OFN39_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[253][6]  (
-	.CLK(clk_i_clone1),
-	.D(n_1280),
-	.Q(\fifo_buffer[253] [6]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[253][7]  (
-	.CLK(clk_i_clone1),
-	.D(n_1279),
-	.Q(\fifo_buffer[253] [7]),
-	.RESET_B(FE_OFN4_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[253][8]  (
-	.CLK(CTS_25),
-	.D(n_1278),
-	.Q(\fifo_buffer[253] [8]),
-	.RESET_B(FE_OFN99_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[254][0]  (
-	.CLK(CTS_30),
-	.D(\fifo_buffer[254] [0]),
-	.Q(\fifo_buffer[254] [0]),
-	.RESET_B(FE_OFN133_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[254][1]  (
-	.CLK(CTS_24),
-	.D(n_1277),
-	.Q(\fifo_buffer[254] [1]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[254][2]  (
-	.CLK(CTS_24),
-	.D(n_1276),
-	.Q(\fifo_buffer[254] [2]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[254][3]  (
-	.CLK(CTS_24),
-	.D(n_1275),
-	.Q(\fifo_buffer[254] [3]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[254][4]  (
-	.CLK(CTS_24),
-	.D(n_1203),
-	.Q(\fifo_buffer[254] [4]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[254][5]  (
-	.CLK(CTS_24),
-	.D(n_1273),
-	.Q(\fifo_buffer[254] [5]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[254][6]  (
-	.CLK(CTS_24),
-	.D(n_1204),
-	.Q(\fifo_buffer[254] [6]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[254][7]  (
-	.CLK(CTS_24),
-	.D(n_1272),
-	.Q(\fifo_buffer[254] [7]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[254][8]  (
-	.CLK(CTS_24),
-	.D(n_1271),
-	.Q(\fifo_buffer[254] [8]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \fifo_buffer_reg[255][0]  (
-	.CLK(CTS_30),
-	.D(\fifo_buffer[255] [0]),
-	.Q(\fifo_buffer[255] [0]),
-	.RESET_B(FE_OFN133_system_rst_ni),
-	.SCD(FE_OFN1404_n_11),
-	.SCE(n_344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[255][1]  (
-	.CLK(CTS_24),
-	.D(n_1270),
-	.Q(\fifo_buffer[255] [1]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[255][2]  (
-	.CLK(CTS_24),
-	.D(n_1269),
-	.Q(\fifo_buffer[255] [2]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[255][3]  (
-	.CLK(CTS_30),
-	.D(n_1268),
-	.Q(\fifo_buffer[255] [3]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[255][4]  (
-	.CLK(CTS_24),
-	.D(n_1267),
-	.Q(\fifo_buffer[255] [4]),
-	.RESET_B(FE_OFN97_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[255][5]  (
-	.CLK(CTS_24),
-	.D(n_1266),
-	.Q(\fifo_buffer[255] [5]),
-	.RESET_B(FE_OFN132_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[255][6]  (
-	.CLK(CTS_30),
-	.D(n_1265),
-	.Q(\fifo_buffer[255] [6]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[255][7]  (
-	.CLK(CTS_30),
-	.D(n_1264),
-	.Q(\fifo_buffer[255] [7]),
-	.RESET_B(FE_OFN133_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \fifo_buffer_reg[255][8]  (
-	.CLK(CTS_24),
-	.D(n_1263),
-	.Q(\fifo_buffer[255] [8]),
-	.RESET_B(FE_OFN57_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \raddr_reg[1]  (
-	.CLK(CTS_23),
-	.D(n_3232),
-	.Q(raddr[1]),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \waddr_reg[1]  (
-	.CLK(CTS_11),
-	.D(n_414),
-	.Q(waddr[1]),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \waddr_reg[5]  (
-	.CLK(CTS_23),
-	.D(n_3743),
-	.Q(waddr[5]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \waddr_reg[8]  (
-	.CLK(CTS_23),
-	.D(n_3920),
-	.Q(waddr[8]),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g186600 (
-	.A(FE_OFN1069_u_uart_u_uart_core_tx_fifo_reset),
-	.B(n_3934),
-	.Y(n_3935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g186602 (
-	.A1_N(raddr[7]),
-	.A2_N(n_3931),
-	.B1(raddr[7]),
-	.B2(n_3931),
-	.Y(n_3934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g186603 (
-	.A(FE_OFN1069_u_uart_u_uart_core_tx_fifo_reset),
-	.B(n_3932),
-	.Y(n_3933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g186605 (
-	.A1(raddr[6]),
-	.A2(n_3928),
-	.B1(n_3931),
-	.Y(n_3932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g186606 (
-	.A(n_3928),
-	.B(raddr[6]),
-	.Y(n_3931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g186607 (
-	.A(FE_OFN1069_u_uart_u_uart_core_tx_fifo_reset),
-	.B(n_3929),
-	.C(n_3928),
-	.Y(n_3930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g186609 (
-	.A(raddr[5]),
-	.B(n_3925),
-	.Y(n_3929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g186610 (
-	.A(FE_OFN1069_u_uart_u_uart_core_tx_fifo_reset),
-	.B(n_3926),
-	.C(n_3925),
-	.Y(n_3927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g186611 (
-	.A(n_3925),
-	.B(raddr[5]),
-	.X(n_3928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g186613 (
-	.A(raddr[4]),
-	.B(n_3922),
-	.Y(n_3926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g186614 (
-	.A(FE_OFN1069_u_uart_u_uart_core_tx_fifo_reset),
-	.B(n_3923),
-	.C(n_3922),
-	.Y(n_3924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g186615 (
-	.A(n_3922),
-	.B(raddr[4]),
-	.X(n_3925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g186618 (
-	.A(raddr[3]),
-	.B(n_3366),
-	.Y(n_3923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g186620 (
-	.A(FE_OFN1069_u_uart_u_uart_core_tx_fifo_reset),
-	.B(n_3302),
-	.C(n_3366),
-	.Y(n_3921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g186621 (
-	.A(n_3366),
-	.B(raddr[3]),
-	.X(n_3922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g186911 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_3455),
-	.Y(n_3920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187892 (
-	.A1(n_845),
-	.A2(n_36),
-	.B1(n_2410),
-	.B2(\fifo_buffer[1] [4]),
-	.X(n_3919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187893 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_857),
-	.B1(n_3235),
-	.Y(n_3918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187894 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_857),
-	.B1(n_3236),
-	.Y(n_3917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187895 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_877),
-	.B1(n_3237),
-	.Y(n_3916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187896 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_877),
-	.B1(n_3238),
-	.Y(n_3915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187897 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_877),
-	.B1(n_3239),
-	.Y(n_3914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187898 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_877),
-	.B1(n_3240),
-	.Y(n_3913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187899 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_877),
-	.B1(n_3241),
-	.Y(n_3912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187900 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_877),
-	.B1(n_3242),
-	.Y(n_3911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187901 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_877),
-	.B1(n_3243),
-	.Y(n_3910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187902 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_877),
-	.B1(n_3244),
-	.Y(n_3909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187903 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_847),
-	.B1(n_3247),
-	.Y(n_3908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187904 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_847),
-	.B1(n_3245),
-	.Y(n_3907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187905 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_847),
-	.B1(n_3246),
-	.Y(n_3906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187906 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_847),
-	.B1(n_3248),
-	.Y(n_3905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187907 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_847),
-	.B1(n_3249),
-	.Y(n_3904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187908 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_847),
-	.B1(n_3250),
-	.Y(n_3903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187909 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_847),
-	.B1(n_3251),
-	.Y(n_3902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187910 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_847),
-	.B1(n_3252),
-	.Y(n_3901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187911 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_848),
-	.B1(n_3253),
-	.Y(n_3900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187912 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_848),
-	.B1(n_3254),
-	.Y(n_3899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187913 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_848),
-	.B1(n_3255),
-	.Y(n_3898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187914 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_848),
-	.B1(n_3256),
-	.Y(n_3897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187915 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_848),
-	.B1(n_3257),
-	.Y(n_3896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187916 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_848),
-	.B1(n_3258),
-	.Y(n_3895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187917 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_848),
-	.B1(n_3259),
-	.Y(n_3894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187918 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_848),
-	.B1(n_3260),
-	.Y(n_3893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g187919 (
-	.A1_N(\fifo_buffer[40] [8]),
-	.A2_N(n_2378),
-	.B1(FE_OFN1613_n_49),
-	.B2(n_850),
-	.Y(n_3892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g187920 (
-	.A1_N(\fifo_buffer[40] [7]),
-	.A2_N(n_2378),
-	.B1(FE_OFN1643_n_47),
-	.B2(n_850),
-	.Y(n_3891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g187921 (
-	.A1_N(\fifo_buffer[40] [6]),
-	.A2_N(n_2378),
-	.B1(FE_OFN1634_n_41),
-	.B2(n_850),
-	.Y(n_3890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g187922 (
-	.A1_N(\fifo_buffer[40] [5]),
-	.A2_N(n_2378),
-	.B1(FE_OFN1638_n_43),
-	.B2(n_850),
-	.Y(n_3889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g187923 (
-	.A1_N(\fifo_buffer[143] [8]),
-	.A2_N(n_2462),
-	.B1(FE_OFN1612_n_49),
-	.B2(n_871),
-	.Y(n_3888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g187924 (
-	.A1_N(\fifo_buffer[40] [4]),
-	.A2_N(n_2378),
-	.B1(FE_OFN1607_n_37),
-	.B2(n_850),
-	.Y(n_3887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g187925 (
-	.A1_N(\fifo_buffer[143] [7]),
-	.A2_N(n_2462),
-	.B1(FE_OFN1641_n_47),
-	.B2(n_871),
-	.Y(n_3886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g187926 (
-	.A1_N(\fifo_buffer[143] [6]),
-	.A2_N(n_2462),
-	.B1(FE_OFN1633_n_41),
-	.B2(n_871),
-	.Y(n_3885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g187927 (
-	.A1_N(\fifo_buffer[143] [5]),
-	.A2_N(n_2462),
-	.B1(FE_OFN1636_n_43),
-	.B2(n_871),
-	.Y(n_3884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g187928 (
-	.A1_N(\fifo_buffer[40] [3]),
-	.A2_N(n_2378),
-	.B1(FE_OFN1630_n_39),
-	.B2(n_850),
-	.Y(n_3883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g187929 (
-	.A1_N(\fifo_buffer[143] [4]),
-	.A2_N(n_2462),
-	.B1(FE_OFN1608_n_37),
-	.B2(n_871),
-	.Y(n_3882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g187930 (
-	.A1_N(\fifo_buffer[143] [3]),
-	.A2_N(n_2462),
-	.B1(FE_OFN1631_n_39),
-	.B2(n_871),
-	.Y(n_3881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g187931 (
-	.A1_N(\fifo_buffer[40] [2]),
-	.A2_N(n_2378),
-	.B1(FE_OFN1610_n_45),
-	.B2(n_850),
-	.Y(n_3880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g187932 (
-	.A1_N(\fifo_buffer[143] [2]),
-	.A2_N(n_2462),
-	.B1(FE_OFN1611_n_45),
-	.B2(n_871),
-	.Y(n_3879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g187933 (
-	.A1_N(\fifo_buffer[40] [1]),
-	.A2_N(n_2378),
-	.B1(FE_OFN1626_n_24),
-	.B2(n_850),
-	.Y(n_3878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g187934 (
-	.A1_N(\fifo_buffer[143] [1]),
-	.A2_N(n_2462),
-	.B1(FE_OFN1628_n_24),
-	.B2(n_871),
-	.Y(n_3877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187935 (
-	.A1(n_869),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_2438),
-	.B2(\fifo_buffer[142] [8]),
-	.X(n_3876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187936 (
-	.A1(n_869),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_2438),
-	.B2(\fifo_buffer[142] [7]),
-	.X(n_3875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187937 (
-	.A1(n_869),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_2438),
-	.B2(\fifo_buffer[142] [6]),
-	.X(n_3874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187938 (
-	.A1(n_869),
-	.A2(n_42),
-	.B1(n_2438),
-	.B2(\fifo_buffer[142] [5]),
-	.X(n_3873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187939 (
-	.A1(n_49),
-	.A2(n_882),
-	.B1(n_3261),
-	.Y(n_3872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187940 (
-	.A1(n_869),
-	.A2(n_36),
-	.B1(n_2438),
-	.B2(\fifo_buffer[142] [4]),
-	.X(n_3871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187941 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_882),
-	.B1(n_3262),
-	.Y(n_3870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187942 (
-	.A1(n_869),
-	.A2(FE_OFN1653_n_38),
-	.B1(n_2438),
-	.B2(\fifo_buffer[142] [3]),
-	.X(n_3869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187943 (
-	.A1(n_869),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_2438),
-	.B2(\fifo_buffer[142] [2]),
-	.X(n_3868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187944 (
-	.A1(n_869),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_2438),
-	.B2(\fifo_buffer[142] [1]),
-	.X(n_3867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187945 (
-	.A1(n_41),
-	.A2(n_882),
-	.B1(n_3263),
-	.Y(n_3866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187946 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_882),
-	.B1(n_3266),
-	.Y(n_3865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187947 (
-	.A1(n_863),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_2424),
-	.B2(\fifo_buffer[141] [8]),
-	.X(n_3864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187948 (
-	.A1(n_43),
-	.A2(n_882),
-	.B1(n_3264),
-	.Y(n_3863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187949 (
-	.A1(n_863),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_2424),
-	.B2(\fifo_buffer[141] [7]),
-	.X(n_3862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187950 (
-	.A1(n_863),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_2424),
-	.B2(\fifo_buffer[141] [6]),
-	.X(n_3861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187951 (
-	.A1(n_863),
-	.A2(n_42),
-	.B1(n_2424),
-	.B2(\fifo_buffer[141] [5]),
-	.X(n_3860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187952 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_882),
-	.B1(n_3265),
-	.Y(n_3859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187953 (
-	.A1(n_863),
-	.A2(n_36),
-	.B1(n_2424),
-	.B2(\fifo_buffer[141] [4]),
-	.X(n_3858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187954 (
-	.A1(n_863),
-	.A2(FE_OFN1653_n_38),
-	.B1(n_2424),
-	.B2(\fifo_buffer[141] [3]),
-	.X(n_3857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187955 (
-	.A1(n_45),
-	.A2(n_882),
-	.B1(n_3267),
-	.Y(n_3856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187956 (
-	.A1(n_863),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_2424),
-	.B2(\fifo_buffer[141] [2]),
-	.X(n_3855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187957 (
-	.A1(n_863),
-	.A2(n_23),
-	.B1(n_2424),
-	.B2(\fifo_buffer[141] [1]),
-	.X(n_3854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187958 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_882),
-	.B1(n_3268),
-	.Y(n_3853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187959 (
-	.A1(n_864),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_2399),
-	.B2(\fifo_buffer[140] [8]),
-	.X(n_3852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187960 (
-	.A1(n_864),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_2399),
-	.B2(\fifo_buffer[140] [7]),
-	.X(n_3851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187961 (
-	.A1(n_49),
-	.A2(n_860),
-	.B1(n_3269),
-	.Y(n_3850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187962 (
-	.A1(n_864),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_2399),
-	.B2(\fifo_buffer[140] [6]),
-	.X(n_3849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187963 (
-	.A1(n_864),
-	.A2(n_42),
-	.B1(n_2399),
-	.B2(\fifo_buffer[140] [5]),
-	.X(n_3848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187964 (
-	.A1(n_864),
-	.A2(n_36),
-	.B1(n_2399),
-	.B2(\fifo_buffer[140] [4]),
-	.X(n_3847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187965 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_860),
-	.B1(n_3270),
-	.Y(n_3846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187966 (
-	.A1(n_864),
-	.A2(FE_OFN1653_n_38),
-	.B1(n_2399),
-	.B2(\fifo_buffer[140] [3]),
-	.X(n_3845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187967 (
-	.A1(n_864),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_2399),
-	.B2(\fifo_buffer[140] [2]),
-	.X(n_3844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187968 (
-	.A1(n_864),
-	.A2(n_23),
-	.B1(n_2399),
-	.B2(\fifo_buffer[140] [1]),
-	.X(n_3843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187969 (
-	.A1(n_41),
-	.A2(n_860),
-	.B1(n_3271),
-	.Y(n_3842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187970 (
-	.A1(n_43),
-	.A2(n_860),
-	.B1(n_3273),
-	.Y(n_3841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187971 (
-	.A1(n_865),
-	.A2(n_48),
-	.B1(n_2418),
-	.B2(\fifo_buffer[139] [8]),
-	.X(n_3840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187972 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_860),
-	.B1(n_3272),
-	.Y(n_3839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187973 (
-	.A1(n_865),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_2418),
-	.B2(\fifo_buffer[139] [7]),
-	.X(n_3838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187974 (
-	.A1(n_865),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_2418),
-	.B2(\fifo_buffer[139] [6]),
-	.X(n_3837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187975 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_860),
-	.B1(n_3274),
-	.Y(n_3836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187976 (
-	.A1(n_865),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_2418),
-	.B2(\fifo_buffer[139] [5]),
-	.X(n_3835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187977 (
-	.A1(n_865),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_2418),
-	.B2(\fifo_buffer[139] [4]),
-	.X(n_3834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187978 (
-	.A1(n_865),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2418),
-	.B2(\fifo_buffer[139] [3]),
-	.X(n_3833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187979 (
-	.A1(n_45),
-	.A2(n_860),
-	.B1(n_3275),
-	.Y(n_3832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187980 (
-	.A1(n_865),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_2418),
-	.B2(\fifo_buffer[139] [2]),
-	.X(n_3831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187981 (
-	.A1(n_865),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_2418),
-	.B2(\fifo_buffer[139] [1]),
-	.X(n_3830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187982 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_860),
-	.B1(n_3276),
-	.Y(n_3829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187983 (
-	.A1(n_875),
-	.A2(n_48),
-	.B1(n_2396),
-	.B2(\fifo_buffer[138] [8]),
-	.X(n_3828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187984 (
-	.A1(n_875),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_2396),
-	.B2(\fifo_buffer[138] [7]),
-	.X(n_3827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187985 (
-	.A1(n_875),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_2396),
-	.B2(\fifo_buffer[138] [6]),
-	.X(n_3826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187986 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_858),
-	.B1(n_3277),
-	.Y(n_3825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187987 (
-	.A1(n_875),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_2396),
-	.B2(\fifo_buffer[138] [5]),
-	.X(n_3824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187988 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_858),
-	.B1(n_3280),
-	.Y(n_3823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187989 (
-	.A1(n_875),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_2396),
-	.B2(\fifo_buffer[138] [4]),
-	.X(n_3822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187990 (
-	.A1(n_875),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2396),
-	.B2(\fifo_buffer[138] [3]),
-	.X(n_3821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187991 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_858),
-	.B1(n_3278),
-	.Y(n_3820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187992 (
-	.A1(n_875),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_2396),
-	.B2(\fifo_buffer[138] [2]),
-	.X(n_3819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187993 (
-	.A1(n_875),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_2396),
-	.B2(\fifo_buffer[138] [1]),
-	.X(n_3818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187994 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_858),
-	.B1(n_3281),
-	.Y(n_3817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187995 (
-	.A1(n_878),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_2392),
-	.B2(\fifo_buffer[137] [8]),
-	.X(n_3816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187996 (
-	.A1(n_878),
-	.A2(n_46),
-	.B1(n_2392),
-	.B2(\fifo_buffer[137] [7]),
-	.X(n_3815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187997 (
-	.A1(n_878),
-	.A2(n_40),
-	.B1(n_2392),
-	.B2(\fifo_buffer[137] [6]),
-	.X(n_3814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g187998 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_858),
-	.B1(n_3282),
-	.Y(n_3813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g187999 (
-	.A1(n_878),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_2392),
-	.B2(\fifo_buffer[137] [5]),
-	.X(n_3812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188000 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_858),
-	.B1(n_3283),
-	.Y(n_3811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188001 (
-	.A1(n_878),
-	.A2(n_36),
-	.B1(n_2392),
-	.B2(\fifo_buffer[137] [4]),
-	.X(n_3810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188002 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_858),
-	.B1(n_3284),
-	.Y(n_3809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188003 (
-	.A1(n_878),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2392),
-	.B2(\fifo_buffer[137] [3]),
-	.X(n_3808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188004 (
-	.A1(n_878),
-	.A2(n_44),
-	.B1(n_2392),
-	.B2(\fifo_buffer[137] [2]),
-	.X(n_3807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188005 (
-	.A1(n_878),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_2392),
-	.B2(\fifo_buffer[137] [1]),
-	.X(n_3806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188006 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_858),
-	.B1(n_3285),
-	.Y(n_3805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188007 (
-	.A1(n_874),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_2381),
-	.B2(\fifo_buffer[136] [8]),
-	.X(n_3804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188008 (
-	.A1(n_874),
-	.A2(n_46),
-	.B1(n_2381),
-	.B2(\fifo_buffer[136] [7]),
-	.X(n_3803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188009 (
-	.A1(n_874),
-	.A2(n_40),
-	.B1(n_2381),
-	.B2(\fifo_buffer[136] [6]),
-	.X(n_3802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188010 (
-	.A1(n_874),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_2381),
-	.B2(\fifo_buffer[136] [5]),
-	.X(n_3801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188011 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_886),
-	.B1(n_3287),
-	.Y(n_3800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188012 (
-	.A1(n_874),
-	.A2(n_36),
-	.B1(n_2381),
-	.B2(\fifo_buffer[136] [4]),
-	.X(n_3799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188013 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_886),
-	.B1(n_3286),
-	.Y(n_3798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188014 (
-	.A1(n_874),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2381),
-	.B2(\fifo_buffer[136] [3]),
-	.X(n_3797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188015 (
-	.A1(n_874),
-	.A2(n_44),
-	.B1(n_2381),
-	.B2(\fifo_buffer[136] [2]),
-	.X(n_3796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188016 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_886),
-	.B1(n_3288),
-	.Y(n_3795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188017 (
-	.A1(n_874),
-	.A2(n_23),
-	.B1(n_2381),
-	.B2(\fifo_buffer[136] [1]),
-	.X(n_3794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188018 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_886),
-	.B1(n_3289),
-	.Y(n_3793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188019 (
-	.A1(n_866),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_2434),
-	.B2(\fifo_buffer[135] [8]),
-	.X(n_3792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188020 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_886),
-	.B1(n_3290),
-	.Y(n_3791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188021 (
-	.A1(n_866),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_2434),
-	.B2(\fifo_buffer[135] [7]),
-	.X(n_3790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188022 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_886),
-	.B1(n_3291),
-	.Y(n_3789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188023 (
-	.A1(n_866),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_2434),
-	.B2(\fifo_buffer[135] [6]),
-	.X(n_3788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188024 (
-	.A1(n_866),
-	.A2(n_42),
-	.B1(n_2434),
-	.B2(\fifo_buffer[135] [5]),
-	.X(n_3787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188025 (
-	.A1(n_866),
-	.A2(n_36),
-	.B1(n_2434),
-	.B2(\fifo_buffer[135] [4]),
-	.X(n_3786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188026 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_886),
-	.B1(n_3292),
-	.Y(n_3785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188027 (
-	.A1(n_866),
-	.A2(FE_OFN1653_n_38),
-	.B1(n_2434),
-	.B2(\fifo_buffer[135] [3]),
-	.X(n_3784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188028 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_886),
-	.B1(n_3293),
-	.Y(n_3783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188029 (
-	.A1(n_866),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_2434),
-	.B2(\fifo_buffer[135] [2]),
-	.X(n_3782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188030 (
-	.A1(n_866),
-	.A2(n_23),
-	.B1(n_2434),
-	.B2(\fifo_buffer[135] [1]),
-	.X(n_3781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188031 (
-	.A1(n_867),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_2405),
-	.B2(\fifo_buffer[134] [8]),
-	.X(n_3780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188032 (
-	.A1(n_867),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_2405),
-	.B2(\fifo_buffer[134] [7]),
-	.X(n_3779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188033 (
-	.A1(n_867),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_2405),
-	.B2(\fifo_buffer[134] [6]),
-	.X(n_3778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188034 (
-	.A1(n_867),
-	.A2(n_42),
-	.B1(n_2405),
-	.B2(\fifo_buffer[134] [5]),
-	.X(n_3777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188035 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_852),
-	.B1(n_3294),
-	.Y(n_3776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188036 (
-	.A1(n_867),
-	.A2(n_36),
-	.B1(n_2405),
-	.B2(\fifo_buffer[134] [4]),
-	.X(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188037 (
-	.A1(n_867),
-	.A2(FE_OFN1653_n_38),
-	.B1(n_2405),
-	.B2(\fifo_buffer[134] [3]),
-	.X(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188038 (
-	.A1(n_867),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_2405),
-	.B2(\fifo_buffer[134] [2]),
-	.X(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188039 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_852),
-	.B1(n_3295),
-	.Y(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188040 (
-	.A1(n_867),
-	.A2(n_23),
-	.B1(n_2405),
-	.B2(\fifo_buffer[134] [1]),
-	.X(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188041 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_852),
-	.B1(n_3296),
-	.Y(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188042 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_852),
-	.B1(n_3297),
-	.Y(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188043 (
-	.A1(n_868),
-	.A2(n_48),
-	.B1(n_2400),
-	.B2(\fifo_buffer[133] [8]),
-	.X(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188044 (
-	.A1(n_868),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_2400),
-	.B2(\fifo_buffer[133] [7]),
-	.X(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188045 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_852),
-	.B1(n_3298),
-	.Y(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188046 (
-	.A1(n_868),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_2400),
-	.B2(\fifo_buffer[133] [6]),
-	.X(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188047 (
-	.A1(n_868),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_2400),
-	.B2(\fifo_buffer[133] [5]),
-	.X(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188048 (
-	.A1(n_868),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_2400),
-	.B2(\fifo_buffer[133] [4]),
-	.X(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188049 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_852),
-	.B1(n_3299),
-	.Y(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188050 (
-	.A1(n_868),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2400),
-	.B2(\fifo_buffer[133] [3]),
-	.X(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188051 (
-	.A1(n_868),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_2400),
-	.B2(\fifo_buffer[133] [2]),
-	.X(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188052 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_852),
-	.B1(n_3300),
-	.Y(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188053 (
-	.A1(n_868),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_2400),
-	.B2(\fifo_buffer[133] [1]),
-	.X(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188054 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_852),
-	.B1(n_3301),
-	.Y(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188055 (
-	.A1(n_885),
-	.A2(n_48),
-	.B1(n_2385),
-	.B2(\fifo_buffer[132] [8]),
-	.X(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188056 (
-	.A1(n_885),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_2385),
-	.B2(\fifo_buffer[132] [7]),
-	.X(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188057 (
-	.A1(n_885),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_2385),
-	.B2(\fifo_buffer[132] [6]),
-	.X(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188058 (
-	.A1(n_885),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_2385),
-	.B2(\fifo_buffer[132] [5]),
-	.X(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188059 (
-	.A1_N(\fifo_buffer[34] [8]),
-	.A2_N(n_2461),
-	.B1(FE_OFN1613_n_49),
-	.B2(n_854),
-	.Y(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188060 (
-	.A1(n_885),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_2385),
-	.B2(\fifo_buffer[132] [4]),
-	.X(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188061 (
-	.A1_N(\fifo_buffer[34] [7]),
-	.A2_N(n_2461),
-	.B1(FE_OFN1643_n_47),
-	.B2(n_854),
-	.Y(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188062 (
-	.A1(n_885),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2385),
-	.B2(\fifo_buffer[132] [3]),
-	.X(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188063 (
-	.A1_N(\fifo_buffer[34] [6]),
-	.A2_N(n_2461),
-	.B1(FE_OFN1634_n_41),
-	.B2(n_854),
-	.Y(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188064 (
-	.A1(n_885),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_2385),
-	.B2(\fifo_buffer[132] [2]),
-	.X(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188065 (
-	.A1(n_885),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_2385),
-	.B2(\fifo_buffer[132] [1]),
-	.X(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188066 (
-	.A1_N(\fifo_buffer[34] [5]),
-	.A2_N(n_2461),
-	.B1(FE_OFN1638_n_43),
-	.B2(n_854),
-	.Y(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188067 (
-	.A1_N(\fifo_buffer[34] [3]),
-	.A2_N(n_2461),
-	.B1(FE_OFN1630_n_39),
-	.B2(n_854),
-	.Y(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g188068 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_3230),
-	.Y(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188069 (
-	.A1(n_876),
-	.A2(n_48),
-	.B1(n_2393),
-	.B2(\fifo_buffer[131] [8]),
-	.X(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188070 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_857),
-	.B1(n_3367),
-	.Y(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188071 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_857),
-	.B1(n_3368),
-	.Y(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188072 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_857),
-	.B1(n_3369),
-	.Y(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188073 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_857),
-	.B1(n_3370),
-	.Y(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188074 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_857),
-	.B1(n_3371),
-	.Y(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188075 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_857),
-	.B1(n_3372),
-	.Y(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188076 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_881),
-	.B1(n_3373),
-	.Y(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188077 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_881),
-	.B1(n_3374),
-	.Y(n_3734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188078 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_881),
-	.B1(n_3375),
-	.Y(n_3733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188079 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_881),
-	.B1(n_3376),
-	.Y(n_3732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188080 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_881),
-	.B1(n_3377),
-	.Y(n_3731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188081 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_881),
-	.B1(n_3378),
-	.Y(n_3730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188082 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_881),
-	.B1(n_3379),
-	.Y(n_3729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188083 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_881),
-	.B1(n_3380),
-	.Y(n_3728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188084 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_883),
-	.B1(n_3382),
-	.Y(n_3727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188085 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_883),
-	.B1(n_3381),
-	.Y(n_3726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188086 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_883),
-	.B1(n_3383),
-	.Y(n_3725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188087 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_883),
-	.B1(n_3384),
-	.Y(n_3724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188088 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_883),
-	.B1(n_3385),
-	.Y(n_3723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188089 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_883),
-	.B1(n_3386),
-	.Y(n_3722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188090 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_883),
-	.B1(n_3387),
-	.Y(n_3721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188091 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_883),
-	.B1(n_3388),
-	.Y(n_3720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188092 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_811),
-	.B1(n_3389),
-	.Y(n_3719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188093 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_811),
-	.B1(n_3390),
-	.Y(n_3718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188094 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_811),
-	.B1(n_3391),
-	.Y(n_3717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188095 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_811),
-	.B1(n_3392),
-	.Y(n_3716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188096 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_811),
-	.B1(n_3393),
-	.Y(n_3715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188097 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_811),
-	.B1(n_3394),
-	.Y(n_3714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188098 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_811),
-	.B1(n_3395),
-	.Y(n_3713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188099 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_811),
-	.B1(n_3396),
-	.Y(n_3712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188100 (
-	.A1(n_884),
-	.A2(n_23),
-	.B1(n_2386),
-	.B2(\fifo_buffer[160] [1]),
-	.X(n_3711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188101 (
-	.A1(n_884),
-	.A2(n_44),
-	.B1(n_2386),
-	.B2(\fifo_buffer[160] [2]),
-	.X(n_3710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188102 (
-	.A1(n_884),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2386),
-	.B2(\fifo_buffer[160] [3]),
-	.X(n_3709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188103 (
-	.A1(n_884),
-	.A2(n_36),
-	.B1(n_2386),
-	.B2(\fifo_buffer[160] [4]),
-	.X(n_3708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188104 (
-	.A1(n_884),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_2386),
-	.B2(\fifo_buffer[160] [5]),
-	.X(n_3707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188105 (
-	.A1(n_884),
-	.A2(n_40),
-	.B1(n_2386),
-	.B2(\fifo_buffer[160] [6]),
-	.X(n_3706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188106 (
-	.A1(n_884),
-	.A2(n_46),
-	.B1(n_2386),
-	.B2(\fifo_buffer[160] [7]),
-	.X(n_3705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188107 (
-	.A1(n_884),
-	.A2(n_48),
-	.B1(n_2386),
-	.B2(\fifo_buffer[160] [8]),
-	.X(n_3704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188108 (
-	.A1(n_862),
-	.A2(n_23),
-	.B1(n_2401),
-	.B2(\fifo_buffer[161] [1]),
-	.X(n_3703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188109 (
-	.A1(n_862),
-	.A2(n_44),
-	.B1(n_2401),
-	.B2(\fifo_buffer[161] [2]),
-	.X(n_3702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188110 (
-	.A1(n_862),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2401),
-	.B2(\fifo_buffer[161] [3]),
-	.X(n_3701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188111 (
-	.A1(n_862),
-	.A2(n_36),
-	.B1(n_2401),
-	.B2(\fifo_buffer[161] [4]),
-	.X(n_3700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188112 (
-	.A1(n_862),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_2401),
-	.B2(\fifo_buffer[161] [5]),
-	.X(n_3699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188113 (
-	.A1(n_862),
-	.A2(n_46),
-	.B1(n_2401),
-	.B2(\fifo_buffer[161] [7]),
-	.X(n_3698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188114 (
-	.A1(n_862),
-	.A2(n_40),
-	.B1(n_2401),
-	.B2(\fifo_buffer[161] [6]),
-	.X(n_3697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188115 (
-	.A1(n_862),
-	.A2(n_48),
-	.B1(n_2401),
-	.B2(\fifo_buffer[161] [8]),
-	.X(n_3696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188116 (
-	.A1(n_859),
-	.A2(n_23),
-	.B1(n_2406),
-	.B2(\fifo_buffer[162] [1]),
-	.X(n_3695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188117 (
-	.A1(n_859),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_2406),
-	.B2(\fifo_buffer[162] [2]),
-	.X(n_3694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188118 (
-	.A1(n_859),
-	.A2(n_38),
-	.B1(n_2406),
-	.B2(\fifo_buffer[162] [3]),
-	.X(n_3693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188119 (
-	.A1(n_859),
-	.A2(n_36),
-	.B1(n_2406),
-	.B2(\fifo_buffer[162] [4]),
-	.X(n_3692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188120 (
-	.A1(n_859),
-	.A2(n_42),
-	.B1(n_2406),
-	.B2(\fifo_buffer[162] [5]),
-	.X(n_3691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188121 (
-	.A1(n_859),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_2406),
-	.B2(\fifo_buffer[162] [6]),
-	.X(n_3690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188122 (
-	.A1(n_859),
-	.A2(n_46),
-	.B1(n_2406),
-	.B2(\fifo_buffer[162] [7]),
-	.X(n_3689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188123 (
-	.A1(n_859),
-	.A2(n_48),
-	.B1(n_2406),
-	.B2(\fifo_buffer[162] [8]),
-	.X(n_3688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188124 (
-	.A1(n_831),
-	.A2(n_23),
-	.B1(n_2435),
-	.B2(\fifo_buffer[163] [1]),
-	.X(n_3687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188125 (
-	.A1(n_831),
-	.A2(n_38),
-	.B1(n_2435),
-	.B2(\fifo_buffer[163] [3]),
-	.X(n_3686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188126 (
-	.A1(n_831),
-	.A2(n_36),
-	.B1(n_2435),
-	.B2(\fifo_buffer[163] [4]),
-	.X(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188127 (
-	.A1(n_831),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_2435),
-	.B2(\fifo_buffer[163] [2]),
-	.X(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188128 (
-	.A1(n_831),
-	.A2(n_42),
-	.B1(n_2435),
-	.B2(\fifo_buffer[163] [5]),
-	.X(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188129 (
-	.A1(n_831),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_2435),
-	.B2(\fifo_buffer[163] [6]),
-	.X(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188130 (
-	.A1(n_831),
-	.A2(n_46),
-	.B1(n_2435),
-	.B2(\fifo_buffer[163] [7]),
-	.X(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188131 (
-	.A1(n_831),
-	.A2(n_48),
-	.B1(n_2435),
-	.B2(\fifo_buffer[163] [8]),
-	.X(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188132 (
-	.A1(n_846),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_2409),
-	.B2(\fifo_buffer[164] [2]),
-	.X(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188133 (
-	.A1(n_846),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_2409),
-	.B2(\fifo_buffer[164] [1]),
-	.X(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188134 (
-	.A1(n_846),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2409),
-	.B2(\fifo_buffer[164] [3]),
-	.X(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188135 (
-	.A1(n_846),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_2409),
-	.B2(\fifo_buffer[164] [4]),
-	.X(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188136 (
-	.A1(n_846),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_2409),
-	.B2(\fifo_buffer[164] [5]),
-	.X(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188137 (
-	.A1(n_846),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_2409),
-	.B2(\fifo_buffer[164] [6]),
-	.X(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188138 (
-	.A1(n_846),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_2409),
-	.B2(\fifo_buffer[164] [7]),
-	.X(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188139 (
-	.A1_N(\fifo_buffer[165] [1]),
-	.A2_N(n_2447),
-	.B1(FE_OFN1627_n_24),
-	.B2(n_829),
-	.Y(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188140 (
-	.A1_N(\fifo_buffer[165] [2]),
-	.A2_N(n_2447),
-	.B1(FE_OFN1611_n_45),
-	.B2(n_829),
-	.Y(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188141 (
-	.A1(n_846),
-	.A2(n_48),
-	.B1(n_2409),
-	.B2(\fifo_buffer[164] [8]),
-	.X(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188142 (
-	.A1_N(\fifo_buffer[165] [3]),
-	.A2_N(n_2447),
-	.B1(FE_OFN1632_n_39),
-	.B2(n_829),
-	.Y(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188143 (
-	.A1_N(\fifo_buffer[165] [4]),
-	.A2_N(n_2447),
-	.B1(FE_OFN1609_n_37),
-	.B2(n_829),
-	.Y(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188144 (
-	.A1_N(\fifo_buffer[165] [5]),
-	.A2_N(n_2447),
-	.B1(FE_OFN1637_n_43),
-	.B2(n_829),
-	.Y(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188145 (
-	.A1_N(\fifo_buffer[165] [6]),
-	.A2_N(n_2447),
-	.B1(FE_OFN1635_n_41),
-	.B2(n_829),
-	.Y(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188146 (
-	.A1_N(\fifo_buffer[165] [7]),
-	.A2_N(n_2447),
-	.B1(FE_OFN1641_n_47),
-	.B2(n_829),
-	.Y(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188147 (
-	.A1_N(\fifo_buffer[165] [8]),
-	.A2_N(n_2447),
-	.B1(FE_OFN1614_n_49),
-	.B2(n_829),
-	.Y(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188148 (
-	.A1_N(\fifo_buffer[166] [1]),
-	.A2_N(n_2454),
-	.B1(FE_OFN1628_n_24),
-	.B2(n_827),
-	.Y(n_3663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188149 (
-	.A1_N(\fifo_buffer[166] [2]),
-	.A2_N(n_2454),
-	.B1(n_45),
-	.B2(n_827),
-	.Y(n_3662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188150 (
-	.A1_N(\fifo_buffer[166] [3]),
-	.A2_N(n_2454),
-	.B1(FE_OFN1631_n_39),
-	.B2(n_827),
-	.Y(n_3661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188151 (
-	.A1_N(\fifo_buffer[166] [4]),
-	.A2_N(n_2454),
-	.B1(FE_OFN1608_n_37),
-	.B2(n_827),
-	.Y(n_3660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188152 (
-	.A1_N(\fifo_buffer[166] [6]),
-	.A2_N(n_2454),
-	.B1(FE_OFN1633_n_41),
-	.B2(n_827),
-	.Y(n_3659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188153 (
-	.A1_N(\fifo_buffer[166] [7]),
-	.A2_N(n_2454),
-	.B1(FE_OFN1641_n_47),
-	.B2(n_827),
-	.Y(n_3658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188154 (
-	.A1_N(\fifo_buffer[166] [5]),
-	.A2_N(n_2454),
-	.B1(n_43),
-	.B2(n_827),
-	.Y(n_3657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188155 (
-	.A1_N(\fifo_buffer[166] [8]),
-	.A2_N(n_2454),
-	.B1(FE_OFN1612_n_49),
-	.B2(n_827),
-	.Y(n_3656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188156 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_841),
-	.B1(n_3397),
-	.Y(n_3655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188157 (
-	.A1(n_45),
-	.A2(n_841),
-	.B1(n_3398),
-	.Y(n_3654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188158 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_841),
-	.B1(n_3399),
-	.Y(n_3653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188159 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_841),
-	.B1(n_3400),
-	.Y(n_3652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188160 (
-	.A1(n_43),
-	.A2(n_841),
-	.B1(n_3401),
-	.Y(n_3651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188161 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_841),
-	.B1(n_3402),
-	.Y(n_3650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188162 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_841),
-	.B1(n_3403),
-	.Y(n_3649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188163 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_841),
-	.B1(n_3404),
-	.Y(n_3648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188164 (
-	.A1(n_861),
-	.A2(n_23),
-	.B1(n_2402),
-	.B2(\fifo_buffer[168] [1]),
-	.X(n_3647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188165 (
-	.A1(n_861),
-	.A2(n_44),
-	.B1(n_2402),
-	.B2(\fifo_buffer[168] [2]),
-	.X(n_3646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188166 (
-	.A1(n_861),
-	.A2(n_36),
-	.B1(n_2402),
-	.B2(\fifo_buffer[168] [4]),
-	.X(n_3645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188167 (
-	.A1(n_861),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2402),
-	.B2(\fifo_buffer[168] [3]),
-	.X(n_3644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188168 (
-	.A1(n_861),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_2402),
-	.B2(\fifo_buffer[168] [5]),
-	.X(n_3643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188169 (
-	.A1(n_861),
-	.A2(n_40),
-	.B1(n_2402),
-	.B2(\fifo_buffer[168] [6]),
-	.X(n_3642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188170 (
-	.A1(n_861),
-	.A2(n_46),
-	.B1(n_2402),
-	.B2(\fifo_buffer[168] [7]),
-	.X(n_3641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188171 (
-	.A1(n_861),
-	.A2(n_48),
-	.B1(n_2402),
-	.B2(\fifo_buffer[168] [8]),
-	.X(n_3640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188172 (
-	.A1(n_835),
-	.A2(n_23),
-	.B1(n_2433),
-	.B2(\fifo_buffer[169] [1]),
-	.X(n_3639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188173 (
-	.A1(n_835),
-	.A2(n_44),
-	.B1(n_2433),
-	.B2(\fifo_buffer[169] [2]),
-	.X(n_3638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188174 (
-	.A1(n_835),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2433),
-	.B2(\fifo_buffer[169] [3]),
-	.X(n_3637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188175 (
-	.A1(n_835),
-	.A2(n_36),
-	.B1(n_2433),
-	.B2(\fifo_buffer[169] [4]),
-	.X(n_3636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188176 (
-	.A1(n_835),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_2433),
-	.B2(\fifo_buffer[169] [5]),
-	.X(n_3635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188177 (
-	.A1(n_835),
-	.A2(n_40),
-	.B1(n_2433),
-	.B2(\fifo_buffer[169] [6]),
-	.X(n_3634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188178 (
-	.A1(n_835),
-	.A2(n_46),
-	.B1(n_2433),
-	.B2(\fifo_buffer[169] [7]),
-	.X(n_3633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188179 (
-	.A1(n_835),
-	.A2(n_48),
-	.B1(n_2433),
-	.B2(\fifo_buffer[169] [8]),
-	.X(n_3632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188180 (
-	.A1(n_830),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_2439),
-	.B2(\fifo_buffer[170] [1]),
-	.X(n_3631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188181 (
-	.A1(n_830),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_2439),
-	.B2(\fifo_buffer[170] [2]),
-	.X(n_3630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188182 (
-	.A1(n_830),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2439),
-	.B2(\fifo_buffer[170] [3]),
-	.X(n_3629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188183 (
-	.A1(n_830),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_2439),
-	.B2(\fifo_buffer[170] [4]),
-	.X(n_3628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188184 (
-	.A1(n_830),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_2439),
-	.B2(\fifo_buffer[170] [5]),
-	.X(n_3627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188185 (
-	.A1(n_830),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_2439),
-	.B2(\fifo_buffer[170] [6]),
-	.X(n_3626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188186 (
-	.A1(n_830),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_2439),
-	.B2(\fifo_buffer[170] [7]),
-	.X(n_3625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188187 (
-	.A1(n_830),
-	.A2(n_48),
-	.B1(n_2439),
-	.B2(\fifo_buffer[170] [8]),
-	.X(n_3624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188188 (
-	.A1_N(\fifo_buffer[171] [1]),
-	.A2_N(n_2456),
-	.B1(FE_OFN1627_n_24),
-	.B2(n_834),
-	.Y(n_3623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188189 (
-	.A1_N(\fifo_buffer[171] [2]),
-	.A2_N(n_2456),
-	.B1(FE_OFN1611_n_45),
-	.B2(n_834),
-	.Y(n_3622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188190 (
-	.A1_N(\fifo_buffer[171] [3]),
-	.A2_N(n_2456),
-	.B1(FE_OFN1632_n_39),
-	.B2(n_834),
-	.Y(n_3621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188191 (
-	.A1_N(\fifo_buffer[171] [4]),
-	.A2_N(n_2456),
-	.B1(FE_OFN1609_n_37),
-	.B2(n_834),
-	.Y(n_3620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188192 (
-	.A1_N(\fifo_buffer[171] [5]),
-	.A2_N(n_2456),
-	.B1(FE_OFN1637_n_43),
-	.B2(n_834),
-	.Y(n_3619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188193 (
-	.A1_N(\fifo_buffer[171] [6]),
-	.A2_N(n_2456),
-	.B1(FE_OFN1635_n_41),
-	.B2(n_834),
-	.Y(n_3618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188194 (
-	.A1_N(\fifo_buffer[171] [7]),
-	.A2_N(n_2456),
-	.B1(FE_OFN1641_n_47),
-	.B2(n_834),
-	.Y(n_3617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188195 (
-	.A1_N(\fifo_buffer[171] [8]),
-	.A2_N(n_2456),
-	.B1(FE_OFN1614_n_49),
-	.B2(n_834),
-	.Y(n_3616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188196 (
-	.A1(n_825),
-	.A2(n_23),
-	.B1(n_2442),
-	.B2(\fifo_buffer[172] [1]),
-	.X(n_3615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188197 (
-	.A1(n_825),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_2442),
-	.B2(\fifo_buffer[172] [2]),
-	.X(n_3614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188198 (
-	.A1(n_825),
-	.A2(FE_OFN1653_n_38),
-	.B1(n_2442),
-	.B2(\fifo_buffer[172] [3]),
-	.X(n_3613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188199 (
-	.A1(n_825),
-	.A2(n_36),
-	.B1(n_2442),
-	.B2(\fifo_buffer[172] [4]),
-	.X(n_3612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188200 (
-	.A1(n_825),
-	.A2(n_42),
-	.B1(n_2442),
-	.B2(\fifo_buffer[172] [5]),
-	.X(n_3611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188201 (
-	.A1(n_825),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_2442),
-	.B2(\fifo_buffer[172] [6]),
-	.X(n_3610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188202 (
-	.A1(n_825),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_2442),
-	.B2(\fifo_buffer[172] [7]),
-	.X(n_3609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188203 (
-	.A1(n_825),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_2442),
-	.B2(\fifo_buffer[172] [8]),
-	.X(n_3608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188204 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_838),
-	.B1(n_2913),
-	.Y(n_3607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188205 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_838),
-	.B1(n_3364),
-	.Y(n_3606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188206 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_838),
-	.B1(n_3362),
-	.Y(n_3605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188207 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_838),
-	.B1(n_3361),
-	.Y(n_3604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188208 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_838),
-	.B1(n_3363),
-	.Y(n_3603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188209 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_838),
-	.B1(n_3360),
-	.Y(n_3602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188210 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_838),
-	.B1(n_3359),
-	.Y(n_3601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188211 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_838),
-	.B1(n_3358),
-	.Y(n_3600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188212 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_843),
-	.B1(n_3357),
-	.Y(n_3599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188213 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_843),
-	.B1(n_3356),
-	.Y(n_3598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188214 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_843),
-	.B1(n_3355),
-	.Y(n_3597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188215 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_843),
-	.B1(n_3354),
-	.Y(n_3596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188216 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_843),
-	.B1(n_3353),
-	.Y(n_3595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188217 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_843),
-	.B1(n_3352),
-	.Y(n_3594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188218 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_843),
-	.B1(n_3351),
-	.Y(n_3593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188219 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_843),
-	.B1(n_3350),
-	.Y(n_3592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188220 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_872),
-	.B1(n_3349),
-	.Y(n_3591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188221 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_872),
-	.B1(n_3348),
-	.Y(n_3590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188222 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_872),
-	.B1(n_3347),
-	.Y(n_3589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188223 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_872),
-	.B1(n_3346),
-	.Y(n_3588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188224 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_872),
-	.B1(n_3345),
-	.Y(n_3587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188225 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_872),
-	.B1(n_3344),
-	.Y(n_3586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188226 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_872),
-	.B1(n_3343),
-	.Y(n_3585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188227 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_872),
-	.B1(n_3342),
-	.Y(n_3584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188228 (
-	.A1(n_880),
-	.A2(n_23),
-	.B1(n_2391),
-	.B2(\fifo_buffer[0] [1]),
-	.X(n_3583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188229 (
-	.A1(n_880),
-	.A2(n_44),
-	.B1(n_2391),
-	.B2(\fifo_buffer[0] [2]),
-	.X(n_3582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188230 (
-	.A1(n_880),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2391),
-	.B2(\fifo_buffer[0] [3]),
-	.X(n_3581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188231 (
-	.A1(n_880),
-	.A2(n_36),
-	.B1(n_2391),
-	.B2(\fifo_buffer[0] [4]),
-	.X(n_3580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188232 (
-	.A1(n_880),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_2391),
-	.B2(\fifo_buffer[0] [5]),
-	.X(n_3579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188233 (
-	.A1(n_880),
-	.A2(n_40),
-	.B1(n_2391),
-	.B2(\fifo_buffer[0] [6]),
-	.X(n_3578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188234 (
-	.A1(n_880),
-	.A2(n_46),
-	.B1(n_2391),
-	.B2(\fifo_buffer[0] [7]),
-	.X(n_3577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188235 (
-	.A1(n_880),
-	.A2(n_48),
-	.B1(n_2391),
-	.B2(\fifo_buffer[0] [8]),
-	.X(n_3576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188236 (
-	.A1(n_845),
-	.A2(n_23),
-	.B1(n_2410),
-	.B2(\fifo_buffer[1] [1]),
-	.X(n_3575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188237 (
-	.A1_N(\fifo_buffer[34] [2]),
-	.A2_N(n_2461),
-	.B1(FE_OFN1610_n_45),
-	.B2(n_854),
-	.Y(n_3574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188238 (
-	.A1(n_845),
-	.A2(n_44),
-	.B1(n_2410),
-	.B2(\fifo_buffer[1] [2]),
-	.X(n_3573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188239 (
-	.A1(n_845),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2410),
-	.B2(\fifo_buffer[1] [3]),
-	.X(n_3572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188240 (
-	.A1(n_845),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_2410),
-	.B2(\fifo_buffer[1] [5]),
-	.X(n_3571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188241 (
-	.A1(n_845),
-	.A2(n_40),
-	.B1(n_2410),
-	.B2(\fifo_buffer[1] [6]),
-	.X(n_3570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188242 (
-	.A1(n_845),
-	.A2(n_46),
-	.B1(n_2410),
-	.B2(\fifo_buffer[1] [7]),
-	.X(n_3569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188243 (
-	.A1(n_845),
-	.A2(n_48),
-	.B1(n_2410),
-	.B2(\fifo_buffer[1] [8]),
-	.X(n_3568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188244 (
-	.A1(n_839),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_2419),
-	.B2(\fifo_buffer[2] [2]),
-	.X(n_3567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188245 (
-	.A1(n_839),
-	.A2(n_23),
-	.B1(n_2419),
-	.B2(\fifo_buffer[2] [1]),
-	.X(n_3566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188246 (
-	.A1(n_839),
-	.A2(n_38),
-	.B1(n_2419),
-	.B2(\fifo_buffer[2] [3]),
-	.X(n_3565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188247 (
-	.A1(n_839),
-	.A2(n_36),
-	.B1(n_2419),
-	.B2(\fifo_buffer[2] [4]),
-	.X(n_3564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188248 (
-	.A1(n_839),
-	.A2(n_42),
-	.B1(n_2419),
-	.B2(\fifo_buffer[2] [5]),
-	.X(n_3563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188249 (
-	.A1(n_839),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_2419),
-	.B2(\fifo_buffer[2] [6]),
-	.X(n_3562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188250 (
-	.A1(n_839),
-	.A2(n_46),
-	.B1(n_2419),
-	.B2(\fifo_buffer[2] [7]),
-	.X(n_3561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188251 (
-	.A1(n_839),
-	.A2(n_48),
-	.B1(n_2419),
-	.B2(\fifo_buffer[2] [8]),
-	.X(n_3560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188252 (
-	.A1_N(\fifo_buffer[3] [1]),
-	.A2_N(n_2451),
-	.B1(FE_OFN1626_n_24),
-	.B2(n_820),
-	.Y(n_3559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188253 (
-	.A1_N(\fifo_buffer[3] [2]),
-	.A2_N(n_2451),
-	.B1(FE_OFN1610_n_45),
-	.B2(n_820),
-	.Y(n_3558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188254 (
-	.A1_N(\fifo_buffer[3] [3]),
-	.A2_N(n_2451),
-	.B1(FE_OFN1630_n_39),
-	.B2(n_820),
-	.Y(n_3557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188255 (
-	.A1_N(\fifo_buffer[3] [4]),
-	.A2_N(n_2451),
-	.B1(FE_OFN1607_n_37),
-	.B2(n_820),
-	.Y(n_3556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188256 (
-	.A1_N(\fifo_buffer[3] [5]),
-	.A2_N(n_2451),
-	.B1(FE_OFN1638_n_43),
-	.B2(n_820),
-	.Y(n_3555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188257 (
-	.A1_N(\fifo_buffer[3] [6]),
-	.A2_N(n_2451),
-	.B1(FE_OFN1634_n_41),
-	.B2(n_820),
-	.Y(n_3554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188258 (
-	.A1_N(\fifo_buffer[3] [7]),
-	.A2_N(n_2451),
-	.B1(FE_OFN1643_n_47),
-	.B2(n_820),
-	.Y(n_3553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188259 (
-	.A1_N(\fifo_buffer[3] [8]),
-	.A2_N(n_2451),
-	.B1(FE_OFN1613_n_49),
-	.B2(n_820),
-	.Y(n_3552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188260 (
-	.A1(n_836),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_2427),
-	.B2(\fifo_buffer[4] [1]),
-	.X(n_3551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188261 (
-	.A1(n_836),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_2427),
-	.B2(\fifo_buffer[4] [2]),
-	.X(n_3550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188262 (
-	.A1(n_836),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2427),
-	.B2(\fifo_buffer[4] [3]),
-	.X(n_3549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188263 (
-	.A1(n_836),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_2427),
-	.B2(\fifo_buffer[4] [5]),
-	.X(n_3548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188264 (
-	.A1(n_836),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_2427),
-	.B2(\fifo_buffer[4] [6]),
-	.X(n_3547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188265 (
-	.A1(n_836),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_2427),
-	.B2(\fifo_buffer[4] [7]),
-	.X(n_3546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188266 (
-	.A1(n_836),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_2427),
-	.B2(\fifo_buffer[4] [4]),
-	.X(n_3545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188267 (
-	.A1(n_836),
-	.A2(n_48),
-	.B1(n_2427),
-	.B2(\fifo_buffer[4] [8]),
-	.X(n_3544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188268 (
-	.A1_N(\fifo_buffer[5] [1]),
-	.A2_N(n_2457),
-	.B1(FE_OFN1627_n_24),
-	.B2(n_816),
-	.Y(n_3543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188269 (
-	.A1_N(\fifo_buffer[5] [2]),
-	.A2_N(n_2457),
-	.B1(FE_OFN1611_n_45),
-	.B2(n_816),
-	.Y(n_3542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188270 (
-	.A1_N(\fifo_buffer[5] [3]),
-	.A2_N(n_2457),
-	.B1(FE_OFN1632_n_39),
-	.B2(n_816),
-	.Y(n_3541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188271 (
-	.A1_N(\fifo_buffer[5] [4]),
-	.A2_N(n_2457),
-	.B1(FE_OFN1609_n_37),
-	.B2(n_816),
-	.Y(n_3540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188272 (
-	.A1_N(\fifo_buffer[5] [5]),
-	.A2_N(n_2457),
-	.B1(FE_OFN1637_n_43),
-	.B2(n_816),
-	.Y(n_3539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188273 (
-	.A1_N(\fifo_buffer[5] [6]),
-	.A2_N(n_2457),
-	.B1(FE_OFN1635_n_41),
-	.B2(n_816),
-	.Y(n_3538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188274 (
-	.A1_N(\fifo_buffer[5] [7]),
-	.A2_N(n_2457),
-	.B1(FE_OFN1641_n_47),
-	.B2(n_816),
-	.Y(n_3537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188275 (
-	.A1_N(\fifo_buffer[5] [8]),
-	.A2_N(n_2457),
-	.B1(FE_OFN1614_n_49),
-	.B2(n_816),
-	.Y(n_3536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188276 (
-	.A1_N(\fifo_buffer[6] [2]),
-	.A2_N(n_2458),
-	.B1(FE_OFN1611_n_45),
-	.B2(n_822),
-	.Y(n_3535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188277 (
-	.A1_N(\fifo_buffer[6] [1]),
-	.A2_N(n_2458),
-	.B1(FE_OFN1628_n_24),
-	.B2(n_822),
-	.Y(n_3534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188278 (
-	.A1_N(\fifo_buffer[6] [3]),
-	.A2_N(n_2458),
-	.B1(FE_OFN1632_n_39),
-	.B2(n_822),
-	.Y(n_3533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188279 (
-	.A1_N(\fifo_buffer[6] [4]),
-	.A2_N(n_2458),
-	.B1(FE_OFN1609_n_37),
-	.B2(n_822),
-	.Y(n_3532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188280 (
-	.A1_N(\fifo_buffer[6] [5]),
-	.A2_N(n_2458),
-	.B1(FE_OFN1636_n_43),
-	.B2(n_822),
-	.Y(n_3531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188281 (
-	.A1_N(\fifo_buffer[6] [6]),
-	.A2_N(n_2458),
-	.B1(FE_OFN1633_n_41),
-	.B2(n_822),
-	.Y(n_3530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188282 (
-	.A1_N(\fifo_buffer[6] [7]),
-	.A2_N(n_2458),
-	.B1(FE_OFN1641_n_47),
-	.B2(n_822),
-	.Y(n_3529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188283 (
-	.A1(n_24),
-	.A2(n_840),
-	.B1(n_3341),
-	.Y(n_3528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188284 (
-	.A1_N(\fifo_buffer[6] [8]),
-	.A2_N(n_2458),
-	.B1(FE_OFN1612_n_49),
-	.B2(n_822),
-	.Y(n_3527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188285 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_840),
-	.B1(n_3340),
-	.Y(n_3526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188286 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_840),
-	.B1(n_3339),
-	.Y(n_3525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188287 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_840),
-	.B1(n_3338),
-	.Y(n_3524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188288 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_840),
-	.B1(n_3337),
-	.Y(n_3523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188289 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_840),
-	.B1(n_3336),
-	.Y(n_3522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188290 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_840),
-	.B1(n_3335),
-	.Y(n_3521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188291 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_840),
-	.B1(n_3334),
-	.Y(n_3520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188292 (
-	.A1(n_844),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_2411),
-	.B2(\fifo_buffer[8] [1]),
-	.X(n_3519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188293 (
-	.A1(n_844),
-	.A2(n_44),
-	.B1(n_2411),
-	.B2(\fifo_buffer[8] [2]),
-	.X(n_3518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188294 (
-	.A1(n_844),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2411),
-	.B2(\fifo_buffer[8] [3]),
-	.X(n_3517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188295 (
-	.A1(n_844),
-	.A2(n_36),
-	.B1(n_2411),
-	.B2(\fifo_buffer[8] [4]),
-	.X(n_3516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188296 (
-	.A1(n_844),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_2411),
-	.B2(\fifo_buffer[8] [5]),
-	.X(n_3515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188297 (
-	.A1(n_844),
-	.A2(n_40),
-	.B1(n_2411),
-	.B2(\fifo_buffer[8] [6]),
-	.X(n_3514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188298 (
-	.A1(n_844),
-	.A2(n_46),
-	.B1(n_2411),
-	.B2(\fifo_buffer[8] [7]),
-	.X(n_3513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188299 (
-	.A1(n_844),
-	.A2(n_48),
-	.B1(n_2411),
-	.B2(\fifo_buffer[8] [8]),
-	.X(n_3512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188300 (
-	.A1_N(\fifo_buffer[9] [1]),
-	.A2_N(n_2448),
-	.B1(FE_OFN1626_n_24),
-	.B2(n_824),
-	.Y(n_3511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188301 (
-	.A1_N(\fifo_buffer[9] [2]),
-	.A2_N(n_2448),
-	.B1(FE_OFN1610_n_45),
-	.B2(n_824),
-	.Y(n_3510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188302 (
-	.A1_N(\fifo_buffer[9] [4]),
-	.A2_N(n_2448),
-	.B1(FE_OFN1607_n_37),
-	.B2(n_824),
-	.Y(n_3509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188303 (
-	.A1_N(\fifo_buffer[9] [5]),
-	.A2_N(n_2448),
-	.B1(FE_OFN1638_n_43),
-	.B2(n_824),
-	.Y(n_3508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188304 (
-	.A1_N(\fifo_buffer[9] [3]),
-	.A2_N(n_2448),
-	.B1(FE_OFN1630_n_39),
-	.B2(n_824),
-	.Y(n_3507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188305 (
-	.A1_N(\fifo_buffer[9] [6]),
-	.A2_N(n_2448),
-	.B1(FE_OFN1634_n_41),
-	.B2(n_824),
-	.Y(n_3506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188306 (
-	.A1_N(\fifo_buffer[9] [7]),
-	.A2_N(n_2448),
-	.B1(FE_OFN1643_n_47),
-	.B2(n_824),
-	.Y(n_3505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188307 (
-	.A1_N(\fifo_buffer[9] [8]),
-	.A2_N(n_2448),
-	.B1(FE_OFN1613_n_49),
-	.B2(n_824),
-	.Y(n_3504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188308 (
-	.A1_N(\fifo_buffer[10] [1]),
-	.A2_N(n_2455),
-	.B1(FE_OFN1627_n_24),
-	.B2(n_818),
-	.Y(n_3503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188309 (
-	.A1_N(\fifo_buffer[10] [2]),
-	.A2_N(n_2455),
-	.B1(FE_OFN1611_n_45),
-	.B2(n_818),
-	.Y(n_3502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188310 (
-	.A1_N(\fifo_buffer[10] [3]),
-	.A2_N(n_2455),
-	.B1(FE_OFN1632_n_39),
-	.B2(n_818),
-	.Y(n_3501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188311 (
-	.A1_N(\fifo_buffer[10] [4]),
-	.A2_N(n_2455),
-	.B1(FE_OFN1609_n_37),
-	.B2(n_818),
-	.Y(n_3500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188312 (
-	.A1_N(\fifo_buffer[10] [5]),
-	.A2_N(n_2455),
-	.B1(FE_OFN1637_n_43),
-	.B2(n_818),
-	.Y(n_3499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188313 (
-	.A1_N(\fifo_buffer[10] [6]),
-	.A2_N(n_2455),
-	.B1(FE_OFN1635_n_41),
-	.B2(n_818),
-	.Y(n_3498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188314 (
-	.A1_N(\fifo_buffer[10] [7]),
-	.A2_N(n_2455),
-	.B1(FE_OFN1641_n_47),
-	.B2(n_818),
-	.Y(n_3497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188315 (
-	.A1_N(\fifo_buffer[10] [8]),
-	.A2_N(n_2455),
-	.B1(FE_OFN1614_n_49),
-	.B2(n_818),
-	.Y(n_3496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188316 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_832),
-	.B1(n_3333),
-	.Y(n_3495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188317 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_832),
-	.B1(n_3332),
-	.Y(n_3494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188318 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_832),
-	.B1(n_3331),
-	.Y(n_3493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188319 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_832),
-	.B1(n_3330),
-	.Y(n_3492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188320 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_832),
-	.B1(n_3329),
-	.Y(n_3491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188321 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_832),
-	.B1(n_3328),
-	.Y(n_3490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188322 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_832),
-	.B1(n_3326),
-	.Y(n_3489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188323 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_832),
-	.B1(n_3327),
-	.Y(n_3488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188324 (
-	.A1_N(\fifo_buffer[12] [1]),
-	.A2_N(n_2459),
-	.B1(FE_OFN1627_n_24),
-	.B2(n_814),
-	.Y(n_3487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188325 (
-	.A1_N(\fifo_buffer[12] [2]),
-	.A2_N(n_2459),
-	.B1(FE_OFN1610_n_45),
-	.B2(n_814),
-	.Y(n_3486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188326 (
-	.A1_N(\fifo_buffer[12] [3]),
-	.A2_N(n_2459),
-	.B1(n_39),
-	.B2(n_814),
-	.Y(n_3485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188327 (
-	.A1_N(\fifo_buffer[12] [4]),
-	.A2_N(n_2459),
-	.B1(FE_OFN1609_n_37),
-	.B2(n_814),
-	.Y(n_3484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188328 (
-	.A1_N(\fifo_buffer[12] [5]),
-	.A2_N(n_2459),
-	.B1(FE_OFN1637_n_43),
-	.B2(n_814),
-	.Y(n_3483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188329 (
-	.A1_N(\fifo_buffer[12] [7]),
-	.A2_N(n_2459),
-	.B1(FE_OFN1642_n_47),
-	.B2(n_814),
-	.Y(n_3482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188330 (
-	.A1_N(\fifo_buffer[12] [8]),
-	.A2_N(n_2459),
-	.B1(FE_OFN1614_n_49),
-	.B2(n_814),
-	.Y(n_3481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188331 (
-	.A1_N(\fifo_buffer[12] [6]),
-	.A2_N(n_2459),
-	.B1(FE_OFN1633_n_41),
-	.B2(n_814),
-	.Y(n_3480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188332 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_837),
-	.B1(n_3325),
-	.Y(n_3479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188333 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_837),
-	.B1(n_3324),
-	.Y(n_3478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188334 (
-	.A1(n_39),
-	.A2(n_837),
-	.B1(n_3323),
-	.Y(n_3477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188335 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_837),
-	.B1(n_3322),
-	.Y(n_3476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188336 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_837),
-	.B1(n_3321),
-	.Y(n_3475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188337 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_837),
-	.B1(n_3320),
-	.Y(n_3474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188338 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_837),
-	.B1(n_3319),
-	.Y(n_3473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188339 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_837),
-	.B1(n_3318),
-	.Y(n_3472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188340 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_842),
-	.B1(n_3317),
-	.Y(n_3471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188341 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_842),
-	.B1(n_3314),
-	.Y(n_3470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188342 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_842),
-	.B1(n_3316),
-	.Y(n_3469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188343 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_842),
-	.B1(n_3315),
-	.Y(n_3468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188344 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_842),
-	.B1(n_3313),
-	.Y(n_3467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188345 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_842),
-	.B1(n_3312),
-	.Y(n_3466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188346 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_842),
-	.B1(n_3311),
-	.Y(n_3465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188347 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_842),
-	.B1(n_3310),
-	.Y(n_3464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188348 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_873),
-	.B1(n_3309),
-	.Y(n_3463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188349 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_873),
-	.B1(n_3308),
-	.Y(n_3462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188350 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_873),
-	.B1(n_3307),
-	.Y(n_3461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188351 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_873),
-	.B1(n_3306),
-	.Y(n_3460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188352 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_873),
-	.B1(n_3305),
-	.Y(n_3459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188353 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_873),
-	.B1(n_3304),
-	.Y(n_3458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188354 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_873),
-	.B1(n_3279),
-	.Y(n_3457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g188355 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_873),
-	.B1(n_3303),
-	.Y(n_3456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g188356 (
-	.A1_N(waddr[8]),
-	.A2_N(n_528),
-	.B1(waddr[8]),
-	.B2(n_528),
-	.Y(n_3455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188357 (
-	.A1(n_879),
-	.A2(n_23),
-	.B1(n_2430),
-	.B2(\fifo_buffer[32] [1]),
-	.X(n_3454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188358 (
-	.A1(n_879),
-	.A2(n_44),
-	.B1(n_2430),
-	.B2(\fifo_buffer[32] [2]),
-	.X(n_3453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188359 (
-	.A1(n_879),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2430),
-	.B2(\fifo_buffer[32] [3]),
-	.X(n_3452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188360 (
-	.A1(n_879),
-	.A2(n_36),
-	.B1(n_2430),
-	.B2(\fifo_buffer[32] [4]),
-	.X(n_3451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188361 (
-	.A1(n_879),
-	.A2(n_40),
-	.B1(n_2430),
-	.B2(\fifo_buffer[32] [6]),
-	.X(n_3450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188362 (
-	.A1(n_810),
-	.A2(n_23),
-	.B1(n_2379),
-	.B2(\fifo_buffer[128] [1]),
-	.X(n_3449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188363 (
-	.A1(n_810),
-	.A2(n_44),
-	.B1(n_2379),
-	.B2(\fifo_buffer[128] [2]),
-	.X(n_3448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188364 (
-	.A1(n_879),
-	.A2(n_46),
-	.B1(n_2430),
-	.B2(\fifo_buffer[32] [7]),
-	.X(n_3447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188365 (
-	.A1(n_810),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2379),
-	.B2(\fifo_buffer[128] [3]),
-	.X(n_3446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188366 (
-	.A1(n_810),
-	.A2(n_36),
-	.B1(n_2379),
-	.B2(\fifo_buffer[128] [4]),
-	.X(n_3445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188367 (
-	.A1(n_879),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_2430),
-	.B2(\fifo_buffer[32] [5]),
-	.X(n_3444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188368 (
-	.A1(n_810),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_2379),
-	.B2(\fifo_buffer[128] [5]),
-	.X(n_3443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188369 (
-	.A1(n_879),
-	.A2(n_48),
-	.B1(n_2430),
-	.B2(\fifo_buffer[32] [8]),
-	.X(n_3442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188370 (
-	.A1(n_810),
-	.A2(n_40),
-	.B1(n_2379),
-	.B2(\fifo_buffer[128] [6]),
-	.X(n_3441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188371 (
-	.A1(n_810),
-	.A2(n_46),
-	.B1(n_2379),
-	.B2(\fifo_buffer[128] [7]),
-	.X(n_3440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188372 (
-	.A1(n_810),
-	.A2(n_48),
-	.B1(n_2379),
-	.B2(\fifo_buffer[128] [8]),
-	.X(n_3439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188373 (
-	.A1_N(\fifo_buffer[33] [1]),
-	.A2_N(n_2460),
-	.B1(FE_OFN1626_n_24),
-	.B2(n_856),
-	.Y(n_3438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188374 (
-	.A1(n_812),
-	.A2(n_23),
-	.B1(n_2380),
-	.B2(\fifo_buffer[129] [1]),
-	.X(n_3437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188375 (
-	.A1_N(\fifo_buffer[33] [2]),
-	.A2_N(n_2460),
-	.B1(FE_OFN1610_n_45),
-	.B2(n_856),
-	.Y(n_3436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188376 (
-	.A1(n_812),
-	.A2(n_44),
-	.B1(n_2380),
-	.B2(\fifo_buffer[129] [2]),
-	.X(n_3435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188377 (
-	.A1(n_812),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_2380),
-	.B2(\fifo_buffer[129] [3]),
-	.X(n_3434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188378 (
-	.A1(n_812),
-	.A2(n_36),
-	.B1(n_2380),
-	.B2(\fifo_buffer[129] [4]),
-	.X(n_3433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188379 (
-	.A1_N(\fifo_buffer[33] [3]),
-	.A2_N(n_2460),
-	.B1(FE_OFN1630_n_39),
-	.B2(n_856),
-	.Y(n_3432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188380 (
-	.A1(n_812),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_2380),
-	.B2(\fifo_buffer[129] [5]),
-	.X(n_3431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188381 (
-	.A1(n_812),
-	.A2(n_40),
-	.B1(n_2380),
-	.B2(\fifo_buffer[129] [6]),
-	.X(n_3430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188382 (
-	.A1_N(\fifo_buffer[33] [4]),
-	.A2_N(n_2460),
-	.B1(FE_OFN1607_n_37),
-	.B2(n_856),
-	.Y(n_3429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188383 (
-	.A1(n_812),
-	.A2(n_46),
-	.B1(n_2380),
-	.B2(\fifo_buffer[129] [7]),
-	.X(n_3428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188384 (
-	.A1_N(\fifo_buffer[33] [5]),
-	.A2_N(n_2460),
-	.B1(FE_OFN1638_n_43),
-	.B2(n_856),
-	.Y(n_3427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188385 (
-	.A1(n_812),
-	.A2(n_48),
-	.B1(n_2380),
-	.B2(\fifo_buffer[129] [8]),
-	.X(n_3426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188386 (
-	.A1_N(\fifo_buffer[33] [6]),
-	.A2_N(n_2460),
-	.B1(FE_OFN1634_n_41),
-	.B2(n_856),
-	.Y(n_3425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188387 (
-	.A1(n_851),
-	.A2(n_23),
-	.B1(n_2384),
-	.B2(\fifo_buffer[130] [1]),
-	.X(n_3424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188388 (
-	.A1(n_851),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_2384),
-	.B2(\fifo_buffer[130] [2]),
-	.X(n_3423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188389 (
-	.A1_N(\fifo_buffer[33] [7]),
-	.A2_N(n_2460),
-	.B1(FE_OFN1643_n_47),
-	.B2(n_856),
-	.Y(n_3422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188390 (
-	.A1(n_851),
-	.A2(n_38),
-	.B1(n_2384),
-	.B2(\fifo_buffer[130] [3]),
-	.X(n_3421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188391 (
-	.A1(n_851),
-	.A2(n_36),
-	.B1(n_2384),
-	.B2(\fifo_buffer[130] [4]),
-	.X(n_3420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188392 (
-	.A1(n_851),
-	.A2(n_42),
-	.B1(n_2384),
-	.B2(\fifo_buffer[130] [5]),
-	.X(n_3419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188393 (
-	.A1_N(\fifo_buffer[33] [8]),
-	.A2_N(n_2460),
-	.B1(FE_OFN1613_n_49),
-	.B2(n_856),
-	.Y(n_3418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188394 (
-	.A1(n_851),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_2384),
-	.B2(\fifo_buffer[130] [6]),
-	.X(n_3417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188395 (
-	.A1(n_851),
-	.A2(n_46),
-	.B1(n_2384),
-	.B2(\fifo_buffer[130] [7]),
-	.X(n_3416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188396 (
-	.A1(n_851),
-	.A2(n_48),
-	.B1(n_2384),
-	.B2(\fifo_buffer[130] [8]),
-	.X(n_3415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188397 (
-	.A1(n_876),
-	.A2(n_23),
-	.B1(n_2393),
-	.B2(\fifo_buffer[131] [1]),
-	.X(n_3414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188398 (
-	.A1_N(\fifo_buffer[34] [1]),
-	.A2_N(n_2461),
-	.B1(FE_OFN1626_n_24),
-	.B2(n_854),
-	.Y(n_3413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188399 (
-	.A1(n_876),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_2393),
-	.B2(\fifo_buffer[131] [2]),
-	.X(n_3412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188400 (
-	.A1(n_876),
-	.A2(n_38),
-	.B1(n_2393),
-	.B2(\fifo_buffer[131] [3]),
-	.X(n_3411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188401 (
-	.A1(n_876),
-	.A2(n_36),
-	.B1(n_2393),
-	.B2(\fifo_buffer[131] [4]),
-	.X(n_3410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188402 (
-	.A1(n_876),
-	.A2(n_42),
-	.B1(n_2393),
-	.B2(\fifo_buffer[131] [5]),
-	.X(n_3409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188403 (
-	.A1(n_876),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_2393),
-	.B2(\fifo_buffer[131] [6]),
-	.X(n_3408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g188404 (
-	.A1_N(\fifo_buffer[34] [4]),
-	.A2_N(n_2461),
-	.B1(FE_OFN1607_n_37),
-	.B2(n_854),
-	.Y(n_3407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g188405 (
-	.A1(n_876),
-	.A2(n_46),
-	.B1(n_2393),
-	.B2(\fifo_buffer[131] [7]),
-	.X(n_3406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g188407 (
-	.A1(re_i),
-	.A2(n_1879),
-	.B1(FE_OFN1069_u_uart_u_uart_core_tx_fifo_reset),
-	.Y(n_3405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188583 (
-	.A(n_2446),
-	.B(\fifo_buffer[167] [8]),
-	.Y(n_3404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188584 (
-	.A(n_2446),
-	.B(\fifo_buffer[167] [7]),
-	.Y(n_3403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188585 (
-	.A(n_2446),
-	.B(\fifo_buffer[167] [6]),
-	.Y(n_3402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188586 (
-	.A(n_2446),
-	.B(\fifo_buffer[167] [5]),
-	.Y(n_3401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188587 (
-	.A(n_2446),
-	.B(\fifo_buffer[167] [4]),
-	.Y(n_3400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188588 (
-	.A(n_2446),
-	.B(\fifo_buffer[167] [3]),
-	.Y(n_3399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188589 (
-	.A(n_2446),
-	.B(\fifo_buffer[167] [2]),
-	.Y(n_3398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188590 (
-	.A(n_2446),
-	.B(\fifo_buffer[167] [1]),
-	.Y(n_3397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188591 (
-	.A(n_2383),
-	.B(\fifo_buffer[47] [8]),
-	.Y(n_3396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188592 (
-	.A(n_2383),
-	.B(\fifo_buffer[47] [7]),
-	.Y(n_3395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188593 (
-	.A(n_2383),
-	.B(\fifo_buffer[47] [6]),
-	.Y(n_3394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188594 (
-	.A(n_2383),
-	.B(\fifo_buffer[47] [5]),
-	.Y(n_3393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188595 (
-	.A(n_2383),
-	.B(\fifo_buffer[47] [4]),
-	.Y(n_3392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188596 (
-	.A(n_2383),
-	.B(\fifo_buffer[47] [3]),
-	.Y(n_3391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188597 (
-	.A(n_2383),
-	.B(\fifo_buffer[47] [2]),
-	.Y(n_3390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188598 (
-	.A(n_2383),
-	.B(\fifo_buffer[47] [1]),
-	.Y(n_3389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188599 (
-	.A(n_2388),
-	.B(\fifo_buffer[46] [8]),
-	.Y(n_3388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188600 (
-	.A(n_2388),
-	.B(\fifo_buffer[46] [7]),
-	.Y(n_3387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188601 (
-	.A(n_2388),
-	.B(\fifo_buffer[46] [6]),
-	.Y(n_3386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188602 (
-	.A(n_2388),
-	.B(\fifo_buffer[46] [5]),
-	.Y(n_3385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188603 (
-	.A(n_2388),
-	.B(\fifo_buffer[46] [4]),
-	.Y(n_3384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188604 (
-	.A(n_2388),
-	.B(\fifo_buffer[46] [3]),
-	.Y(n_3383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188605 (
-	.A(n_2388),
-	.B(\fifo_buffer[46] [2]),
-	.Y(n_3382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188606 (
-	.A(n_2388),
-	.B(\fifo_buffer[46] [1]),
-	.Y(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188607 (
-	.A(n_2395),
-	.B(\fifo_buffer[45] [8]),
-	.Y(n_3380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188608 (
-	.A(n_2395),
-	.B(\fifo_buffer[45] [7]),
-	.Y(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188609 (
-	.A(n_2395),
-	.B(\fifo_buffer[45] [6]),
-	.Y(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188610 (
-	.A(n_2395),
-	.B(\fifo_buffer[45] [5]),
-	.Y(n_3377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188611 (
-	.A(n_2395),
-	.B(\fifo_buffer[45] [4]),
-	.Y(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188612 (
-	.A(n_2395),
-	.B(\fifo_buffer[45] [3]),
-	.Y(n_3375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188613 (
-	.A(n_2395),
-	.B(\fifo_buffer[45] [2]),
-	.Y(n_3374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188614 (
-	.A(n_2395),
-	.B(\fifo_buffer[45] [1]),
-	.Y(n_3373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188615 (
-	.A(n_2417),
-	.B(\fifo_buffer[44] [8]),
-	.Y(n_3372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188616 (
-	.A(n_2417),
-	.B(\fifo_buffer[44] [7]),
-	.Y(n_3371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188617 (
-	.A(n_2417),
-	.B(\fifo_buffer[44] [3]),
-	.Y(n_3370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188618 (
-	.A(n_2417),
-	.B(\fifo_buffer[44] [6]),
-	.Y(n_3369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188619 (
-	.A(n_2417),
-	.B(\fifo_buffer[44] [5]),
-	.Y(n_3368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g188620 (
-	.A(n_2417),
-	.B(\fifo_buffer[44] [4]),
-	.Y(n_3367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189486 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_473),
-	.B1(n_1973),
-	.Y(n_3365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189487 (
-	.A(n_2453),
-	.B(\fifo_buffer[173] [2]),
-	.Y(n_3364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189488 (
-	.A(n_2453),
-	.B(\fifo_buffer[173] [3]),
-	.Y(n_3363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189489 (
-	.A(n_2453),
-	.B(\fifo_buffer[173] [4]),
-	.Y(n_3362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189490 (
-	.A(n_2453),
-	.B(\fifo_buffer[173] [5]),
-	.Y(n_3361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189491 (
-	.A(n_2453),
-	.B(\fifo_buffer[173] [6]),
-	.Y(n_3360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189492 (
-	.A(n_2453),
-	.B(\fifo_buffer[173] [7]),
-	.Y(n_3359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189493 (
-	.A(n_2453),
-	.B(\fifo_buffer[173] [8]),
-	.Y(n_3358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189494 (
-	.A(n_2444),
-	.B(\fifo_buffer[174] [1]),
-	.Y(n_3357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189495 (
-	.A(n_2444),
-	.B(\fifo_buffer[174] [2]),
-	.Y(n_3356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189496 (
-	.A(n_2444),
-	.B(\fifo_buffer[174] [3]),
-	.Y(n_3355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189497 (
-	.A(n_2444),
-	.B(\fifo_buffer[174] [4]),
-	.Y(n_3354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189498 (
-	.A(n_2444),
-	.B(\fifo_buffer[174] [5]),
-	.Y(n_3353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189499 (
-	.A(n_2444),
-	.B(\fifo_buffer[174] [6]),
-	.Y(n_3352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189500 (
-	.A(n_2444),
-	.B(\fifo_buffer[174] [7]),
-	.Y(n_3351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189501 (
-	.A(n_2444),
-	.B(\fifo_buffer[174] [8]),
-	.Y(n_3350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189502 (
-	.A(n_2415),
-	.B(\fifo_buffer[175] [1]),
-	.Y(n_3349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189503 (
-	.A(n_2415),
-	.B(\fifo_buffer[175] [2]),
-	.Y(n_3348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189504 (
-	.A(n_2415),
-	.B(\fifo_buffer[175] [3]),
-	.Y(n_3347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189505 (
-	.A(n_2415),
-	.B(\fifo_buffer[175] [4]),
-	.Y(n_3346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189506 (
-	.A(n_2415),
-	.B(\fifo_buffer[175] [5]),
-	.Y(n_3345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189507 (
-	.A(n_2415),
-	.B(\fifo_buffer[175] [6]),
-	.Y(n_3344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189508 (
-	.A(n_2415),
-	.B(\fifo_buffer[175] [7]),
-	.Y(n_3343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189509 (
-	.A(n_2415),
-	.B(\fifo_buffer[175] [8]),
-	.Y(n_3342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189510 (
-	.A(n_2429),
-	.B(\fifo_buffer[7] [1]),
-	.Y(n_3341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189511 (
-	.A(n_2429),
-	.B(\fifo_buffer[7] [2]),
-	.Y(n_3340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189512 (
-	.A(n_2429),
-	.B(\fifo_buffer[7] [3]),
-	.Y(n_3339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189513 (
-	.A(n_2429),
-	.B(\fifo_buffer[7] [4]),
-	.Y(n_3338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189514 (
-	.A(n_2429),
-	.B(\fifo_buffer[7] [5]),
-	.Y(n_3337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189515 (
-	.A(n_2429),
-	.B(\fifo_buffer[7] [6]),
-	.Y(n_3336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189516 (
-	.A(n_2429),
-	.B(\fifo_buffer[7] [7]),
-	.Y(n_3335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189517 (
-	.A(n_2429),
-	.B(\fifo_buffer[7] [8]),
-	.Y(n_3334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189518 (
-	.A(n_2441),
-	.B(\fifo_buffer[11] [1]),
-	.Y(n_3333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189519 (
-	.A(n_2441),
-	.B(\fifo_buffer[11] [2]),
-	.Y(n_3332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189520 (
-	.A(n_2441),
-	.B(\fifo_buffer[11] [3]),
-	.Y(n_3331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189521 (
-	.A(n_2441),
-	.B(\fifo_buffer[11] [4]),
-	.Y(n_3330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189522 (
-	.A(n_2441),
-	.B(\fifo_buffer[11] [5]),
-	.Y(n_3329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189523 (
-	.A(n_2441),
-	.B(\fifo_buffer[11] [6]),
-	.Y(n_3328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189524 (
-	.A(n_2441),
-	.B(\fifo_buffer[11] [8]),
-	.Y(n_3327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189525 (
-	.A(n_2441),
-	.B(\fifo_buffer[11] [7]),
-	.Y(n_3326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189526 (
-	.A(n_2437),
-	.B(\fifo_buffer[13] [1]),
-	.Y(n_3325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189527 (
-	.A(n_2437),
-	.B(\fifo_buffer[13] [2]),
-	.Y(n_3324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189528 (
-	.A(n_2437),
-	.B(\fifo_buffer[13] [3]),
-	.Y(n_3323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189529 (
-	.A(n_2437),
-	.B(\fifo_buffer[13] [4]),
-	.Y(n_3322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189530 (
-	.A(n_2437),
-	.B(\fifo_buffer[13] [5]),
-	.Y(n_3321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189531 (
-	.A(n_2437),
-	.B(\fifo_buffer[13] [6]),
-	.Y(n_3320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189532 (
-	.A(n_2437),
-	.B(\fifo_buffer[13] [7]),
-	.Y(n_3319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189533 (
-	.A(n_2437),
-	.B(\fifo_buffer[13] [8]),
-	.Y(n_3318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189534 (
-	.A(n_2423),
-	.B(\fifo_buffer[14] [1]),
-	.Y(n_3317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189535 (
-	.A(n_2423),
-	.B(\fifo_buffer[14] [2]),
-	.Y(n_3316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189536 (
-	.A(n_2423),
-	.B(\fifo_buffer[14] [3]),
-	.Y(n_3315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189537 (
-	.A(n_2423),
-	.B(\fifo_buffer[14] [4]),
-	.Y(n_3314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189538 (
-	.A(n_2423),
-	.B(\fifo_buffer[14] [5]),
-	.Y(n_3313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189539 (
-	.A(n_2423),
-	.B(\fifo_buffer[14] [6]),
-	.Y(n_3312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189540 (
-	.A(n_2423),
-	.B(\fifo_buffer[14] [7]),
-	.Y(n_3311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189541 (
-	.A(n_2423),
-	.B(\fifo_buffer[14] [8]),
-	.Y(n_3310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189542 (
-	.A(n_2404),
-	.B(\fifo_buffer[15] [1]),
-	.Y(n_3309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189543 (
-	.A(n_2404),
-	.B(\fifo_buffer[15] [2]),
-	.Y(n_3308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189544 (
-	.A(n_2404),
-	.B(\fifo_buffer[15] [3]),
-	.Y(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189545 (
-	.A(n_2404),
-	.B(\fifo_buffer[15] [4]),
-	.Y(n_3306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189546 (
-	.A(n_2404),
-	.B(\fifo_buffer[15] [5]),
-	.Y(n_3305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189547 (
-	.A(n_2404),
-	.B(\fifo_buffer[15] [6]),
-	.Y(n_3304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189548 (
-	.A(n_2404),
-	.B(\fifo_buffer[15] [8]),
-	.Y(n_3303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g189549 (
-	.A(raddr[2]),
-	.B(n_527),
-	.Y(n_3302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189550 (
-	.A(n_2426),
-	.B(\fifo_buffer[35] [1]),
-	.Y(n_3301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189551 (
-	.A(n_2426),
-	.B(\fifo_buffer[35] [2]),
-	.Y(n_3300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189552 (
-	.A(n_2426),
-	.B(\fifo_buffer[35] [3]),
-	.Y(n_3299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189553 (
-	.A(n_2426),
-	.B(\fifo_buffer[35] [4]),
-	.Y(n_3298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189554 (
-	.A(n_2426),
-	.B(\fifo_buffer[35] [5]),
-	.Y(n_3297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189555 (
-	.A(n_2426),
-	.B(\fifo_buffer[35] [6]),
-	.Y(n_3296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189556 (
-	.A(n_2426),
-	.B(\fifo_buffer[35] [7]),
-	.Y(n_3295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189557 (
-	.A(n_2426),
-	.B(\fifo_buffer[35] [8]),
-	.Y(n_3294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189558 (
-	.A(n_2450),
-	.B(\fifo_buffer[36] [1]),
-	.Y(n_3293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189559 (
-	.A(n_2450),
-	.B(\fifo_buffer[36] [2]),
-	.Y(n_3292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189560 (
-	.A(n_2450),
-	.B(\fifo_buffer[36] [3]),
-	.Y(n_3291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189561 (
-	.A(n_2450),
-	.B(\fifo_buffer[36] [4]),
-	.Y(n_3290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189562 (
-	.A(n_2450),
-	.B(\fifo_buffer[36] [5]),
-	.Y(n_3289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189563 (
-	.A(n_2450),
-	.B(\fifo_buffer[36] [6]),
-	.Y(n_3288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189564 (
-	.A(n_2450),
-	.B(\fifo_buffer[36] [8]),
-	.Y(n_3287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189565 (
-	.A(n_2450),
-	.B(\fifo_buffer[36] [7]),
-	.Y(n_3286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189566 (
-	.A(n_2413),
-	.B(\fifo_buffer[37] [1]),
-	.Y(n_3285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189567 (
-	.A(n_2413),
-	.B(\fifo_buffer[37] [2]),
-	.Y(n_3284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189568 (
-	.A(n_2413),
-	.B(\fifo_buffer[37] [3]),
-	.Y(n_3283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189569 (
-	.A(n_2413),
-	.B(\fifo_buffer[37] [4]),
-	.Y(n_3282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189570 (
-	.A(n_2413),
-	.B(\fifo_buffer[37] [5]),
-	.Y(n_3281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189571 (
-	.A(n_2413),
-	.B(\fifo_buffer[37] [7]),
-	.Y(n_3280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189572 (
-	.A(n_2404),
-	.B(\fifo_buffer[15] [7]),
-	.Y(n_3279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189573 (
-	.A(n_2413),
-	.B(\fifo_buffer[37] [6]),
-	.Y(n_3278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189574 (
-	.A(n_2413),
-	.B(\fifo_buffer[37] [8]),
-	.Y(n_3277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189575 (
-	.A(n_2408),
-	.B(\fifo_buffer[38] [1]),
-	.Y(n_3276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189576 (
-	.A(n_2408),
-	.B(\fifo_buffer[38] [2]),
-	.Y(n_3275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189577 (
-	.A(n_2408),
-	.B(\fifo_buffer[38] [3]),
-	.Y(n_3274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189578 (
-	.A(n_2408),
-	.B(\fifo_buffer[38] [5]),
-	.Y(n_3273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189579 (
-	.A(n_2408),
-	.B(\fifo_buffer[38] [4]),
-	.Y(n_3272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189580 (
-	.A(n_2408),
-	.B(\fifo_buffer[38] [6]),
-	.Y(n_3271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189581 (
-	.A(n_2408),
-	.B(\fifo_buffer[38] [7]),
-	.Y(n_3270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189582 (
-	.A(n_2408),
-	.B(\fifo_buffer[38] [8]),
-	.Y(n_3269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189583 (
-	.A(n_2390),
-	.B(\fifo_buffer[39] [1]),
-	.Y(n_3268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189584 (
-	.A(n_2390),
-	.B(\fifo_buffer[39] [2]),
-	.Y(n_3267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189585 (
-	.A(n_2390),
-	.B(\fifo_buffer[39] [3]),
-	.Y(n_3266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189586 (
-	.A(n_2390),
-	.B(\fifo_buffer[39] [4]),
-	.Y(n_3265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189587 (
-	.A(n_2390),
-	.B(\fifo_buffer[39] [5]),
-	.Y(n_3264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189588 (
-	.A(n_2390),
-	.B(\fifo_buffer[39] [6]),
-	.Y(n_3263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189589 (
-	.A(n_2390),
-	.B(\fifo_buffer[39] [7]),
-	.Y(n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189590 (
-	.A(n_2390),
-	.B(\fifo_buffer[39] [8]),
-	.Y(n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189591 (
-	.A(n_2432),
-	.B(\fifo_buffer[41] [1]),
-	.Y(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189592 (
-	.A(n_2432),
-	.B(\fifo_buffer[41] [2]),
-	.Y(n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189593 (
-	.A(n_2432),
-	.B(\fifo_buffer[41] [3]),
-	.Y(n_3258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189594 (
-	.A(n_2432),
-	.B(\fifo_buffer[41] [4]),
-	.Y(n_3257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189595 (
-	.A(n_2432),
-	.B(\fifo_buffer[41] [5]),
-	.Y(n_3256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189596 (
-	.A(n_2432),
-	.B(\fifo_buffer[41] [6]),
-	.Y(n_3255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189597 (
-	.A(n_2432),
-	.B(\fifo_buffer[41] [7]),
-	.Y(n_3254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189598 (
-	.A(n_2432),
-	.B(\fifo_buffer[41] [8]),
-	.Y(n_3253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189599 (
-	.A(n_2421),
-	.B(\fifo_buffer[42] [1]),
-	.Y(n_3252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189600 (
-	.A(n_2421),
-	.B(\fifo_buffer[42] [2]),
-	.Y(n_3251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189601 (
-	.A(n_2421),
-	.B(\fifo_buffer[42] [3]),
-	.Y(n_3250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189602 (
-	.A(n_2421),
-	.B(\fifo_buffer[42] [4]),
-	.Y(n_3249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189603 (
-	.A(n_2421),
-	.B(\fifo_buffer[42] [5]),
-	.Y(n_3248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189604 (
-	.A(n_2421),
-	.B(\fifo_buffer[42] [6]),
-	.Y(n_3247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189605 (
-	.A(n_2421),
-	.B(\fifo_buffer[42] [7]),
-	.Y(n_3246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189606 (
-	.A(n_2421),
-	.B(\fifo_buffer[42] [8]),
-	.Y(n_3245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189607 (
-	.A(n_2398),
-	.B(\fifo_buffer[43] [1]),
-	.Y(n_3244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189608 (
-	.A(n_2398),
-	.B(\fifo_buffer[43] [2]),
-	.Y(n_3243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189609 (
-	.A(n_2398),
-	.B(\fifo_buffer[43] [3]),
-	.Y(n_3242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189610 (
-	.A(n_2398),
-	.B(\fifo_buffer[43] [4]),
-	.Y(n_3241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189611 (
-	.A(n_2398),
-	.B(\fifo_buffer[43] [5]),
-	.Y(n_3240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189612 (
-	.A(n_2398),
-	.B(\fifo_buffer[43] [6]),
-	.Y(n_3239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189613 (
-	.A(n_2398),
-	.B(\fifo_buffer[43] [7]),
-	.Y(n_3238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189614 (
-	.A(n_2398),
-	.B(\fifo_buffer[43] [8]),
-	.Y(n_3237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189615 (
-	.A(n_2417),
-	.B(\fifo_buffer[44] [1]),
-	.Y(n_3236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189616 (
-	.A(n_2417),
-	.B(\fifo_buffer[44] [2]),
-	.Y(n_3235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g189617 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_1877),
-	.Y(n_3234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189618 (
-	.A1(n_39),
-	.A2(n_476),
-	.B1(n_1945),
-	.Y(n_3233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g189619 (
-	.A(FE_OFN1069_u_uart_u_uart_core_tx_fifo_reset),
-	.B(n_413),
-	.C(n_527),
-	.Y(n_3232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g189620 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_531),
-	.Y(n_3231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g189621 (
-	.A1(n_132),
-	.A2(n_31),
-	.B1(n_138),
-	.B2(waddr[5]),
-	.Y(n_3230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189622 (
-	.A1(n_37),
-	.A2(n_476),
-	.B1(n_2376),
-	.Y(n_3229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189623 (
-	.A1(n_43),
-	.A2(n_476),
-	.B1(n_2374),
-	.Y(n_3228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189624 (
-	.A1(n_41),
-	.A2(n_476),
-	.B1(n_2373),
-	.Y(n_3227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189625 (
-	.A1(n_47),
-	.A2(n_476),
-	.B1(n_2372),
-	.Y(n_3226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189626 (
-	.A1(n_49),
-	.A2(n_476),
-	.B1(n_2371),
-	.Y(n_3225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189627 (
-	.A1(n_449),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_690),
-	.B2(\fifo_buffer[152] [1]),
-	.X(n_3224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189628 (
-	.A1(n_449),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_690),
-	.B2(\fifo_buffer[152] [2]),
-	.X(n_3223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189629 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_410),
-	.B1(n_2241),
-	.Y(n_3222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189630 (
-	.A1(n_449),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_690),
-	.B2(\fifo_buffer[152] [3]),
-	.X(n_3221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189631 (
-	.A1(n_449),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_690),
-	.B2(\fifo_buffer[152] [4]),
-	.X(n_3220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189632 (
-	.A1(n_449),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_690),
-	.B2(\fifo_buffer[152] [5]),
-	.X(n_3219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189633 (
-	.A1(n_449),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_690),
-	.B2(\fifo_buffer[152] [6]),
-	.X(n_3218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189634 (
-	.A1(n_449),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_690),
-	.B2(\fifo_buffer[152] [7]),
-	.X(n_3217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189635 (
-	.A1(n_449),
-	.A2(n_48),
-	.B1(n_690),
-	.B2(\fifo_buffer[152] [8]),
-	.X(n_3216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189636 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_522),
-	.B1(n_2370),
-	.Y(n_3215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189637 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_522),
-	.B1(n_2369),
-	.Y(n_3214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189638 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_522),
-	.B1(n_2368),
-	.Y(n_3213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189639 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_522),
-	.B1(n_2367),
-	.Y(n_3212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189640 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_522),
-	.B1(n_2366),
-	.Y(n_3211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189641 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_522),
-	.B1(n_2365),
-	.Y(n_3210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189642 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_522),
-	.B1(n_2364),
-	.Y(n_3209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189643 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_522),
-	.B1(n_2363),
-	.Y(n_3208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189644 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_521),
-	.B1(n_2362),
-	.Y(n_3207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189645 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_521),
-	.B1(n_2361),
-	.Y(n_3206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189646 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_521),
-	.B1(n_2360),
-	.Y(n_3205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189647 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_521),
-	.B1(n_2359),
-	.Y(n_3204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189648 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_521),
-	.B1(n_2358),
-	.Y(n_3203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189649 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_521),
-	.B1(n_2357),
-	.Y(n_3202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189650 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_521),
-	.B1(n_2356),
-	.Y(n_3201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189651 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_521),
-	.B1(n_2355),
-	.Y(n_3200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189652 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_465),
-	.B1(n_2354),
-	.Y(n_3199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189653 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_465),
-	.B1(n_2353),
-	.Y(n_3198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189654 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_465),
-	.B1(n_2352),
-	.Y(n_3197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189655 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_465),
-	.B1(n_2351),
-	.Y(n_3196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189656 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_465),
-	.B1(n_2350),
-	.Y(n_3195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189657 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_465),
-	.B1(n_2349),
-	.Y(n_3194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189658 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_465),
-	.B1(n_2348),
-	.Y(n_3193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189659 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_465),
-	.B1(n_2347),
-	.Y(n_3192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189660 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_447),
-	.B1(n_2346),
-	.Y(n_3191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189661 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_447),
-	.B1(n_2345),
-	.Y(n_3190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189662 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_447),
-	.B1(n_2344),
-	.Y(n_3189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189663 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_447),
-	.B1(n_2343),
-	.Y(n_3188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189664 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_447),
-	.B1(n_2342),
-	.Y(n_3187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189665 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_447),
-	.B1(n_2341),
-	.Y(n_3186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189666 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_447),
-	.B1(n_2340),
-	.Y(n_3185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189667 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_447),
-	.B1(n_2339),
-	.Y(n_3184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189668 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_472),
-	.B1(n_2338),
-	.Y(n_3183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189669 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_472),
-	.B1(n_2337),
-	.Y(n_3182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189670 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_472),
-	.B1(n_2336),
-	.Y(n_3181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189671 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_472),
-	.B1(n_2335),
-	.Y(n_3180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189672 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_472),
-	.B1(n_2334),
-	.Y(n_3179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189673 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_472),
-	.B1(n_2333),
-	.Y(n_3178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189674 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_472),
-	.B1(n_2332),
-	.Y(n_3177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189675 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_472),
-	.B1(n_2331),
-	.Y(n_3176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189676 (
-	.A1(n_24),
-	.A2(n_523),
-	.B1(n_2330),
-	.Y(n_3175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189677 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_523),
-	.B1(n_2329),
-	.Y(n_3174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189678 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_523),
-	.B1(n_2328),
-	.Y(n_3173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189679 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_523),
-	.B1(n_2327),
-	.Y(n_3172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189680 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_523),
-	.B1(n_2326),
-	.Y(n_3171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189681 (
-	.A1(n_41),
-	.A2(n_523),
-	.B1(n_2325),
-	.Y(n_3170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189682 (
-	.A1(n_47),
-	.A2(n_523),
-	.B1(n_2324),
-	.Y(n_3169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189683 (
-	.A1(n_49),
-	.A2(n_523),
-	.B1(n_2323),
-	.Y(n_3168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189684 (
-	.A1(n_24),
-	.A2(n_497),
-	.B1(n_2322),
-	.Y(n_3167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189685 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_497),
-	.B1(n_2321),
-	.Y(n_3166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189686 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_497),
-	.B1(n_2320),
-	.Y(n_3165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189687 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_497),
-	.B1(n_2319),
-	.Y(n_3164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189688 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_497),
-	.B1(n_2318),
-	.Y(n_3163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189689 (
-	.A1(n_41),
-	.A2(n_497),
-	.B1(n_2317),
-	.Y(n_3162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189690 (
-	.A1(n_47),
-	.A2(n_497),
-	.B1(n_2316),
-	.Y(n_3161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189691 (
-	.A1(n_49),
-	.A2(n_497),
-	.B1(n_2315),
-	.Y(n_3160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189692 (
-	.A1(n_488),
-	.A2(n_23),
-	.B1(n_742),
-	.B2(\fifo_buffer[77] [1]),
-	.X(n_3159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189693 (
-	.A1(n_501),
-	.A2(n_40),
-	.B1(n_672),
-	.B2(\fifo_buffer[96] [6]),
-	.X(n_3158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189694 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_468),
-	.B1(n_2229),
-	.Y(n_3157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189695 (
-	.A1(n_501),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_672),
-	.B2(\fifo_buffer[96] [3]),
-	.X(n_3156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189696 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_459),
-	.B1(n_2306),
-	.Y(n_3155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189697 (
-	.A1(n_509),
-	.A2(n_23),
-	.B1(n_738),
-	.B2(\fifo_buffer[64] [1]),
-	.X(n_3154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189698 (
-	.A1(n_509),
-	.A2(n_44),
-	.B1(n_738),
-	.B2(\fifo_buffer[64] [2]),
-	.X(n_3153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189699 (
-	.A1(n_509),
-	.A2(n_36),
-	.B1(n_738),
-	.B2(\fifo_buffer[64] [4]),
-	.X(n_3152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189700 (
-	.A1(n_509),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_738),
-	.B2(\fifo_buffer[64] [5]),
-	.X(n_3151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189701 (
-	.A1(n_509),
-	.A2(n_40),
-	.B1(n_738),
-	.B2(\fifo_buffer[64] [6]),
-	.X(n_3150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189702 (
-	.A1(n_509),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_738),
-	.B2(\fifo_buffer[64] [7]),
-	.X(n_3149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189703 (
-	.A1(n_509),
-	.A2(n_48),
-	.B1(n_738),
-	.B2(\fifo_buffer[64] [8]),
-	.X(n_3148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189704 (
-	.A1(n_469),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_775),
-	.B2(\fifo_buffer[65] [1]),
-	.X(n_3147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189705 (
-	.A1(n_469),
-	.A2(n_44),
-	.B1(n_775),
-	.B2(\fifo_buffer[65] [2]),
-	.X(n_3146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189706 (
-	.A1(n_469),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_775),
-	.B2(\fifo_buffer[65] [3]),
-	.X(n_3145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189707 (
-	.A1(n_469),
-	.A2(n_36),
-	.B1(n_775),
-	.B2(\fifo_buffer[65] [4]),
-	.X(n_3144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189708 (
-	.A1(n_469),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_775),
-	.B2(\fifo_buffer[65] [5]),
-	.X(n_3143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189709 (
-	.A1(n_469),
-	.A2(n_40),
-	.B1(n_775),
-	.B2(\fifo_buffer[65] [6]),
-	.X(n_3142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189710 (
-	.A1(n_469),
-	.A2(n_46),
-	.B1(n_775),
-	.B2(\fifo_buffer[65] [7]),
-	.X(n_3141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189711 (
-	.A1(n_469),
-	.A2(n_48),
-	.B1(n_775),
-	.B2(\fifo_buffer[65] [8]),
-	.X(n_3140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189712 (
-	.A1(n_463),
-	.A2(n_23),
-	.B1(n_761),
-	.B2(\fifo_buffer[66] [1]),
-	.X(n_3139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189713 (
-	.A1(n_463),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_761),
-	.B2(\fifo_buffer[66] [2]),
-	.X(n_3138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189714 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_510),
-	.B1(n_2215),
-	.Y(n_3137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189715 (
-	.A1(n_463),
-	.A2(n_38),
-	.B1(n_761),
-	.B2(\fifo_buffer[66] [3]),
-	.X(n_3136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189716 (
-	.A1(n_463),
-	.A2(n_36),
-	.B1(n_761),
-	.B2(\fifo_buffer[66] [4]),
-	.X(n_3135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189717 (
-	.A1(n_463),
-	.A2(n_42),
-	.B1(n_761),
-	.B2(\fifo_buffer[66] [5]),
-	.X(n_3134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189718 (
-	.A1(n_463),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_761),
-	.B2(\fifo_buffer[66] [6]),
-	.X(n_3133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189719 (
-	.A1(n_463),
-	.A2(n_46),
-	.B1(n_761),
-	.B2(\fifo_buffer[66] [7]),
-	.X(n_3132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189720 (
-	.A1(n_463),
-	.A2(n_48),
-	.B1(n_761),
-	.B2(\fifo_buffer[66] [8]),
-	.X(n_3131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189721 (
-	.A1(n_408),
-	.A2(n_23),
-	.B1(n_695),
-	.B2(\fifo_buffer[67] [1]),
-	.X(n_3130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189722 (
-	.A1(n_408),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_695),
-	.B2(\fifo_buffer[67] [2]),
-	.X(n_3129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189723 (
-	.A1(n_408),
-	.A2(n_38),
-	.B1(n_695),
-	.B2(\fifo_buffer[67] [3]),
-	.X(n_3128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189724 (
-	.A1(n_408),
-	.A2(n_36),
-	.B1(n_695),
-	.B2(\fifo_buffer[67] [4]),
-	.X(n_3127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189725 (
-	.A1(n_408),
-	.A2(n_42),
-	.B1(n_695),
-	.B2(\fifo_buffer[67] [5]),
-	.X(n_3126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189726 (
-	.A1(n_408),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_695),
-	.B2(\fifo_buffer[67] [6]),
-	.X(n_3125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189727 (
-	.A1(n_408),
-	.A2(n_46),
-	.B1(n_695),
-	.B2(\fifo_buffer[67] [7]),
-	.X(n_3124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189728 (
-	.A1(n_408),
-	.A2(n_48),
-	.B1(n_695),
-	.B2(\fifo_buffer[67] [8]),
-	.X(n_3123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189729 (
-	.A1(n_405),
-	.A2(n_23),
-	.B1(n_673),
-	.B2(\fifo_buffer[68] [1]),
-	.X(n_3122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189730 (
-	.A1(n_405),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_673),
-	.B2(\fifo_buffer[68] [2]),
-	.X(n_3121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189731 (
-	.A1(n_405),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_673),
-	.B2(\fifo_buffer[68] [3]),
-	.X(n_3120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189732 (
-	.A1(n_405),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_673),
-	.B2(\fifo_buffer[68] [4]),
-	.X(n_3119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189733 (
-	.A1(n_405),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_673),
-	.B2(\fifo_buffer[68] [5]),
-	.X(n_3118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189734 (
-	.A1(n_405),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_673),
-	.B2(\fifo_buffer[68] [6]),
-	.X(n_3117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189735 (
-	.A1(n_405),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_673),
-	.B2(\fifo_buffer[68] [7]),
-	.X(n_3116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189736 (
-	.A1(n_405),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_673),
-	.B2(\fifo_buffer[68] [8]),
-	.X(n_3115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189737 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_459),
-	.B1(n_2312),
-	.Y(n_3114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189738 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_459),
-	.B1(n_2311),
-	.Y(n_3113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189739 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_459),
-	.B1(n_2310),
-	.Y(n_3112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189740 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_459),
-	.B1(n_2308),
-	.Y(n_3111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189741 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_459),
-	.B1(n_2307),
-	.Y(n_3110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189742 (
-	.A1(n_454),
-	.A2(n_23),
-	.B1(n_737),
-	.B2(\fifo_buffer[90] [1]),
-	.X(n_3109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189743 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_459),
-	.B1(n_2305),
-	.Y(n_3108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189744 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_459),
-	.B1(n_2304),
-	.Y(n_3107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189745 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_467),
-	.B1(n_2302),
-	.Y(n_3106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189746 (
-	.A1(n_45),
-	.A2(n_467),
-	.B1(n_2301),
-	.Y(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189747 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_467),
-	.B1(n_2300),
-	.Y(n_3104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189748 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_467),
-	.B1(n_2299),
-	.Y(n_3103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189749 (
-	.A1(n_43),
-	.A2(n_467),
-	.B1(n_2298),
-	.Y(n_3102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189750 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_467),
-	.B1(n_2313),
-	.Y(n_3101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189751 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_467),
-	.B1(n_2297),
-	.Y(n_3100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189752 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_467),
-	.B1(n_2296),
-	.Y(n_3099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189753 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_503),
-	.B1(n_2295),
-	.Y(n_3098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189754 (
-	.A1(n_45),
-	.A2(n_503),
-	.B1(n_2294),
-	.Y(n_3097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189755 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_503),
-	.B1(n_2293),
-	.Y(n_3096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189756 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_503),
-	.B1(n_2292),
-	.Y(n_3095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189757 (
-	.A1(n_43),
-	.A2(n_503),
-	.B1(n_2291),
-	.Y(n_3094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189758 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_503),
-	.B1(n_2290),
-	.Y(n_3093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189759 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_503),
-	.B1(n_2289),
-	.Y(n_3092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189760 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_503),
-	.B1(n_2288),
-	.Y(n_3091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189761 (
-	.A1(n_525),
-	.A2(n_23),
-	.B1(n_784),
-	.B2(\fifo_buffer[72] [1]),
-	.X(n_3090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189762 (
-	.A1(n_525),
-	.A2(n_44),
-	.B1(n_784),
-	.B2(\fifo_buffer[72] [2]),
-	.X(n_3089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g189763 (
-	.A1_N(\fifo_buffer[91] [7]),
-	.A2_N(n_667),
-	.B1(FE_OFN1643_n_47),
-	.B2(n_407),
-	.Y(n_3088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189764 (
-	.A1(n_525),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_784),
-	.B2(\fifo_buffer[72] [3]),
-	.X(n_3087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189765 (
-	.A1(n_525),
-	.A2(n_36),
-	.B1(n_784),
-	.B2(\fifo_buffer[72] [4]),
-	.X(n_3086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189766 (
-	.A1(n_525),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_784),
-	.B2(\fifo_buffer[72] [5]),
-	.X(n_3085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189767 (
-	.A1(n_525),
-	.A2(n_40),
-	.B1(n_784),
-	.B2(\fifo_buffer[72] [6]),
-	.X(n_3084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189768 (
-	.A1(n_525),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_784),
-	.B2(\fifo_buffer[72] [7]),
-	.X(n_3083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189769 (
-	.A1(n_525),
-	.A2(n_48),
-	.B1(n_784),
-	.B2(\fifo_buffer[72] [8]),
-	.X(n_3082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189770 (
-	.A1(n_516),
-	.A2(n_23),
-	.B1(n_740),
-	.B2(\fifo_buffer[73] [1]),
-	.X(n_3081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189771 (
-	.A1(n_516),
-	.A2(n_44),
-	.B1(n_740),
-	.B2(\fifo_buffer[73] [2]),
-	.X(n_3080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189772 (
-	.A1(n_516),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_740),
-	.B2(\fifo_buffer[73] [3]),
-	.X(n_3079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189773 (
-	.A1(n_516),
-	.A2(n_36),
-	.B1(n_740),
-	.B2(\fifo_buffer[73] [4]),
-	.X(n_3078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189774 (
-	.A1(n_516),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_740),
-	.B2(\fifo_buffer[73] [5]),
-	.X(n_3077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189775 (
-	.A1(n_516),
-	.A2(n_40),
-	.B1(n_740),
-	.B2(\fifo_buffer[73] [6]),
-	.X(n_3076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189776 (
-	.A1(n_516),
-	.A2(n_46),
-	.B1(n_740),
-	.B2(\fifo_buffer[73] [7]),
-	.X(n_3075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189777 (
-	.A1(n_516),
-	.A2(n_48),
-	.B1(n_740),
-	.B2(\fifo_buffer[73] [8]),
-	.X(n_3074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189778 (
-	.A1(n_512),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_785),
-	.B2(\fifo_buffer[74] [1]),
-	.X(n_3073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189779 (
-	.A1(n_512),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_785),
-	.B2(\fifo_buffer[74] [2]),
-	.X(n_3072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189780 (
-	.A1(n_512),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_785),
-	.B2(\fifo_buffer[74] [3]),
-	.X(n_3071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189781 (
-	.A1(n_512),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_785),
-	.B2(\fifo_buffer[74] [4]),
-	.X(n_3070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189782 (
-	.A1(n_512),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_785),
-	.B2(\fifo_buffer[74] [5]),
-	.X(n_3069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g189783 (
-	.A1_N(\fifo_buffer[79] [2]),
-	.A2_N(n_669),
-	.B1(FE_OFN1611_n_45),
-	.B2(n_506),
-	.Y(n_3068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189784 (
-	.A1(n_502),
-	.A2(n_48),
-	.B1(n_809),
-	.B2(\fifo_buffer[88] [8]),
-	.X(n_3067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189785 (
-	.A1(n_512),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_785),
-	.B2(\fifo_buffer[74] [6]),
-	.X(n_3066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189786 (
-	.A1(n_512),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_785),
-	.B2(\fifo_buffer[74] [7]),
-	.X(n_3065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189787 (
-	.A1(n_512),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_785),
-	.B2(\fifo_buffer[74] [8]),
-	.X(n_3064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189788 (
-	.A1(n_492),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_675),
-	.B2(\fifo_buffer[75] [1]),
-	.X(n_3063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189789 (
-	.A1(n_492),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_675),
-	.B2(\fifo_buffer[75] [2]),
-	.X(n_3062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189790 (
-	.A1(n_492),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_675),
-	.B2(\fifo_buffer[75] [3]),
-	.X(n_3061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189791 (
-	.A1(n_492),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_675),
-	.B2(\fifo_buffer[75] [4]),
-	.X(n_3060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189792 (
-	.A1(n_492),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_675),
-	.B2(\fifo_buffer[75] [5]),
-	.X(n_3059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189793 (
-	.A1(n_492),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_675),
-	.B2(\fifo_buffer[75] [6]),
-	.X(n_3058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189794 (
-	.A1(n_492),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_675),
-	.B2(\fifo_buffer[75] [7]),
-	.X(n_3057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189795 (
-	.A1(n_492),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_675),
-	.B2(\fifo_buffer[75] [8]),
-	.X(n_3056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189796 (
-	.A1(n_487),
-	.A2(n_23),
-	.B1(n_801),
-	.B2(\fifo_buffer[76] [1]),
-	.X(n_3055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189797 (
-	.A1(n_487),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_801),
-	.B2(\fifo_buffer[76] [2]),
-	.X(n_3054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189798 (
-	.A1(n_487),
-	.A2(n_38),
-	.B1(n_801),
-	.B2(\fifo_buffer[76] [3]),
-	.X(n_3053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189799 (
-	.A1(n_487),
-	.A2(n_36),
-	.B1(n_801),
-	.B2(\fifo_buffer[76] [4]),
-	.X(n_3052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189800 (
-	.A1(n_487),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_801),
-	.B2(\fifo_buffer[76] [5]),
-	.X(n_3051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189801 (
-	.A1(n_487),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_801),
-	.B2(\fifo_buffer[76] [6]),
-	.X(n_3050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189802 (
-	.A1(n_487),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_801),
-	.B2(\fifo_buffer[76] [7]),
-	.X(n_3049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189803 (
-	.A1(n_487),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_801),
-	.B2(\fifo_buffer[76] [8]),
-	.X(n_3048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189804 (
-	.A1(n_488),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_742),
-	.B2(\fifo_buffer[77] [2]),
-	.X(n_3047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189805 (
-	.A1(n_488),
-	.A2(n_38),
-	.B1(n_742),
-	.B2(\fifo_buffer[77] [3]),
-	.X(n_3046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189806 (
-	.A1(n_488),
-	.A2(n_36),
-	.B1(n_742),
-	.B2(\fifo_buffer[77] [4]),
-	.X(n_3045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189807 (
-	.A1(n_488),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_742),
-	.B2(\fifo_buffer[77] [5]),
-	.X(n_3044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189808 (
-	.A1(n_488),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_742),
-	.B2(\fifo_buffer[77] [6]),
-	.X(n_3043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189809 (
-	.A1(n_488),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_742),
-	.B2(\fifo_buffer[77] [7]),
-	.X(n_3042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189810 (
-	.A1(n_488),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_742),
-	.B2(\fifo_buffer[77] [8]),
-	.X(n_3041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189811 (
-	.A1(n_486),
-	.A2(n_23),
-	.B1(n_726),
-	.B2(\fifo_buffer[78] [1]),
-	.X(n_3040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189812 (
-	.A1(n_486),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_726),
-	.B2(\fifo_buffer[78] [2]),
-	.X(n_3039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189813 (
-	.A1(n_486),
-	.A2(FE_OFN1653_n_38),
-	.B1(n_726),
-	.B2(\fifo_buffer[78] [3]),
-	.X(n_3038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189814 (
-	.A1(n_486),
-	.A2(n_36),
-	.B1(n_726),
-	.B2(\fifo_buffer[78] [4]),
-	.X(n_3037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189815 (
-	.A1(n_486),
-	.A2(n_42),
-	.B1(n_726),
-	.B2(\fifo_buffer[78] [5]),
-	.X(n_3036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189816 (
-	.A1(n_486),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_726),
-	.B2(\fifo_buffer[78] [6]),
-	.X(n_3035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189817 (
-	.A1(n_486),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_726),
-	.B2(\fifo_buffer[78] [7]),
-	.X(n_3034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189818 (
-	.A1(n_486),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_726),
-	.B2(\fifo_buffer[78] [8]),
-	.X(n_3033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g189819 (
-	.A1_N(\fifo_buffer[79] [1]),
-	.A2_N(n_669),
-	.B1(FE_OFN1628_n_24),
-	.B2(n_506),
-	.Y(n_3032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g189820 (
-	.A1_N(\fifo_buffer[79] [3]),
-	.A2_N(n_669),
-	.B1(FE_OFN1632_n_39),
-	.B2(n_506),
-	.Y(n_3031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g189821 (
-	.A1_N(\fifo_buffer[79] [4]),
-	.A2_N(n_669),
-	.B1(FE_OFN1608_n_37),
-	.B2(n_506),
-	.Y(n_3030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g189822 (
-	.A1_N(\fifo_buffer[79] [5]),
-	.A2_N(n_669),
-	.B1(FE_OFN1636_n_43),
-	.B2(n_506),
-	.Y(n_3029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g189823 (
-	.A1_N(\fifo_buffer[79] [6]),
-	.A2_N(n_669),
-	.B1(FE_OFN1633_n_41),
-	.B2(n_506),
-	.Y(n_3028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g189824 (
-	.A1_N(\fifo_buffer[79] [7]),
-	.A2_N(n_669),
-	.B1(FE_OFN1641_n_47),
-	.B2(n_506),
-	.Y(n_3027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g189825 (
-	.A1_N(\fifo_buffer[79] [8]),
-	.A2_N(n_669),
-	.B1(FE_OFN1614_n_49),
-	.B2(n_506),
-	.Y(n_3026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189826 (
-	.A1(n_498),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_682),
-	.B2(\fifo_buffer[80] [1]),
-	.X(n_3025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189827 (
-	.A1(n_498),
-	.A2(n_44),
-	.B1(n_682),
-	.B2(\fifo_buffer[80] [2]),
-	.X(n_3024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189828 (
-	.A1(n_498),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_682),
-	.B2(\fifo_buffer[80] [3]),
-	.X(n_3023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189829 (
-	.A1(n_498),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_682),
-	.B2(\fifo_buffer[80] [4]),
-	.X(n_3022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189830 (
-	.A1(n_498),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_682),
-	.B2(\fifo_buffer[80] [5]),
-	.X(n_3021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189831 (
-	.A1(n_498),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_682),
-	.B2(\fifo_buffer[80] [7]),
-	.X(n_3020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189832 (
-	.A1(n_498),
-	.A2(n_48),
-	.B1(n_682),
-	.B2(\fifo_buffer[80] [8]),
-	.X(n_3019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189833 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_485),
-	.B1(n_2286),
-	.Y(n_3018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189834 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_485),
-	.B1(n_2285),
-	.Y(n_3017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189835 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_485),
-	.B1(n_2284),
-	.Y(n_3016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189836 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_485),
-	.B1(n_2283),
-	.Y(n_3015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189837 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_485),
-	.B1(n_2282),
-	.Y(n_3014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189838 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_485),
-	.B1(n_2281),
-	.Y(n_3013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189839 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_485),
-	.B1(n_2280),
-	.Y(n_3012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189840 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_485),
-	.B1(n_2279),
-	.Y(n_3011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189841 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_483),
-	.B1(n_2011),
-	.Y(n_3010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189842 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_483),
-	.B1(n_2278),
-	.Y(n_3009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189843 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_483),
-	.B1(n_2277),
-	.Y(n_3008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189844 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_483),
-	.B1(n_2276),
-	.Y(n_3007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189845 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_483),
-	.B1(n_2275),
-	.Y(n_3006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189846 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_483),
-	.B1(n_2274),
-	.Y(n_3005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189847 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_483),
-	.B1(n_2303),
-	.Y(n_3004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189848 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_483),
-	.B1(n_2059),
-	.Y(n_3003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189849 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_484),
-	.B1(n_2273),
-	.Y(n_3002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189850 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_484),
-	.B1(n_2272),
-	.Y(n_3001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189851 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_484),
-	.B1(n_2271),
-	.Y(n_3000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189852 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_484),
-	.B1(n_2270),
-	.Y(n_2999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189853 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_484),
-	.B1(n_2269),
-	.Y(n_2998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189854 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_484),
-	.B1(n_2268),
-	.Y(n_2997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189855 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_484),
-	.B1(n_2143),
-	.Y(n_2996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189856 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_484),
-	.B1(n_2266),
-	.Y(n_2995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189857 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_496),
-	.B1(n_2375),
-	.Y(n_2994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189858 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_496),
-	.B1(n_2265),
-	.Y(n_2993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189859 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_496),
-	.B1(n_2264),
-	.Y(n_2992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189860 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_496),
-	.B1(n_2263),
-	.Y(n_2991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189861 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_496),
-	.B1(n_2262),
-	.Y(n_2990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189862 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_496),
-	.B1(n_2261),
-	.Y(n_2989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189863 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_496),
-	.B1(n_2087),
-	.Y(n_2988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189864 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_496),
-	.B1(n_1986),
-	.Y(n_2987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189865 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_515),
-	.B1(n_2260),
-	.Y(n_2986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189866 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_515),
-	.B1(n_2259),
-	.Y(n_2985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189867 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_515),
-	.B1(n_2258),
-	.Y(n_2984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189868 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_515),
-	.B1(n_2257),
-	.Y(n_2983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189869 (
-	.A1(n_498),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_682),
-	.B2(\fifo_buffer[80] [6]),
-	.X(n_2982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189870 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_515),
-	.B1(n_2256),
-	.Y(n_2981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189871 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_515),
-	.B1(n_2255),
-	.Y(n_2980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189872 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_515),
-	.B1(n_2309),
-	.Y(n_2979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189873 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_515),
-	.B1(n_2089),
-	.Y(n_2978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189874 (
-	.A1(n_24),
-	.A2(n_518),
-	.B1(n_2287),
-	.Y(n_2977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189875 (
-	.A1(n_45),
-	.A2(n_518),
-	.B1(n_2254),
-	.Y(n_2976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189876 (
-	.A1(n_39),
-	.A2(n_518),
-	.B1(n_2253),
-	.Y(n_2975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189877 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_518),
-	.B1(n_2252),
-	.Y(n_2974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189878 (
-	.A1(n_43),
-	.A2(n_518),
-	.B1(n_2251),
-	.Y(n_2973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189879 (
-	.A1(n_41),
-	.A2(n_518),
-	.B1(n_2250),
-	.Y(n_2972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189880 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_518),
-	.B1(n_2094),
-	.Y(n_2971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189881 (
-	.A1(n_49),
-	.A2(n_518),
-	.B1(n_2249),
-	.Y(n_2970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189882 (
-	.A1(n_24),
-	.A2(n_526),
-	.B1(n_2007),
-	.Y(n_2969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189883 (
-	.A1(n_45),
-	.A2(n_526),
-	.B1(n_2248),
-	.Y(n_2968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189884 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_526),
-	.B1(n_2247),
-	.Y(n_2967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189885 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_526),
-	.B1(n_2246),
-	.Y(n_2966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189886 (
-	.A1(n_43),
-	.A2(n_526),
-	.B1(n_2245),
-	.Y(n_2965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189887 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_526),
-	.B1(n_2244),
-	.Y(n_2964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189888 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_526),
-	.B1(n_2243),
-	.Y(n_2963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189889 (
-	.A1(n_509),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_738),
-	.B2(\fifo_buffer[64] [3]),
-	.X(n_2962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189890 (
-	.A1(n_49),
-	.A2(n_526),
-	.B1(n_2242),
-	.Y(n_2961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189891 (
-	.A1(n_502),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_809),
-	.B2(\fifo_buffer[88] [1]),
-	.X(n_2960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189892 (
-	.A1(n_502),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_809),
-	.B2(\fifo_buffer[88] [2]),
-	.X(n_2959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189893 (
-	.A1(n_502),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_809),
-	.B2(\fifo_buffer[88] [3]),
-	.X(n_2958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189894 (
-	.A1(n_502),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_809),
-	.B2(\fifo_buffer[88] [4]),
-	.X(n_2957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189895 (
-	.A1(n_502),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_809),
-	.B2(\fifo_buffer[88] [5]),
-	.X(n_2956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189896 (
-	.A1(n_502),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_809),
-	.B2(\fifo_buffer[88] [6]),
-	.X(n_2955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189897 (
-	.A1(n_502),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_809),
-	.B2(\fifo_buffer[88] [7]),
-	.X(n_2954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189898 (
-	.A1(n_460),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_750),
-	.B2(\fifo_buffer[89] [1]),
-	.X(n_2953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189899 (
-	.A1(n_460),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_750),
-	.B2(\fifo_buffer[89] [2]),
-	.X(n_2952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189900 (
-	.A1(n_460),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_750),
-	.B2(\fifo_buffer[89] [3]),
-	.X(n_2951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189901 (
-	.A1(n_460),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_750),
-	.B2(\fifo_buffer[89] [4]),
-	.X(n_2950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189902 (
-	.A1(n_460),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_750),
-	.B2(\fifo_buffer[89] [5]),
-	.X(n_2949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189903 (
-	.A1(n_460),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_750),
-	.B2(\fifo_buffer[89] [6]),
-	.X(n_2948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189904 (
-	.A1(n_460),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_750),
-	.B2(\fifo_buffer[89] [7]),
-	.X(n_2947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189905 (
-	.A1(n_460),
-	.A2(n_48),
-	.B1(n_750),
-	.B2(\fifo_buffer[89] [8]),
-	.X(n_2946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189906 (
-	.A1(n_454),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_737),
-	.B2(\fifo_buffer[90] [2]),
-	.X(n_2945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189907 (
-	.A1(n_454),
-	.A2(n_38),
-	.B1(n_737),
-	.B2(\fifo_buffer[90] [3]),
-	.X(n_2944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189908 (
-	.A1(n_454),
-	.A2(n_36),
-	.B1(n_737),
-	.B2(\fifo_buffer[90] [4]),
-	.X(n_2943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189909 (
-	.A1(n_454),
-	.A2(n_42),
-	.B1(n_737),
-	.B2(\fifo_buffer[90] [5]),
-	.X(n_2942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189910 (
-	.A1(n_454),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_737),
-	.B2(\fifo_buffer[90] [6]),
-	.X(n_2941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189911 (
-	.A1(n_454),
-	.A2(n_46),
-	.B1(n_737),
-	.B2(\fifo_buffer[90] [7]),
-	.X(n_2940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g189912 (
-	.A1_N(\fifo_buffer[91] [1]),
-	.A2_N(n_667),
-	.B1(FE_OFN1626_n_24),
-	.B2(n_407),
-	.Y(n_2939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g189913 (
-	.A1_N(\fifo_buffer[91] [2]),
-	.A2_N(n_667),
-	.B1(FE_OFN1610_n_45),
-	.B2(n_407),
-	.Y(n_2938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g189914 (
-	.A1_N(\fifo_buffer[91] [3]),
-	.A2_N(n_667),
-	.B1(FE_OFN1630_n_39),
-	.B2(n_407),
-	.Y(n_2937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189915 (
-	.A1(n_454),
-	.A2(n_48),
-	.B1(n_737),
-	.B2(\fifo_buffer[90] [8]),
-	.X(n_2936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g189916 (
-	.A1_N(\fifo_buffer[91] [4]),
-	.A2_N(n_667),
-	.B1(FE_OFN1607_n_37),
-	.B2(n_407),
-	.Y(n_2935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g189917 (
-	.A1_N(\fifo_buffer[91] [5]),
-	.A2_N(n_667),
-	.B1(FE_OFN1636_n_43),
-	.B2(n_407),
-	.Y(n_2934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g189918 (
-	.A1_N(\fifo_buffer[91] [6]),
-	.A2_N(n_667),
-	.B1(FE_OFN1634_n_41),
-	.B2(n_407),
-	.Y(n_2933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g189919 (
-	.A1_N(\fifo_buffer[91] [8]),
-	.A2_N(n_667),
-	.B1(FE_OFN1613_n_49),
-	.B2(n_407),
-	.Y(n_2932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189920 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_410),
-	.B1(n_2240),
-	.Y(n_2931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189921 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_410),
-	.B1(n_2239),
-	.Y(n_2930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189922 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_410),
-	.B1(n_2238),
-	.Y(n_2929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189923 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_410),
-	.B1(n_2237),
-	.Y(n_2928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189924 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_410),
-	.B1(n_2236),
-	.Y(n_2927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189925 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_410),
-	.B1(n_1972),
-	.Y(n_2926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189926 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_410),
-	.B1(n_2000),
-	.Y(n_2925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189927 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_468),
-	.B1(n_2235),
-	.Y(n_2924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189928 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_468),
-	.B1(n_2234),
-	.Y(n_2923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189929 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_468),
-	.B1(n_2233),
-	.Y(n_2922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189930 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_468),
-	.B1(n_2267),
-	.Y(n_2921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189931 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_468),
-	.B1(n_2232),
-	.Y(n_2920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189932 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_468),
-	.B1(n_2231),
-	.Y(n_2919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189933 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_468),
-	.B1(n_2230),
-	.Y(n_2918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189934 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_473),
-	.B1(n_2227),
-	.Y(n_2917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189935 (
-	.A1(n_39),
-	.A2(n_473),
-	.B1(n_2226),
-	.Y(n_2916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189936 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_473),
-	.B1(n_2225),
-	.Y(n_2915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189937 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_473),
-	.B1(n_2224),
-	.Y(n_2914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g189938 (
-	.A(n_2453),
-	.B(\fifo_buffer[173] [1]),
-	.Y(n_2913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189939 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_473),
-	.B1(n_2223),
-	.Y(n_2912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189940 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_473),
-	.B1(n_1974),
-	.Y(n_2911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189941 (
-	.A1(n_480),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_754),
-	.B2(\fifo_buffer[16] [1]),
-	.X(n_2910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189942 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_510),
-	.B1(n_2222),
-	.Y(n_2909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189943 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_510),
-	.B1(n_2221),
-	.Y(n_2908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189944 (
-	.A1(n_39),
-	.A2(n_510),
-	.B1(n_2220),
-	.Y(n_2907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189945 (
-	.A1(n_480),
-	.A2(n_44),
-	.B1(n_754),
-	.B2(\fifo_buffer[16] [2]),
-	.X(n_2906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189946 (
-	.A1(n_480),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_754),
-	.B2(\fifo_buffer[16] [3]),
-	.X(n_2905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189947 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_510),
-	.B1(n_2219),
-	.Y(n_2904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189948 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_510),
-	.B1(n_2218),
-	.Y(n_2903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189949 (
-	.A1(n_480),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_754),
-	.B2(\fifo_buffer[16] [4]),
-	.X(n_2902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189950 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_510),
-	.B1(n_2217),
-	.Y(n_2901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189951 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_510),
-	.B1(n_2216),
-	.Y(n_2900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189952 (
-	.A1(n_480),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_754),
-	.B2(\fifo_buffer[16] [5]),
-	.X(n_2899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189953 (
-	.A1(n_480),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_754),
-	.B2(\fifo_buffer[16] [6]),
-	.X(n_2898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189954 (
-	.A1(n_501),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_672),
-	.B2(\fifo_buffer[96] [1]),
-	.X(n_2897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189955 (
-	.A1(n_480),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_754),
-	.B2(\fifo_buffer[16] [7]),
-	.X(n_2896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189956 (
-	.A1(n_501),
-	.A2(n_44),
-	.B1(n_672),
-	.B2(\fifo_buffer[96] [2]),
-	.X(n_2895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189957 (
-	.A1(n_451),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_701),
-	.B2(\fifo_buffer[17] [1]),
-	.X(n_2894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189958 (
-	.A1(n_480),
-	.A2(n_48),
-	.B1(n_754),
-	.B2(\fifo_buffer[16] [8]),
-	.X(n_2893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189959 (
-	.A1(n_501),
-	.A2(n_36),
-	.B1(n_672),
-	.B2(\fifo_buffer[96] [4]),
-	.X(n_2892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189960 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_495),
-	.B1(n_2314),
-	.Y(n_2891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189961 (
-	.A1(n_501),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_672),
-	.B2(\fifo_buffer[96] [5]),
-	.X(n_2890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189962 (
-	.A1(n_451),
-	.A2(n_44),
-	.B1(n_701),
-	.B2(\fifo_buffer[17] [2]),
-	.X(n_2889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189963 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_495),
-	.B1(n_2214),
-	.Y(n_2888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189964 (
-	.A1(n_501),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_672),
-	.B2(\fifo_buffer[96] [7]),
-	.X(n_2887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189965 (
-	.A1(n_501),
-	.A2(n_48),
-	.B1(n_672),
-	.B2(\fifo_buffer[96] [8]),
-	.X(n_2886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189966 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_495),
-	.B1(n_2213),
-	.Y(n_2885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189967 (
-	.A1(n_451),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_701),
-	.B2(\fifo_buffer[17] [3]),
-	.X(n_2884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189968 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_495),
-	.B1(n_2212),
-	.Y(n_2883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189969 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_495),
-	.B1(n_2211),
-	.Y(n_2882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189970 (
-	.A1(n_451),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_701),
-	.B2(\fifo_buffer[17] [4]),
-	.X(n_2881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189971 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_495),
-	.B1(n_2210),
-	.Y(n_2880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189972 (
-	.A1(n_451),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_701),
-	.B2(\fifo_buffer[17] [7]),
-	.X(n_2879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189973 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_495),
-	.B1(n_2209),
-	.Y(n_2878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189974 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_495),
-	.B1(n_2208),
-	.Y(n_2877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189975 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_494),
-	.B1(n_2207),
-	.Y(n_2876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189976 (
-	.A1(n_451),
-	.A2(n_48),
-	.B1(n_701),
-	.B2(\fifo_buffer[17] [8]),
-	.X(n_2875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189977 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_494),
-	.B1(n_2206),
-	.Y(n_2874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189978 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_494),
-	.B1(n_2205),
-	.Y(n_2873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189979 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_494),
-	.B1(n_2204),
-	.Y(n_2872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189980 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_494),
-	.B1(n_2203),
-	.Y(n_2871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189981 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_494),
-	.B1(n_2202),
-	.Y(n_2870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189982 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_494),
-	.B1(n_2201),
-	.Y(n_2869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189983 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_494),
-	.B1(n_2200),
-	.Y(n_2868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189984 (
-	.A1(n_451),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_701),
-	.B2(\fifo_buffer[17] [5]),
-	.X(n_2867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189985 (
-	.A1(n_440),
-	.A2(n_23),
-	.B1(n_683),
-	.B2(\fifo_buffer[18] [1]),
-	.X(n_2866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189986 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_493),
-	.B1(n_2199),
-	.Y(n_2865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189987 (
-	.A1(n_451),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_701),
-	.B2(\fifo_buffer[17] [6]),
-	.X(n_2864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189988 (
-	.A1(n_440),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_683),
-	.B2(\fifo_buffer[18] [2]),
-	.X(n_2863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189989 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_493),
-	.B1(n_2198),
-	.Y(n_2862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189990 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_493),
-	.B1(n_2197),
-	.Y(n_2861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189991 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_493),
-	.B1(n_2196),
-	.Y(n_2860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189992 (
-	.A1(n_440),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_683),
-	.B2(\fifo_buffer[18] [3]),
-	.X(n_2859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189993 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_493),
-	.B1(n_2195),
-	.Y(n_2858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189994 (
-	.A1(n_440),
-	.A2(n_36),
-	.B1(n_683),
-	.B2(\fifo_buffer[18] [4]),
-	.X(n_2857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189995 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_493),
-	.B1(n_2194),
-	.Y(n_2856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189996 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_493),
-	.B1(n_2193),
-	.Y(n_2855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189997 (
-	.A1(n_440),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_683),
-	.B2(\fifo_buffer[18] [5]),
-	.X(n_2854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g189998 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_493),
-	.B1(n_2192),
-	.Y(n_2853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g189999 (
-	.A1(n_440),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_683),
-	.B2(\fifo_buffer[18] [6]),
-	.X(n_2852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190000 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_508),
-	.B1(n_2191),
-	.Y(n_2851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190001 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_508),
-	.B1(n_2190),
-	.Y(n_2850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190002 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_508),
-	.B1(n_2189),
-	.Y(n_2849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190003 (
-	.A1(n_440),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_683),
-	.B2(\fifo_buffer[18] [7]),
-	.X(n_2848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190004 (
-	.A1(n_440),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_683),
-	.B2(\fifo_buffer[18] [8]),
-	.X(n_2847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190005 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_508),
-	.B1(n_2188),
-	.Y(n_2846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190006 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_508),
-	.B1(n_2187),
-	.Y(n_2845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190007 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_508),
-	.B1(n_2186),
-	.Y(n_2844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190008 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_508),
-	.B1(n_2185),
-	.Y(n_2843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190009 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_508),
-	.B1(n_2184),
-	.Y(n_2842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190010 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_401),
-	.B1(n_2182),
-	.Y(n_2841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190011 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_517),
-	.B1(n_2183),
-	.Y(n_2840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190012 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_401),
-	.B1(n_2176),
-	.Y(n_2839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190013 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_517),
-	.B1(n_2181),
-	.Y(n_2838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190014 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_517),
-	.B1(n_2180),
-	.Y(n_2837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190015 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_517),
-	.B1(n_2178),
-	.Y(n_2836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190016 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_401),
-	.B1(n_2173),
-	.Y(n_2835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190017 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_517),
-	.B1(n_2177),
-	.Y(n_2834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190018 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_517),
-	.B1(n_2175),
-	.Y(n_2833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190019 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_401),
-	.B1(n_2179),
-	.Y(n_2832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190020 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_517),
-	.B1(n_2174),
-	.Y(n_2831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190021 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_401),
-	.B1(n_2172),
-	.Y(n_2830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190022 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_517),
-	.B1(n_2171),
-	.Y(n_2829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190023 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_401),
-	.B1(n_2169),
-	.Y(n_2828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190024 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_524),
-	.B1(n_2170),
-	.Y(n_2827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190025 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_401),
-	.B1(n_2166),
-	.Y(n_2826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190026 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_524),
-	.B1(n_2168),
-	.Y(n_2825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190027 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_401),
-	.B1(n_2163),
-	.Y(n_2824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190028 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_524),
-	.B1(n_2167),
-	.Y(n_2823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190029 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_524),
-	.B1(n_2165),
-	.Y(n_2822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190030 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_524),
-	.B1(n_2164),
-	.Y(n_2821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190031 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_524),
-	.B1(n_2162),
-	.Y(n_2820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190032 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_524),
-	.B1(n_2161),
-	.Y(n_2819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190033 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_524),
-	.B1(n_2160),
-	.Y(n_2818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190034 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_477),
-	.B1(n_2159),
-	.Y(n_2817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190035 (
-	.A1(n_402),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_671),
-	.B2(\fifo_buffer[20] [2]),
-	.X(n_2816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190036 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_477),
-	.B1(n_2158),
-	.Y(n_2815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190037 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_477),
-	.B1(n_2157),
-	.Y(n_2814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190038 (
-	.A1(n_402),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_671),
-	.B2(\fifo_buffer[20] [1]),
-	.X(n_2813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190039 (
-	.A1(n_402),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_671),
-	.B2(\fifo_buffer[20] [3]),
-	.X(n_2812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190040 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_477),
-	.B1(n_2156),
-	.Y(n_2811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190041 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_477),
-	.B1(n_2155),
-	.Y(n_2810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190042 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_477),
-	.B1(n_2154),
-	.Y(n_2809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190043 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_477),
-	.B1(n_2153),
-	.Y(n_2808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190044 (
-	.A1(n_402),
-	.A2(n_36),
-	.B1(n_671),
-	.B2(\fifo_buffer[20] [4]),
-	.X(n_2807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190045 (
-	.A1(n_402),
-	.A2(n_42),
-	.B1(n_671),
-	.B2(\fifo_buffer[20] [5]),
-	.X(n_2806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190046 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_477),
-	.B1(n_2152),
-	.Y(n_2805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190047 (
-	.A1(n_402),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_671),
-	.B2(\fifo_buffer[20] [6]),
-	.X(n_2804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190048 (
-	.A1(n_500),
-	.A2(n_23),
-	.B1(n_800),
-	.B2(\fifo_buffer[104] [1]),
-	.X(n_2803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190049 (
-	.A1(n_402),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_671),
-	.B2(\fifo_buffer[20] [7]),
-	.X(n_2802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190050 (
-	.A1(n_500),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_800),
-	.B2(\fifo_buffer[104] [2]),
-	.X(n_2801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190051 (
-	.A1(n_500),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_800),
-	.B2(\fifo_buffer[104] [3]),
-	.X(n_2800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190052 (
-	.A1(n_500),
-	.A2(n_36),
-	.B1(n_800),
-	.B2(\fifo_buffer[104] [4]),
-	.X(n_2799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190053 (
-	.A1(n_500),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_800),
-	.B2(\fifo_buffer[104] [5]),
-	.X(n_2798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190054 (
-	.A1(n_500),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_800),
-	.B2(\fifo_buffer[104] [6]),
-	.X(n_2797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190055 (
-	.A1(n_500),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_800),
-	.B2(\fifo_buffer[104] [7]),
-	.X(n_2796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190056 (
-	.A1(n_500),
-	.A2(n_48),
-	.B1(n_800),
-	.B2(\fifo_buffer[104] [8]),
-	.X(n_2795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190057 (
-	.A1(n_402),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_671),
-	.B2(\fifo_buffer[20] [8]),
-	.X(n_2794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190058 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_443),
-	.B1(n_2151),
-	.Y(n_2793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190059 (
-	.A1(n_462),
-	.A2(n_23),
-	.B1(n_741),
-	.B2(\fifo_buffer[105] [1]),
-	.X(n_2792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190060 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_443),
-	.B1(n_2150),
-	.Y(n_2791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190061 (
-	.A1(n_462),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_741),
-	.B2(\fifo_buffer[105] [2]),
-	.X(n_2790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190062 (
-	.A1(n_462),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_741),
-	.B2(\fifo_buffer[105] [3]),
-	.X(n_2789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190063 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_443),
-	.B1(n_2149),
-	.Y(n_2788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190064 (
-	.A1(n_462),
-	.A2(n_36),
-	.B1(n_741),
-	.B2(\fifo_buffer[105] [4]),
-	.X(n_2787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190065 (
-	.A1(n_462),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_741),
-	.B2(\fifo_buffer[105] [5]),
-	.X(n_2786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190066 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_443),
-	.B1(n_2148),
-	.Y(n_2785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190067 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_443),
-	.B1(n_2147),
-	.Y(n_2784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190068 (
-	.A1(n_462),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_741),
-	.B2(\fifo_buffer[105] [6]),
-	.X(n_2783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190069 (
-	.A1(n_462),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_741),
-	.B2(\fifo_buffer[105] [7]),
-	.X(n_2782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190070 (
-	.A1(n_462),
-	.A2(n_48),
-	.B1(n_741),
-	.B2(\fifo_buffer[105] [8]),
-	.X(n_2781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190071 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_443),
-	.B1(n_2146),
-	.Y(n_2780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190072 (
-	.A1(n_453),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_727),
-	.B2(\fifo_buffer[106] [1]),
-	.X(n_2779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190073 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_443),
-	.B1(n_2145),
-	.Y(n_2778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190074 (
-	.A1(n_453),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_727),
-	.B2(\fifo_buffer[106] [2]),
-	.X(n_2777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190075 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_443),
-	.B1(n_2144),
-	.Y(n_2776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190076 (
-	.A1(n_453),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_727),
-	.B2(\fifo_buffer[106] [3]),
-	.X(n_2775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190077 (
-	.A1(n_453),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_727),
-	.B2(\fifo_buffer[106] [4]),
-	.X(n_2774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190078 (
-	.A1(n_453),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_727),
-	.B2(\fifo_buffer[106] [5]),
-	.X(n_2773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190079 (
-	.A1(n_453),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_727),
-	.B2(\fifo_buffer[106] [6]),
-	.X(n_2772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190080 (
-	.A1(n_453),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_727),
-	.B2(\fifo_buffer[106] [7]),
-	.X(n_2771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190081 (
-	.A1(n_453),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_727),
-	.B2(\fifo_buffer[106] [8]),
-	.X(n_2770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190082 (
-	.A1(n_24),
-	.A2(n_441),
-	.B1(n_2142),
-	.Y(n_2769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190083 (
-	.A1_N(\fifo_buffer[107] [1]),
-	.A2_N(n_670),
-	.B1(FE_OFN1627_n_24),
-	.B2(n_404),
-	.Y(n_2768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190084 (
-	.A1(n_45),
-	.A2(n_441),
-	.B1(n_2141),
-	.Y(n_2767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190085 (
-	.A1_N(\fifo_buffer[107] [2]),
-	.A2_N(n_670),
-	.B1(FE_OFN1611_n_45),
-	.B2(n_404),
-	.Y(n_2766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190086 (
-	.A1(n_39),
-	.A2(n_441),
-	.B1(n_2140),
-	.Y(n_2765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190087 (
-	.A1_N(\fifo_buffer[107] [3]),
-	.A2_N(n_670),
-	.B1(FE_OFN1632_n_39),
-	.B2(n_404),
-	.Y(n_2764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190088 (
-	.A1_N(\fifo_buffer[107] [4]),
-	.A2_N(n_670),
-	.B1(FE_OFN1609_n_37),
-	.B2(n_404),
-	.Y(n_2763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190089 (
-	.A1_N(\fifo_buffer[107] [5]),
-	.A2_N(n_670),
-	.B1(FE_OFN1637_n_43),
-	.B2(n_404),
-	.Y(n_2762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190090 (
-	.A1(n_37),
-	.A2(n_441),
-	.B1(n_2139),
-	.Y(n_2761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190091 (
-	.A1_N(\fifo_buffer[107] [6]),
-	.A2_N(n_670),
-	.B1(FE_OFN1635_n_41),
-	.B2(n_404),
-	.Y(n_2760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190092 (
-	.A1_N(\fifo_buffer[107] [7]),
-	.A2_N(n_670),
-	.B1(FE_OFN1642_n_47),
-	.B2(n_404),
-	.Y(n_2759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190093 (
-	.A1(n_41),
-	.A2(n_441),
-	.B1(n_2137),
-	.Y(n_2758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190094 (
-	.A1_N(\fifo_buffer[107] [8]),
-	.A2_N(n_670),
-	.B1(FE_OFN1614_n_49),
-	.B2(n_404),
-	.Y(n_2757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190095 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_411),
-	.B1(n_2136),
-	.Y(n_2756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190096 (
-	.A1(n_47),
-	.A2(n_441),
-	.B1(n_2134),
-	.Y(n_2755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190097 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_411),
-	.B1(n_2135),
-	.Y(n_2754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190098 (
-	.A1(n_39),
-	.A2(n_411),
-	.B1(n_2133),
-	.Y(n_2753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190099 (
-	.A1(n_43),
-	.A2(n_441),
-	.B1(n_2138),
-	.Y(n_2752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190100 (
-	.A1(n_49),
-	.A2(n_441),
-	.B1(n_2131),
-	.Y(n_2751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190101 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_411),
-	.B1(n_2132),
-	.Y(n_2750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190102 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_411),
-	.B1(n_2130),
-	.Y(n_2749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190103 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_411),
-	.B1(n_2129),
-	.Y(n_2748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190104 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_411),
-	.B1(n_2128),
-	.Y(n_2747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190105 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_411),
-	.B1(n_2127),
-	.Y(n_2746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190106 (
-	.A1(n_24),
-	.A2(n_474),
-	.B1(n_2126),
-	.Y(n_2745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190107 (
-	.A1(n_45),
-	.A2(n_474),
-	.B1(n_2122),
-	.Y(n_2744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190108 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_471),
-	.B1(n_2125),
-	.Y(n_2743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190109 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_471),
-	.B1(n_2124),
-	.Y(n_2742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190110 (
-	.A1(n_39),
-	.A2(n_471),
-	.B1(n_2123),
-	.Y(n_2741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190111 (
-	.A1(n_39),
-	.A2(n_474),
-	.B1(n_2118),
-	.Y(n_2740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190112 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_471),
-	.B1(n_2121),
-	.Y(n_2739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190113 (
-	.A1(n_37),
-	.A2(n_474),
-	.B1(n_2116),
-	.Y(n_2738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190114 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_471),
-	.B1(n_2120),
-	.Y(n_2737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190115 (
-	.A1(n_43),
-	.A2(n_474),
-	.B1(n_2114),
-	.Y(n_2736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190116 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_471),
-	.B1(n_2119),
-	.Y(n_2735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190117 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_471),
-	.B1(n_2117),
-	.Y(n_2734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190118 (
-	.A1(n_41),
-	.A2(n_474),
-	.B1(n_2112),
-	.Y(n_2733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190119 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_471),
-	.B1(n_2115),
-	.Y(n_2732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190120 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_478),
-	.B1(n_2113),
-	.Y(n_2731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190121 (
-	.A1(n_47),
-	.A2(n_474),
-	.B1(n_2110),
-	.Y(n_2730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190122 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_478),
-	.B1(n_2111),
-	.Y(n_2729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190123 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_478),
-	.B1(n_2109),
-	.Y(n_2728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190124 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_478),
-	.B1(n_2108),
-	.Y(n_2727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190125 (
-	.A1(n_49),
-	.A2(n_474),
-	.B1(n_2106),
-	.Y(n_2726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190126 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_478),
-	.B1(n_2107),
-	.Y(n_2725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190127 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_478),
-	.B1(n_2105),
-	.Y(n_2724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190128 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_478),
-	.B1(n_2104),
-	.Y(n_2723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190129 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_478),
-	.B1(n_2103),
-	.Y(n_2722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190130 (
-	.A1(n_448),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_698),
-	.B2(\fifo_buffer[24] [1]),
-	.X(n_2721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190131 (
-	.A1(n_448),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_698),
-	.B2(\fifo_buffer[24] [2]),
-	.X(n_2720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190132 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_511),
-	.B1(n_2102),
-	.Y(n_2719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190133 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_511),
-	.B1(n_2101),
-	.Y(n_2718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190134 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_511),
-	.B1(n_2100),
-	.Y(n_2717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190135 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_511),
-	.B1(n_2099),
-	.Y(n_2716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190136 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_511),
-	.B1(n_2098),
-	.Y(n_2715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190137 (
-	.A1(n_448),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_698),
-	.B2(\fifo_buffer[24] [3]),
-	.X(n_2714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190138 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_511),
-	.B1(n_2097),
-	.Y(n_2713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190139 (
-	.A1(n_448),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_698),
-	.B2(\fifo_buffer[24] [4]),
-	.X(n_2712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190140 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_511),
-	.B1(n_2096),
-	.Y(n_2711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190141 (
-	.A1(n_448),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_698),
-	.B2(\fifo_buffer[24] [5]),
-	.X(n_2710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190142 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_511),
-	.B1(n_2095),
-	.Y(n_2709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190143 (
-	.A1(n_499),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_770),
-	.B2(\fifo_buffer[112] [1]),
-	.X(n_2708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190144 (
-	.A1(n_448),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_698),
-	.B2(\fifo_buffer[24] [6]),
-	.X(n_2707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190145 (
-	.A1(n_448),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_698),
-	.B2(\fifo_buffer[24] [7]),
-	.X(n_2706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190146 (
-	.A1(n_499),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_770),
-	.B2(\fifo_buffer[112] [2]),
-	.X(n_2705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190147 (
-	.A1(n_499),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_770),
-	.B2(\fifo_buffer[112] [3]),
-	.X(n_2704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190148 (
-	.A1(n_448),
-	.A2(n_48),
-	.B1(n_698),
-	.B2(\fifo_buffer[24] [8]),
-	.X(n_2703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190149 (
-	.A1(n_499),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_770),
-	.B2(\fifo_buffer[112] [4]),
-	.X(n_2702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190150 (
-	.A1(n_499),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_770),
-	.B2(\fifo_buffer[112] [5]),
-	.X(n_2701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190151 (
-	.A1(n_499),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_770),
-	.B2(\fifo_buffer[112] [6]),
-	.X(n_2700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190152 (
-	.A1(n_499),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_770),
-	.B2(\fifo_buffer[112] [7]),
-	.X(n_2699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190153 (
-	.A1(n_499),
-	.A2(n_48),
-	.B1(n_770),
-	.B2(\fifo_buffer[112] [8]),
-	.X(n_2698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190154 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_400),
-	.B1(n_2093),
-	.Y(n_2697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190155 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_400),
-	.B1(n_2092),
-	.Y(n_2696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190156 (
-	.A1(n_461),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_692),
-	.B2(\fifo_buffer[113] [1]),
-	.X(n_2695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190157 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_400),
-	.B1(n_2091),
-	.Y(n_2694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190158 (
-	.A1(n_461),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_692),
-	.B2(\fifo_buffer[113] [2]),
-	.X(n_2693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190159 (
-	.A1(n_461),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_692),
-	.B2(\fifo_buffer[113] [3]),
-	.X(n_2692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190160 (
-	.A1(n_461),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_692),
-	.B2(\fifo_buffer[113] [4]),
-	.X(n_2691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190161 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_400),
-	.B1(n_2090),
-	.Y(n_2690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190162 (
-	.A1(n_461),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_692),
-	.B2(\fifo_buffer[113] [5]),
-	.X(n_2689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190163 (
-	.A1(n_461),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_692),
-	.B2(\fifo_buffer[113] [6]),
-	.X(n_2688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190164 (
-	.A1(n_461),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_692),
-	.B2(\fifo_buffer[113] [7]),
-	.X(n_2687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190165 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_400),
-	.B1(n_2088),
-	.Y(n_2686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190166 (
-	.A1(n_461),
-	.A2(n_48),
-	.B1(n_692),
-	.B2(\fifo_buffer[113] [8]),
-	.X(n_2685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190167 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_400),
-	.B1(n_2086),
-	.Y(n_2684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190168 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_400),
-	.B1(n_2085),
-	.Y(n_2683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190169 (
-	.A1(n_452),
-	.A2(n_23),
-	.B1(n_678),
-	.B2(\fifo_buffer[114] [1]),
-	.X(n_2682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190170 (
-	.A1(n_452),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_678),
-	.B2(\fifo_buffer[114] [2]),
-	.X(n_2681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190171 (
-	.A1(n_452),
-	.A2(n_38),
-	.B1(n_678),
-	.B2(\fifo_buffer[114] [3]),
-	.X(n_2680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190172 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_400),
-	.B1(n_2084),
-	.Y(n_2679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190173 (
-	.A1(n_452),
-	.A2(n_36),
-	.B1(n_678),
-	.B2(\fifo_buffer[114] [4]),
-	.X(n_2678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190174 (
-	.A1(n_452),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_678),
-	.B2(\fifo_buffer[114] [5]),
-	.X(n_2677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190175 (
-	.A1(n_452),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_678),
-	.B2(\fifo_buffer[114] [6]),
-	.X(n_2676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190176 (
-	.A1(n_452),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_678),
-	.B2(\fifo_buffer[114] [7]),
-	.X(n_2675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190177 (
-	.A1(n_452),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_678),
-	.B2(\fifo_buffer[114] [8]),
-	.X(n_2674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190178 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_412),
-	.B1(n_2083),
-	.Y(n_2673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190179 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_412),
-	.B1(n_2081),
-	.Y(n_2672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190180 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_445),
-	.B1(n_2082),
-	.Y(n_2671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190181 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_445),
-	.B1(n_2080),
-	.Y(n_2670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190182 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_445),
-	.B1(n_2078),
-	.Y(n_2669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190183 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_445),
-	.B1(n_2077),
-	.Y(n_2668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190184 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_412),
-	.B1(n_2079),
-	.Y(n_2667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190185 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_412),
-	.B1(n_2075),
-	.Y(n_2666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190186 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_445),
-	.B1(n_2076),
-	.Y(n_2665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190187 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_445),
-	.B1(n_2074),
-	.Y(n_2664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190188 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_412),
-	.B1(n_2072),
-	.Y(n_2663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190189 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_445),
-	.B1(n_2073),
-	.Y(n_2662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190190 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_445),
-	.B1(n_2071),
-	.Y(n_2661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190191 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_412),
-	.B1(n_2070),
-	.Y(n_2660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190192 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_456),
-	.B1(n_2069),
-	.Y(n_2659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190193 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_456),
-	.B1(n_2068),
-	.Y(n_2658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190194 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_412),
-	.B1(n_2066),
-	.Y(n_2657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190195 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_456),
-	.B1(n_2067),
-	.Y(n_2656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190196 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_412),
-	.B1(n_2064),
-	.Y(n_2655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190197 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_456),
-	.B1(n_2065),
-	.Y(n_2654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190198 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_456),
-	.B1(n_2063),
-	.Y(n_2653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190199 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_456),
-	.B1(n_2062),
-	.Y(n_2652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190200 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_456),
-	.B1(n_2061),
-	.Y(n_2651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190201 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_456),
-	.B1(n_2377),
-	.Y(n_2650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190202 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_464),
-	.B1(n_2058),
-	.Y(n_2649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190203 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_489),
-	.B1(n_2057),
-	.Y(n_2648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190204 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_464),
-	.B1(n_2055),
-	.Y(n_2647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190205 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_489),
-	.B1(n_2056),
-	.Y(n_2646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190206 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_464),
-	.B1(n_2052),
-	.Y(n_2645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190207 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_489),
-	.B1(n_2054),
-	.Y(n_2644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190208 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_464),
-	.B1(n_2049),
-	.Y(n_2643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190209 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_489),
-	.B1(n_2053),
-	.Y(n_2642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190210 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_489),
-	.B1(n_2051),
-	.Y(n_2641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190211 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_489),
-	.B1(n_2050),
-	.Y(n_2640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190212 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_464),
-	.B1(n_2047),
-	.Y(n_2639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190213 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_489),
-	.B1(n_2048),
-	.Y(n_2638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190214 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_489),
-	.B1(n_2046),
-	.Y(n_2637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190215 (
-	.A1(n_24),
-	.A2(n_507),
-	.B1(n_2044),
-	.Y(n_2636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190216 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_507),
-	.B1(n_2043),
-	.Y(n_2635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190217 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_464),
-	.B1(n_2038),
-	.Y(n_2634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190218 (
-	.A1(n_39),
-	.A2(n_507),
-	.B1(n_2042),
-	.Y(n_2633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190219 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_464),
-	.B1(n_2045),
-	.Y(n_2632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190220 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_507),
-	.B1(n_2040),
-	.Y(n_2631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190221 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_464),
-	.B1(n_2041),
-	.Y(n_2630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190222 (
-	.A1(n_43),
-	.A2(n_507),
-	.B1(n_2039),
-	.Y(n_2629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190223 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_507),
-	.B1(n_2037),
-	.Y(n_2628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190224 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_507),
-	.B1(n_2036),
-	.Y(n_2627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190225 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_409),
-	.B1(n_2034),
-	.Y(n_2626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190226 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_507),
-	.B1(n_2035),
-	.Y(n_2625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190227 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_409),
-	.B1(n_2032),
-	.Y(n_2624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190228 (
-	.A1(n_24),
-	.A2(n_514),
-	.B1(n_2033),
-	.Y(n_2623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190229 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_514),
-	.B1(n_2031),
-	.Y(n_2622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190230 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_409),
-	.B1(n_2029),
-	.Y(n_2621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190231 (
-	.A1(n_39),
-	.A2(n_514),
-	.B1(n_2030),
-	.Y(n_2620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190232 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_514),
-	.B1(n_2028),
-	.Y(n_2619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190233 (
-	.A1(n_43),
-	.A2(n_514),
-	.B1(n_2027),
-	.Y(n_2618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190234 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_409),
-	.B1(n_2025),
-	.Y(n_2617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190235 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_514),
-	.B1(n_2026),
-	.Y(n_2616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190236 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_409),
-	.B1(n_2023),
-	.Y(n_2615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190237 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_514),
-	.B1(n_2024),
-	.Y(n_2614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190238 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_514),
-	.B1(n_2022),
-	.Y(n_2613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190239 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_409),
-	.B1(n_2021),
-	.Y(n_2612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190240 (
-	.A1(n_513),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_739),
-	.B2(\fifo_buffer[120] [1]),
-	.X(n_2611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190241 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_409),
-	.B1(n_2020),
-	.Y(n_2610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190242 (
-	.A1(n_513),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_739),
-	.B2(\fifo_buffer[120] [2]),
-	.X(n_2609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190243 (
-	.A1(n_513),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_739),
-	.B2(\fifo_buffer[120] [3]),
-	.X(n_2608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190244 (
-	.A1(n_513),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_739),
-	.B2(\fifo_buffer[120] [4]),
-	.X(n_2607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190245 (
-	.A1(n_513),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_739),
-	.B2(\fifo_buffer[120] [5]),
-	.X(n_2606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190246 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_409),
-	.B1(n_2019),
-	.Y(n_2605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190247 (
-	.A1(n_513),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_739),
-	.B2(\fifo_buffer[120] [6]),
-	.X(n_2604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190248 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_470),
-	.B1(n_2018),
-	.Y(n_2603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190249 (
-	.A1(n_513),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_739),
-	.B2(\fifo_buffer[120] [7]),
-	.X(n_2602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190250 (
-	.A1(n_513),
-	.A2(n_48),
-	.B1(n_739),
-	.B2(\fifo_buffer[120] [8]),
-	.X(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190251 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_470),
-	.B1(n_2017),
-	.Y(n_2600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190252 (
-	.A1(n_490),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_674),
-	.B2(\fifo_buffer[121] [1]),
-	.X(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190253 (
-	.A1(n_490),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_674),
-	.B2(\fifo_buffer[121] [2]),
-	.X(n_2598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190254 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_470),
-	.B1(n_2015),
-	.Y(n_2597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190255 (
-	.A1(n_490),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_674),
-	.B2(\fifo_buffer[121] [3]),
-	.X(n_2596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190256 (
-	.A1(n_490),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_674),
-	.B2(\fifo_buffer[121] [4]),
-	.X(n_2595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190257 (
-	.A1(n_490),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_674),
-	.B2(\fifo_buffer[121] [5]),
-	.X(n_2594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190258 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_470),
-	.B1(n_2014),
-	.Y(n_2593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190259 (
-	.A1(n_490),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_674),
-	.B2(\fifo_buffer[121] [6]),
-	.X(n_2592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190260 (
-	.A1(n_490),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_674),
-	.B2(\fifo_buffer[121] [7]),
-	.X(n_2591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190261 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_470),
-	.B1(n_2016),
-	.Y(n_2590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190262 (
-	.A1(n_490),
-	.A2(n_48),
-	.B1(n_674),
-	.B2(\fifo_buffer[121] [8]),
-	.X(n_2589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190263 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_470),
-	.B1(n_2013),
-	.Y(n_2588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190264 (
-	.A1(n_481),
-	.A2(n_23),
-	.B1(n_804),
-	.B2(\fifo_buffer[122] [1]),
-	.X(n_2587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190265 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_470),
-	.B1(n_2012),
-	.Y(n_2586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190266 (
-	.A1(n_481),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_804),
-	.B2(\fifo_buffer[122] [2]),
-	.X(n_2585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190267 (
-	.A1(n_481),
-	.A2(n_38),
-	.B1(n_804),
-	.B2(\fifo_buffer[122] [3]),
-	.X(n_2584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190268 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_470),
-	.B1(n_2010),
-	.Y(n_2583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190269 (
-	.A1(n_481),
-	.A2(n_36),
-	.B1(n_804),
-	.B2(\fifo_buffer[122] [4]),
-	.X(n_2582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190270 (
-	.A1(n_481),
-	.A2(n_42),
-	.B1(n_804),
-	.B2(\fifo_buffer[122] [5]),
-	.X(n_2581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190271 (
-	.A1(n_481),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_804),
-	.B2(\fifo_buffer[122] [6]),
-	.X(n_2580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190272 (
-	.A1(n_481),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_804),
-	.B2(\fifo_buffer[122] [7]),
-	.X(n_2579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190273 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_475),
-	.B1(n_2009),
-	.Y(n_2578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190274 (
-	.A1(n_481),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_804),
-	.B2(\fifo_buffer[122] [8]),
-	.X(n_2577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190275 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_475),
-	.B1(n_2008),
-	.Y(n_2576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190276 (
-	.A1(n_455),
-	.A2(n_23),
-	.B1(n_751),
-	.B2(\fifo_buffer[123] [1]),
-	.X(n_2575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190277 (
-	.A1(n_455),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_751),
-	.B2(\fifo_buffer[123] [2]),
-	.X(n_2574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190278 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_475),
-	.B1(n_2006),
-	.Y(n_2573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190279 (
-	.A1(n_455),
-	.A2(n_38),
-	.B1(n_751),
-	.B2(\fifo_buffer[123] [3]),
-	.X(n_2572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190280 (
-	.A1(n_455),
-	.A2(n_36),
-	.B1(n_751),
-	.B2(\fifo_buffer[123] [4]),
-	.X(n_2571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190281 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_475),
-	.B1(n_2005),
-	.Y(n_2570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190282 (
-	.A1(n_455),
-	.A2(n_42),
-	.B1(n_751),
-	.B2(\fifo_buffer[123] [5]),
-	.X(n_2569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190283 (
-	.A1(n_455),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_751),
-	.B2(\fifo_buffer[123] [6]),
-	.X(n_2568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190284 (
-	.A1(n_455),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_751),
-	.B2(\fifo_buffer[123] [7]),
-	.X(n_2567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190285 (
-	.A1(n_455),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_751),
-	.B2(\fifo_buffer[123] [8]),
-	.X(n_2566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190286 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_475),
-	.B1(n_2004),
-	.Y(n_2565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190287 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_475),
-	.B1(n_2003),
-	.Y(n_2564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190288 (
-	.A1(n_47),
-	.A2(n_475),
-	.B1(n_2002),
-	.Y(n_2563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190289 (
-	.A1(n_444),
-	.A2(n_23),
-	.B1(n_734),
-	.B2(\fifo_buffer[124] [1]),
-	.X(n_2562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190290 (
-	.A1(n_444),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_734),
-	.B2(\fifo_buffer[124] [2]),
-	.X(n_2561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190291 (
-	.A1(n_444),
-	.A2(n_38),
-	.B1(n_734),
-	.B2(\fifo_buffer[124] [3]),
-	.X(n_2560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190292 (
-	.A1(n_49),
-	.A2(n_475),
-	.B1(n_2001),
-	.Y(n_2559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190293 (
-	.A1(n_444),
-	.A2(n_36),
-	.B1(n_734),
-	.B2(\fifo_buffer[124] [4]),
-	.X(n_2558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190294 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_491),
-	.B1(n_1999),
-	.Y(n_2557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190295 (
-	.A1(n_444),
-	.A2(n_42),
-	.B1(n_734),
-	.B2(\fifo_buffer[124] [5]),
-	.X(n_2556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190296 (
-	.A1(n_444),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_734),
-	.B2(\fifo_buffer[124] [6]),
-	.X(n_2555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190297 (
-	.A1(n_444),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_734),
-	.B2(\fifo_buffer[124] [7]),
-	.X(n_2554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190298 (
-	.A1(n_444),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_734),
-	.B2(\fifo_buffer[124] [8]),
-	.X(n_2553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190299 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_491),
-	.B1(n_1998),
-	.Y(n_2552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190300 (
-	.A1_N(\fifo_buffer[125] [1]),
-	.A2_N(n_668),
-	.B1(FE_OFN1626_n_24),
-	.B2(n_458),
-	.Y(n_2551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190301 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_491),
-	.B1(n_1997),
-	.Y(n_2550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190302 (
-	.A1_N(\fifo_buffer[125] [2]),
-	.A2_N(n_668),
-	.B1(FE_OFN1610_n_45),
-	.B2(n_458),
-	.Y(n_2549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190303 (
-	.A1_N(\fifo_buffer[125] [3]),
-	.A2_N(n_668),
-	.B1(FE_OFN1630_n_39),
-	.B2(n_458),
-	.Y(n_2548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190304 (
-	.A1_N(\fifo_buffer[125] [4]),
-	.A2_N(n_668),
-	.B1(FE_OFN1607_n_37),
-	.B2(n_458),
-	.Y(n_2547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190305 (
-	.A1_N(\fifo_buffer[125] [5]),
-	.A2_N(n_668),
-	.B1(FE_OFN1636_n_43),
-	.B2(n_458),
-	.Y(n_2546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190306 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_491),
-	.B1(n_1996),
-	.Y(n_2545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190307 (
-	.A1_N(\fifo_buffer[125] [6]),
-	.A2_N(n_668),
-	.B1(FE_OFN1634_n_41),
-	.B2(n_458),
-	.Y(n_2544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190308 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_491),
-	.B1(n_1995),
-	.Y(n_2543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190309 (
-	.A1_N(\fifo_buffer[125] [7]),
-	.A2_N(n_668),
-	.B1(FE_OFN1643_n_47),
-	.B2(n_458),
-	.Y(n_2542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190310 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_491),
-	.B1(n_1993),
-	.Y(n_2541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190311 (
-	.A1_N(\fifo_buffer[125] [8]),
-	.A2_N(n_668),
-	.B1(FE_OFN1614_n_49),
-	.B2(n_458),
-	.Y(n_2540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190312 (
-	.A1(n_24),
-	.A2(n_466),
-	.B1(n_1994),
-	.Y(n_2539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190313 (
-	.A1(n_47),
-	.A2(n_491),
-	.B1(n_1989),
-	.Y(n_2538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190314 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_466),
-	.B1(n_1992),
-	.Y(n_2537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190315 (
-	.A1(n_39),
-	.A2(n_466),
-	.B1(n_1991),
-	.Y(n_2536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190316 (
-	.A1(n_49),
-	.A2(n_491),
-	.B1(n_1987),
-	.Y(n_2535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190317 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_466),
-	.B1(n_1990),
-	.Y(n_2534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190318 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_466),
-	.B1(n_1988),
-	.Y(n_2533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190319 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_466),
-	.B1(n_1985),
-	.Y(n_2532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190320 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_466),
-	.B1(n_1984),
-	.Y(n_2531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190321 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_466),
-	.B1(n_1983),
-	.Y(n_2530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190322 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_504),
-	.B1(n_1982),
-	.Y(n_2529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190323 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_504),
-	.B1(n_1981),
-	.Y(n_2528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190324 (
-	.A1(n_39),
-	.A2(n_504),
-	.B1(n_1980),
-	.Y(n_2527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190325 (
-	.A1(n_37),
-	.A2(n_504),
-	.B1(n_1979),
-	.Y(n_2526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190326 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_504),
-	.B1(n_1978),
-	.Y(n_2525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190327 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_504),
-	.B1(n_1977),
-	.Y(n_2524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190328 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_504),
-	.B1(n_1976),
-	.Y(n_2523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190329 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_504),
-	.B1(n_1975),
-	.Y(n_2522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190330 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_473),
-	.B1(n_2228),
-	.Y(n_2521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190331 (
-	.A1(n_479),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_749),
-	.B2(\fifo_buffer[144] [1]),
-	.X(n_2520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190332 (
-	.A1(n_479),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_749),
-	.B2(\fifo_buffer[144] [2]),
-	.X(n_2519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190333 (
-	.A1(n_479),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_749),
-	.B2(\fifo_buffer[144] [3]),
-	.X(n_2518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190334 (
-	.A1(n_479),
-	.A2(n_36),
-	.B1(n_749),
-	.B2(\fifo_buffer[144] [4]),
-	.X(n_2517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190335 (
-	.A1(n_479),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_749),
-	.B2(\fifo_buffer[144] [5]),
-	.X(n_2516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190336 (
-	.A1(n_479),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_749),
-	.B2(\fifo_buffer[144] [6]),
-	.X(n_2515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190337 (
-	.A1(n_479),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_749),
-	.B2(\fifo_buffer[144] [7]),
-	.X(n_2514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190338 (
-	.A1(n_479),
-	.A2(n_48),
-	.B1(n_749),
-	.B2(\fifo_buffer[144] [8]),
-	.X(n_2513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190339 (
-	.A1(n_450),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_691),
-	.B2(\fifo_buffer[145] [1]),
-	.X(n_2512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190340 (
-	.A1(n_450),
-	.A2(n_44),
-	.B1(n_691),
-	.B2(\fifo_buffer[145] [2]),
-	.X(n_2511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190341 (
-	.A1(n_450),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_691),
-	.B2(\fifo_buffer[145] [3]),
-	.X(n_2510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190342 (
-	.A1(n_450),
-	.A2(n_36),
-	.B1(n_691),
-	.B2(\fifo_buffer[145] [4]),
-	.X(n_2509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190343 (
-	.A1(n_450),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_691),
-	.B2(\fifo_buffer[145] [5]),
-	.X(n_2508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190344 (
-	.A1(n_450),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_691),
-	.B2(\fifo_buffer[145] [6]),
-	.X(n_2507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190345 (
-	.A1(n_450),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_691),
-	.B2(\fifo_buffer[145] [7]),
-	.X(n_2506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190346 (
-	.A1(n_450),
-	.A2(n_48),
-	.B1(n_691),
-	.B2(\fifo_buffer[145] [8]),
-	.X(n_2505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190347 (
-	.A1(n_439),
-	.A2(n_23),
-	.B1(n_679),
-	.B2(\fifo_buffer[146] [1]),
-	.X(n_2504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190348 (
-	.A1(n_439),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_679),
-	.B2(\fifo_buffer[146] [2]),
-	.X(n_2503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190349 (
-	.A1(n_439),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_679),
-	.B2(\fifo_buffer[146] [3]),
-	.X(n_2502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190350 (
-	.A1(n_439),
-	.A2(n_36),
-	.B1(n_679),
-	.B2(\fifo_buffer[146] [4]),
-	.X(n_2501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190351 (
-	.A1(n_439),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_679),
-	.B2(\fifo_buffer[146] [5]),
-	.X(n_2500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190352 (
-	.A1(n_439),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_679),
-	.B2(\fifo_buffer[146] [6]),
-	.X(n_2499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190353 (
-	.A1(n_439),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_679),
-	.B2(\fifo_buffer[146] [7]),
-	.X(n_2498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190354 (
-	.A1(n_439),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_679),
-	.B2(\fifo_buffer[146] [8]),
-	.X(n_2497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190355 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_482),
-	.B1(n_1971),
-	.Y(n_2496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190356 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_482),
-	.B1(n_1970),
-	.Y(n_2495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190357 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_482),
-	.B1(n_1969),
-	.Y(n_2494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190358 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_482),
-	.B1(n_1968),
-	.Y(n_2493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190359 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_482),
-	.B1(n_1967),
-	.Y(n_2492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190360 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_482),
-	.B1(n_1966),
-	.Y(n_2491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190361 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_482),
-	.B1(n_1965),
-	.Y(n_2490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190362 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_482),
-	.B1(n_1964),
-	.Y(n_2489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190363 (
-	.A1_N(\fifo_buffer[148] [1]),
-	.A2_N(n_666),
-	.B1(FE_OFN1628_n_24),
-	.B2(n_520),
-	.Y(n_2488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190364 (
-	.A1_N(\fifo_buffer[148] [2]),
-	.A2_N(n_666),
-	.B1(FE_OFN1611_n_45),
-	.B2(n_520),
-	.Y(n_2487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190365 (
-	.A1_N(\fifo_buffer[148] [3]),
-	.A2_N(n_666),
-	.B1(FE_OFN1631_n_39),
-	.B2(n_520),
-	.Y(n_2486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190366 (
-	.A1_N(\fifo_buffer[148] [4]),
-	.A2_N(n_666),
-	.B1(FE_OFN1608_n_37),
-	.B2(n_520),
-	.Y(n_2485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190367 (
-	.A1_N(\fifo_buffer[148] [5]),
-	.A2_N(n_666),
-	.B1(FE_OFN1636_n_43),
-	.B2(n_520),
-	.Y(n_2484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190368 (
-	.A1_N(\fifo_buffer[148] [6]),
-	.A2_N(n_666),
-	.B1(FE_OFN1633_n_41),
-	.B2(n_520),
-	.Y(n_2483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190369 (
-	.A1_N(\fifo_buffer[148] [7]),
-	.A2_N(n_666),
-	.B1(FE_OFN1641_n_47),
-	.B2(n_520),
-	.Y(n_2482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190370 (
-	.A1_N(\fifo_buffer[148] [8]),
-	.A2_N(n_666),
-	.B1(FE_OFN1614_n_49),
-	.B2(n_520),
-	.Y(n_2481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190371 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_442),
-	.B1(n_1963),
-	.Y(n_2480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190372 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_442),
-	.B1(n_1962),
-	.Y(n_2479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190373 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_442),
-	.B1(n_1961),
-	.Y(n_2478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190374 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_442),
-	.B1(n_1960),
-	.Y(n_2477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190375 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_442),
-	.B1(n_1959),
-	.Y(n_2476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190376 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_442),
-	.B1(n_1958),
-	.Y(n_2475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190377 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_442),
-	.B1(n_1957),
-	.Y(n_2474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190378 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_442),
-	.B1(n_1956),
-	.Y(n_2473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190379 (
-	.A1(n_24),
-	.A2(n_446),
-	.B1(n_1955),
-	.Y(n_2472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190380 (
-	.A1(n_45),
-	.A2(n_446),
-	.B1(n_1954),
-	.Y(n_2471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190381 (
-	.A1(n_39),
-	.A2(n_446),
-	.B1(n_1953),
-	.Y(n_2470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190382 (
-	.A1(n_37),
-	.A2(n_446),
-	.B1(n_1952),
-	.Y(n_2469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190383 (
-	.A1(n_43),
-	.A2(n_446),
-	.B1(n_1951),
-	.Y(n_2468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190384 (
-	.A1(n_41),
-	.A2(n_446),
-	.B1(n_1950),
-	.Y(n_2467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190385 (
-	.A1(n_47),
-	.A2(n_446),
-	.B1(n_1949),
-	.Y(n_2466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190386 (
-	.A1(n_49),
-	.A2(n_446),
-	.B1(n_1948),
-	.Y(n_2465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190387 (
-	.A1(n_24),
-	.A2(n_476),
-	.B1(n_1947),
-	.Y(n_2464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190388 (
-	.A1(n_45),
-	.A2(n_476),
-	.B1(n_1946),
-	.Y(n_2463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g190389 (
-	.A(n_527),
-	.B(raddr[2]),
-	.X(n_3366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190390 (
-	.A(n_2452),
-	.Y(n_2453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190391 (
-	.A(n_2449),
-	.Y(n_2450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190392 (
-	.A(n_2445),
-	.Y(n_2446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190393 (
-	.A(n_2443),
-	.Y(n_2444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190394 (
-	.A(n_2440),
-	.Y(n_2441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190395 (
-	.A(n_2436),
-	.Y(n_2437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190396 (
-	.A(n_2431),
-	.Y(n_2432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190397 (
-	.A(n_2428),
-	.Y(n_2429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190398 (
-	.A(n_2425),
-	.Y(n_2426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190399 (
-	.A(n_2422),
-	.Y(n_2423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190400 (
-	.A(n_2420),
-	.Y(n_2421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190401 (
-	.A(n_2416),
-	.Y(n_2417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190402 (
-	.A(n_2414),
-	.Y(n_2415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190403 (
-	.A(n_2412),
-	.Y(n_2413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190404 (
-	.A(n_2407),
-	.Y(n_2408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190405 (
-	.A(n_2403),
-	.Y(n_2404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190406 (
-	.A(n_2397),
-	.Y(n_2398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190407 (
-	.A(n_2394),
-	.Y(n_2395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190408 (
-	.A(n_2389),
-	.Y(n_2390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190409 (
-	.A(n_2387),
-	.Y(n_2388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g190410 (
-	.A(n_2382),
-	.Y(n_2383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190411 (
-	.A(n_736),
-	.B(\fifo_buffer[116] [8]),
-	.Y(n_2377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190412 (
-	.A(n_777),
-	.B(\fifo_buffer[151] [4]),
-	.Y(n_2376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190413 (
-	.A(n_803),
-	.B(\fifo_buffer[84] [1]),
-	.Y(n_2375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190414 (
-	.A(n_777),
-	.B(\fifo_buffer[151] [5]),
-	.Y(n_2374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190415 (
-	.A(n_777),
-	.B(\fifo_buffer[151] [6]),
-	.Y(n_2373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190416 (
-	.A(n_777),
-	.B(\fifo_buffer[151] [7]),
-	.Y(n_2372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190417 (
-	.A(n_777),
-	.B(\fifo_buffer[151] [8]),
-	.Y(n_2371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190418 (
-	.A(n_694),
-	.B(\fifo_buffer[153] [1]),
-	.Y(n_2370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190419 (
-	.A(n_694),
-	.B(\fifo_buffer[153] [2]),
-	.Y(n_2369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190420 (
-	.A(n_694),
-	.B(\fifo_buffer[153] [3]),
-	.Y(n_2368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190421 (
-	.A(n_694),
-	.B(\fifo_buffer[153] [4]),
-	.Y(n_2367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190422 (
-	.A(n_694),
-	.B(\fifo_buffer[153] [5]),
-	.Y(n_2366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190423 (
-	.A(n_694),
-	.B(\fifo_buffer[153] [6]),
-	.Y(n_2365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190424 (
-	.A(n_694),
-	.B(\fifo_buffer[153] [7]),
-	.Y(n_2364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190425 (
-	.A(n_694),
-	.B(\fifo_buffer[153] [8]),
-	.Y(n_2363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190426 (
-	.A(n_705),
-	.B(\fifo_buffer[154] [1]),
-	.Y(n_2362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190427 (
-	.A(n_705),
-	.B(\fifo_buffer[154] [2]),
-	.Y(n_2361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190428 (
-	.A(n_705),
-	.B(\fifo_buffer[154] [3]),
-	.Y(n_2360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190429 (
-	.A(n_705),
-	.B(\fifo_buffer[154] [4]),
-	.Y(n_2359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190430 (
-	.A(n_705),
-	.B(\fifo_buffer[154] [5]),
-	.Y(n_2358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190431 (
-	.A(n_705),
-	.B(\fifo_buffer[154] [6]),
-	.Y(n_2357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190432 (
-	.A(n_705),
-	.B(\fifo_buffer[154] [7]),
-	.Y(n_2356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190433 (
-	.A(n_705),
-	.B(\fifo_buffer[154] [8]),
-	.Y(n_2355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190434 (
-	.A(n_753),
-	.B(\fifo_buffer[155] [1]),
-	.Y(n_2354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190435 (
-	.A(n_753),
-	.B(\fifo_buffer[155] [2]),
-	.Y(n_2353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190436 (
-	.A(n_753),
-	.B(\fifo_buffer[155] [3]),
-	.Y(n_2352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190437 (
-	.A(n_753),
-	.B(\fifo_buffer[155] [4]),
-	.Y(n_2351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190438 (
-	.A(n_753),
-	.B(\fifo_buffer[155] [5]),
-	.Y(n_2350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190439 (
-	.A(n_753),
-	.B(\fifo_buffer[155] [6]),
-	.Y(n_2349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190440 (
-	.A(n_753),
-	.B(\fifo_buffer[155] [7]),
-	.Y(n_2348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190441 (
-	.A(n_753),
-	.B(\fifo_buffer[155] [8]),
-	.Y(n_2347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190442 (
-	.A(n_713),
-	.B(\fifo_buffer[156] [1]),
-	.Y(n_2346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190443 (
-	.A(n_713),
-	.B(\fifo_buffer[156] [2]),
-	.Y(n_2345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190444 (
-	.A(n_713),
-	.B(\fifo_buffer[156] [3]),
-	.Y(n_2344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190445 (
-	.A(n_713),
-	.B(\fifo_buffer[156] [4]),
-	.Y(n_2343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190446 (
-	.A(n_713),
-	.B(\fifo_buffer[156] [5]),
-	.Y(n_2342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190447 (
-	.A(n_713),
-	.B(\fifo_buffer[156] [6]),
-	.Y(n_2341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190448 (
-	.A(n_713),
-	.B(\fifo_buffer[156] [7]),
-	.Y(n_2340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190449 (
-	.A(n_713),
-	.B(\fifo_buffer[156] [8]),
-	.Y(n_2339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190450 (
-	.A(n_767),
-	.B(\fifo_buffer[157] [1]),
-	.Y(n_2338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190451 (
-	.A(n_767),
-	.B(\fifo_buffer[157] [2]),
-	.Y(n_2337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190452 (
-	.A(n_767),
-	.B(\fifo_buffer[157] [3]),
-	.Y(n_2336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190453 (
-	.A(n_767),
-	.B(\fifo_buffer[157] [4]),
-	.Y(n_2335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190454 (
-	.A(n_767),
-	.B(\fifo_buffer[157] [5]),
-	.Y(n_2334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190455 (
-	.A(n_767),
-	.B(\fifo_buffer[157] [6]),
-	.Y(n_2333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190456 (
-	.A(n_767),
-	.B(\fifo_buffer[157] [7]),
-	.Y(n_2332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190457 (
-	.A(n_767),
-	.B(\fifo_buffer[157] [8]),
-	.Y(n_2331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190458 (
-	.A(n_779),
-	.B(\fifo_buffer[158] [1]),
-	.Y(n_2330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190459 (
-	.A(n_779),
-	.B(\fifo_buffer[158] [2]),
-	.Y(n_2329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190460 (
-	.A(n_779),
-	.B(\fifo_buffer[158] [3]),
-	.Y(n_2328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190461 (
-	.A(n_779),
-	.B(\fifo_buffer[158] [4]),
-	.Y(n_2327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190462 (
-	.A(n_779),
-	.B(\fifo_buffer[158] [5]),
-	.Y(n_2326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190463 (
-	.A(n_779),
-	.B(\fifo_buffer[158] [6]),
-	.Y(n_2325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190464 (
-	.A(n_779),
-	.B(\fifo_buffer[158] [7]),
-	.Y(n_2324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190465 (
-	.A(n_779),
-	.B(\fifo_buffer[158] [8]),
-	.Y(n_2323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190466 (
-	.A(n_806),
-	.B(\fifo_buffer[159] [1]),
-	.Y(n_2322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190467 (
-	.A(n_806),
-	.B(\fifo_buffer[159] [2]),
-	.Y(n_2321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190468 (
-	.A(n_806),
-	.B(\fifo_buffer[159] [3]),
-	.Y(n_2320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190469 (
-	.A(n_806),
-	.B(\fifo_buffer[159] [4]),
-	.Y(n_2319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190470 (
-	.A(n_806),
-	.B(\fifo_buffer[159] [5]),
-	.Y(n_2318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190471 (
-	.A(n_806),
-	.B(\fifo_buffer[159] [6]),
-	.Y(n_2317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190472 (
-	.A(n_806),
-	.B(\fifo_buffer[159] [7]),
-	.Y(n_2316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190473 (
-	.A(n_806),
-	.B(\fifo_buffer[159] [8]),
-	.Y(n_2315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190474 (
-	.A(n_729),
-	.B(\fifo_buffer[97] [2]),
-	.Y(n_2314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190475 (
-	.A(n_746),
-	.B(\fifo_buffer[70] [6]),
-	.Y(n_2313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190476 (
-	.A(n_731),
-	.B(\fifo_buffer[69] [1]),
-	.Y(n_2312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190477 (
-	.A(n_731),
-	.B(\fifo_buffer[69] [2]),
-	.Y(n_2311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190478 (
-	.A(n_731),
-	.B(\fifo_buffer[69] [3]),
-	.Y(n_2310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190479 (
-	.A(n_663),
-	.B(\fifo_buffer[85] [7]),
-	.Y(n_2309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190480 (
-	.A(n_731),
-	.B(\fifo_buffer[69] [4]),
-	.Y(n_2308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190481 (
-	.A(n_731),
-	.B(\fifo_buffer[69] [5]),
-	.Y(n_2307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190482 (
-	.A(n_731),
-	.B(\fifo_buffer[69] [6]),
-	.Y(n_2306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190483 (
-	.A(n_731),
-	.B(\fifo_buffer[69] [7]),
-	.Y(n_2305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190484 (
-	.A(n_731),
-	.B(\fifo_buffer[69] [8]),
-	.Y(n_2304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190485 (
-	.A(n_733),
-	.B(\fifo_buffer[82] [7]),
-	.Y(n_2303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190486 (
-	.A(n_746),
-	.B(\fifo_buffer[70] [1]),
-	.Y(n_2302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190487 (
-	.A(n_746),
-	.B(\fifo_buffer[70] [2]),
-	.Y(n_2301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190488 (
-	.A(n_746),
-	.B(\fifo_buffer[70] [3]),
-	.Y(n_2300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190489 (
-	.A(n_746),
-	.B(\fifo_buffer[70] [4]),
-	.Y(n_2299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190490 (
-	.A(n_746),
-	.B(\fifo_buffer[70] [5]),
-	.Y(n_2298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190491 (
-	.A(n_746),
-	.B(\fifo_buffer[70] [7]),
-	.Y(n_2297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190492 (
-	.A(n_746),
-	.B(\fifo_buffer[70] [8]),
-	.Y(n_2296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190493 (
-	.A(n_795),
-	.B(\fifo_buffer[71] [1]),
-	.Y(n_2295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190494 (
-	.A(n_795),
-	.B(\fifo_buffer[71] [2]),
-	.Y(n_2294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190495 (
-	.A(n_795),
-	.B(\fifo_buffer[71] [3]),
-	.Y(n_2293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190496 (
-	.A(n_795),
-	.B(\fifo_buffer[71] [4]),
-	.Y(n_2292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190497 (
-	.A(n_795),
-	.B(\fifo_buffer[71] [5]),
-	.Y(n_2291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190498 (
-	.A(n_795),
-	.B(\fifo_buffer[71] [6]),
-	.Y(n_2290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190499 (
-	.A(n_795),
-	.B(\fifo_buffer[71] [7]),
-	.Y(n_2289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190500 (
-	.A(n_795),
-	.B(\fifo_buffer[71] [8]),
-	.Y(n_2288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190501 (
-	.A(n_709),
-	.B(\fifo_buffer[86] [1]),
-	.Y(n_2287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190502 (
-	.A(n_721),
-	.B(\fifo_buffer[81] [1]),
-	.Y(n_2286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190503 (
-	.A(n_721),
-	.B(\fifo_buffer[81] [2]),
-	.Y(n_2285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190504 (
-	.A(n_721),
-	.B(\fifo_buffer[81] [3]),
-	.Y(n_2284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190505 (
-	.A(n_721),
-	.B(\fifo_buffer[81] [4]),
-	.Y(n_2283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190506 (
-	.A(n_721),
-	.B(\fifo_buffer[81] [5]),
-	.Y(n_2282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190507 (
-	.A(n_721),
-	.B(\fifo_buffer[81] [6]),
-	.Y(n_2281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190508 (
-	.A(n_721),
-	.B(\fifo_buffer[81] [7]),
-	.Y(n_2280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190509 (
-	.A(n_721),
-	.B(\fifo_buffer[81] [8]),
-	.Y(n_2279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190510 (
-	.A(n_733),
-	.B(\fifo_buffer[82] [2]),
-	.Y(n_2278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190511 (
-	.A(n_733),
-	.B(\fifo_buffer[82] [3]),
-	.Y(n_2277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190512 (
-	.A(n_733),
-	.B(\fifo_buffer[82] [4]),
-	.Y(n_2276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190513 (
-	.A(n_733),
-	.B(\fifo_buffer[82] [5]),
-	.Y(n_2275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190514 (
-	.A(n_733),
-	.B(\fifo_buffer[82] [6]),
-	.Y(n_2274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190515 (
-	.A(n_789),
-	.B(\fifo_buffer[83] [1]),
-	.Y(n_2273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190516 (
-	.A(n_789),
-	.B(\fifo_buffer[83] [2]),
-	.Y(n_2272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190517 (
-	.A(n_789),
-	.B(\fifo_buffer[83] [3]),
-	.Y(n_2271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190518 (
-	.A(n_789),
-	.B(\fifo_buffer[83] [4]),
-	.Y(n_2270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190519 (
-	.A(n_789),
-	.B(\fifo_buffer[83] [5]),
-	.Y(n_2269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190520 (
-	.A(n_789),
-	.B(\fifo_buffer[83] [6]),
-	.Y(n_2268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190521 (
-	.A(n_758),
-	.B(\fifo_buffer[93] [4]),
-	.Y(n_2267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190522 (
-	.A(n_789),
-	.B(\fifo_buffer[83] [8]),
-	.Y(n_2266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190523 (
-	.A(n_803),
-	.B(\fifo_buffer[84] [2]),
-	.Y(n_2265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190524 (
-	.A(n_803),
-	.B(\fifo_buffer[84] [3]),
-	.Y(n_2264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190525 (
-	.A(n_803),
-	.B(\fifo_buffer[84] [4]),
-	.Y(n_2263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190526 (
-	.A(n_803),
-	.B(\fifo_buffer[84] [5]),
-	.Y(n_2262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190527 (
-	.A(n_803),
-	.B(\fifo_buffer[84] [6]),
-	.Y(n_2261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190528 (
-	.A(n_663),
-	.B(\fifo_buffer[85] [1]),
-	.Y(n_2260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190529 (
-	.A(n_663),
-	.B(\fifo_buffer[85] [2]),
-	.Y(n_2259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190530 (
-	.A(n_663),
-	.B(\fifo_buffer[85] [3]),
-	.Y(n_2258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190531 (
-	.A(n_663),
-	.B(\fifo_buffer[85] [4]),
-	.Y(n_2257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190532 (
-	.A(n_663),
-	.B(\fifo_buffer[85] [5]),
-	.Y(n_2256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190533 (
-	.A(n_663),
-	.B(\fifo_buffer[85] [6]),
-	.Y(n_2255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190534 (
-	.A(n_709),
-	.B(\fifo_buffer[86] [2]),
-	.Y(n_2254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190535 (
-	.A(n_709),
-	.B(\fifo_buffer[86] [3]),
-	.Y(n_2253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190536 (
-	.A(n_709),
-	.B(\fifo_buffer[86] [4]),
-	.Y(n_2252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190537 (
-	.A(n_709),
-	.B(\fifo_buffer[86] [5]),
-	.Y(n_2251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190538 (
-	.A(n_709),
-	.B(\fifo_buffer[86] [6]),
-	.Y(n_2250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190539 (
-	.A(n_709),
-	.B(\fifo_buffer[86] [8]),
-	.Y(n_2249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190540 (
-	.A(n_787),
-	.B(\fifo_buffer[87] [2]),
-	.Y(n_2248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190541 (
-	.A(n_787),
-	.B(\fifo_buffer[87] [3]),
-	.Y(n_2247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190542 (
-	.A(n_787),
-	.B(\fifo_buffer[87] [4]),
-	.Y(n_2246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190543 (
-	.A(n_787),
-	.B(\fifo_buffer[87] [5]),
-	.Y(n_2245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190544 (
-	.A(n_787),
-	.B(\fifo_buffer[87] [6]),
-	.Y(n_2244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190545 (
-	.A(n_787),
-	.B(\fifo_buffer[87] [7]),
-	.Y(n_2243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190546 (
-	.A(n_787),
-	.B(\fifo_buffer[87] [8]),
-	.Y(n_2242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190547 (
-	.A(n_677),
-	.B(\fifo_buffer[92] [1]),
-	.Y(n_2241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190548 (
-	.A(n_677),
-	.B(\fifo_buffer[92] [2]),
-	.Y(n_2240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190549 (
-	.A(n_677),
-	.B(\fifo_buffer[92] [3]),
-	.Y(n_2239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190550 (
-	.A(n_677),
-	.B(\fifo_buffer[92] [4]),
-	.Y(n_2238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190551 (
-	.A(n_677),
-	.B(\fifo_buffer[92] [5]),
-	.Y(n_2237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190552 (
-	.A(n_677),
-	.B(\fifo_buffer[92] [6]),
-	.Y(n_2236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190553 (
-	.A(n_758),
-	.B(\fifo_buffer[93] [1]),
-	.Y(n_2235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190554 (
-	.A(n_758),
-	.B(\fifo_buffer[93] [2]),
-	.Y(n_2234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190555 (
-	.A(n_758),
-	.B(\fifo_buffer[93] [3]),
-	.Y(n_2233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190556 (
-	.A(n_758),
-	.B(\fifo_buffer[93] [5]),
-	.Y(n_2232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190557 (
-	.A(n_758),
-	.B(\fifo_buffer[93] [6]),
-	.Y(n_2231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190558 (
-	.A(n_758),
-	.B(\fifo_buffer[93] [7]),
-	.Y(n_2230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190559 (
-	.A(n_758),
-	.B(\fifo_buffer[93] [8]),
-	.Y(n_2229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190560 (
-	.A(n_769),
-	.B(\fifo_buffer[94] [1]),
-	.Y(n_2228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190561 (
-	.A(n_769),
-	.B(\fifo_buffer[94] [2]),
-	.Y(n_2227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190562 (
-	.A(n_769),
-	.B(\fifo_buffer[94] [3]),
-	.Y(n_2226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190563 (
-	.A(n_769),
-	.B(\fifo_buffer[94] [4]),
-	.Y(n_2225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190564 (
-	.A(n_769),
-	.B(\fifo_buffer[94] [5]),
-	.Y(n_2224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190565 (
-	.A(n_769),
-	.B(\fifo_buffer[94] [7]),
-	.Y(n_2223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190566 (
-	.A(n_700),
-	.B(\fifo_buffer[95] [1]),
-	.Y(n_2222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190567 (
-	.A(n_700),
-	.B(\fifo_buffer[95] [2]),
-	.Y(n_2221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190568 (
-	.A(n_700),
-	.B(\fifo_buffer[95] [3]),
-	.Y(n_2220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190569 (
-	.A(n_700),
-	.B(\fifo_buffer[95] [4]),
-	.Y(n_2219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190570 (
-	.A(n_700),
-	.B(\fifo_buffer[95] [5]),
-	.Y(n_2218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190571 (
-	.A(n_700),
-	.B(\fifo_buffer[95] [6]),
-	.Y(n_2217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190572 (
-	.A(n_700),
-	.B(\fifo_buffer[95] [7]),
-	.Y(n_2216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190573 (
-	.A(n_700),
-	.B(\fifo_buffer[95] [8]),
-	.Y(n_2215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190574 (
-	.A(n_729),
-	.B(\fifo_buffer[97] [3]),
-	.Y(n_2214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190575 (
-	.A(n_729),
-	.B(\fifo_buffer[97] [1]),
-	.Y(n_2213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190576 (
-	.A(n_729),
-	.B(\fifo_buffer[97] [4]),
-	.Y(n_2212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190577 (
-	.A(n_729),
-	.B(\fifo_buffer[97] [5]),
-	.Y(n_2211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190578 (
-	.A(n_729),
-	.B(\fifo_buffer[97] [6]),
-	.Y(n_2210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190579 (
-	.A(n_729),
-	.B(\fifo_buffer[97] [7]),
-	.Y(n_2209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190580 (
-	.A(n_729),
-	.B(\fifo_buffer[97] [8]),
-	.Y(n_2208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190581 (
-	.A(n_744),
-	.B(\fifo_buffer[98] [1]),
-	.Y(n_2207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190582 (
-	.A(n_744),
-	.B(\fifo_buffer[98] [2]),
-	.Y(n_2206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190583 (
-	.A(n_744),
-	.B(\fifo_buffer[98] [3]),
-	.Y(n_2205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190584 (
-	.A(n_744),
-	.B(\fifo_buffer[98] [4]),
-	.Y(n_2204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190585 (
-	.A(n_744),
-	.B(\fifo_buffer[98] [5]),
-	.Y(n_2203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190586 (
-	.A(n_744),
-	.B(\fifo_buffer[98] [6]),
-	.Y(n_2202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190587 (
-	.A(n_744),
-	.B(\fifo_buffer[98] [7]),
-	.Y(n_2201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190588 (
-	.A(n_744),
-	.B(\fifo_buffer[98] [8]),
-	.Y(n_2200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190589 (
-	.A(n_793),
-	.B(\fifo_buffer[99] [1]),
-	.Y(n_2199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190590 (
-	.A(n_793),
-	.B(\fifo_buffer[99] [2]),
-	.Y(n_2198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190591 (
-	.A(n_793),
-	.B(\fifo_buffer[99] [3]),
-	.Y(n_2197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190592 (
-	.A(n_793),
-	.B(\fifo_buffer[99] [4]),
-	.Y(n_2196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190593 (
-	.A(n_793),
-	.B(\fifo_buffer[99] [5]),
-	.Y(n_2195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190594 (
-	.A(n_793),
-	.B(\fifo_buffer[99] [6]),
-	.Y(n_2194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190595 (
-	.A(n_793),
-	.B(\fifo_buffer[99] [7]),
-	.Y(n_2193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190596 (
-	.A(n_793),
-	.B(\fifo_buffer[99] [8]),
-	.Y(n_2192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190597 (
-	.A(n_661),
-	.B(\fifo_buffer[100] [1]),
-	.Y(n_2191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190598 (
-	.A(n_661),
-	.B(\fifo_buffer[100] [2]),
-	.Y(n_2190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190599 (
-	.A(n_661),
-	.B(\fifo_buffer[100] [3]),
-	.Y(n_2189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190600 (
-	.A(n_661),
-	.B(\fifo_buffer[100] [4]),
-	.Y(n_2188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190601 (
-	.A(n_661),
-	.B(\fifo_buffer[100] [5]),
-	.Y(n_2187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190602 (
-	.A(n_661),
-	.B(\fifo_buffer[100] [6]),
-	.Y(n_2186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190603 (
-	.A(n_661),
-	.B(\fifo_buffer[100] [7]),
-	.Y(n_2185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190604 (
-	.A(n_661),
-	.B(\fifo_buffer[100] [8]),
-	.Y(n_2184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190605 (
-	.A(n_665),
-	.B(\fifo_buffer[101] [1]),
-	.Y(n_2183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190606 (
-	.A(n_689),
-	.B(\fifo_buffer[19] [1]),
-	.Y(n_2182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190607 (
-	.A(n_665),
-	.B(\fifo_buffer[101] [2]),
-	.Y(n_2181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190608 (
-	.A(n_665),
-	.B(\fifo_buffer[101] [3]),
-	.Y(n_2180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190609 (
-	.A(n_689),
-	.B(\fifo_buffer[19] [2]),
-	.Y(n_2179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190610 (
-	.A(n_665),
-	.B(\fifo_buffer[101] [4]),
-	.Y(n_2178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190611 (
-	.A(n_665),
-	.B(\fifo_buffer[101] [5]),
-	.Y(n_2177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190612 (
-	.A(n_689),
-	.B(\fifo_buffer[19] [3]),
-	.Y(n_2176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190613 (
-	.A(n_665),
-	.B(\fifo_buffer[101] [6]),
-	.Y(n_2175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190614 (
-	.A(n_665),
-	.B(\fifo_buffer[101] [7]),
-	.Y(n_2174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190615 (
-	.A(n_689),
-	.B(\fifo_buffer[19] [4]),
-	.Y(n_2173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190616 (
-	.A(n_689),
-	.B(\fifo_buffer[19] [5]),
-	.Y(n_2172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190617 (
-	.A(n_665),
-	.B(\fifo_buffer[101] [8]),
-	.Y(n_2171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190618 (
-	.A(n_760),
-	.B(\fifo_buffer[102] [1]),
-	.Y(n_2170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190619 (
-	.A(n_689),
-	.B(\fifo_buffer[19] [6]),
-	.Y(n_2169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190620 (
-	.A(n_760),
-	.B(\fifo_buffer[102] [2]),
-	.Y(n_2168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190621 (
-	.A(n_760),
-	.B(\fifo_buffer[102] [3]),
-	.Y(n_2167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190622 (
-	.A(n_689),
-	.B(\fifo_buffer[19] [7]),
-	.Y(n_2166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190623 (
-	.A(n_760),
-	.B(\fifo_buffer[102] [4]),
-	.Y(n_2165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190624 (
-	.A(n_760),
-	.B(\fifo_buffer[102] [5]),
-	.Y(n_2164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190625 (
-	.A(n_689),
-	.B(\fifo_buffer[19] [8]),
-	.Y(n_2163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190626 (
-	.A(n_760),
-	.B(\fifo_buffer[102] [6]),
-	.Y(n_2162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190627 (
-	.A(n_760),
-	.B(\fifo_buffer[102] [7]),
-	.Y(n_2161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190628 (
-	.A(n_760),
-	.B(\fifo_buffer[102] [8]),
-	.Y(n_2160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190629 (
-	.A(n_783),
-	.B(\fifo_buffer[103] [1]),
-	.Y(n_2159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190630 (
-	.A(n_783),
-	.B(\fifo_buffer[103] [2]),
-	.Y(n_2158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190631 (
-	.A(n_783),
-	.B(\fifo_buffer[103] [3]),
-	.Y(n_2157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190632 (
-	.A(n_783),
-	.B(\fifo_buffer[103] [4]),
-	.Y(n_2156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190633 (
-	.A(n_783),
-	.B(\fifo_buffer[103] [5]),
-	.Y(n_2155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190634 (
-	.A(n_783),
-	.B(\fifo_buffer[103] [6]),
-	.Y(n_2154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190635 (
-	.A(n_783),
-	.B(\fifo_buffer[103] [7]),
-	.Y(n_2153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190636 (
-	.A(n_783),
-	.B(\fifo_buffer[103] [8]),
-	.Y(n_2152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190637 (
-	.A(n_715),
-	.B(\fifo_buffer[21] [1]),
-	.Y(n_2151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190638 (
-	.A(n_715),
-	.B(\fifo_buffer[21] [2]),
-	.Y(n_2150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190639 (
-	.A(n_715),
-	.B(\fifo_buffer[21] [3]),
-	.Y(n_2149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190640 (
-	.A(n_715),
-	.B(\fifo_buffer[21] [4]),
-	.Y(n_2148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190641 (
-	.A(n_715),
-	.B(\fifo_buffer[21] [5]),
-	.Y(n_2147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190642 (
-	.A(n_715),
-	.B(\fifo_buffer[21] [6]),
-	.Y(n_2146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190643 (
-	.A(n_715),
-	.B(\fifo_buffer[21] [7]),
-	.Y(n_2145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190644 (
-	.A(n_715),
-	.B(\fifo_buffer[21] [8]),
-	.Y(n_2144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190645 (
-	.A(n_789),
-	.B(\fifo_buffer[83] [7]),
-	.Y(n_2143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190646 (
-	.A(n_723),
-	.B(\fifo_buffer[22] [1]),
-	.Y(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190647 (
-	.A(n_723),
-	.B(\fifo_buffer[22] [2]),
-	.Y(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190648 (
-	.A(n_723),
-	.B(\fifo_buffer[22] [3]),
-	.Y(n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190649 (
-	.A(n_723),
-	.B(\fifo_buffer[22] [4]),
-	.Y(n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190650 (
-	.A(n_723),
-	.B(\fifo_buffer[22] [5]),
-	.Y(n_2138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190651 (
-	.A(n_723),
-	.B(\fifo_buffer[22] [6]),
-	.Y(n_2137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190652 (
-	.A(n_687),
-	.B(\fifo_buffer[108] [1]),
-	.Y(n_2136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190653 (
-	.A(n_687),
-	.B(\fifo_buffer[108] [2]),
-	.Y(n_2135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190654 (
-	.A(n_723),
-	.B(\fifo_buffer[22] [7]),
-	.Y(n_2134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190655 (
-	.A(n_687),
-	.B(\fifo_buffer[108] [3]),
-	.Y(n_2133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190656 (
-	.A(n_687),
-	.B(\fifo_buffer[108] [4]),
-	.Y(n_2132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190657 (
-	.A(n_723),
-	.B(\fifo_buffer[22] [8]),
-	.Y(n_2131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190658 (
-	.A(n_687),
-	.B(\fifo_buffer[108] [5]),
-	.Y(n_2130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190659 (
-	.A(n_687),
-	.B(\fifo_buffer[108] [6]),
-	.Y(n_2129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190660 (
-	.A(n_687),
-	.B(\fifo_buffer[108] [7]),
-	.Y(n_2128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190661 (
-	.A(n_687),
-	.B(\fifo_buffer[108] [8]),
-	.Y(n_2127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190662 (
-	.A(n_772),
-	.B(\fifo_buffer[23] [1]),
-	.Y(n_2126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190663 (
-	.A(n_765),
-	.B(\fifo_buffer[109] [1]),
-	.Y(n_2125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190664 (
-	.A(n_765),
-	.B(\fifo_buffer[109] [2]),
-	.Y(n_2124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190665 (
-	.A(n_765),
-	.B(\fifo_buffer[109] [3]),
-	.Y(n_2123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190666 (
-	.A(n_772),
-	.B(\fifo_buffer[23] [2]),
-	.Y(n_2122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190667 (
-	.A(n_765),
-	.B(\fifo_buffer[109] [4]),
-	.Y(n_2121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190668 (
-	.A(n_765),
-	.B(\fifo_buffer[109] [5]),
-	.Y(n_2120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190669 (
-	.A(n_765),
-	.B(\fifo_buffer[109] [6]),
-	.Y(n_2119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190670 (
-	.A(n_772),
-	.B(\fifo_buffer[23] [3]),
-	.Y(n_2118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190671 (
-	.A(n_765),
-	.B(\fifo_buffer[109] [7]),
-	.Y(n_2117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190672 (
-	.A(n_772),
-	.B(\fifo_buffer[23] [4]),
-	.Y(n_2116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190673 (
-	.A(n_765),
-	.B(\fifo_buffer[109] [8]),
-	.Y(n_2115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190674 (
-	.A(n_772),
-	.B(\fifo_buffer[23] [5]),
-	.Y(n_2114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190675 (
-	.A(n_781),
-	.B(\fifo_buffer[110] [1]),
-	.Y(n_2113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190676 (
-	.A(n_772),
-	.B(\fifo_buffer[23] [6]),
-	.Y(n_2112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190677 (
-	.A(n_781),
-	.B(\fifo_buffer[110] [2]),
-	.Y(n_2111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190678 (
-	.A(n_772),
-	.B(\fifo_buffer[23] [7]),
-	.Y(n_2110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190679 (
-	.A(n_781),
-	.B(\fifo_buffer[110] [3]),
-	.Y(n_2109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190680 (
-	.A(n_781),
-	.B(\fifo_buffer[110] [4]),
-	.Y(n_2108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190681 (
-	.A(n_781),
-	.B(\fifo_buffer[110] [5]),
-	.Y(n_2107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190682 (
-	.A(n_772),
-	.B(\fifo_buffer[23] [8]),
-	.Y(n_2106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190683 (
-	.A(n_781),
-	.B(\fifo_buffer[110] [6]),
-	.Y(n_2105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190684 (
-	.A(n_781),
-	.B(\fifo_buffer[110] [7]),
-	.Y(n_2104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190685 (
-	.A(n_781),
-	.B(\fifo_buffer[110] [8]),
-	.Y(n_2103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190686 (
-	.A(n_707),
-	.B(\fifo_buffer[111] [1]),
-	.Y(n_2102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190687 (
-	.A(n_707),
-	.B(\fifo_buffer[111] [2]),
-	.Y(n_2101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190688 (
-	.A(n_707),
-	.B(\fifo_buffer[111] [3]),
-	.Y(n_2100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190689 (
-	.A(n_707),
-	.B(\fifo_buffer[111] [4]),
-	.Y(n_2099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190690 (
-	.A(n_707),
-	.B(\fifo_buffer[111] [5]),
-	.Y(n_2098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190691 (
-	.A(n_707),
-	.B(\fifo_buffer[111] [6]),
-	.Y(n_2097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190692 (
-	.A(n_707),
-	.B(\fifo_buffer[111] [7]),
-	.Y(n_2096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190693 (
-	.A(n_707),
-	.B(\fifo_buffer[111] [8]),
-	.Y(n_2095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190694 (
-	.A(n_709),
-	.B(\fifo_buffer[86] [7]),
-	.Y(n_2094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190695 (
-	.A(n_685),
-	.B(\fifo_buffer[25] [1]),
-	.Y(n_2093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190696 (
-	.A(n_685),
-	.B(\fifo_buffer[25] [2]),
-	.Y(n_2092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190697 (
-	.A(n_685),
-	.B(\fifo_buffer[25] [3]),
-	.Y(n_2091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190698 (
-	.A(n_685),
-	.B(\fifo_buffer[25] [4]),
-	.Y(n_2090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190699 (
-	.A(n_663),
-	.B(\fifo_buffer[85] [8]),
-	.Y(n_2089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190700 (
-	.A(n_685),
-	.B(\fifo_buffer[25] [5]),
-	.Y(n_2088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190701 (
-	.A(n_803),
-	.B(\fifo_buffer[84] [7]),
-	.Y(n_2087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190702 (
-	.A(n_685),
-	.B(\fifo_buffer[25] [6]),
-	.Y(n_2086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190703 (
-	.A(n_685),
-	.B(\fifo_buffer[25] [7]),
-	.Y(n_2085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190704 (
-	.A(n_685),
-	.B(\fifo_buffer[25] [8]),
-	.Y(n_2084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190705 (
-	.A(n_703),
-	.B(\fifo_buffer[26] [1]),
-	.Y(n_2083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190706 (
-	.A(n_719),
-	.B(\fifo_buffer[115] [1]),
-	.Y(n_2082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190707 (
-	.A(n_703),
-	.B(\fifo_buffer[26] [2]),
-	.Y(n_2081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190708 (
-	.A(n_719),
-	.B(\fifo_buffer[115] [2]),
-	.Y(n_2080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190709 (
-	.A(n_703),
-	.B(\fifo_buffer[26] [3]),
-	.Y(n_2079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190710 (
-	.A(n_719),
-	.B(\fifo_buffer[115] [3]),
-	.Y(n_2078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190711 (
-	.A(n_719),
-	.B(\fifo_buffer[115] [4]),
-	.Y(n_2077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190712 (
-	.A(n_719),
-	.B(\fifo_buffer[115] [5]),
-	.Y(n_2076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190713 (
-	.A(n_703),
-	.B(\fifo_buffer[26] [4]),
-	.Y(n_2075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190714 (
-	.A(n_719),
-	.B(\fifo_buffer[115] [6]),
-	.Y(n_2074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190715 (
-	.A(n_719),
-	.B(\fifo_buffer[115] [7]),
-	.Y(n_2073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190716 (
-	.A(n_703),
-	.B(\fifo_buffer[26] [5]),
-	.Y(n_2072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190717 (
-	.A(n_719),
-	.B(\fifo_buffer[115] [8]),
-	.Y(n_2071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190718 (
-	.A(n_703),
-	.B(\fifo_buffer[26] [6]),
-	.Y(n_2070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190719 (
-	.A(n_736),
-	.B(\fifo_buffer[116] [1]),
-	.Y(n_2069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190720 (
-	.A(n_736),
-	.B(\fifo_buffer[116] [2]),
-	.Y(n_2068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190721 (
-	.A(n_736),
-	.B(\fifo_buffer[116] [3]),
-	.Y(n_2067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190722 (
-	.A(n_703),
-	.B(\fifo_buffer[26] [7]),
-	.Y(n_2066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190723 (
-	.A(n_736),
-	.B(\fifo_buffer[116] [4]),
-	.Y(n_2065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190724 (
-	.A(n_703),
-	.B(\fifo_buffer[26] [8]),
-	.Y(n_2064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190725 (
-	.A(n_736),
-	.B(\fifo_buffer[116] [5]),
-	.Y(n_2063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190726 (
-	.A(n_736),
-	.B(\fifo_buffer[116] [6]),
-	.Y(n_2062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190727 (
-	.A(n_736),
-	.B(\fifo_buffer[116] [7]),
-	.Y(n_2061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190728 (
-	.A1(n_194),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_370),
-	.B2(\fifo_buffer[178] [5]),
-	.X(n_2060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190729 (
-	.A(n_733),
-	.B(\fifo_buffer[82] [8]),
-	.Y(n_2059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190730 (
-	.A(n_748),
-	.B(\fifo_buffer[27] [1]),
-	.Y(n_2058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190731 (
-	.A(n_797),
-	.B(\fifo_buffer[117] [1]),
-	.Y(n_2057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190732 (
-	.A(n_797),
-	.B(\fifo_buffer[117] [2]),
-	.Y(n_2056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190733 (
-	.A(n_748),
-	.B(\fifo_buffer[27] [2]),
-	.Y(n_2055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190734 (
-	.A(n_797),
-	.B(\fifo_buffer[117] [3]),
-	.Y(n_2054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190735 (
-	.A(n_797),
-	.B(\fifo_buffer[117] [4]),
-	.Y(n_2053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190736 (
-	.A(n_748),
-	.B(\fifo_buffer[27] [3]),
-	.Y(n_2052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190737 (
-	.A(n_797),
-	.B(\fifo_buffer[117] [5]),
-	.Y(n_2051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190738 (
-	.A(n_797),
-	.B(\fifo_buffer[117] [6]),
-	.Y(n_2050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190739 (
-	.A(n_748),
-	.B(\fifo_buffer[27] [4]),
-	.Y(n_2049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190740 (
-	.A(n_797),
-	.B(\fifo_buffer[117] [7]),
-	.Y(n_2048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190741 (
-	.A(n_748),
-	.B(\fifo_buffer[27] [5]),
-	.Y(n_2047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190742 (
-	.A(n_797),
-	.B(\fifo_buffer[117] [8]),
-	.Y(n_2046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190743 (
-	.A(n_748),
-	.B(\fifo_buffer[27] [6]),
-	.Y(n_2045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190744 (
-	.A(n_808),
-	.B(\fifo_buffer[118] [1]),
-	.Y(n_2044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190745 (
-	.A(n_808),
-	.B(\fifo_buffer[118] [2]),
-	.Y(n_2043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190746 (
-	.A(n_808),
-	.B(\fifo_buffer[118] [3]),
-	.Y(n_2042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190747 (
-	.A(n_748),
-	.B(\fifo_buffer[27] [7]),
-	.Y(n_2041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190748 (
-	.A(n_808),
-	.B(\fifo_buffer[118] [4]),
-	.Y(n_2040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190749 (
-	.A(n_808),
-	.B(\fifo_buffer[118] [5]),
-	.Y(n_2039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190750 (
-	.A(n_748),
-	.B(\fifo_buffer[27] [8]),
-	.Y(n_2038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190751 (
-	.A(n_808),
-	.B(\fifo_buffer[118] [6]),
-	.Y(n_2037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190752 (
-	.A(n_808),
-	.B(\fifo_buffer[118] [7]),
-	.Y(n_2036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190753 (
-	.A(n_808),
-	.B(\fifo_buffer[118] [8]),
-	.Y(n_2035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190754 (
-	.A(n_711),
-	.B(\fifo_buffer[28] [1]),
-	.Y(n_2034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190755 (
-	.A(n_791),
-	.B(\fifo_buffer[119] [1]),
-	.Y(n_2033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190756 (
-	.A(n_711),
-	.B(\fifo_buffer[28] [2]),
-	.Y(n_2032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190757 (
-	.A(n_791),
-	.B(\fifo_buffer[119] [2]),
-	.Y(n_2031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190758 (
-	.A(n_791),
-	.B(\fifo_buffer[119] [3]),
-	.Y(n_2030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190759 (
-	.A(n_711),
-	.B(\fifo_buffer[28] [3]),
-	.Y(n_2029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190760 (
-	.A(n_791),
-	.B(\fifo_buffer[119] [4]),
-	.Y(n_2028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190761 (
-	.A(n_791),
-	.B(\fifo_buffer[119] [5]),
-	.Y(n_2027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190762 (
-	.A(n_791),
-	.B(\fifo_buffer[119] [6]),
-	.Y(n_2026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190763 (
-	.A(n_711),
-	.B(\fifo_buffer[28] [4]),
-	.Y(n_2025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190764 (
-	.A(n_791),
-	.B(\fifo_buffer[119] [7]),
-	.Y(n_2024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190765 (
-	.A(n_711),
-	.B(\fifo_buffer[28] [5]),
-	.Y(n_2023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190766 (
-	.A(n_791),
-	.B(\fifo_buffer[119] [8]),
-	.Y(n_2022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190767 (
-	.A(n_711),
-	.B(\fifo_buffer[28] [6]),
-	.Y(n_2021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190768 (
-	.A(n_711),
-	.B(\fifo_buffer[28] [7]),
-	.Y(n_2020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190769 (
-	.A(n_711),
-	.B(\fifo_buffer[28] [8]),
-	.Y(n_2019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190770 (
-	.A(n_763),
-	.B(\fifo_buffer[29] [1]),
-	.Y(n_2018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190771 (
-	.A(n_763),
-	.B(\fifo_buffer[29] [2]),
-	.Y(n_2017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190772 (
-	.A(n_763),
-	.B(\fifo_buffer[29] [3]),
-	.Y(n_2016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190773 (
-	.A(n_763),
-	.B(\fifo_buffer[29] [4]),
-	.Y(n_2015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190774 (
-	.A(n_763),
-	.B(\fifo_buffer[29] [5]),
-	.Y(n_2014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190775 (
-	.A(n_763),
-	.B(\fifo_buffer[29] [6]),
-	.Y(n_2013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190776 (
-	.A(n_763),
-	.B(\fifo_buffer[29] [7]),
-	.Y(n_2012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190777 (
-	.A(n_733),
-	.B(\fifo_buffer[82] [1]),
-	.Y(n_2011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190778 (
-	.A(n_763),
-	.B(\fifo_buffer[29] [8]),
-	.Y(n_2010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190779 (
-	.A(n_774),
-	.B(\fifo_buffer[30] [1]),
-	.Y(n_2009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190780 (
-	.A(n_774),
-	.B(\fifo_buffer[30] [2]),
-	.Y(n_2008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190781 (
-	.A(n_787),
-	.B(\fifo_buffer[87] [1]),
-	.Y(n_2007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190782 (
-	.A(n_774),
-	.B(\fifo_buffer[30] [3]),
-	.Y(n_2006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190783 (
-	.A(n_774),
-	.B(\fifo_buffer[30] [4]),
-	.Y(n_2005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190784 (
-	.A(n_774),
-	.B(\fifo_buffer[30] [5]),
-	.Y(n_2004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190785 (
-	.A(n_774),
-	.B(\fifo_buffer[30] [6]),
-	.Y(n_2003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190786 (
-	.A(n_774),
-	.B(\fifo_buffer[30] [7]),
-	.Y(n_2002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190787 (
-	.A(n_774),
-	.B(\fifo_buffer[30] [8]),
-	.Y(n_2001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190788 (
-	.A(n_677),
-	.B(\fifo_buffer[92] [8]),
-	.Y(n_2000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190789 (
-	.A(n_799),
-	.B(\fifo_buffer[31] [1]),
-	.Y(n_1999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190790 (
-	.A(n_799),
-	.B(\fifo_buffer[31] [2]),
-	.Y(n_1998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190791 (
-	.A(n_799),
-	.B(\fifo_buffer[31] [3]),
-	.Y(n_1997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190792 (
-	.A(n_799),
-	.B(\fifo_buffer[31] [4]),
-	.Y(n_1996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190793 (
-	.A(n_799),
-	.B(\fifo_buffer[31] [5]),
-	.Y(n_1995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190794 (
-	.A(n_681),
-	.B(\fifo_buffer[126] [1]),
-	.Y(n_1994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190795 (
-	.A(n_799),
-	.B(\fifo_buffer[31] [6]),
-	.Y(n_1993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190796 (
-	.A(n_681),
-	.B(\fifo_buffer[126] [2]),
-	.Y(n_1992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190797 (
-	.A(n_681),
-	.B(\fifo_buffer[126] [3]),
-	.Y(n_1991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190798 (
-	.A(n_681),
-	.B(\fifo_buffer[126] [4]),
-	.Y(n_1990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190799 (
-	.A(n_799),
-	.B(\fifo_buffer[31] [7]),
-	.Y(n_1989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190800 (
-	.A(n_681),
-	.B(\fifo_buffer[126] [5]),
-	.Y(n_1988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190801 (
-	.A(n_799),
-	.B(\fifo_buffer[31] [8]),
-	.Y(n_1987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190802 (
-	.A(n_803),
-	.B(\fifo_buffer[84] [8]),
-	.Y(n_1986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190803 (
-	.A(n_681),
-	.B(\fifo_buffer[126] [6]),
-	.Y(n_1985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190804 (
-	.A(n_681),
-	.B(\fifo_buffer[126] [7]),
-	.Y(n_1984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190805 (
-	.A(n_681),
-	.B(\fifo_buffer[126] [8]),
-	.Y(n_1983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190806 (
-	.A(n_756),
-	.B(\fifo_buffer[127] [1]),
-	.Y(n_1982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190807 (
-	.A(n_756),
-	.B(\fifo_buffer[127] [2]),
-	.Y(n_1981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190808 (
-	.A(n_756),
-	.B(\fifo_buffer[127] [3]),
-	.Y(n_1980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190809 (
-	.A(n_756),
-	.B(\fifo_buffer[127] [4]),
-	.Y(n_1979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190810 (
-	.A(n_756),
-	.B(\fifo_buffer[127] [5]),
-	.Y(n_1978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190811 (
-	.A(n_756),
-	.B(\fifo_buffer[127] [6]),
-	.Y(n_1977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190812 (
-	.A(n_756),
-	.B(\fifo_buffer[127] [7]),
-	.Y(n_1976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190813 (
-	.A(n_756),
-	.B(\fifo_buffer[127] [8]),
-	.Y(n_1975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190814 (
-	.A(n_769),
-	.B(\fifo_buffer[94] [8]),
-	.Y(n_1974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190815 (
-	.A(n_769),
-	.B(\fifo_buffer[94] [6]),
-	.Y(n_1973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190816 (
-	.A(n_677),
-	.B(\fifo_buffer[92] [7]),
-	.Y(n_1972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190817 (
-	.A(n_697),
-	.B(\fifo_buffer[147] [1]),
-	.Y(n_1971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190818 (
-	.A(n_697),
-	.B(\fifo_buffer[147] [2]),
-	.Y(n_1970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190819 (
-	.A(n_697),
-	.B(\fifo_buffer[147] [3]),
-	.Y(n_1969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190820 (
-	.A(n_697),
-	.B(\fifo_buffer[147] [4]),
-	.Y(n_1968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190821 (
-	.A(n_697),
-	.B(\fifo_buffer[147] [5]),
-	.Y(n_1967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190822 (
-	.A(n_697),
-	.B(\fifo_buffer[147] [6]),
-	.Y(n_1966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190823 (
-	.A(n_697),
-	.B(\fifo_buffer[147] [7]),
-	.Y(n_1965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190824 (
-	.A(n_697),
-	.B(\fifo_buffer[147] [8]),
-	.Y(n_1964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190825 (
-	.A(n_717),
-	.B(\fifo_buffer[149] [1]),
-	.Y(n_1963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190826 (
-	.A(n_717),
-	.B(\fifo_buffer[149] [2]),
-	.Y(n_1962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190827 (
-	.A(n_717),
-	.B(\fifo_buffer[149] [3]),
-	.Y(n_1961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190828 (
-	.A(n_717),
-	.B(\fifo_buffer[149] [4]),
-	.Y(n_1960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190829 (
-	.A(n_717),
-	.B(\fifo_buffer[149] [5]),
-	.Y(n_1959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190830 (
-	.A(n_717),
-	.B(\fifo_buffer[149] [6]),
-	.Y(n_1958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190831 (
-	.A(n_717),
-	.B(\fifo_buffer[149] [7]),
-	.Y(n_1957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190832 (
-	.A(n_717),
-	.B(\fifo_buffer[149] [8]),
-	.Y(n_1956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190833 (
-	.A(n_725),
-	.B(\fifo_buffer[150] [1]),
-	.Y(n_1955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190834 (
-	.A(n_725),
-	.B(\fifo_buffer[150] [2]),
-	.Y(n_1954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190835 (
-	.A(n_725),
-	.B(\fifo_buffer[150] [3]),
-	.Y(n_1953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190836 (
-	.A(n_725),
-	.B(\fifo_buffer[150] [4]),
-	.Y(n_1952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190837 (
-	.A(n_725),
-	.B(\fifo_buffer[150] [5]),
-	.Y(n_1951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190838 (
-	.A(n_725),
-	.B(\fifo_buffer[150] [6]),
-	.Y(n_1950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190839 (
-	.A(n_725),
-	.B(\fifo_buffer[150] [7]),
-	.Y(n_1949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190840 (
-	.A(n_725),
-	.B(\fifo_buffer[150] [8]),
-	.Y(n_1948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190841 (
-	.A(n_777),
-	.B(\fifo_buffer[151] [1]),
-	.Y(n_1947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190842 (
-	.A(n_777),
-	.B(\fifo_buffer[151] [2]),
-	.Y(n_1946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190843 (
-	.A(n_777),
-	.B(\fifo_buffer[151] [3]),
-	.Y(n_1945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g190844 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_264),
-	.Y(n_1944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190845 (
-	.A1(n_194),
-	.A2(n_36),
-	.B1(n_370),
-	.B2(\fifo_buffer[178] [4]),
-	.X(n_1943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190846 (
-	.A1(n_143),
-	.A2(n_48),
-	.B1(n_376),
-	.B2(\fifo_buffer[57] [8]),
-	.X(n_1942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190847 (
-	.A1(n_194),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_370),
-	.B2(\fifo_buffer[178] [3]),
-	.X(n_1941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190848 (
-	.A1(n_194),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_370),
-	.B2(\fifo_buffer[178] [2]),
-	.X(n_1940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190849 (
-	.A1(n_143),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_376),
-	.B2(\fifo_buffer[57] [6]),
-	.X(n_1939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190850 (
-	.A1(n_194),
-	.A2(n_23),
-	.B1(n_370),
-	.B2(\fifo_buffer[178] [1]),
-	.X(n_1938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190851 (
-	.A1(n_145),
-	.A2(n_48),
-	.B1(n_386),
-	.B2(\fifo_buffer[177] [8]),
-	.X(n_1937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190852 (
-	.A1(n_143),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_376),
-	.B2(\fifo_buffer[57] [5]),
-	.X(n_1936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190853 (
-	.A1(n_153),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_297),
-	.B2(\fifo_buffer[202] [3]),
-	.X(n_1935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190854 (
-	.A1(n_153),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_297),
-	.B2(\fifo_buffer[202] [2]),
-	.X(n_1934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190855 (
-	.A1(n_143),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_376),
-	.B2(\fifo_buffer[57] [4]),
-	.X(n_1933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190856 (
-	.A1(n_145),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_386),
-	.B2(\fifo_buffer[177] [7]),
-	.X(n_1932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190857 (
-	.A1(n_145),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_386),
-	.B2(\fifo_buffer[177] [6]),
-	.X(n_1931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190858 (
-	.A1(n_143),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_376),
-	.B2(\fifo_buffer[57] [3]),
-	.X(n_1930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190859 (
-	.A1(n_145),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_386),
-	.B2(\fifo_buffer[177] [5]),
-	.X(n_1929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190860 (
-	.A1(n_145),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_386),
-	.B2(\fifo_buffer[177] [4]),
-	.X(n_1928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190861 (
-	.A1(n_153),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_297),
-	.B2(\fifo_buffer[202] [4]),
-	.X(n_1927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190862 (
-	.A1(n_143),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_376),
-	.B2(\fifo_buffer[57] [2]),
-	.X(n_1926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190863 (
-	.A1(n_145),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_386),
-	.B2(\fifo_buffer[177] [3]),
-	.X(n_1925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190864 (
-	.A1(n_145),
-	.A2(n_44),
-	.B1(n_386),
-	.B2(\fifo_buffer[177] [2]),
-	.X(n_1924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190865 (
-	.A1(n_153),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_297),
-	.B2(\fifo_buffer[202] [5]),
-	.X(n_1923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190866 (
-	.A1(n_145),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_386),
-	.B2(\fifo_buffer[177] [1]),
-	.X(n_1922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190867 (
-	.A1(n_143),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_376),
-	.B2(\fifo_buffer[57] [1]),
-	.X(n_1921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190868 (
-	.A1(n_237),
-	.A2(n_48),
-	.B1(n_427),
-	.B2(\fifo_buffer[176] [8]),
-	.X(n_1920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190869 (
-	.A1(n_153),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_297),
-	.B2(\fifo_buffer[202] [6]),
-	.X(n_1919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190870 (
-	.A1(n_237),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_427),
-	.B2(\fifo_buffer[176] [7]),
-	.X(n_1918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190871 (
-	.A1(n_237),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_427),
-	.B2(\fifo_buffer[176] [6]),
-	.X(n_1917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190872 (
-	.A1(n_237),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_427),
-	.B2(\fifo_buffer[176] [5]),
-	.X(n_1916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190873 (
-	.A1(n_235),
-	.A2(n_48),
-	.B1(n_349),
-	.B2(\fifo_buffer[56] [8]),
-	.X(n_1915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190874 (
-	.A1(n_237),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_427),
-	.B2(\fifo_buffer[176] [4]),
-	.X(n_1914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190875 (
-	.A1(n_153),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_297),
-	.B2(\fifo_buffer[202] [8]),
-	.X(n_1913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190876 (
-	.A1(n_237),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_427),
-	.B2(\fifo_buffer[176] [3]),
-	.X(n_1912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190877 (
-	.A1(n_237),
-	.A2(n_44),
-	.B1(n_427),
-	.B2(\fifo_buffer[176] [2]),
-	.X(n_1911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190878 (
-	.A1(n_235),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_349),
-	.B2(\fifo_buffer[56] [7]),
-	.X(n_1910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190879 (
-	.A1(n_237),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_427),
-	.B2(\fifo_buffer[176] [1]),
-	.X(n_1909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190880 (
-	.A1(n_235),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_349),
-	.B2(\fifo_buffer[56] [6]),
-	.X(n_1908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190881 (
-	.A1(n_235),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_349),
-	.B2(\fifo_buffer[56] [5]),
-	.X(n_1907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190882 (
-	.A1(n_235),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_349),
-	.B2(\fifo_buffer[56] [4]),
-	.X(n_1906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190883 (
-	.A1(n_235),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_349),
-	.B2(\fifo_buffer[56] [1]),
-	.X(n_1905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190884 (
-	.A1(n_235),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_349),
-	.B2(\fifo_buffer[56] [3]),
-	.X(n_1904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190885 (
-	.A1(n_235),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_349),
-	.B2(\fifo_buffer[56] [2]),
-	.X(n_1903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190886 (
-	.A1_N(\fifo_buffer[55] [8]),
-	.A2_N(n_277),
-	.B1(n_49),
-	.B2(n_183),
-	.Y(n_1902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190887 (
-	.A1_N(\fifo_buffer[55] [7]),
-	.A2_N(n_277),
-	.B1(n_47),
-	.B2(n_183),
-	.Y(n_1901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190888 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_242),
-	.B1(n_1129),
-	.Y(n_1900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190889 (
-	.A1_N(\fifo_buffer[55] [6]),
-	.A2_N(n_277),
-	.B1(n_41),
-	.B2(n_183),
-	.Y(n_1899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190890 (
-	.A1_N(\fifo_buffer[55] [5]),
-	.A2_N(n_277),
-	.B1(FE_OFN1636_n_43),
-	.B2(n_183),
-	.Y(n_1898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190891 (
-	.A1_N(\fifo_buffer[55] [4]),
-	.A2_N(n_277),
-	.B1(FE_OFN1607_n_37),
-	.B2(n_183),
-	.Y(n_1897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190892 (
-	.A1_N(\fifo_buffer[55] [3]),
-	.A2_N(n_277),
-	.B1(FE_OFN1630_n_39),
-	.B2(n_183),
-	.Y(n_1896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190893 (
-	.A1_N(\fifo_buffer[55] [2]),
-	.A2_N(n_277),
-	.B1(FE_OFN1610_n_45),
-	.B2(n_183),
-	.Y(n_1895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g190894 (
-	.A1_N(\fifo_buffer[55] [1]),
-	.A2_N(n_277),
-	.B1(n_24),
-	.B2(n_183),
-	.Y(n_1894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190895 (
-	.A1(n_178),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_346),
-	.B2(\fifo_buffer[54] [8]),
-	.X(n_1893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190896 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_242),
-	.B1(n_1132),
-	.Y(n_1892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190897 (
-	.A1(n_178),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_346),
-	.B2(\fifo_buffer[54] [7]),
-	.X(n_1891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190898 (
-	.A1(n_178),
-	.A2(n_42),
-	.B1(n_346),
-	.B2(\fifo_buffer[54] [5]),
-	.X(n_1890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190899 (
-	.A1(n_178),
-	.A2(n_36),
-	.B1(n_346),
-	.B2(\fifo_buffer[54] [4]),
-	.X(n_1889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190900 (
-	.A1(n_178),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_346),
-	.B2(\fifo_buffer[54] [6]),
-	.X(n_1888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190901 (
-	.A1(n_45),
-	.A2(n_242),
-	.B1(n_1130),
-	.Y(n_1887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190902 (
-	.A1(n_178),
-	.A2(n_38),
-	.B1(n_346),
-	.B2(\fifo_buffer[54] [3]),
-	.X(n_1886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190903 (
-	.A1(n_178),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_346),
-	.B2(\fifo_buffer[54] [2]),
-	.X(n_1885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190904 (
-	.A1(n_178),
-	.A2(n_23),
-	.B1(n_346),
-	.B2(\fifo_buffer[54] [1]),
-	.X(n_1884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190905 (
-	.A1(n_177),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_351),
-	.B2(\fifo_buffer[53] [8]),
-	.X(n_1883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190906 (
-	.A1(n_177),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_351),
-	.B2(\fifo_buffer[53] [7]),
-	.X(n_1882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190907 (
-	.A1(n_177),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_351),
-	.B2(\fifo_buffer[53] [6]),
-	.X(n_1881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190908 (
-	.A1(n_177),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_351),
-	.B2(\fifo_buffer[53] [5]),
-	.X(n_1880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41o_1 g190909 (
-	.A1(n_102),
-	.A2(raddr[2]),
-	.A3(raddr[3]),
-	.A4(raddr[4]),
-	.B1(raddr[8]),
-	.X(n_1879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190910 (
-	.A1(n_177),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_351),
-	.B2(\fifo_buffer[53] [4]),
-	.X(n_1878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g190911 (
-	.A1(n_132),
-	.A2(n_97),
-	.B1(n_201),
-	.B2(waddr[6]),
-	.C1(n_34),
-	.Y(n_1877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190912 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_213),
-	.B1(n_993),
-	.Y(n_1876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190913 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_210),
-	.B1(n_952),
-	.Y(n_1875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190914 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_217),
-	.B1(n_1171),
-	.Y(n_1874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190915 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_174),
-	.B1(n_1157),
-	.Y(n_1873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190916 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_234),
-	.B1(n_1165),
-	.Y(n_1872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190917 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_212),
-	.B1(n_1168),
-	.Y(n_1871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190918 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_244),
-	.B1(n_966),
-	.Y(n_1870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190919 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_244),
-	.B1(n_962),
-	.Y(n_1869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190920 (
-	.A1(n_169),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_286),
-	.B2(\fifo_buffer[244] [8]),
-	.X(n_1868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190921 (
-	.A1(n_169),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_286),
-	.B2(\fifo_buffer[244] [4]),
-	.X(n_1867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190922 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_244),
-	.B1(n_963),
-	.Y(n_1866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190923 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_213),
-	.B1(n_990),
-	.Y(n_1865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190924 (
-	.A1(n_246),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_430),
-	.B2(\fifo_buffer[48] [1]),
-	.X(n_1864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190925 (
-	.A1(n_246),
-	.A2(n_44),
-	.B1(n_430),
-	.B2(\fifo_buffer[48] [2]),
-	.X(n_1863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190926 (
-	.A1(n_246),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_430),
-	.B2(\fifo_buffer[48] [3]),
-	.X(n_1862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190927 (
-	.A1(n_246),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_430),
-	.B2(\fifo_buffer[48] [4]),
-	.X(n_1861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190928 (
-	.A1(n_246),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_430),
-	.B2(\fifo_buffer[48] [5]),
-	.X(n_1860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190929 (
-	.A1(n_148),
-	.A2(n_38),
-	.B1(n_284),
-	.B2(\fifo_buffer[204] [3]),
-	.X(n_1859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190930 (
-	.A1(n_246),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_430),
-	.B2(\fifo_buffer[48] [6]),
-	.X(n_1858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190931 (
-	.A1(n_246),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_430),
-	.B2(\fifo_buffer[48] [7]),
-	.X(n_1857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190932 (
-	.A1(n_246),
-	.A2(n_48),
-	.B1(n_430),
-	.B2(\fifo_buffer[48] [8]),
-	.X(n_1856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190933 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_203),
-	.B1(n_1155),
-	.Y(n_1855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190934 (
-	.A1(n_236),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_343),
-	.B2(\fifo_buffer[49] [1]),
-	.X(n_1854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190935 (
-	.A1(n_236),
-	.A2(n_44),
-	.B1(n_343),
-	.B2(\fifo_buffer[49] [2]),
-	.X(n_1853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190936 (
-	.A1(n_236),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_343),
-	.B2(\fifo_buffer[49] [4]),
-	.X(n_1852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190937 (
-	.A1(n_236),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_343),
-	.B2(\fifo_buffer[49] [5]),
-	.X(n_1851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190938 (
-	.A1(n_236),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_343),
-	.B2(\fifo_buffer[49] [3]),
-	.X(n_1850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190939 (
-	.A1(n_236),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_343),
-	.B2(\fifo_buffer[49] [6]),
-	.X(n_1849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190940 (
-	.A1(n_148),
-	.A2(n_23),
-	.B1(n_284),
-	.B2(\fifo_buffer[204] [1]),
-	.X(n_1848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190941 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_203),
-	.B1(n_1153),
-	.Y(n_1847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190942 (
-	.A1(n_236),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_343),
-	.B2(\fifo_buffer[49] [7]),
-	.X(n_1846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190943 (
-	.A1(n_236),
-	.A2(n_48),
-	.B1(n_343),
-	.B2(\fifo_buffer[49] [8]),
-	.X(n_1845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190944 (
-	.A1(n_229),
-	.A2(n_23),
-	.B1(n_313),
-	.B2(\fifo_buffer[50] [1]),
-	.X(n_1844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190945 (
-	.A1(n_229),
-	.A2(n_44),
-	.B1(n_313),
-	.B2(\fifo_buffer[50] [2]),
-	.X(n_1843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190946 (
-	.A1(n_229),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_313),
-	.B2(\fifo_buffer[50] [3]),
-	.X(n_1842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190947 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_163),
-	.B1(n_1150),
-	.Y(n_1841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190948 (
-	.A1(n_229),
-	.A2(n_36),
-	.B1(n_313),
-	.B2(\fifo_buffer[50] [4]),
-	.X(n_1840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190949 (
-	.A1(n_229),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_313),
-	.B2(\fifo_buffer[50] [5]),
-	.X(n_1839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190950 (
-	.A1(n_229),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_313),
-	.B2(\fifo_buffer[50] [6]),
-	.X(n_1838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190951 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_202),
-	.B1(n_1152),
-	.Y(n_1837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190952 (
-	.A1(n_229),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_313),
-	.B2(\fifo_buffer[50] [7]),
-	.X(n_1836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190953 (
-	.A1(n_229),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_313),
-	.B2(\fifo_buffer[50] [8]),
-	.X(n_1835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190954 (
-	.A1(n_197),
-	.A2(n_23),
-	.B1(n_375),
-	.B2(\fifo_buffer[51] [1]),
-	.X(n_1834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190955 (
-	.A1(n_176),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_308),
-	.B2(\fifo_buffer[242] [8]),
-	.X(n_1833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190956 (
-	.A1(n_197),
-	.A2(n_44),
-	.B1(n_375),
-	.B2(\fifo_buffer[51] [2]),
-	.X(n_1832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190957 (
-	.A1(n_197),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_375),
-	.B2(\fifo_buffer[51] [3]),
-	.X(n_1831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190958 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_202),
-	.B1(n_1147),
-	.Y(n_1830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190959 (
-	.A1(n_197),
-	.A2(n_36),
-	.B1(n_375),
-	.B2(\fifo_buffer[51] [4]),
-	.X(n_1829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190960 (
-	.A1(n_197),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_375),
-	.B2(\fifo_buffer[51] [5]),
-	.X(n_1828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190961 (
-	.A1(n_197),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_375),
-	.B2(\fifo_buffer[51] [6]),
-	.X(n_1827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190962 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_202),
-	.B1(n_1143),
-	.Y(n_1826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190963 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_146),
-	.B1(n_545),
-	.Y(n_1825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190964 (
-	.A1(n_227),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_270),
-	.B2(\fifo_buffer[52] [1]),
-	.X(n_1824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190965 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_163),
-	.B1(n_1146),
-	.Y(n_1823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190966 (
-	.A1(n_197),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_375),
-	.B2(\fifo_buffer[51] [8]),
-	.X(n_1822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190967 (
-	.A1(n_227),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_270),
-	.B2(\fifo_buffer[52] [2]),
-	.X(n_1821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190968 (
-	.A1(n_227),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_270),
-	.B2(\fifo_buffer[52] [3]),
-	.X(n_1820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190969 (
-	.A1(n_227),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_270),
-	.B2(\fifo_buffer[52] [4]),
-	.X(n_1819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190970 (
-	.A1(n_227),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_270),
-	.B2(\fifo_buffer[52] [5]),
-	.X(n_1818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190971 (
-	.A1(n_192),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_323),
-	.B2(\fifo_buffer[248] [4]),
-	.X(n_1817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190972 (
-	.A1(n_227),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_270),
-	.B2(\fifo_buffer[52] [6]),
-	.X(n_1816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190973 (
-	.A1(n_227),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_270),
-	.B2(\fifo_buffer[52] [8]),
-	.X(n_1815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190974 (
-	.A1(n_227),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_270),
-	.B2(\fifo_buffer[52] [7]),
-	.X(n_1814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190975 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_163),
-	.B1(n_1065),
-	.Y(n_1813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190976 (
-	.A1(n_177),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_351),
-	.B2(\fifo_buffer[53] [1]),
-	.X(n_1812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190977 (
-	.A1(n_177),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_351),
-	.B2(\fifo_buffer[53] [2]),
-	.X(n_1811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g190978 (
-	.A1(n_177),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_351),
-	.B2(\fifo_buffer[53] [3]),
-	.X(n_1810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g190979 (
-	.A1(n_267),
-	.A2(n_13),
-	.B1(FE_OFN1400_n_11),
-	.Y(n_1809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g190980 (
-	.A(clr_i),
-	.B(n_870),
-	.Y(n_2462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g190981 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_853),
-	.Y(n_2461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g190982 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_855),
-	.Y(n_2460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g190983 (
-	.A(clr_i),
-	.B(n_813),
-	.Y(n_2459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g190984 (
-	.A(clr_i),
-	.B(n_821),
-	.Y(n_2458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g190985 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_815),
-	.Y(n_2457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g190986 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_833),
-	.Y(n_2456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g190987 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_817),
-	.Y(n_2455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g190988 (
-	.A(clr_i),
-	.B(n_826),
-	.Y(n_2454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190989 (
-	.A(n_838),
-	.B(FE_OFN1401_n_11),
-	.Y(n_2452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g190990 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_819),
-	.Y(n_2451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190991 (
-	.A(n_886),
-	.B(FE_OFN1402_n_11),
-	.Y(n_2449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g190992 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_823),
-	.Y(n_2448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g190993 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_828),
-	.Y(n_2447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190994 (
-	.A(n_841),
-	.B(FE_OFN1404_n_11),
-	.Y(n_2445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190995 (
-	.A(n_843),
-	.B(FE_OFN1401_n_11),
-	.Y(n_2443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g190996 (
-	.A(clr_i),
-	.B(n_825),
-	.Y(n_2442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g190997 (
-	.A(n_832),
-	.B(FE_OFN1402_n_11),
-	.Y(n_2440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g190998 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_830),
-	.Y(n_2439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g190999 (
-	.A(clr_i),
-	.B(n_869),
-	.Y(n_2438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191000 (
-	.A(n_837),
-	.B(FE_OFN1404_n_11),
-	.Y(n_2436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191001 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_831),
-	.Y(n_2435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191002 (
-	.A(clr_i),
-	.B(n_866),
-	.Y(n_2434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191003 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_835),
-	.Y(n_2433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191004 (
-	.A(n_848),
-	.B(n_11),
-	.Y(n_2431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191005 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_879),
-	.Y(n_2430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191006 (
-	.A(n_840),
-	.B(FE_OFN1404_n_11),
-	.Y(n_2428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191007 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_836),
-	.Y(n_2427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191008 (
-	.A(n_852),
-	.B(FE_OFN1399_n_11),
-	.Y(n_2425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191009 (
-	.A(clr_i),
-	.B(n_863),
-	.Y(n_2424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191010 (
-	.A(n_842),
-	.B(FE_OFN1401_n_11),
-	.Y(n_2422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191011 (
-	.A(n_847),
-	.B(FE_OFN1402_n_11),
-	.Y(n_2420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191012 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_839),
-	.Y(n_2419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191013 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_865),
-	.Y(n_2418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191014 (
-	.A(n_857),
-	.B(FE_OFN1401_n_11),
-	.Y(n_2416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191015 (
-	.A(n_872),
-	.B(FE_OFN1401_n_11),
-	.Y(n_2414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191016 (
-	.A(n_858),
-	.B(FE_OFN1402_n_11),
-	.Y(n_2412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191017 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_844),
-	.Y(n_2411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191018 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_845),
-	.Y(n_2410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191019 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_846),
-	.Y(n_2409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191020 (
-	.A(n_860),
-	.B(FE_OFN1404_n_11),
-	.Y(n_2407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191021 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_859),
-	.Y(n_2406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191022 (
-	.A(clr_i),
-	.B(n_867),
-	.Y(n_2405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191023 (
-	.A(n_873),
-	.B(FE_OFN1401_n_11),
-	.Y(n_2403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191024 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_861),
-	.Y(n_2402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191025 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_862),
-	.Y(n_2401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191026 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_868),
-	.Y(n_2400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191027 (
-	.A(clr_i),
-	.B(n_864),
-	.Y(n_2399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191028 (
-	.A(n_877),
-	.B(FE_OFN1402_n_11),
-	.Y(n_2397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191029 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_875),
-	.Y(n_2396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191030 (
-	.A(n_881),
-	.B(FE_OFN1401_n_11),
-	.Y(n_2394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191031 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_876),
-	.Y(n_2393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191032 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_878),
-	.Y(n_2392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191033 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_880),
-	.Y(n_2391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191034 (
-	.A(n_882),
-	.B(FE_OFN1404_n_11),
-	.Y(n_2389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191035 (
-	.A(n_883),
-	.B(FE_OFN1401_n_11),
-	.Y(n_2387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191036 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_884),
-	.Y(n_2386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191037 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_885),
-	.Y(n_2385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191038 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_851),
-	.Y(n_2384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191039 (
-	.A(n_811),
-	.B(FE_OFN1401_n_11),
-	.Y(n_2382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191040 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_874),
-	.Y(n_2381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191041 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_812),
-	.Y(n_2380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191042 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_810),
-	.Y(n_2379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g191043 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_849),
-	.Y(n_2378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191045 (
-	.A1(n_41),
-	.A2(n_242),
-	.B1(n_1131),
-	.Y(n_1808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191046 (
-	.A1(n_194),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_370),
-	.B2(\fifo_buffer[178] [7]),
-	.X(n_1807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191047 (
-	.A1(n_143),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_376),
-	.B2(\fifo_buffer[57] [7]),
-	.X(n_1806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191048 (
-	.A1(n_194),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_370),
-	.B2(\fifo_buffer[178] [8]),
-	.X(n_1805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191049 (
-	.A1(n_191),
-	.A2(n_23),
-	.B1(n_367),
-	.B2(\fifo_buffer[58] [1]),
-	.X(n_1804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191050 (
-	.A1(n_161),
-	.A2(n_23),
-	.B1(n_315),
-	.B2(\fifo_buffer[179] [1]),
-	.X(n_1803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191051 (
-	.A1(n_161),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_315),
-	.B2(\fifo_buffer[179] [2]),
-	.X(n_1802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191052 (
-	.A1(n_191),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_367),
-	.B2(\fifo_buffer[58] [2]),
-	.X(n_1801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191053 (
-	.A1(n_161),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_315),
-	.B2(\fifo_buffer[179] [3]),
-	.X(n_1800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191054 (
-	.A1(n_161),
-	.A2(n_36),
-	.B1(n_315),
-	.B2(\fifo_buffer[179] [4]),
-	.X(n_1799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191055 (
-	.A1(n_191),
-	.A2(n_38),
-	.B1(n_367),
-	.B2(\fifo_buffer[58] [3]),
-	.X(n_1798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191056 (
-	.A1(n_191),
-	.A2(n_36),
-	.B1(n_367),
-	.B2(\fifo_buffer[58] [4]),
-	.X(n_1797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191057 (
-	.A1(n_161),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_315),
-	.B2(\fifo_buffer[179] [5]),
-	.X(n_1796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191058 (
-	.A1(n_161),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_315),
-	.B2(\fifo_buffer[179] [6]),
-	.X(n_1795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191059 (
-	.A1(n_161),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_315),
-	.B2(\fifo_buffer[179] [7]),
-	.X(n_1794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191060 (
-	.A1(n_160),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_311),
-	.B2(\fifo_buffer[201] [7]),
-	.X(n_1793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191061 (
-	.A1(n_161),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_315),
-	.B2(\fifo_buffer[179] [8]),
-	.X(n_1792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191062 (
-	.A1(n_191),
-	.A2(n_42),
-	.B1(n_367),
-	.B2(\fifo_buffer[58] [5]),
-	.X(n_1791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191063 (
-	.A1(n_191),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_367),
-	.B2(\fifo_buffer[58] [6]),
-	.X(n_1790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191064 (
-	.A1(n_175),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_354),
-	.B2(\fifo_buffer[180] [1]),
-	.X(n_1789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191065 (
-	.A1(n_191),
-	.A2(n_46),
-	.B1(n_367),
-	.B2(\fifo_buffer[58] [7]),
-	.X(n_1788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191066 (
-	.A1(n_175),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_354),
-	.B2(\fifo_buffer[180] [2]),
-	.X(n_1787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191067 (
-	.A1(n_175),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_354),
-	.B2(\fifo_buffer[180] [3]),
-	.X(n_1786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191068 (
-	.A1(n_191),
-	.A2(n_48),
-	.B1(n_367),
-	.B2(\fifo_buffer[58] [8]),
-	.X(n_1785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191069 (
-	.A1(n_175),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_354),
-	.B2(\fifo_buffer[180] [4]),
-	.X(n_1784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191070 (
-	.A1(n_175),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_354),
-	.B2(\fifo_buffer[180] [5]),
-	.X(n_1783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191071 (
-	.A1(n_175),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_354),
-	.B2(\fifo_buffer[180] [6]),
-	.X(n_1782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191072 (
-	.A1(n_175),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_354),
-	.B2(\fifo_buffer[180] [7]),
-	.X(n_1781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191073 (
-	.A1(n_195),
-	.A2(n_48),
-	.B1(n_373),
-	.B2(\fifo_buffer[200] [8]),
-	.X(n_1780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191074 (
-	.A1(n_175),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_354),
-	.B2(\fifo_buffer[180] [8]),
-	.X(n_1779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191075 (
-	.A1(n_179),
-	.A2(n_23),
-	.B1(n_312),
-	.B2(\fifo_buffer[59] [1]),
-	.X(n_1778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191076 (
-	.A1(n_151),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_285),
-	.B2(\fifo_buffer[181] [1]),
-	.X(n_1777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191077 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_217),
-	.B1(n_934),
-	.Y(n_1776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191078 (
-	.A1(n_179),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_312),
-	.B2(\fifo_buffer[59] [2]),
-	.X(n_1775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191079 (
-	.A1(n_151),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_285),
-	.B2(\fifo_buffer[181] [2]),
-	.X(n_1774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191080 (
-	.A1(n_151),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_285),
-	.B2(\fifo_buffer[181] [3]),
-	.X(n_1773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191081 (
-	.A1_N(\fifo_buffer[198] [3]),
-	.A2_N(n_276),
-	.B1(FE_OFN1631_n_39),
-	.B2(n_157),
-	.Y(n_1772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191082 (
-	.A1(n_151),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_285),
-	.B2(\fifo_buffer[181] [4]),
-	.X(n_1771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191083 (
-	.A1(n_151),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_285),
-	.B2(\fifo_buffer[181] [5]),
-	.X(n_1770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191084 (
-	.A1(n_179),
-	.A2(n_38),
-	.B1(n_312),
-	.B2(\fifo_buffer[59] [3]),
-	.X(n_1769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191085 (
-	.A1(n_151),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_285),
-	.B2(\fifo_buffer[181] [6]),
-	.X(n_1768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191086 (
-	.A1(n_151),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_285),
-	.B2(\fifo_buffer[181] [7]),
-	.X(n_1767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191087 (
-	.A1(n_179),
-	.A2(n_36),
-	.B1(n_312),
-	.B2(\fifo_buffer[59] [4]),
-	.X(n_1766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191088 (
-	.A1(n_151),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_285),
-	.B2(\fifo_buffer[181] [8]),
-	.X(n_1765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191089 (
-	.A1(n_179),
-	.A2(n_42),
-	.B1(n_312),
-	.B2(\fifo_buffer[59] [5]),
-	.X(n_1764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191090 (
-	.A1(n_179),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_312),
-	.B2(\fifo_buffer[59] [6]),
-	.X(n_1763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191091 (
-	.A1_N(\fifo_buffer[182] [1]),
-	.A2_N(n_279),
-	.B1(n_24),
-	.B2(n_155),
-	.Y(n_1762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191092 (
-	.A1_N(\fifo_buffer[182] [2]),
-	.A2_N(n_279),
-	.B1(n_45),
-	.B2(n_155),
-	.Y(n_1761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191093 (
-	.A1(n_171),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_350),
-	.B2(\fifo_buffer[196] [4]),
-	.X(n_1760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191094 (
-	.A1_N(\fifo_buffer[182] [3]),
-	.A2_N(n_279),
-	.B1(FE_OFN1630_n_39),
-	.B2(n_155),
-	.Y(n_1759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191095 (
-	.A1(n_179),
-	.A2(n_46),
-	.B1(n_312),
-	.B2(\fifo_buffer[59] [7]),
-	.X(n_1758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191096 (
-	.A1_N(\fifo_buffer[182] [4]),
-	.A2_N(n_279),
-	.B1(n_37),
-	.B2(n_155),
-	.Y(n_1757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191097 (
-	.A1_N(\fifo_buffer[182] [5]),
-	.A2_N(n_279),
-	.B1(FE_OFN1636_n_43),
-	.B2(n_155),
-	.Y(n_1756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191098 (
-	.A1(n_179),
-	.A2(n_48),
-	.B1(n_312),
-	.B2(\fifo_buffer[59] [8]),
-	.X(n_1755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191099 (
-	.A1_N(\fifo_buffer[182] [6]),
-	.A2_N(n_279),
-	.B1(n_41),
-	.B2(n_155),
-	.Y(n_1754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191100 (
-	.A1_N(\fifo_buffer[182] [7]),
-	.A2_N(n_279),
-	.B1(n_47),
-	.B2(n_155),
-	.Y(n_1753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191101 (
-	.A1_N(\fifo_buffer[182] [8]),
-	.A2_N(n_279),
-	.B1(n_49),
-	.B2(n_155),
-	.Y(n_1752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191102 (
-	.A1(n_181),
-	.A2(n_23),
-	.B1(n_357),
-	.B2(\fifo_buffer[60] [1]),
-	.X(n_1751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191103 (
-	.A1_N(\fifo_buffer[198] [4]),
-	.A2_N(n_276),
-	.B1(FE_OFN1608_n_37),
-	.B2(n_157),
-	.Y(n_1750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191104 (
-	.A1(n_24),
-	.A2(n_185),
-	.B1(n_1122),
-	.Y(n_1749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191105 (
-	.A1(n_45),
-	.A2(n_185),
-	.B1(n_1121),
-	.Y(n_1748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191106 (
-	.A1(n_181),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_357),
-	.B2(\fifo_buffer[60] [2]),
-	.X(n_1747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191107 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_185),
-	.B1(n_1120),
-	.Y(n_1746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191108 (
-	.A1(n_37),
-	.A2(n_185),
-	.B1(n_1118),
-	.Y(n_1745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191109 (
-	.A1(n_181),
-	.A2(n_38),
-	.B1(n_357),
-	.B2(\fifo_buffer[60] [3]),
-	.X(n_1744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191110 (
-	.A1(n_43),
-	.A2(n_185),
-	.B1(n_1117),
-	.Y(n_1743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191111 (
-	.A1(n_41),
-	.A2(n_185),
-	.B1(n_1116),
-	.Y(n_1742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191112 (
-	.A1(n_181),
-	.A2(n_36),
-	.B1(n_357),
-	.B2(\fifo_buffer[60] [4]),
-	.X(n_1741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191113 (
-	.A1(n_181),
-	.A2(n_42),
-	.B1(n_357),
-	.B2(\fifo_buffer[60] [5]),
-	.X(n_1740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191114 (
-	.A1(n_47),
-	.A2(n_185),
-	.B1(n_1115),
-	.Y(n_1739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191115 (
-	.A1(n_49),
-	.A2(n_185),
-	.B1(n_1148),
-	.Y(n_1738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191116 (
-	.A1(n_181),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_357),
-	.B2(\fifo_buffer[60] [6]),
-	.X(n_1737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191117 (
-	.A1(n_144),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_383),
-	.B2(\fifo_buffer[184] [1]),
-	.X(n_1736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191118 (
-	.A1(n_144),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_383),
-	.B2(\fifo_buffer[184] [2]),
-	.X(n_1735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191119 (
-	.A1(n_144),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_383),
-	.B2(\fifo_buffer[184] [3]),
-	.X(n_1734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191120 (
-	.A1(n_181),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_357),
-	.B2(\fifo_buffer[60] [7]),
-	.X(n_1733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191121 (
-	.A1(n_144),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_383),
-	.B2(\fifo_buffer[184] [4]),
-	.X(n_1732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191122 (
-	.A1(n_181),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_357),
-	.B2(\fifo_buffer[60] [8]),
-	.X(n_1731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191123 (
-	.A1(n_144),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_383),
-	.B2(\fifo_buffer[184] [5]),
-	.X(n_1730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191124 (
-	.A1(n_144),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_383),
-	.B2(\fifo_buffer[184] [6]),
-	.X(n_1729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191125 (
-	.A1(n_144),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_383),
-	.B2(\fifo_buffer[184] [7]),
-	.X(n_1728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191126 (
-	.A1(n_144),
-	.A2(n_48),
-	.B1(n_383),
-	.B2(\fifo_buffer[184] [8]),
-	.X(n_1727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191127 (
-	.A1(n_180),
-	.A2(n_23),
-	.B1(n_287),
-	.B2(\fifo_buffer[61] [1]),
-	.X(n_1726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191128 (
-	.A1(n_162),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_318),
-	.B2(\fifo_buffer[185] [1]),
-	.X(n_1725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191129 (
-	.A1(n_162),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_318),
-	.B2(\fifo_buffer[185] [2]),
-	.X(n_1724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191130 (
-	.A1(n_162),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_318),
-	.B2(\fifo_buffer[185] [3]),
-	.X(n_1723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191131 (
-	.A1(n_180),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_287),
-	.B2(\fifo_buffer[61] [2]),
-	.X(n_1722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191132 (
-	.A1(n_180),
-	.A2(n_38),
-	.B1(n_287),
-	.B2(\fifo_buffer[61] [3]),
-	.X(n_1721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191133 (
-	.A1(n_162),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_318),
-	.B2(\fifo_buffer[185] [4]),
-	.X(n_1720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191134 (
-	.A1(n_162),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_318),
-	.B2(\fifo_buffer[185] [5]),
-	.X(n_1719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191135 (
-	.A1(n_162),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_318),
-	.B2(\fifo_buffer[185] [6]),
-	.X(n_1718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191136 (
-	.A1(n_162),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_318),
-	.B2(\fifo_buffer[185] [7]),
-	.X(n_1717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191137 (
-	.A1(n_180),
-	.A2(n_36),
-	.B1(n_287),
-	.B2(\fifo_buffer[61] [4]),
-	.X(n_1716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191138 (
-	.A1(n_180),
-	.A2(n_42),
-	.B1(n_287),
-	.B2(\fifo_buffer[61] [5]),
-	.X(n_1715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191139 (
-	.A1(n_162),
-	.A2(n_48),
-	.B1(n_318),
-	.B2(\fifo_buffer[185] [8]),
-	.X(n_1714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191140 (
-	.A1(n_158),
-	.A2(n_23),
-	.B1(n_304),
-	.B2(\fifo_buffer[186] [1]),
-	.X(n_1713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191141 (
-	.A1(n_180),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_287),
-	.B2(\fifo_buffer[61] [6]),
-	.X(n_1712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191142 (
-	.A1(n_158),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_304),
-	.B2(\fifo_buffer[186] [2]),
-	.X(n_1711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191143 (
-	.A1(n_158),
-	.A2(n_38),
-	.B1(n_304),
-	.B2(\fifo_buffer[186] [3]),
-	.X(n_1710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191144 (
-	.A1(n_180),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_287),
-	.B2(\fifo_buffer[61] [7]),
-	.X(n_1709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191145 (
-	.A1(n_158),
-	.A2(n_36),
-	.B1(n_304),
-	.B2(\fifo_buffer[186] [4]),
-	.X(n_1708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191146 (
-	.A1(n_195),
-	.A2(n_40),
-	.B1(n_373),
-	.B2(\fifo_buffer[200] [6]),
-	.X(n_1707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191147 (
-	.A1(n_180),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_287),
-	.B2(\fifo_buffer[61] [8]),
-	.X(n_1706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191148 (
-	.A1(n_158),
-	.A2(n_42),
-	.B1(n_304),
-	.B2(\fifo_buffer[186] [5]),
-	.X(n_1705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191149 (
-	.A1(n_158),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_304),
-	.B2(\fifo_buffer[186] [6]),
-	.X(n_1704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191150 (
-	.A1(n_158),
-	.A2(n_46),
-	.B1(n_304),
-	.B2(\fifo_buffer[186] [7]),
-	.X(n_1703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191151 (
-	.A1(n_158),
-	.A2(n_48),
-	.B1(n_304),
-	.B2(\fifo_buffer[186] [8]),
-	.X(n_1702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191152 (
-	.A1_N(\fifo_buffer[62] [1]),
-	.A2_N(n_273),
-	.B1(FE_OFN1626_n_24),
-	.B2(n_189),
-	.Y(n_1701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191153 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_164),
-	.B1(n_1111),
-	.Y(n_1700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191154 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_164),
-	.B1(n_1110),
-	.Y(n_1699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191155 (
-	.A1_N(\fifo_buffer[62] [2]),
-	.A2_N(n_273),
-	.B1(FE_OFN1610_n_45),
-	.B2(n_189),
-	.Y(n_1698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191156 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_164),
-	.B1(n_1109),
-	.Y(n_1697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191157 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_164),
-	.B1(n_1108),
-	.Y(n_1696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191158 (
-	.A1_N(\fifo_buffer[62] [3]),
-	.A2_N(n_273),
-	.B1(FE_OFN1630_n_39),
-	.B2(n_189),
-	.Y(n_1695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191159 (
-	.A1(n_169),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_286),
-	.B2(\fifo_buffer[244] [2]),
-	.X(n_1694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191160 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_164),
-	.B1(n_1107),
-	.Y(n_1693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191161 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_164),
-	.B1(n_1106),
-	.Y(n_1692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191162 (
-	.A1_N(\fifo_buffer[62] [4]),
-	.A2_N(n_273),
-	.B1(FE_OFN1607_n_37),
-	.B2(n_189),
-	.Y(n_1691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191163 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_164),
-	.B1(n_1105),
-	.Y(n_1690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191164 (
-	.A1_N(\fifo_buffer[62] [5]),
-	.A2_N(n_273),
-	.B1(FE_OFN1636_n_43),
-	.B2(n_189),
-	.Y(n_1689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191165 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_164),
-	.B1(n_887),
-	.Y(n_1688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191166 (
-	.A1_N(\fifo_buffer[62] [6]),
-	.A2_N(n_273),
-	.B1(FE_OFN1634_n_41),
-	.B2(n_189),
-	.Y(n_1687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191167 (
-	.A1(n_152),
-	.A2(n_23),
-	.B1(n_292),
-	.B2(\fifo_buffer[188] [1]),
-	.X(n_1686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191168 (
-	.A1(n_152),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_292),
-	.B2(\fifo_buffer[188] [2]),
-	.X(n_1685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191169 (
-	.A1_N(\fifo_buffer[62] [7]),
-	.A2_N(n_273),
-	.B1(n_47),
-	.B2(n_189),
-	.Y(n_1684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191170 (
-	.A1(n_152),
-	.A2(n_38),
-	.B1(n_292),
-	.B2(\fifo_buffer[188] [3]),
-	.X(n_1683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191171 (
-	.A1_N(\fifo_buffer[62] [8]),
-	.A2_N(n_273),
-	.B1(n_49),
-	.B2(n_189),
-	.Y(n_1682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191172 (
-	.A1(n_152),
-	.A2(n_36),
-	.B1(n_292),
-	.B2(\fifo_buffer[188] [4]),
-	.X(n_1681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191173 (
-	.A1(n_152),
-	.A2(n_42),
-	.B1(n_292),
-	.B2(\fifo_buffer[188] [5]),
-	.X(n_1680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191174 (
-	.A1(n_152),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_292),
-	.B2(\fifo_buffer[188] [6]),
-	.X(n_1679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191175 (
-	.A1(n_152),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_292),
-	.B2(\fifo_buffer[188] [7]),
-	.X(n_1678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191176 (
-	.A1(n_152),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_292),
-	.B2(\fifo_buffer[188] [8]),
-	.X(n_1677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191177 (
-	.A1(n_159),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_305),
-	.B2(\fifo_buffer[195] [6]),
-	.X(n_1676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191178 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_222),
-	.B1(n_897),
-	.Y(n_1675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191179 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_173),
-	.B1(n_1104),
-	.Y(n_1674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191180 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_173),
-	.B1(n_1102),
-	.Y(n_1673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191181 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_173),
-	.B1(n_1101),
-	.Y(n_1672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191182 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_222),
-	.B1(n_1103),
-	.Y(n_1671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191183 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_173),
-	.B1(n_1100),
-	.Y(n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191184 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_222),
-	.B1(n_1099),
-	.Y(n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191185 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_173),
-	.B1(n_986),
-	.Y(n_1668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191186 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_173),
-	.B1(n_888),
-	.Y(n_1667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191187 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_222),
-	.B1(n_1098),
-	.Y(n_1666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191188 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_173),
-	.B1(n_1097),
-	.Y(n_1665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191189 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_173),
-	.B1(n_1096),
-	.Y(n_1664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191190 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_222),
-	.B1(n_1019),
-	.Y(n_1663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191191 (
-	.A1(n_233),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_314),
-	.B2(\fifo_buffer[192] [1]),
-	.X(n_1662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191192 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_187),
-	.B1(n_1094),
-	.Y(n_1661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191193 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_220),
-	.B1(n_1114),
-	.Y(n_1660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191194 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_222),
-	.B1(n_1095),
-	.Y(n_1659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191195 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_187),
-	.B1(n_1021),
-	.Y(n_1658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191196 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_187),
-	.B1(n_1092),
-	.Y(n_1657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191197 (
-	.A1(n_47),
-	.A2(n_222),
-	.B1(n_1093),
-	.Y(n_1656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191198 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_187),
-	.B1(n_1054),
-	.Y(n_1655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191199 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_187),
-	.B1(n_1090),
-	.Y(n_1654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191200 (
-	.A1(n_49),
-	.A2(n_222),
-	.B1(n_1091),
-	.Y(n_1653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191201 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_187),
-	.B1(n_1071),
-	.Y(n_1652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191202 (
-	.A1(n_47),
-	.A2(n_187),
-	.B1(n_890),
-	.Y(n_1651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191203 (
-	.A1(n_49),
-	.A2(n_187),
-	.B1(n_1089),
-	.Y(n_1650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191204 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_223),
-	.B1(n_1087),
-	.Y(n_1649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191205 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_223),
-	.B1(n_1119),
-	.Y(n_1648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191206 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_223),
-	.B1(n_1086),
-	.Y(n_1647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191207 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_223),
-	.B1(n_1051),
-	.Y(n_1646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191208 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_223),
-	.B1(n_899),
-	.Y(n_1645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191209 (
-	.A1(n_47),
-	.A2(n_223),
-	.B1(n_1085),
-	.Y(n_1644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191210 (
-	.A1(n_49),
-	.A2(n_223),
-	.B1(n_1084),
-	.Y(n_1643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191211 (
-	.A1(n_195),
-	.A2(n_36),
-	.B1(n_373),
-	.B2(\fifo_buffer[200] [4]),
-	.X(n_1642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191212 (
-	.A1(n_233),
-	.A2(n_44),
-	.B1(n_314),
-	.B2(\fifo_buffer[192] [2]),
-	.X(n_1641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191213 (
-	.A1(n_233),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_314),
-	.B2(\fifo_buffer[192] [3]),
-	.X(n_1640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191214 (
-	.A1(n_233),
-	.A2(n_36),
-	.B1(n_314),
-	.B2(\fifo_buffer[192] [4]),
-	.X(n_1639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191215 (
-	.A1(n_233),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_314),
-	.B2(\fifo_buffer[192] [5]),
-	.X(n_1638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191216 (
-	.A1(n_233),
-	.A2(n_40),
-	.B1(n_314),
-	.B2(\fifo_buffer[192] [6]),
-	.X(n_1637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191217 (
-	.A1(n_233),
-	.A2(n_48),
-	.B1(n_314),
-	.B2(\fifo_buffer[192] [8]),
-	.X(n_1636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191218 (
-	.A1(n_196),
-	.A2(n_23),
-	.B1(n_374),
-	.B2(\fifo_buffer[193] [1]),
-	.X(n_1635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191219 (
-	.A1(n_196),
-	.A2(n_44),
-	.B1(n_374),
-	.B2(\fifo_buffer[193] [2]),
-	.X(n_1634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191220 (
-	.A1(n_196),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_374),
-	.B2(\fifo_buffer[193] [3]),
-	.X(n_1633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191221 (
-	.A1(n_196),
-	.A2(n_36),
-	.B1(n_374),
-	.B2(\fifo_buffer[193] [4]),
-	.X(n_1632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191222 (
-	.A1(n_196),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_374),
-	.B2(\fifo_buffer[193] [5]),
-	.X(n_1631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191223 (
-	.A1(n_196),
-	.A2(n_40),
-	.B1(n_374),
-	.B2(\fifo_buffer[193] [6]),
-	.X(n_1630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191224 (
-	.A1(n_196),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_374),
-	.B2(\fifo_buffer[193] [7]),
-	.X(n_1629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191225 (
-	.A1(n_196),
-	.A2(n_48),
-	.B1(n_374),
-	.B2(\fifo_buffer[193] [8]),
-	.X(n_1628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191226 (
-	.A1(n_190),
-	.A2(n_23),
-	.B1(n_364),
-	.B2(\fifo_buffer[194] [1]),
-	.X(n_1627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191227 (
-	.A1(n_190),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_364),
-	.B2(\fifo_buffer[194] [2]),
-	.X(n_1626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191228 (
-	.A1(n_190),
-	.A2(n_38),
-	.B1(n_364),
-	.B2(\fifo_buffer[194] [3]),
-	.X(n_1625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191229 (
-	.A1(n_190),
-	.A2(n_36),
-	.B1(n_364),
-	.B2(\fifo_buffer[194] [4]),
-	.X(n_1624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191230 (
-	.A1(n_190),
-	.A2(n_42),
-	.B1(n_364),
-	.B2(\fifo_buffer[194] [5]),
-	.X(n_1623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191231 (
-	.A1(n_190),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_364),
-	.B2(\fifo_buffer[194] [6]),
-	.X(n_1622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191232 (
-	.A1(n_190),
-	.A2(n_46),
-	.B1(n_364),
-	.B2(\fifo_buffer[194] [7]),
-	.X(n_1621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191233 (
-	.A1(n_190),
-	.A2(n_48),
-	.B1(n_364),
-	.B2(\fifo_buffer[194] [8]),
-	.X(n_1620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191234 (
-	.A1(n_195),
-	.A2(n_23),
-	.B1(n_373),
-	.B2(\fifo_buffer[200] [1]),
-	.X(n_1619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191235 (
-	.A1(n_159),
-	.A2(n_23),
-	.B1(n_305),
-	.B2(\fifo_buffer[195] [1]),
-	.X(n_1618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191236 (
-	.A1(n_159),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_305),
-	.B2(\fifo_buffer[195] [2]),
-	.X(n_1617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191237 (
-	.A1(n_159),
-	.A2(n_38),
-	.B1(n_305),
-	.B2(\fifo_buffer[195] [3]),
-	.X(n_1616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191238 (
-	.A1(n_159),
-	.A2(n_36),
-	.B1(n_305),
-	.B2(\fifo_buffer[195] [4]),
-	.X(n_1615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191239 (
-	.A1(n_159),
-	.A2(n_42),
-	.B1(n_305),
-	.B2(\fifo_buffer[195] [5]),
-	.X(n_1614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191240 (
-	.A1(n_159),
-	.A2(n_46),
-	.B1(n_305),
-	.B2(\fifo_buffer[195] [7]),
-	.X(n_1613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191241 (
-	.A1(n_171),
-	.A2(n_23),
-	.B1(n_350),
-	.B2(\fifo_buffer[196] [1]),
-	.X(n_1612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191242 (
-	.A1(n_171),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_350),
-	.B2(\fifo_buffer[196] [2]),
-	.X(n_1611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191243 (
-	.A1(n_171),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_350),
-	.B2(\fifo_buffer[196] [3]),
-	.X(n_1610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191244 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_220),
-	.B1(n_1079),
-	.Y(n_1609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191245 (
-	.A1(n_171),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_350),
-	.B2(\fifo_buffer[196] [5]),
-	.X(n_1608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191246 (
-	.A1(n_171),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_350),
-	.B2(\fifo_buffer[196] [6]),
-	.X(n_1607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191247 (
-	.A1(n_171),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_350),
-	.B2(\fifo_buffer[196] [7]),
-	.X(n_1606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191248 (
-	.A1(n_171),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_350),
-	.B2(\fifo_buffer[196] [8]),
-	.X(n_1605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191249 (
-	.A1_N(\fifo_buffer[197] [1]),
-	.A2_N(n_278),
-	.B1(FE_OFN1627_n_24),
-	.B2(n_150),
-	.Y(n_1604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191250 (
-	.A1_N(\fifo_buffer[197] [2]),
-	.A2_N(n_278),
-	.B1(FE_OFN1611_n_45),
-	.B2(n_150),
-	.Y(n_1603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191251 (
-	.A1_N(\fifo_buffer[197] [3]),
-	.A2_N(n_278),
-	.B1(FE_OFN1632_n_39),
-	.B2(n_150),
-	.Y(n_1602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191252 (
-	.A1_N(\fifo_buffer[197] [4]),
-	.A2_N(n_278),
-	.B1(FE_OFN1609_n_37),
-	.B2(n_150),
-	.Y(n_1601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191253 (
-	.A1_N(\fifo_buffer[197] [5]),
-	.A2_N(n_278),
-	.B1(FE_OFN1637_n_43),
-	.B2(n_150),
-	.Y(n_1600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191254 (
-	.A1_N(\fifo_buffer[197] [6]),
-	.A2_N(n_278),
-	.B1(FE_OFN1633_n_41),
-	.B2(n_150),
-	.Y(n_1599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191255 (
-	.A1_N(\fifo_buffer[197] [7]),
-	.A2_N(n_278),
-	.B1(FE_OFN1642_n_47),
-	.B2(n_150),
-	.Y(n_1598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191256 (
-	.A1_N(\fifo_buffer[197] [8]),
-	.A2_N(n_278),
-	.B1(FE_OFN1614_n_49),
-	.B2(n_150),
-	.Y(n_1597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191257 (
-	.A1_N(\fifo_buffer[198] [2]),
-	.A2_N(n_276),
-	.B1(n_45),
-	.B2(n_157),
-	.Y(n_1596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191258 (
-	.A1(n_159),
-	.A2(n_48),
-	.B1(n_305),
-	.B2(\fifo_buffer[195] [8]),
-	.X(n_1595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191259 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_238),
-	.B1(n_943),
-	.Y(n_1594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191260 (
-	.A1_N(\fifo_buffer[198] [5]),
-	.A2_N(n_276),
-	.B1(n_43),
-	.B2(n_157),
-	.Y(n_1593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191261 (
-	.A1_N(\fifo_buffer[198] [6]),
-	.A2_N(n_276),
-	.B1(FE_OFN1633_n_41),
-	.B2(n_157),
-	.Y(n_1592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191262 (
-	.A1_N(\fifo_buffer[198] [7]),
-	.A2_N(n_276),
-	.B1(FE_OFN1641_n_47),
-	.B2(n_157),
-	.Y(n_1591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191263 (
-	.A1_N(\fifo_buffer[198] [8]),
-	.A2_N(n_276),
-	.B1(FE_OFN1612_n_49),
-	.B2(n_157),
-	.Y(n_1590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191264 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_184),
-	.B1(n_1076),
-	.Y(n_1589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191265 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_184),
-	.B1(n_1075),
-	.Y(n_1588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191266 (
-	.A1(n_43),
-	.A2(n_184),
-	.B1(n_1074),
-	.Y(n_1587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191267 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_217),
-	.B1(n_1080),
-	.Y(n_1586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191268 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_184),
-	.B1(n_1072),
-	.Y(n_1585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191269 (
-	.A1(n_195),
-	.A2(n_44),
-	.B1(n_373),
-	.B2(\fifo_buffer[200] [2]),
-	.X(n_1584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191270 (
-	.A1(n_195),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_373),
-	.B2(\fifo_buffer[200] [3]),
-	.X(n_1583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191271 (
-	.A1(n_195),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_373),
-	.B2(\fifo_buffer[200] [5]),
-	.X(n_1582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191272 (
-	.A1(n_195),
-	.A2(n_46),
-	.B1(n_373),
-	.B2(\fifo_buffer[200] [7]),
-	.X(n_1581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191273 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_184),
-	.B1(n_1069),
-	.Y(n_1580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191274 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_184),
-	.B1(n_1073),
-	.Y(n_1579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191275 (
-	.A1(n_160),
-	.A2(n_23),
-	.B1(n_311),
-	.B2(\fifo_buffer[201] [1]),
-	.X(n_1578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191276 (
-	.A1(n_160),
-	.A2(n_44),
-	.B1(n_311),
-	.B2(\fifo_buffer[201] [2]),
-	.X(n_1577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191277 (
-	.A1(n_160),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_311),
-	.B2(\fifo_buffer[201] [3]),
-	.X(n_1576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191278 (
-	.A1(n_160),
-	.A2(n_36),
-	.B1(n_311),
-	.B2(\fifo_buffer[201] [4]),
-	.X(n_1575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191279 (
-	.A1(n_160),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_311),
-	.B2(\fifo_buffer[201] [5]),
-	.X(n_1574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191280 (
-	.A1(n_160),
-	.A2(n_40),
-	.B1(n_311),
-	.B2(\fifo_buffer[201] [6]),
-	.X(n_1573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191281 (
-	.A1(n_160),
-	.A2(n_48),
-	.B1(n_311),
-	.B2(\fifo_buffer[201] [8]),
-	.X(n_1572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191282 (
-	.A1(n_153),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_297),
-	.B2(\fifo_buffer[202] [1]),
-	.X(n_1571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g191283 (
-	.A1_N(\fifo_buffer[198] [1]),
-	.A2_N(n_276),
-	.B1(FE_OFN1628_n_24),
-	.B2(n_157),
-	.Y(n_1570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191284 (
-	.A1(n_153),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_297),
-	.B2(\fifo_buffer[202] [7]),
-	.X(n_1569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191285 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_163),
-	.B1(n_1067),
-	.Y(n_1568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191286 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_163),
-	.B1(n_1136),
-	.Y(n_1567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191287 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_163),
-	.B1(n_1066),
-	.Y(n_1566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191288 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_163),
-	.B1(n_1064),
-	.Y(n_1565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191289 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_219),
-	.B1(n_976),
-	.Y(n_1564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191290 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_163),
-	.B1(n_1063),
-	.Y(n_1563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191291 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_165),
-	.B1(n_1156),
-	.Y(n_1562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191292 (
-	.A1(n_148),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_284),
-	.B2(\fifo_buffer[204] [2]),
-	.X(n_1561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191293 (
-	.A1(n_148),
-	.A2(n_36),
-	.B1(n_284),
-	.B2(\fifo_buffer[204] [4]),
-	.X(n_1560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191294 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_219),
-	.B1(n_979),
-	.Y(n_1559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191295 (
-	.A1(n_148),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_284),
-	.B2(\fifo_buffer[204] [6]),
-	.X(n_1558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191296 (
-	.A1(n_148),
-	.A2(FE_OFN1644_n_48),
-	.B1(n_284),
-	.B2(\fifo_buffer[204] [8]),
-	.X(n_1557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191297 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_147),
-	.B1(n_1062),
-	.Y(n_1556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191298 (
-	.A1(n_39),
-	.A2(n_147),
-	.B1(n_1061),
-	.Y(n_1555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191299 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_147),
-	.B1(n_1060),
-	.Y(n_1554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191300 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_147),
-	.B1(n_1059),
-	.Y(n_1553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191301 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_166),
-	.B1(n_1083),
-	.Y(n_1552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191302 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_147),
-	.B1(n_1056),
-	.Y(n_1551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191303 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_147),
-	.B1(n_1055),
-	.Y(n_1550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191304 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_186),
-	.B1(n_1052),
-	.Y(n_1549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191305 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_186),
-	.B1(n_1050),
-	.Y(n_1548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191306 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_186),
-	.B1(n_1048),
-	.Y(n_1547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191307 (
-	.A1(n_148),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_284),
-	.B2(\fifo_buffer[204] [5]),
-	.X(n_1546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191308 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_224),
-	.B1(n_1046),
-	.Y(n_1545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191309 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_224),
-	.B1(n_559),
-	.Y(n_1544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191310 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_224),
-	.B1(n_1045),
-	.Y(n_1543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191311 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_224),
-	.B1(n_1044),
-	.Y(n_1542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191312 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_224),
-	.B1(n_1043),
-	.Y(n_1541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191313 (
-	.A1(n_231),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_334),
-	.B2(\fifo_buffer[208] [1]),
-	.X(n_1540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191314 (
-	.A1(n_231),
-	.A2(n_44),
-	.B1(n_334),
-	.B2(\fifo_buffer[208] [2]),
-	.X(n_1539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191315 (
-	.A1(n_231),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_334),
-	.B2(\fifo_buffer[208] [3]),
-	.X(n_1538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191316 (
-	.A1(n_231),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_334),
-	.B2(\fifo_buffer[208] [4]),
-	.X(n_1537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191317 (
-	.A1(n_231),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_334),
-	.B2(\fifo_buffer[208] [5]),
-	.X(n_1536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191318 (
-	.A1(n_231),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_334),
-	.B2(\fifo_buffer[208] [6]),
-	.X(n_1535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191319 (
-	.A1(n_231),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_334),
-	.B2(\fifo_buffer[208] [7]),
-	.X(n_1534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191320 (
-	.A1(n_231),
-	.A2(n_48),
-	.B1(n_334),
-	.B2(\fifo_buffer[208] [8]),
-	.X(n_1533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191321 (
-	.A1(n_176),
-	.A2(n_23),
-	.B1(n_308),
-	.B2(\fifo_buffer[242] [1]),
-	.X(n_1532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191322 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_172),
-	.B1(n_577),
-	.Y(n_1531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191323 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_172),
-	.B1(n_578),
-	.Y(n_1530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191324 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_172),
-	.B1(n_1039),
-	.Y(n_1529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191325 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_184),
-	.B1(n_1013),
-	.Y(n_1528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191326 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_172),
-	.B1(n_1038),
-	.Y(n_1527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191327 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_216),
-	.B1(n_1041),
-	.Y(n_1526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191328 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_205),
-	.B1(n_1037),
-	.Y(n_1525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191329 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_205),
-	.B1(n_590),
-	.Y(n_1524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191330 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_205),
-	.B1(n_592),
-	.Y(n_1523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191331 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_205),
-	.B1(n_594),
-	.Y(n_1522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191332 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_205),
-	.B1(n_596),
-	.Y(n_1521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191333 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_205),
-	.B1(n_598),
-	.Y(n_1520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191334 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_205),
-	.B1(n_599),
-	.Y(n_1519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191335 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_207),
-	.B1(n_604),
-	.Y(n_1518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191336 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_207),
-	.B1(n_894),
-	.Y(n_1517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191337 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_207),
-	.B1(n_1034),
-	.Y(n_1516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191338 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_204),
-	.B1(n_921),
-	.Y(n_1515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191339 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_204),
-	.B1(n_929),
-	.Y(n_1514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191340 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_204),
-	.B1(n_562),
-	.Y(n_1513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191341 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_204),
-	.B1(n_971),
-	.Y(n_1512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191342 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_204),
-	.B1(n_982),
-	.Y(n_1511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191343 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_204),
-	.B1(n_988),
-	.Y(n_1510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191344 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_204),
-	.B1(n_999),
-	.Y(n_1509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191345 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_204),
-	.B1(n_1008),
-	.Y(n_1508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191346 (
-	.A1(n_233),
-	.A2(n_46),
-	.B1(n_314),
-	.B2(\fifo_buffer[192] [7]),
-	.X(n_1507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191347 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_216),
-	.B1(n_1026),
-	.Y(n_1506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191348 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_216),
-	.B1(n_1040),
-	.Y(n_1505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191349 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_216),
-	.B1(n_1036),
-	.Y(n_1504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191350 (
-	.A1(n_193),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_324),
-	.B2(\fifo_buffer[241] [2]),
-	.X(n_1503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191351 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_216),
-	.B1(n_1125),
-	.Y(n_1502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191352 (
-	.A1(n_24),
-	.A2(n_220),
-	.B1(n_1078),
-	.Y(n_1501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191353 (
-	.A1(n_45),
-	.A2(n_220),
-	.B1(n_1082),
-	.Y(n_1500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191354 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_220),
-	.B1(n_1031),
-	.Y(n_1499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191355 (
-	.A1(n_43),
-	.A2(n_220),
-	.B1(n_957),
-	.Y(n_1498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191356 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_216),
-	.B1(n_1030),
-	.Y(n_1497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191357 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_220),
-	.B1(n_1123),
-	.Y(n_1496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191358 (
-	.A1(n_49),
-	.A2(n_220),
-	.B1(n_1113),
-	.Y(n_1495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191359 (
-	.A1(n_24),
-	.A2(n_242),
-	.B1(n_1127),
-	.Y(n_1494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191360 (
-	.A1(n_39),
-	.A2(n_242),
-	.B1(n_1128),
-	.Y(n_1493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191361 (
-	.A1(n_43),
-	.A2(n_242),
-	.B1(n_1028),
-	.Y(n_1492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191362 (
-	.A1(n_194),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_370),
-	.B2(\fifo_buffer[178] [6]),
-	.X(n_1491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191363 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_242),
-	.B1(n_1133),
-	.Y(n_1490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191364 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_206),
-	.B1(n_1134),
-	.Y(n_1489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191365 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_206),
-	.B1(n_1135),
-	.Y(n_1488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191366 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_206),
-	.B1(n_1137),
-	.Y(n_1487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191367 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_206),
-	.B1(n_1138),
-	.Y(n_1486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191368 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_206),
-	.B1(n_1139),
-	.Y(n_1485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191369 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_206),
-	.B1(n_1140),
-	.Y(n_1484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191370 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_206),
-	.B1(n_1142),
-	.Y(n_1483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191371 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_206),
-	.B1(n_553),
-	.Y(n_1482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191372 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_216),
-	.B1(n_1022),
-	.Y(n_1481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191373 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_202),
-	.B1(n_1141),
-	.Y(n_1480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191374 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_202),
-	.B1(n_1145),
-	.Y(n_1479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191375 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_202),
-	.B1(n_1023),
-	.Y(n_1478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191376 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_202),
-	.B1(n_1149),
-	.Y(n_1477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191377 (
-	.A1(n_232),
-	.A2(n_48),
-	.B1(n_389),
-	.B2(\fifo_buffer[240] [8]),
-	.X(n_1476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191378 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_202),
-	.B1(n_1151),
-	.Y(n_1475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191379 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_203),
-	.B1(n_1154),
-	.Y(n_1474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191380 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_203),
-	.B1(n_1158),
-	.Y(n_1473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191381 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_203),
-	.B1(n_1020),
-	.Y(n_1472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191382 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_203),
-	.B1(n_1018),
-	.Y(n_1471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191383 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_203),
-	.B1(n_1161),
-	.Y(n_1470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191384 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_203),
-	.B1(n_1017),
-	.Y(n_1469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191385 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_234),
-	.B1(n_1166),
-	.Y(n_1468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191386 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_234),
-	.B1(n_1169),
-	.Y(n_1467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191387 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_234),
-	.B1(n_1016),
-	.Y(n_1466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191388 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_234),
-	.B1(n_1068),
-	.Y(n_1465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191389 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_208),
-	.B1(n_639),
-	.Y(n_1464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191390 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_208),
-	.B1(n_1015),
-	.Y(n_1463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191391 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_208),
-	.B1(n_653),
-	.Y(n_1462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191392 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_216),
-	.B1(n_1024),
-	.Y(n_1461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191393 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_208),
-	.B1(n_949),
-	.Y(n_1460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191394 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_208),
-	.B1(n_997),
-	.Y(n_1459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191395 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_208),
-	.B1(n_1032),
-	.Y(n_1458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191396 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_208),
-	.B1(n_649),
-	.Y(n_1457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191397 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_208),
-	.B1(n_1160),
-	.Y(n_1456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191398 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_239),
-	.B1(n_536),
-	.Y(n_1455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191399 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_239),
-	.B1(n_620),
-	.Y(n_1454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191400 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_239),
-	.B1(n_622),
-	.Y(n_1453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191401 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_239),
-	.B1(n_969),
-	.Y(n_1452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191402 (
-	.A1(n_232),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_389),
-	.B2(\fifo_buffer[240] [4]),
-	.X(n_1451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191403 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_239),
-	.B1(n_1011),
-	.Y(n_1450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191404 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_243),
-	.B1(n_1124),
-	.Y(n_1449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191405 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_243),
-	.B1(n_1012),
-	.Y(n_1448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191406 (
-	.A1(n_39),
-	.A2(n_243),
-	.B1(n_643),
-	.Y(n_1447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191407 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_243),
-	.B1(n_1172),
-	.Y(n_1446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191408 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_238),
-	.B1(n_936),
-	.Y(n_1445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191409 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_243),
-	.B1(n_1029),
-	.Y(n_1444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191410 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_243),
-	.B1(n_647),
-	.Y(n_1443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191411 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_243),
-	.B1(n_1010),
-	.Y(n_1442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191412 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_247),
-	.B1(n_648),
-	.Y(n_1441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191413 (
-	.A1(n_39),
-	.A2(n_247),
-	.B1(n_932),
-	.Y(n_1440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191414 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_247),
-	.B1(n_1009),
-	.Y(n_1439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191415 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_247),
-	.B1(n_617),
-	.Y(n_1438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191416 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_247),
-	.B1(n_631),
-	.Y(n_1437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191417 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_238),
-	.B1(n_935),
-	.Y(n_1436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191418 (
-	.A1(n_230),
-	.A2(n_44),
-	.B1(n_329),
-	.B2(\fifo_buffer[224] [2]),
-	.X(n_1435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191419 (
-	.A1(n_230),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_329),
-	.B2(\fifo_buffer[224] [3]),
-	.X(n_1434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191420 (
-	.A1(n_230),
-	.A2(n_36),
-	.B1(n_329),
-	.B2(\fifo_buffer[224] [4]),
-	.X(n_1433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191421 (
-	.A1(n_230),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_329),
-	.B2(\fifo_buffer[224] [5]),
-	.X(n_1432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191422 (
-	.A1(n_230),
-	.A2(n_40),
-	.B1(n_329),
-	.B2(\fifo_buffer[224] [6]),
-	.X(n_1431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191423 (
-	.A1(n_230),
-	.A2(n_46),
-	.B1(n_329),
-	.B2(\fifo_buffer[224] [7]),
-	.X(n_1430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191424 (
-	.A1(n_45),
-	.A2(n_184),
-	.B1(n_1077),
-	.Y(n_1429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191425 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_214),
-	.B1(n_630),
-	.Y(n_1428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191426 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_214),
-	.B1(n_1005),
-	.Y(n_1427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191427 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_244),
-	.B1(n_967),
-	.Y(n_1426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191428 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_214),
-	.B1(n_1003),
-	.Y(n_1425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191429 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_212),
-	.B1(n_633),
-	.Y(n_1424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191430 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_212),
-	.B1(n_1000),
-	.Y(n_1423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191431 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_212),
-	.B1(n_998),
-	.Y(n_1422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191432 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_212),
-	.B1(n_1159),
-	.Y(n_1421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191433 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_212),
-	.B1(n_624),
-	.Y(n_1420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191434 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_213),
-	.B1(n_991),
-	.Y(n_1419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191435 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_226),
-	.B1(n_973),
-	.Y(n_1418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191436 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_215),
-	.B1(n_989),
-	.Y(n_1417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191437 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_215),
-	.B1(n_987),
-	.Y(n_1416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191438 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_215),
-	.B1(n_1162),
-	.Y(n_1415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191439 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_215),
-	.B1(n_985),
-	.Y(n_1414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191440 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_215),
-	.B1(n_984),
-	.Y(n_1413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191441 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_219),
-	.B1(n_980),
-	.Y(n_1412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191442 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_214),
-	.B1(n_1001),
-	.Y(n_1411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191443 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_165),
-	.B1(n_983),
-	.Y(n_1410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191444 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_219),
-	.B1(n_975),
-	.Y(n_1409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191445 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_219),
-	.B1(n_978),
-	.Y(n_1408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191446 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_226),
-	.B1(n_974),
-	.Y(n_1407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191447 (
-	.A1(n_24),
-	.A2(n_221),
-	.B1(n_571),
-	.Y(n_1406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191448 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_226),
-	.B1(n_972),
-	.Y(n_1405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191449 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_226),
-	.B1(n_625),
-	.Y(n_1404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191450 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_209),
-	.B1(n_960),
-	.Y(n_1403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191451 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_209),
-	.B1(n_959),
-	.Y(n_1402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191452 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_209),
-	.B1(n_958),
-	.Y(n_1401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191453 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_209),
-	.B1(n_956),
-	.Y(n_1400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191454 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_209),
-	.B1(n_955),
-	.Y(n_1399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191455 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_209),
-	.B1(n_954),
-	.Y(n_1398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191456 (
-	.A1(n_230),
-	.A2(n_48),
-	.B1(n_329),
-	.B2(\fifo_buffer[224] [8]),
-	.X(n_1397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191457 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_214),
-	.B1(n_540),
-	.Y(n_1396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191458 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_210),
-	.B1(n_608),
-	.Y(n_1395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191459 (
-	.A1(n_192),
-	.A2(n_48),
-	.B1(n_323),
-	.B2(\fifo_buffer[248] [8]),
-	.X(n_1394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191460 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_211),
-	.B1(n_947),
-	.Y(n_1393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191461 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_247),
-	.B1(n_650),
-	.Y(n_1392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191462 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_211),
-	.B1(n_898),
-	.Y(n_1391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191463 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_238),
-	.B1(n_942),
-	.Y(n_1390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191464 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_238),
-	.B1(n_937),
-	.Y(n_1389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191465 (
-	.A1(n_39),
-	.A2(n_217),
-	.B1(n_933),
-	.Y(n_1388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191466 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_217),
-	.B1(n_931),
-	.Y(n_1387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191467 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_217),
-	.B1(n_930),
-	.Y(n_1386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191468 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_241),
-	.B1(n_928),
-	.Y(n_1385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191469 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_241),
-	.B1(n_926),
-	.Y(n_1384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191470 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_241),
-	.B1(n_925),
-	.Y(n_1383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191471 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_239),
-	.B1(n_910),
-	.Y(n_1382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191472 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_245),
-	.B1(n_613),
-	.Y(n_1381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191473 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_245),
-	.B1(n_615),
-	.Y(n_1380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191474 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_245),
-	.B1(n_919),
-	.Y(n_1379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191475 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_228),
-	.B1(n_917),
-	.Y(n_1378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191476 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_228),
-	.B1(n_915),
-	.Y(n_1377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191477 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_228),
-	.B1(n_912),
-	.Y(n_1376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191478 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_207),
-	.B1(n_908),
-	.Y(n_1375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191479 (
-	.A1(n_232),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_389),
-	.B2(\fifo_buffer[240] [1]),
-	.X(n_1374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191480 (
-	.A1(n_232),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_389),
-	.B2(\fifo_buffer[240] [3]),
-	.X(n_1373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191481 (
-	.A1(n_232),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_389),
-	.B2(\fifo_buffer[240] [5]),
-	.X(n_1372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191482 (
-	.A1(n_232),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_389),
-	.B2(\fifo_buffer[240] [6]),
-	.X(n_1371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191483 (
-	.A1(n_232),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_389),
-	.B2(\fifo_buffer[240] [7]),
-	.X(n_1370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191484 (
-	.A1(n_193),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_324),
-	.B2(\fifo_buffer[241] [1]),
-	.X(n_1369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191485 (
-	.A1(n_193),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_324),
-	.B2(\fifo_buffer[241] [3]),
-	.X(n_1368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191486 (
-	.A1(n_193),
-	.A2(FE_OFN1629_n_36),
-	.B1(n_324),
-	.B2(\fifo_buffer[241] [4]),
-	.X(n_1367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191487 (
-	.A1(n_193),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_324),
-	.B2(\fifo_buffer[241] [5]),
-	.X(n_1366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191488 (
-	.A1(n_193),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_324),
-	.B2(\fifo_buffer[241] [6]),
-	.X(n_1365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191489 (
-	.A1(n_193),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_324),
-	.B2(\fifo_buffer[241] [7]),
-	.X(n_1364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191490 (
-	.A1(n_193),
-	.A2(n_48),
-	.B1(n_324),
-	.B2(\fifo_buffer[241] [8]),
-	.X(n_1363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191491 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_165),
-	.B1(n_1014),
-	.Y(n_1362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191492 (
-	.A1(n_232),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_389),
-	.B2(\fifo_buffer[240] [2]),
-	.X(n_1361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191493 (
-	.A1(n_176),
-	.A2(FE_OFN1639_n_44),
-	.B1(n_308),
-	.B2(\fifo_buffer[242] [2]),
-	.X(n_1360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191494 (
-	.A1(n_176),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_308),
-	.B2(\fifo_buffer[242] [3]),
-	.X(n_1359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191495 (
-	.A1(n_176),
-	.A2(n_36),
-	.B1(n_308),
-	.B2(\fifo_buffer[242] [4]),
-	.X(n_1358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191496 (
-	.A1(n_176),
-	.A2(FE_OFN1656_n_42),
-	.B1(n_308),
-	.B2(\fifo_buffer[242] [5]),
-	.X(n_1357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191497 (
-	.A1(n_176),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_308),
-	.B2(\fifo_buffer[242] [7]),
-	.X(n_1356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191498 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_166),
-	.B1(n_544),
-	.Y(n_1355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191499 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_166),
-	.B1(n_585),
-	.Y(n_1354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191500 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_207),
-	.B1(n_907),
-	.Y(n_1353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191501 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_166),
-	.B1(n_603),
-	.Y(n_1352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191502 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_166),
-	.B1(n_913),
-	.Y(n_1351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191503 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_166),
-	.B1(n_1004),
-	.Y(n_1350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191504 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_166),
-	.B1(n_906),
-	.Y(n_1349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191505 (
-	.A1(n_169),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_286),
-	.B2(\fifo_buffer[244] [1]),
-	.X(n_1348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191506 (
-	.A1(n_169),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_286),
-	.B2(\fifo_buffer[244] [3]),
-	.X(n_1347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191507 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_207),
-	.B1(n_905),
-	.Y(n_1346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191508 (
-	.A1(n_169),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_286),
-	.B2(\fifo_buffer[244] [5]),
-	.X(n_1345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191509 (
-	.A1(n_169),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_286),
-	.B2(\fifo_buffer[244] [7]),
-	.X(n_1344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191510 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_170),
-	.B1(n_537),
-	.Y(n_1343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191511 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_170),
-	.B1(n_1163),
-	.Y(n_1342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191512 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_228),
-	.B1(n_911),
-	.Y(n_1341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191513 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_170),
-	.B1(n_654),
-	.Y(n_1340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191514 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_170),
-	.B1(n_904),
-	.Y(n_1339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191515 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_170),
-	.B1(n_903),
-	.Y(n_1338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191516 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_170),
-	.B1(n_550),
-	.Y(n_1337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191517 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_170),
-	.B1(n_889),
-	.Y(n_1336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191518 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_223),
-	.B1(n_901),
-	.Y(n_1335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191519 (
-	.A1(n_24),
-	.A2(n_174),
-	.B1(n_1126),
-	.Y(n_1334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191520 (
-	.A1(n_39),
-	.A2(n_174),
-	.B1(n_534),
-	.Y(n_1333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191521 (
-	.A1(n_37),
-	.A2(n_174),
-	.B1(n_627),
-	.Y(n_1332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191522 (
-	.A1(n_43),
-	.A2(n_174),
-	.B1(n_891),
-	.Y(n_1331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191523 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_174),
-	.B1(n_564),
-	.Y(n_1330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191524 (
-	.A1(n_45),
-	.A2(n_221),
-	.B1(n_539),
-	.Y(n_1329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191525 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_207),
-	.B1(n_902),
-	.Y(n_1328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191526 (
-	.A1(n_39),
-	.A2(n_221),
-	.B1(n_1027),
-	.Y(n_1327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191527 (
-	.A1(n_37),
-	.A2(n_221),
-	.B1(n_1025),
-	.Y(n_1326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191528 (
-	.A1(n_43),
-	.A2(n_221),
-	.B1(n_909),
-	.Y(n_1325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191529 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_221),
-	.B1(n_642),
-	.Y(n_1324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191530 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_221),
-	.B1(n_614),
-	.Y(n_1323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191531 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_211),
-	.B1(n_896),
-	.Y(n_1322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191532 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_221),
-	.B1(n_1035),
-	.Y(n_1321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191533 (
-	.A1(n_192),
-	.A2(FE_OFN1651_n_23),
-	.B1(n_323),
-	.B2(\fifo_buffer[248] [1]),
-	.X(n_1320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191534 (
-	.A1(n_192),
-	.A2(FE_OFN1652_n_38),
-	.B1(n_323),
-	.B2(\fifo_buffer[248] [3]),
-	.X(n_1319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191535 (
-	.A1(n_192),
-	.A2(FE_OFN1657_n_42),
-	.B1(n_323),
-	.B2(\fifo_buffer[248] [5]),
-	.X(n_1318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191536 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_211),
-	.B1(n_895),
-	.Y(n_1317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191537 (
-	.A1(n_192),
-	.A2(FE_OFN1659_n_46),
-	.B1(n_323),
-	.B2(\fifo_buffer[248] [7]),
-	.X(n_1316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191538 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_167),
-	.B1(n_893),
-	.Y(n_1315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191539 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_167),
-	.B1(n_581),
-	.Y(n_1314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191540 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_167),
-	.B1(n_546),
-	.Y(n_1313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191541 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_167),
-	.B1(n_543),
-	.Y(n_1312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191542 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_167),
-	.B1(n_595),
-	.Y(n_1311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191543 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_167),
-	.B1(n_552),
-	.Y(n_1310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191544 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_167),
-	.B1(n_567),
-	.Y(n_1309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191545 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_210),
-	.B1(n_951),
-	.Y(n_1308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191546 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_167),
-	.B1(n_591),
-	.Y(n_1307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191547 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_165),
-	.B1(n_644),
-	.Y(n_1306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191548 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_174),
-	.B1(n_558),
-	.Y(n_1305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191549 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_165),
-	.B1(n_607),
-	.Y(n_1304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191550 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_165),
-	.B1(n_568),
-	.Y(n_1303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191551 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_165),
-	.B1(n_542),
-	.Y(n_1302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191552 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_170),
-	.B1(n_632),
-	.Y(n_1301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191553 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_165),
-	.B1(n_1088),
-	.Y(n_1300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191554 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_239),
-	.B1(n_1173),
-	.Y(n_1299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191555 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_146),
-	.B1(n_645),
-	.Y(n_1298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191556 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_146),
-	.B1(n_1144),
-	.Y(n_1297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191557 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_146),
-	.B1(n_547),
-	.Y(n_1296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191558 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_146),
-	.B1(n_548),
-	.Y(n_1295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191559 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_146),
-	.B1(n_549),
-	.Y(n_1294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191560 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_146),
-	.B1(n_555),
-	.Y(n_1293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191561 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_207),
-	.B1(n_892),
-	.Y(n_1292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191562 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_168),
-	.B1(n_556),
-	.Y(n_1291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191563 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_168),
-	.B1(n_560),
-	.Y(n_1290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191564 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_168),
-	.B1(n_588),
-	.Y(n_1289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191565 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_168),
-	.B1(n_563),
-	.Y(n_1288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191566 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_168),
-	.B1(n_565),
-	.Y(n_1287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191567 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_218),
-	.B1(n_529),
-	.Y(n_1286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191568 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_218),
-	.B1(n_584),
-	.Y(n_1285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191569 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_213),
-	.B1(n_994),
-	.Y(n_1284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191570 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_218),
-	.B1(n_659),
-	.Y(n_1283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191571 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_218),
-	.B1(n_575),
-	.Y(n_1282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191572 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_218),
-	.B1(n_573),
-	.Y(n_1281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191573 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_218),
-	.B1(n_579),
-	.Y(n_1280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191574 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_218),
-	.B1(n_580),
-	.Y(n_1279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191575 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_218),
-	.B1(n_582),
-	.Y(n_1278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191576 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_225),
-	.B1(n_587),
-	.Y(n_1277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191577 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_225),
-	.B1(n_589),
-	.Y(n_1276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191578 (
-	.A1(n_39),
-	.A2(n_225),
-	.B1(n_600),
-	.Y(n_1275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191579 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_213),
-	.B1(n_995),
-	.Y(n_1274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191580 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_225),
-	.B1(n_602),
-	.Y(n_1273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191581 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_225),
-	.B1(n_900),
-	.Y(n_1272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191582 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_225),
-	.B1(n_658),
-	.Y(n_1271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191583 (
-	.A1(n_24),
-	.A2(n_240),
-	.B1(n_1070),
-	.Y(n_1270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191584 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_240),
-	.B1(n_533),
-	.Y(n_1269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191585 (
-	.A1(n_39),
-	.A2(n_240),
-	.B1(n_1112),
-	.Y(n_1268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191586 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_240),
-	.B1(n_1081),
-	.Y(n_1267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191587 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_240),
-	.B1(n_1033),
-	.Y(n_1266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191588 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_240),
-	.B1(n_657),
-	.Y(n_1265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191589 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_240),
-	.B1(n_656),
-	.Y(n_1264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191590 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_240),
-	.B1(n_593),
-	.Y(n_1263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191591 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_219),
-	.B1(n_977),
-	.Y(n_1262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191592 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_228),
-	.B1(n_538),
-	.Y(n_1261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191593 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_228),
-	.B1(n_914),
-	.Y(n_1260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191594 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_226),
-	.B1(n_636),
-	.Y(n_1259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191595 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_219),
-	.B1(n_981),
-	.Y(n_1258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191596 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_209),
-	.B1(n_1164),
-	.Y(n_1257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191597 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_215),
-	.B1(n_652),
-	.Y(n_1256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191598 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_174),
-	.B1(n_574),
-	.Y(n_1255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191599 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_247),
-	.B1(n_938),
-	.Y(n_1254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191600 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_211),
-	.B1(n_944),
-	.Y(n_1253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191601 (
-	.A1(n_230),
-	.A2(n_23),
-	.B1(n_329),
-	.B2(\fifo_buffer[224] [1]),
-	.X(n_1252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191602 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_243),
-	.B1(n_646),
-	.Y(n_1251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191603 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_228),
-	.B1(n_916),
-	.Y(n_1250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191604 (
-	.A1(FE_OFN1608_n_37),
-	.A2(n_244),
-	.B1(n_965),
-	.Y(n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191605 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_245),
-	.B1(n_641),
-	.Y(n_1248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191606 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_228),
-	.B1(n_918),
-	.Y(n_1247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191607 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_239),
-	.B1(n_637),
-	.Y(n_1246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191608 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_244),
-	.B1(n_968),
-	.Y(n_1245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191609 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_211),
-	.B1(n_945),
-	.Y(n_1244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191610 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_210),
-	.B1(n_948),
-	.Y(n_1243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191611 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_209),
-	.B1(n_638),
-	.Y(n_1242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191612 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_213),
-	.B1(n_635),
-	.Y(n_1241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191613 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_226),
-	.B1(n_640),
-	.Y(n_1240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191614 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_214),
-	.B1(n_1007),
-	.Y(n_1239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191615 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_213),
-	.B1(n_992),
-	.Y(n_1238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191616 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_212),
-	.B1(n_634),
-	.Y(n_1237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191617 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_247),
-	.B1(n_941),
-	.Y(n_1236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191618 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_147),
-	.B1(n_535),
-	.Y(n_1235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191619 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_245),
-	.B1(n_920),
-	.Y(n_1234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191620 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_245),
-	.B1(n_629),
-	.Y(n_1233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191621 (
-	.A1(FE_OFN1627_n_24),
-	.A2(n_241),
-	.B1(n_609),
-	.Y(n_1232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191622 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_241),
-	.B1(n_611),
-	.Y(n_1231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191623 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_211),
-	.B1(n_946),
-	.Y(n_1230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191624 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_238),
-	.B1(n_940),
-	.Y(n_1229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191625 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_238),
-	.B1(n_619),
-	.Y(n_1228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191626 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_210),
-	.B1(n_953),
-	.Y(n_1227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191627 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_217),
-	.B1(n_628),
-	.Y(n_1226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191628 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_238),
-	.B1(n_939),
-	.Y(n_1225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191629 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_226),
-	.B1(n_970),
-	.Y(n_1224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191630 (
-	.A1(FE_OFN1637_n_43),
-	.A2(n_219),
-	.B1(n_1006),
-	.Y(n_1223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191631 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_214),
-	.B1(n_651),
-	.Y(n_1222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191632 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_244),
-	.B1(n_964),
-	.Y(n_1221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191633 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_215),
-	.B1(n_623),
-	.Y(n_1220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191634 (
-	.A1(FE_OFN1631_n_39),
-	.A2(n_245),
-	.B1(n_616),
-	.Y(n_1219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191635 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_244),
-	.B1(n_961),
-	.Y(n_1218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191636 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_245),
-	.B1(n_922),
-	.Y(n_1217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191637 (
-	.A1(FE_OFN1613_n_49),
-	.A2(n_234),
-	.B1(n_621),
-	.Y(n_1216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191638 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_212),
-	.B1(n_996),
-	.Y(n_1215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191639 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_241),
-	.B1(n_923),
-	.Y(n_1214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191640 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_213),
-	.B1(n_612),
-	.Y(n_1213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191641 (
-	.A1(FE_OFN1642_n_47),
-	.A2(n_241),
-	.B1(n_924),
-	.Y(n_1212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191642 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_210),
-	.B1(n_950),
-	.Y(n_1211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191643 (
-	.A1(FE_OFN1638_n_43),
-	.A2(n_210),
-	.B1(n_610),
-	.Y(n_1210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191644 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_215),
-	.B1(n_606),
-	.Y(n_1209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191645 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_210),
-	.B1(n_605),
-	.Y(n_1208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191646 (
-	.A1(FE_OFN1607_n_37),
-	.A2(n_234),
-	.B1(n_1167),
-	.Y(n_1207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191647 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_234),
-	.B1(n_618),
-	.Y(n_1206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191648 (
-	.A1(n_169),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_286),
-	.B2(\fifo_buffer[244] [6]),
-	.X(n_1205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191649 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_225),
-	.B1(n_601),
-	.Y(n_1204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191650 (
-	.A1(n_37),
-	.A2(n_225),
-	.B1(n_597),
-	.Y(n_1203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191651 (
-	.A1(FE_OFN1626_n_24),
-	.A2(n_205),
-	.B1(n_586),
-	.Y(n_1202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191652 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_172),
-	.B1(n_583),
-	.Y(n_1201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191653 (
-	.A1(FE_OFN1635_n_41),
-	.A2(n_172),
-	.B1(n_655),
-	.Y(n_1200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191654 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_172),
-	.B1(n_576),
-	.Y(n_1199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191655 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_172),
-	.B1(n_572),
-	.Y(n_1198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191656 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_214),
-	.B1(n_1002),
-	.Y(n_1197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191657 (
-	.A1(n_192),
-	.A2(FE_OFN1655_n_40),
-	.B1(n_323),
-	.B2(\fifo_buffer[248] [6]),
-	.X(n_1196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191658 (
-	.A1(FE_OFN1630_n_39),
-	.A2(n_166),
-	.B1(n_569),
-	.Y(n_1195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191659 (
-	.A1(FE_OFN1633_n_41),
-	.A2(n_224),
-	.B1(n_570),
-	.Y(n_1194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191660 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_168),
-	.B1(n_566),
-	.Y(n_1193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191661 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_224),
-	.B1(n_1042),
-	.Y(n_1192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191662 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_168),
-	.B1(n_1057),
-	.Y(n_1191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191663 (
-	.A1(FE_OFN1636_n_43),
-	.A2(n_186),
-	.B1(n_1049),
-	.Y(n_1190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191664 (
-	.A1(n_197),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_375),
-	.B2(\fifo_buffer[51] [7]),
-	.X(n_1189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191665 (
-	.A1(FE_OFN1610_n_45),
-	.A2(n_168),
-	.B1(n_557),
-	.Y(n_1188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191666 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_224),
-	.B1(n_561),
-	.Y(n_1187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191667 (
-	.A1(FE_OFN1614_n_49),
-	.A2(n_186),
-	.B1(n_1047),
-	.Y(n_1186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191668 (
-	.A1(FE_OFN1641_n_47),
-	.A2(n_186),
-	.B1(n_554),
-	.Y(n_1185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191669 (
-	.A1(FE_OFN1643_n_47),
-	.A2(n_146),
-	.B1(n_1170),
-	.Y(n_1184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191670 (
-	.A1(FE_OFN1632_n_39),
-	.A2(n_186),
-	.B1(n_551),
-	.Y(n_1183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191671 (
-	.A1(FE_OFN1628_n_24),
-	.A2(n_186),
-	.B1(n_1053),
-	.Y(n_1182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191672 (
-	.A1(n_39),
-	.A2(n_241),
-	.B1(n_927),
-	.Y(n_1181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191673 (
-	.A1(FE_OFN1634_n_41),
-	.A2(n_147),
-	.B1(n_1058),
-	.Y(n_1180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191674 (
-	.A1(n_176),
-	.A2(FE_OFN1654_n_40),
-	.B1(n_308),
-	.B2(\fifo_buffer[242] [6]),
-	.X(n_1179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191675 (
-	.A1(FE_OFN1609_n_37),
-	.A2(n_217),
-	.B1(n_541),
-	.Y(n_1178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191676 (
-	.A1(n_192),
-	.A2(FE_OFN1640_n_44),
-	.B1(n_323),
-	.B2(\fifo_buffer[248] [2]),
-	.X(n_1177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g191677 (
-	.A1(n_148),
-	.A2(FE_OFN1658_n_46),
-	.B1(n_284),
-	.B2(\fifo_buffer[204] [7]),
-	.X(n_1176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191678 (
-	.A1(FE_OFN1611_n_45),
-	.A2(n_211),
-	.B1(n_626),
-	.Y(n_1175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g191679 (
-	.A1(FE_OFN1612_n_49),
-	.A2(n_226),
-	.B1(n_532),
-	.Y(n_1174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191680 (
-	.A(n_385),
-	.B(\fifo_buffer[221] [6]),
-	.Y(n_1173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191681 (
-	.A(n_424),
-	.B(\fifo_buffer[222] [4]),
-	.Y(n_1172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191682 (
-	.A(n_380),
-	.B(\fifo_buffer[236] [2]),
-	.Y(n_1171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191683 (
-	.A(n_366),
-	.B(\fifo_buffer[251] [7]),
-	.Y(n_1170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191684 (
-	.A(n_272),
-	.B(\fifo_buffer[219] [3]),
-	.Y(n_1169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191685 (
-	.A(n_303),
-	.B(\fifo_buffer[226] [5]),
-	.Y(n_1168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191686 (
-	.A(n_272),
-	.B(\fifo_buffer[219] [4]),
-	.Y(n_1167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191687 (
-	.A(n_272),
-	.B(\fifo_buffer[219] [1]),
-	.Y(n_1166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191688 (
-	.A(n_272),
-	.B(\fifo_buffer[219] [2]),
-	.Y(n_1165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191689 (
-	.A(n_291),
-	.B(\fifo_buffer[232] [4]),
-	.Y(n_1164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191690 (
-	.A(n_331),
-	.B(\fifo_buffer[245] [2]),
-	.Y(n_1163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191691 (
-	.A(n_328),
-	.B(\fifo_buffer[228] [4]),
-	.Y(n_1162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191692 (
-	.A(n_363),
-	.B(\fifo_buffer[218] [7]),
-	.Y(n_1161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191693 (
-	.A(n_372),
-	.B(\fifo_buffer[220] [8]),
-	.Y(n_1160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191694 (
-	.A(n_303),
-	.B(\fifo_buffer[226] [4]),
-	.Y(n_1159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191695 (
-	.A(n_363),
-	.B(\fifo_buffer[218] [3]),
-	.Y(n_1158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191696 (
-	.A(n_340),
-	.B(\fifo_buffer[246] [2]),
-	.Y(n_1157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191697 (
-	.A(n_307),
-	.B(\fifo_buffer[250] [8]),
-	.Y(n_1156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191698 (
-	.A(n_363),
-	.B(\fifo_buffer[218] [5]),
-	.Y(n_1155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191699 (
-	.A(n_363),
-	.B(\fifo_buffer[218] [2]),
-	.Y(n_1154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191700 (
-	.A(n_363),
-	.B(\fifo_buffer[218] [1]),
-	.Y(n_1153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191701 (
-	.A(n_353),
-	.B(\fifo_buffer[217] [7]),
-	.Y(n_1152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191702 (
-	.A(n_353),
-	.B(\fifo_buffer[217] [8]),
-	.Y(n_1151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191703 (
-	.A(n_310),
-	.B(\fifo_buffer[203] [8]),
-	.Y(n_1150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191704 (
-	.A(n_353),
-	.B(\fifo_buffer[217] [6]),
-	.Y(n_1149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191705 (
-	.A(n_336),
-	.B(\fifo_buffer[183] [8]),
-	.Y(n_1148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191706 (
-	.A(n_353),
-	.B(\fifo_buffer[217] [4]),
-	.Y(n_1147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191707 (
-	.A(n_310),
-	.B(\fifo_buffer[203] [6]),
-	.Y(n_1146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191708 (
-	.A(n_353),
-	.B(\fifo_buffer[217] [3]),
-	.Y(n_1145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191709 (
-	.A(n_366),
-	.B(\fifo_buffer[251] [2]),
-	.Y(n_1144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191710 (
-	.A(n_353),
-	.B(\fifo_buffer[217] [2]),
-	.Y(n_1143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191711 (
-	.A(n_283),
-	.B(\fifo_buffer[216] [7]),
-	.Y(n_1142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191712 (
-	.A(n_353),
-	.B(\fifo_buffer[217] [1]),
-	.Y(n_1141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191713 (
-	.A(n_283),
-	.B(\fifo_buffer[216] [6]),
-	.Y(n_1140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191714 (
-	.A(n_283),
-	.B(\fifo_buffer[216] [5]),
-	.Y(n_1139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191715 (
-	.A(n_283),
-	.B(\fifo_buffer[216] [4]),
-	.Y(n_1138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191716 (
-	.A(n_283),
-	.B(\fifo_buffer[216] [3]),
-	.Y(n_1137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191717 (
-	.A(n_310),
-	.B(\fifo_buffer[203] [2]),
-	.Y(n_1136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191718 (
-	.A(n_283),
-	.B(\fifo_buffer[216] [2]),
-	.Y(n_1135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191719 (
-	.A(n_283),
-	.B(\fifo_buffer[216] [1]),
-	.Y(n_1134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191720 (
-	.A(n_426),
-	.B(\fifo_buffer[215] [8]),
-	.Y(n_1133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191721 (
-	.A(n_426),
-	.B(\fifo_buffer[215] [7]),
-	.Y(n_1132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191722 (
-	.A(n_426),
-	.B(\fifo_buffer[215] [6]),
-	.Y(n_1131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191723 (
-	.A(n_426),
-	.B(\fifo_buffer[215] [2]),
-	.Y(n_1130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191724 (
-	.A(n_426),
-	.B(\fifo_buffer[215] [4]),
-	.Y(n_1129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191725 (
-	.A(n_426),
-	.B(\fifo_buffer[215] [3]),
-	.Y(n_1128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191726 (
-	.A(n_426),
-	.B(\fifo_buffer[215] [1]),
-	.Y(n_1127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191727 (
-	.A(n_340),
-	.B(\fifo_buffer[246] [1]),
-	.Y(n_1126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191728 (
-	.A(n_378),
-	.B(\fifo_buffer[213] [8]),
-	.Y(n_1125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191729 (
-	.A(n_424),
-	.B(\fifo_buffer[222] [1]),
-	.Y(n_1124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191730 (
-	.A(n_395),
-	.B(\fifo_buffer[214] [6]),
-	.Y(n_1123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191731 (
-	.A(n_336),
-	.B(\fifo_buffer[183] [1]),
-	.Y(n_1122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191732 (
-	.A(n_336),
-	.B(\fifo_buffer[183] [2]),
-	.Y(n_1121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191733 (
-	.A(n_336),
-	.B(\fifo_buffer[183] [3]),
-	.Y(n_1120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191734 (
-	.A(n_382),
-	.B(\fifo_buffer[191] [2]),
-	.Y(n_1119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191735 (
-	.A(n_336),
-	.B(\fifo_buffer[183] [4]),
-	.Y(n_1118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191736 (
-	.A(n_336),
-	.B(\fifo_buffer[183] [5]),
-	.Y(n_1117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191737 (
-	.A(n_336),
-	.B(\fifo_buffer[183] [6]),
-	.Y(n_1116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191738 (
-	.A(n_336),
-	.B(\fifo_buffer[183] [7]),
-	.Y(n_1115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191739 (
-	.A(n_395),
-	.B(\fifo_buffer[214] [7]),
-	.Y(n_1114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191740 (
-	.A(n_395),
-	.B(\fifo_buffer[214] [8]),
-	.Y(n_1113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191741 (
-	.A(n_345),
-	.B(\fifo_buffer[255] [3]),
-	.Y(n_1112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191742 (
-	.A(n_301),
-	.B(\fifo_buffer[187] [1]),
-	.Y(n_1111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191743 (
-	.A(n_301),
-	.B(\fifo_buffer[187] [2]),
-	.Y(n_1110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191744 (
-	.A(n_301),
-	.B(\fifo_buffer[187] [3]),
-	.Y(n_1109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191745 (
-	.A(n_301),
-	.B(\fifo_buffer[187] [4]),
-	.Y(n_1108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191746 (
-	.A(n_301),
-	.B(\fifo_buffer[187] [5]),
-	.Y(n_1107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191747 (
-	.A(n_301),
-	.B(\fifo_buffer[187] [6]),
-	.Y(n_1106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191748 (
-	.A(n_301),
-	.B(\fifo_buffer[187] [7]),
-	.Y(n_1105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191749 (
-	.A(n_326),
-	.B(\fifo_buffer[189] [1]),
-	.Y(n_1104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191750 (
-	.A(n_322),
-	.B(\fifo_buffer[63] [2]),
-	.Y(n_1103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191751 (
-	.A(n_326),
-	.B(\fifo_buffer[189] [2]),
-	.Y(n_1102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191752 (
-	.A(n_326),
-	.B(\fifo_buffer[189] [3]),
-	.Y(n_1101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191753 (
-	.A(n_326),
-	.B(\fifo_buffer[189] [4]),
-	.Y(n_1100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191754 (
-	.A(n_322),
-	.B(\fifo_buffer[63] [3]),
-	.Y(n_1099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191755 (
-	.A(n_322),
-	.B(\fifo_buffer[63] [4]),
-	.Y(n_1098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191756 (
-	.A(n_326),
-	.B(\fifo_buffer[189] [7]),
-	.Y(n_1097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191757 (
-	.A(n_326),
-	.B(\fifo_buffer[189] [8]),
-	.Y(n_1096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191758 (
-	.A(n_322),
-	.B(\fifo_buffer[63] [6]),
-	.Y(n_1095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191759 (
-	.A(n_338),
-	.B(\fifo_buffer[190] [1]),
-	.Y(n_1094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191760 (
-	.A(n_322),
-	.B(\fifo_buffer[63] [7]),
-	.Y(n_1093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191761 (
-	.A(n_338),
-	.B(\fifo_buffer[190] [3]),
-	.Y(n_1092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191762 (
-	.A(n_322),
-	.B(\fifo_buffer[63] [8]),
-	.Y(n_1091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191763 (
-	.A(n_338),
-	.B(\fifo_buffer[190] [5]),
-	.Y(n_1090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191764 (
-	.A(n_338),
-	.B(\fifo_buffer[190] [8]),
-	.Y(n_1089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191765 (
-	.A(n_307),
-	.B(\fifo_buffer[250] [7]),
-	.Y(n_1088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191766 (
-	.A(n_382),
-	.B(\fifo_buffer[191] [1]),
-	.Y(n_1087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191767 (
-	.A(n_382),
-	.B(\fifo_buffer[191] [3]),
-	.Y(n_1086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191768 (
-	.A(n_382),
-	.B(\fifo_buffer[191] [7]),
-	.Y(n_1085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191769 (
-	.A(n_382),
-	.B(\fifo_buffer[191] [8]),
-	.Y(n_1084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191770 (
-	.A(n_299),
-	.B(\fifo_buffer[243] [7]),
-	.Y(n_1083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191771 (
-	.A(n_395),
-	.B(\fifo_buffer[214] [2]),
-	.Y(n_1082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191772 (
-	.A(n_345),
-	.B(\fifo_buffer[255] [4]),
-	.Y(n_1081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191773 (
-	.A(n_380),
-	.B(\fifo_buffer[236] [8]),
-	.Y(n_1080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191774 (
-	.A(n_395),
-	.B(\fifo_buffer[214] [3]),
-	.Y(n_1079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191775 (
-	.A(n_395),
-	.B(\fifo_buffer[214] [1]),
-	.Y(n_1078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191776 (
-	.A(n_342),
-	.B(\fifo_buffer[199] [2]),
-	.Y(n_1077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191777 (
-	.A(n_342),
-	.B(\fifo_buffer[199] [3]),
-	.Y(n_1076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191778 (
-	.A(n_342),
-	.B(\fifo_buffer[199] [4]),
-	.Y(n_1075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191779 (
-	.A(n_342),
-	.B(\fifo_buffer[199] [5]),
-	.Y(n_1074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191780 (
-	.A(n_342),
-	.B(\fifo_buffer[199] [6]),
-	.Y(n_1073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191781 (
-	.A(n_342),
-	.B(\fifo_buffer[199] [8]),
-	.Y(n_1072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191782 (
-	.A(n_338),
-	.B(\fifo_buffer[190] [6]),
-	.Y(n_1071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191783 (
-	.A(n_345),
-	.B(\fifo_buffer[255] [1]),
-	.Y(n_1070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191784 (
-	.A(n_342),
-	.B(\fifo_buffer[199] [7]),
-	.Y(n_1069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191785 (
-	.A(n_272),
-	.B(\fifo_buffer[219] [6]),
-	.Y(n_1068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191786 (
-	.A(n_310),
-	.B(\fifo_buffer[203] [1]),
-	.Y(n_1067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191787 (
-	.A(n_310),
-	.B(\fifo_buffer[203] [3]),
-	.Y(n_1066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191788 (
-	.A(n_310),
-	.B(\fifo_buffer[203] [4]),
-	.Y(n_1065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191789 (
-	.A(n_310),
-	.B(\fifo_buffer[203] [5]),
-	.Y(n_1064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191790 (
-	.A(n_310),
-	.B(\fifo_buffer[203] [7]),
-	.Y(n_1063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191791 (
-	.A(n_333),
-	.B(\fifo_buffer[205] [2]),
-	.Y(n_1062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191792 (
-	.A(n_333),
-	.B(\fifo_buffer[205] [3]),
-	.Y(n_1061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191793 (
-	.A(n_333),
-	.B(\fifo_buffer[205] [4]),
-	.Y(n_1060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191794 (
-	.A(n_333),
-	.B(\fifo_buffer[205] [5]),
-	.Y(n_1059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191795 (
-	.A(n_333),
-	.B(\fifo_buffer[205] [6]),
-	.Y(n_1058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191796 (
-	.A(n_320),
-	.B(\fifo_buffer[252] [6]),
-	.Y(n_1057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191797 (
-	.A(n_333),
-	.B(\fifo_buffer[205] [7]),
-	.Y(n_1056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191798 (
-	.A(n_333),
-	.B(\fifo_buffer[205] [8]),
-	.Y(n_1055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191799 (
-	.A(n_338),
-	.B(\fifo_buffer[190] [4]),
-	.Y(n_1054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191800 (
-	.A(n_348),
-	.B(\fifo_buffer[206] [1]),
-	.Y(n_1053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191801 (
-	.A(n_348),
-	.B(\fifo_buffer[206] [2]),
-	.Y(n_1052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191802 (
-	.A(n_382),
-	.B(\fifo_buffer[191] [4]),
-	.Y(n_1051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191803 (
-	.A(n_348),
-	.B(\fifo_buffer[206] [4]),
-	.Y(n_1050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191804 (
-	.A(n_348),
-	.B(\fifo_buffer[206] [5]),
-	.Y(n_1049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191805 (
-	.A(n_348),
-	.B(\fifo_buffer[206] [6]),
-	.Y(n_1048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191806 (
-	.A(n_348),
-	.B(\fifo_buffer[206] [8]),
-	.Y(n_1047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191807 (
-	.A(n_393),
-	.B(\fifo_buffer[207] [2]),
-	.Y(n_1046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191808 (
-	.A(n_393),
-	.B(\fifo_buffer[207] [4]),
-	.Y(n_1045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191809 (
-	.A(n_393),
-	.B(\fifo_buffer[207] [5]),
-	.Y(n_1044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191810 (
-	.A(n_393),
-	.B(\fifo_buffer[207] [7]),
-	.Y(n_1043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191811 (
-	.A(n_393),
-	.B(\fifo_buffer[207] [8]),
-	.Y(n_1042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191812 (
-	.A(n_378),
-	.B(\fifo_buffer[213] [7]),
-	.Y(n_1041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191813 (
-	.A(n_378),
-	.B(\fifo_buffer[213] [5]),
-	.Y(n_1040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191814 (
-	.A(n_281),
-	.B(\fifo_buffer[209] [5]),
-	.Y(n_1039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191815 (
-	.A(n_281),
-	.B(\fifo_buffer[209] [8]),
-	.Y(n_1038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191816 (
-	.A(n_296),
-	.B(\fifo_buffer[210] [2]),
-	.Y(n_1037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191817 (
-	.A(n_378),
-	.B(\fifo_buffer[213] [6]),
-	.Y(n_1036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191818 (
-	.A(n_397),
-	.B(\fifo_buffer[247] [8]),
-	.Y(n_1035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191819 (
-	.A(n_356),
-	.B(\fifo_buffer[211] [5]),
-	.Y(n_1034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191820 (
-	.A(n_345),
-	.B(\fifo_buffer[255] [5]),
-	.Y(n_1033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191821 (
-	.A(n_372),
-	.B(\fifo_buffer[220] [6]),
-	.Y(n_1032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191822 (
-	.A(n_395),
-	.B(\fifo_buffer[214] [4]),
-	.Y(n_1031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191823 (
-	.A(n_378),
-	.B(\fifo_buffer[213] [4]),
-	.Y(n_1030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191824 (
-	.A(n_424),
-	.B(\fifo_buffer[222] [5]),
-	.Y(n_1029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191825 (
-	.A(n_426),
-	.B(\fifo_buffer[215] [5]),
-	.Y(n_1028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191826 (
-	.A(n_397),
-	.B(\fifo_buffer[247] [3]),
-	.Y(n_1027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191827 (
-	.A(n_378),
-	.B(\fifo_buffer[213] [3]),
-	.Y(n_1026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191828 (
-	.A(n_397),
-	.B(\fifo_buffer[247] [4]),
-	.Y(n_1025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191829 (
-	.A(n_378),
-	.B(\fifo_buffer[213] [1]),
-	.Y(n_1024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191830 (
-	.A(n_353),
-	.B(\fifo_buffer[217] [5]),
-	.Y(n_1023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191831 (
-	.A(n_378),
-	.B(\fifo_buffer[213] [2]),
-	.Y(n_1022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191832 (
-	.A(n_338),
-	.B(\fifo_buffer[190] [2]),
-	.Y(n_1021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191833 (
-	.A(n_363),
-	.B(\fifo_buffer[218] [4]),
-	.Y(n_1020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191834 (
-	.A(n_322),
-	.B(\fifo_buffer[63] [5]),
-	.Y(n_1019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191835 (
-	.A(n_363),
-	.B(\fifo_buffer[218] [6]),
-	.Y(n_1018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191836 (
-	.A(n_363),
-	.B(\fifo_buffer[218] [8]),
-	.Y(n_1017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191837 (
-	.A(n_272),
-	.B(\fifo_buffer[219] [5]),
-	.Y(n_1016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191838 (
-	.A(n_372),
-	.B(\fifo_buffer[220] [2]),
-	.Y(n_1015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191839 (
-	.A(n_307),
-	.B(\fifo_buffer[250] [2]),
-	.Y(n_1014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191840 (
-	.A(n_342),
-	.B(\fifo_buffer[199] [1]),
-	.Y(n_1013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191841 (
-	.A(n_424),
-	.B(\fifo_buffer[222] [2]),
-	.Y(n_1012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191842 (
-	.A(n_385),
-	.B(\fifo_buffer[221] [8]),
-	.Y(n_1011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191843 (
-	.A(n_424),
-	.B(\fifo_buffer[222] [8]),
-	.Y(n_1010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191844 (
-	.A(n_438),
-	.B(\fifo_buffer[223] [5]),
-	.Y(n_1009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191845 (
-	.A(n_317),
-	.B(\fifo_buffer[212] [8]),
-	.Y(n_1008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191846 (
-	.A(n_289),
-	.B(\fifo_buffer[225] [1]),
-	.Y(n_1007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191847 (
-	.A(n_391),
-	.B(\fifo_buffer[229] [5]),
-	.Y(n_1006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191848 (
-	.A(n_289),
-	.B(\fifo_buffer[225] [4]),
-	.Y(n_1005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191849 (
-	.A(n_299),
-	.B(\fifo_buffer[243] [6]),
-	.Y(n_1004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191850 (
-	.A(n_289),
-	.B(\fifo_buffer[225] [6]),
-	.Y(n_1003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191851 (
-	.A(n_289),
-	.B(\fifo_buffer[225] [7]),
-	.Y(n_1002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191852 (
-	.A(n_289),
-	.B(\fifo_buffer[225] [8]),
-	.Y(n_1001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191853 (
-	.A(n_303),
-	.B(\fifo_buffer[226] [2]),
-	.Y(n_1000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191854 (
-	.A(n_317),
-	.B(\fifo_buffer[212] [7]),
-	.Y(n_999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191855 (
-	.A(n_303),
-	.B(\fifo_buffer[226] [3]),
-	.Y(n_998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191856 (
-	.A(n_372),
-	.B(\fifo_buffer[220] [5]),
-	.Y(n_997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191857 (
-	.A(n_303),
-	.B(\fifo_buffer[226] [7]),
-	.Y(n_996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191858 (
-	.A(n_361),
-	.B(\fifo_buffer[227] [1]),
-	.Y(n_995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191859 (
-	.A(n_361),
-	.B(\fifo_buffer[227] [2]),
-	.Y(n_994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191860 (
-	.A(n_361),
-	.B(\fifo_buffer[227] [3]),
-	.Y(n_993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191861 (
-	.A(n_361),
-	.B(\fifo_buffer[227] [4]),
-	.Y(n_992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191862 (
-	.A(n_361),
-	.B(\fifo_buffer[227] [6]),
-	.Y(n_991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191863 (
-	.A(n_361),
-	.B(\fifo_buffer[227] [8]),
-	.Y(n_990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191864 (
-	.A(n_328),
-	.B(\fifo_buffer[228] [1]),
-	.Y(n_989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191865 (
-	.A(n_317),
-	.B(\fifo_buffer[212] [6]),
-	.Y(n_988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191866 (
-	.A(n_328),
-	.B(\fifo_buffer[228] [3]),
-	.Y(n_987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191867 (
-	.A(n_326),
-	.B(\fifo_buffer[189] [5]),
-	.Y(n_986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191868 (
-	.A(n_328),
-	.B(\fifo_buffer[228] [5]),
-	.Y(n_985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191869 (
-	.A(n_328),
-	.B(\fifo_buffer[228] [7]),
-	.Y(n_984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191870 (
-	.A(n_307),
-	.B(\fifo_buffer[250] [4]),
-	.Y(n_983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191871 (
-	.A(n_317),
-	.B(\fifo_buffer[212] [5]),
-	.Y(n_982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191872 (
-	.A(n_391),
-	.B(\fifo_buffer[229] [1]),
-	.Y(n_981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191873 (
-	.A(n_391),
-	.B(\fifo_buffer[229] [2]),
-	.Y(n_980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191874 (
-	.A(n_391),
-	.B(\fifo_buffer[229] [3]),
-	.Y(n_979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191875 (
-	.A(n_391),
-	.B(\fifo_buffer[229] [6]),
-	.Y(n_978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191876 (
-	.A(n_391),
-	.B(\fifo_buffer[229] [7]),
-	.Y(n_977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191877 (
-	.A(n_391),
-	.B(\fifo_buffer[229] [8]),
-	.Y(n_976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191878 (
-	.A(n_391),
-	.B(\fifo_buffer[229] [4]),
-	.Y(n_975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191879 (
-	.A(n_269),
-	.B(\fifo_buffer[230] [1]),
-	.Y(n_974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191880 (
-	.A(n_269),
-	.B(\fifo_buffer[230] [4]),
-	.Y(n_973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191881 (
-	.A(n_269),
-	.B(\fifo_buffer[230] [5]),
-	.Y(n_972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191882 (
-	.A(n_317),
-	.B(\fifo_buffer[212] [4]),
-	.Y(n_971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191883 (
-	.A(n_269),
-	.B(\fifo_buffer[230] [7]),
-	.Y(n_970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191884 (
-	.A(n_385),
-	.B(\fifo_buffer[221] [5]),
-	.Y(n_969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191885 (
-	.A(n_432),
-	.B(\fifo_buffer[231] [1]),
-	.Y(n_968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191886 (
-	.A(n_432),
-	.B(\fifo_buffer[231] [2]),
-	.Y(n_967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191887 (
-	.A(n_432),
-	.B(\fifo_buffer[231] [3]),
-	.Y(n_966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191888 (
-	.A(n_432),
-	.B(\fifo_buffer[231] [4]),
-	.Y(n_965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191889 (
-	.A(n_432),
-	.B(\fifo_buffer[231] [5]),
-	.Y(n_964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191890 (
-	.A(n_432),
-	.B(\fifo_buffer[231] [6]),
-	.Y(n_963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191891 (
-	.A(n_432),
-	.B(\fifo_buffer[231] [7]),
-	.Y(n_962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191892 (
-	.A(n_432),
-	.B(\fifo_buffer[231] [8]),
-	.Y(n_961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191893 (
-	.A(n_291),
-	.B(\fifo_buffer[232] [1]),
-	.Y(n_960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191894 (
-	.A(n_291),
-	.B(\fifo_buffer[232] [2]),
-	.Y(n_959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191895 (
-	.A(n_291),
-	.B(\fifo_buffer[232] [3]),
-	.Y(n_958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191896 (
-	.A(n_395),
-	.B(\fifo_buffer[214] [5]),
-	.Y(n_957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191897 (
-	.A(n_291),
-	.B(\fifo_buffer[232] [5]),
-	.Y(n_956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191898 (
-	.A(n_291),
-	.B(\fifo_buffer[232] [6]),
-	.Y(n_955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191899 (
-	.A(n_291),
-	.B(\fifo_buffer[232] [7]),
-	.Y(n_954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191900 (
-	.A(n_359),
-	.B(\fifo_buffer[233] [1]),
-	.Y(n_953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191901 (
-	.A(n_359),
-	.B(\fifo_buffer[233] [3]),
-	.Y(n_952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191902 (
-	.A(n_359),
-	.B(\fifo_buffer[233] [4]),
-	.Y(n_951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191903 (
-	.A(n_359),
-	.B(\fifo_buffer[233] [7]),
-	.Y(n_950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191904 (
-	.A(n_372),
-	.B(\fifo_buffer[220] [4]),
-	.Y(n_949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191905 (
-	.A(n_359),
-	.B(\fifo_buffer[233] [8]),
-	.Y(n_948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191906 (
-	.A(n_369),
-	.B(\fifo_buffer[234] [1]),
-	.Y(n_947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191907 (
-	.A(n_369),
-	.B(\fifo_buffer[234] [3]),
-	.Y(n_946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191908 (
-	.A(n_369),
-	.B(\fifo_buffer[234] [6]),
-	.Y(n_945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191909 (
-	.A(n_369),
-	.B(\fifo_buffer[234] [7]),
-	.Y(n_944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191910 (
-	.A(n_275),
-	.B(\fifo_buffer[235] [1]),
-	.Y(n_943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191911 (
-	.A(n_275),
-	.B(\fifo_buffer[235] [2]),
-	.Y(n_942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191912 (
-	.A(n_438),
-	.B(\fifo_buffer[223] [8]),
-	.Y(n_941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191913 (
-	.A(n_275),
-	.B(\fifo_buffer[235] [3]),
-	.Y(n_940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191914 (
-	.A(n_275),
-	.B(\fifo_buffer[235] [4]),
-	.Y(n_939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191915 (
-	.A(n_438),
-	.B(\fifo_buffer[223] [2]),
-	.Y(n_938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191916 (
-	.A(n_275),
-	.B(\fifo_buffer[235] [6]),
-	.Y(n_937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191917 (
-	.A(n_275),
-	.B(\fifo_buffer[235] [7]),
-	.Y(n_936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191918 (
-	.A(n_275),
-	.B(\fifo_buffer[235] [8]),
-	.Y(n_935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191919 (
-	.A(n_380),
-	.B(\fifo_buffer[236] [1]),
-	.Y(n_934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191920 (
-	.A(n_380),
-	.B(\fifo_buffer[236] [3]),
-	.Y(n_933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191921 (
-	.A(n_438),
-	.B(\fifo_buffer[223] [3]),
-	.Y(n_932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191922 (
-	.A(n_380),
-	.B(\fifo_buffer[236] [5]),
-	.Y(n_931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191923 (
-	.A(n_380),
-	.B(\fifo_buffer[236] [7]),
-	.Y(n_930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191924 (
-	.A(n_317),
-	.B(\fifo_buffer[212] [2]),
-	.Y(n_929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191925 (
-	.A(n_429),
-	.B(\fifo_buffer[237] [2]),
-	.Y(n_928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191926 (
-	.A(n_429),
-	.B(\fifo_buffer[237] [3]),
-	.Y(n_927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191927 (
-	.A(n_429),
-	.B(\fifo_buffer[237] [4]),
-	.Y(n_926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191928 (
-	.A(n_429),
-	.B(\fifo_buffer[237] [6]),
-	.Y(n_925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191929 (
-	.A(n_429),
-	.B(\fifo_buffer[237] [7]),
-	.Y(n_924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191930 (
-	.A(n_429),
-	.B(\fifo_buffer[237] [8]),
-	.Y(n_923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191931 (
-	.A(n_434),
-	.B(\fifo_buffer[238] [1]),
-	.Y(n_922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191932 (
-	.A(n_317),
-	.B(\fifo_buffer[212] [1]),
-	.Y(n_921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191933 (
-	.A(n_434),
-	.B(\fifo_buffer[238] [5]),
-	.Y(n_920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191934 (
-	.A(n_434),
-	.B(\fifo_buffer[238] [8]),
-	.Y(n_919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191935 (
-	.A(n_436),
-	.B(\fifo_buffer[239] [1]),
-	.Y(n_918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191936 (
-	.A(n_436),
-	.B(\fifo_buffer[239] [2]),
-	.Y(n_917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191937 (
-	.A(n_436),
-	.B(\fifo_buffer[239] [3]),
-	.Y(n_916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191938 (
-	.A(n_436),
-	.B(\fifo_buffer[239] [4]),
-	.Y(n_915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191939 (
-	.A(n_436),
-	.B(\fifo_buffer[239] [5]),
-	.Y(n_914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191940 (
-	.A(n_299),
-	.B(\fifo_buffer[243] [5]),
-	.Y(n_913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191941 (
-	.A(n_436),
-	.B(\fifo_buffer[239] [7]),
-	.Y(n_912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191942 (
-	.A(n_436),
-	.B(\fifo_buffer[239] [8]),
-	.Y(n_911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191943 (
-	.A(n_385),
-	.B(\fifo_buffer[221] [7]),
-	.Y(n_910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191944 (
-	.A(n_397),
-	.B(\fifo_buffer[247] [5]),
-	.Y(n_909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191945 (
-	.A(n_356),
-	.B(\fifo_buffer[211] [2]),
-	.Y(n_908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191946 (
-	.A(n_356),
-	.B(\fifo_buffer[211] [8]),
-	.Y(n_907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191947 (
-	.A(n_299),
-	.B(\fifo_buffer[243] [8]),
-	.Y(n_906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191948 (
-	.A(n_356),
-	.B(\fifo_buffer[211] [7]),
-	.Y(n_905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191949 (
-	.A(n_331),
-	.B(\fifo_buffer[245] [5]),
-	.Y(n_904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191950 (
-	.A(n_331),
-	.B(\fifo_buffer[245] [6]),
-	.Y(n_903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191951 (
-	.A(n_356),
-	.B(\fifo_buffer[211] [6]),
-	.Y(n_902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191952 (
-	.A(n_382),
-	.B(\fifo_buffer[191] [6]),
-	.Y(n_901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191953 (
-	.A(n_399),
-	.B(\fifo_buffer[254] [7]),
-	.Y(n_900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191954 (
-	.A(n_382),
-	.B(\fifo_buffer[191] [5]),
-	.Y(n_899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191955 (
-	.A(n_369),
-	.B(\fifo_buffer[234] [8]),
-	.Y(n_898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191956 (
-	.A(n_322),
-	.B(\fifo_buffer[63] [1]),
-	.Y(n_897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191957 (
-	.A(n_369),
-	.B(\fifo_buffer[234] [5]),
-	.Y(n_896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191958 (
-	.A(n_369),
-	.B(\fifo_buffer[234] [4]),
-	.Y(n_895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191959 (
-	.A(n_356),
-	.B(\fifo_buffer[211] [3]),
-	.Y(n_894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191960 (
-	.A(n_294),
-	.B(\fifo_buffer[249] [1]),
-	.Y(n_893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191961 (
-	.A(n_356),
-	.B(\fifo_buffer[211] [4]),
-	.Y(n_892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191962 (
-	.A(n_340),
-	.B(\fifo_buffer[246] [5]),
-	.Y(n_891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191963 (
-	.A(n_338),
-	.B(\fifo_buffer[190] [7]),
-	.Y(n_890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191964 (
-	.A(n_331),
-	.B(\fifo_buffer[245] [8]),
-	.Y(n_889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191965 (
-	.A(n_326),
-	.B(\fifo_buffer[189] [6]),
-	.Y(n_888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g191966 (
-	.A(n_301),
-	.B(\fifo_buffer[187] [8]),
-	.Y(n_887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191970 (
-	.A(n_871),
-	.Y(n_870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191971 (
-	.A(n_856),
-	.Y(n_855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191972 (
-	.A(n_854),
-	.Y(n_853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191973 (
-	.A(n_850),
-	.Y(n_849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191974 (
-	.A(n_834),
-	.Y(n_833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191975 (
-	.A(n_828),
-	.Y(n_829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191976 (
-	.A(n_826),
-	.Y(n_827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191977 (
-	.A(n_823),
-	.Y(n_824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191978 (
-	.A(n_822),
-	.Y(n_821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191979 (
-	.A(n_819),
-	.Y(n_820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191980 (
-	.A(n_817),
-	.Y(n_818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191981 (
-	.A(n_816),
-	.Y(n_815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191982 (
-	.A(n_814),
-	.Y(n_813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191983 (
-	.A(n_807),
-	.Y(n_808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191984 (
-	.A(n_805),
-	.Y(n_806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191985 (
-	.A(n_802),
-	.Y(n_803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191986 (
-	.A(n_798),
-	.Y(n_799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191987 (
-	.A(n_796),
-	.Y(n_797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191988 (
-	.A(n_794),
-	.Y(n_795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191989 (
-	.A(n_792),
-	.Y(n_793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191990 (
-	.A(n_790),
-	.Y(n_791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191991 (
-	.A(n_788),
-	.Y(n_789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191992 (
-	.A(n_786),
-	.Y(n_787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191993 (
-	.A(n_782),
-	.Y(n_783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191994 (
-	.A(n_780),
-	.Y(n_781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191995 (
-	.A(n_778),
-	.Y(n_779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191996 (
-	.A(n_776),
-	.Y(n_777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191997 (
-	.A(n_773),
-	.Y(n_774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191998 (
-	.A(n_771),
-	.Y(n_772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g191999 (
-	.A(n_768),
-	.Y(n_769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192000 (
-	.A(n_766),
-	.Y(n_767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192001 (
-	.A(n_764),
-	.Y(n_765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192002 (
-	.A(n_762),
-	.Y(n_763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192003 (
-	.A(n_759),
-	.Y(n_760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192004 (
-	.A(n_757),
-	.Y(n_758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192005 (
-	.A(n_755),
-	.Y(n_756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192006 (
-	.A(n_752),
-	.Y(n_753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192007 (
-	.A(n_747),
-	.Y(n_748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192008 (
-	.A(n_745),
-	.Y(n_746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192009 (
-	.A(n_743),
-	.Y(n_744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192010 (
-	.A(n_735),
-	.Y(n_736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192011 (
-	.A(n_732),
-	.Y(n_733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192012 (
-	.A(n_730),
-	.Y(n_731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192013 (
-	.A(n_728),
-	.Y(n_729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192014 (
-	.A(n_724),
-	.Y(n_725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192015 (
-	.A(n_722),
-	.Y(n_723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192016 (
-	.A(n_720),
-	.Y(n_721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192017 (
-	.A(n_718),
-	.Y(n_719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192018 (
-	.A(n_716),
-	.Y(n_717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192019 (
-	.A(n_714),
-	.Y(n_715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192020 (
-	.A(n_712),
-	.Y(n_713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192021 (
-	.A(n_710),
-	.Y(n_711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192022 (
-	.A(n_708),
-	.Y(n_709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192023 (
-	.A(n_706),
-	.Y(n_707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192024 (
-	.A(n_704),
-	.Y(n_705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192025 (
-	.A(n_702),
-	.Y(n_703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192026 (
-	.A(n_699),
-	.Y(n_700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192027 (
-	.A(n_696),
-	.Y(n_697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192028 (
-	.A(n_693),
-	.Y(n_694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192029 (
-	.A(n_688),
-	.Y(n_689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192030 (
-	.A(n_686),
-	.Y(n_687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192031 (
-	.A(n_684),
-	.Y(n_685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192032 (
-	.A(n_680),
-	.Y(n_681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192033 (
-	.A(n_676),
-	.Y(n_677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192034 (
-	.A(n_664),
-	.Y(n_665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192035 (
-	.A(n_662),
-	.Y(n_663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192036 (
-	.A(n_660),
-	.Y(n_661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192037 (
-	.A(n_388),
-	.B(\fifo_buffer[253] [3]),
-	.Y(n_659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192038 (
-	.A(n_399),
-	.B(\fifo_buffer[254] [8]),
-	.Y(n_658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192039 (
-	.A(n_345),
-	.B(\fifo_buffer[255] [6]),
-	.Y(n_657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192040 (
-	.A(n_345),
-	.B(\fifo_buffer[255] [7]),
-	.Y(n_656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192041 (
-	.A(n_281),
-	.B(\fifo_buffer[209] [6]),
-	.Y(n_655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192042 (
-	.A(n_331),
-	.B(\fifo_buffer[245] [3]),
-	.Y(n_654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192043 (
-	.A(n_372),
-	.B(\fifo_buffer[220] [3]),
-	.Y(n_653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192044 (
-	.A(n_328),
-	.B(\fifo_buffer[228] [8]),
-	.Y(n_652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192045 (
-	.A(n_289),
-	.B(\fifo_buffer[225] [3]),
-	.Y(n_651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192046 (
-	.A(n_438),
-	.B(\fifo_buffer[223] [4]),
-	.Y(n_650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192047 (
-	.A(n_372),
-	.B(\fifo_buffer[220] [7]),
-	.Y(n_649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192048 (
-	.A(n_438),
-	.B(\fifo_buffer[223] [1]),
-	.Y(n_648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192049 (
-	.A(n_424),
-	.B(\fifo_buffer[222] [6]),
-	.Y(n_647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192050 (
-	.A(n_424),
-	.B(\fifo_buffer[222] [7]),
-	.Y(n_646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192051 (
-	.A(n_366),
-	.B(\fifo_buffer[251] [1]),
-	.Y(n_645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192052 (
-	.A(n_307),
-	.B(\fifo_buffer[250] [1]),
-	.Y(n_644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192053 (
-	.A(n_424),
-	.B(\fifo_buffer[222] [3]),
-	.Y(n_643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192054 (
-	.A(n_397),
-	.B(\fifo_buffer[247] [6]),
-	.Y(n_642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192055 (
-	.A(n_434),
-	.B(\fifo_buffer[238] [7]),
-	.Y(n_641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192056 (
-	.A(n_269),
-	.B(\fifo_buffer[230] [3]),
-	.Y(n_640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192057 (
-	.A(n_372),
-	.B(\fifo_buffer[220] [1]),
-	.Y(n_639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192058 (
-	.A(n_291),
-	.B(\fifo_buffer[232] [8]),
-	.Y(n_638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192059 (
-	.A(n_385),
-	.B(\fifo_buffer[221] [4]),
-	.Y(n_637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192060 (
-	.A(n_269),
-	.B(\fifo_buffer[230] [2]),
-	.Y(n_636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192061 (
-	.A(n_361),
-	.B(\fifo_buffer[227] [5]),
-	.Y(n_635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192062 (
-	.A(n_303),
-	.B(\fifo_buffer[226] [8]),
-	.Y(n_634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192063 (
-	.A(n_303),
-	.B(\fifo_buffer[226] [1]),
-	.Y(n_633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192064 (
-	.A(n_331),
-	.B(\fifo_buffer[245] [4]),
-	.Y(n_632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192065 (
-	.A(n_438),
-	.B(\fifo_buffer[223] [7]),
-	.Y(n_631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192066 (
-	.A(n_289),
-	.B(\fifo_buffer[225] [2]),
-	.Y(n_630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192067 (
-	.A(n_434),
-	.B(\fifo_buffer[238] [6]),
-	.Y(n_629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192068 (
-	.A(n_380),
-	.B(\fifo_buffer[236] [6]),
-	.Y(n_628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192069 (
-	.A(n_340),
-	.B(\fifo_buffer[246] [4]),
-	.Y(n_627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192070 (
-	.A(n_369),
-	.B(\fifo_buffer[234] [2]),
-	.Y(n_626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192071 (
-	.A(n_269),
-	.B(\fifo_buffer[230] [6]),
-	.Y(n_625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192072 (
-	.A(n_303),
-	.B(\fifo_buffer[226] [6]),
-	.Y(n_624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192073 (
-	.A(n_328),
-	.B(\fifo_buffer[228] [6]),
-	.Y(n_623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192074 (
-	.A(n_385),
-	.B(\fifo_buffer[221] [3]),
-	.Y(n_622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192075 (
-	.A(n_272),
-	.B(\fifo_buffer[219] [8]),
-	.Y(n_621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192076 (
-	.A(n_385),
-	.B(\fifo_buffer[221] [2]),
-	.Y(n_620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192077 (
-	.A(n_275),
-	.B(\fifo_buffer[235] [5]),
-	.Y(n_619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192078 (
-	.A(n_272),
-	.B(\fifo_buffer[219] [7]),
-	.Y(n_618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192079 (
-	.A(n_438),
-	.B(\fifo_buffer[223] [6]),
-	.Y(n_617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192080 (
-	.A(n_434),
-	.B(\fifo_buffer[238] [3]),
-	.Y(n_616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192081 (
-	.A(n_434),
-	.B(\fifo_buffer[238] [4]),
-	.Y(n_615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192082 (
-	.A(n_397),
-	.B(\fifo_buffer[247] [7]),
-	.Y(n_614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192083 (
-	.A(n_434),
-	.B(\fifo_buffer[238] [2]),
-	.Y(n_613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192084 (
-	.A(n_361),
-	.B(\fifo_buffer[227] [7]),
-	.Y(n_612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192085 (
-	.A(n_429),
-	.B(\fifo_buffer[237] [5]),
-	.Y(n_611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192086 (
-	.A(n_359),
-	.B(\fifo_buffer[233] [5]),
-	.Y(n_610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192087 (
-	.A(n_429),
-	.B(\fifo_buffer[237] [1]),
-	.Y(n_609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192088 (
-	.A(n_359),
-	.B(\fifo_buffer[233] [6]),
-	.Y(n_608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192089 (
-	.A(n_307),
-	.B(\fifo_buffer[250] [3]),
-	.Y(n_607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192090 (
-	.A(n_328),
-	.B(\fifo_buffer[228] [2]),
-	.Y(n_606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192091 (
-	.A(n_359),
-	.B(\fifo_buffer[233] [2]),
-	.Y(n_605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192092 (
-	.A(n_356),
-	.B(\fifo_buffer[211] [1]),
-	.Y(n_604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192093 (
-	.A(n_299),
-	.B(\fifo_buffer[243] [4]),
-	.Y(n_603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192094 (
-	.A(n_399),
-	.B(\fifo_buffer[254] [5]),
-	.Y(n_602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192095 (
-	.A(n_399),
-	.B(\fifo_buffer[254] [6]),
-	.Y(n_601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192096 (
-	.A(n_399),
-	.B(\fifo_buffer[254] [3]),
-	.Y(n_600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192097 (
-	.A(n_296),
-	.B(\fifo_buffer[210] [8]),
-	.Y(n_599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192098 (
-	.A(n_296),
-	.B(\fifo_buffer[210] [7]),
-	.Y(n_598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192099 (
-	.A(n_399),
-	.B(\fifo_buffer[254] [4]),
-	.Y(n_597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192100 (
-	.A(n_296),
-	.B(\fifo_buffer[210] [6]),
-	.Y(n_596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192101 (
-	.A(n_294),
-	.B(\fifo_buffer[249] [5]),
-	.Y(n_595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192102 (
-	.A(n_296),
-	.B(\fifo_buffer[210] [5]),
-	.Y(n_594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192103 (
-	.A(n_345),
-	.B(\fifo_buffer[255] [8]),
-	.Y(n_593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192104 (
-	.A(n_296),
-	.B(\fifo_buffer[210] [4]),
-	.Y(n_592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192105 (
-	.A(n_294),
-	.B(\fifo_buffer[249] [8]),
-	.Y(n_591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192106 (
-	.A(n_296),
-	.B(\fifo_buffer[210] [3]),
-	.Y(n_590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192107 (
-	.A(n_399),
-	.B(\fifo_buffer[254] [2]),
-	.Y(n_589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192108 (
-	.A(n_320),
-	.B(\fifo_buffer[252] [4]),
-	.Y(n_588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192109 (
-	.A(n_399),
-	.B(\fifo_buffer[254] [1]),
-	.Y(n_587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192110 (
-	.A(n_296),
-	.B(\fifo_buffer[210] [1]),
-	.Y(n_586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192111 (
-	.A(n_299),
-	.B(\fifo_buffer[243] [2]),
-	.Y(n_585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192112 (
-	.A(n_388),
-	.B(\fifo_buffer[253] [2]),
-	.Y(n_584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192113 (
-	.A(n_281),
-	.B(\fifo_buffer[209] [7]),
-	.Y(n_583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192114 (
-	.A(n_388),
-	.B(\fifo_buffer[253] [8]),
-	.Y(n_582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192115 (
-	.A(n_294),
-	.B(\fifo_buffer[249] [2]),
-	.Y(n_581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192116 (
-	.A(n_388),
-	.B(\fifo_buffer[253] [7]),
-	.Y(n_580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192117 (
-	.A(n_388),
-	.B(\fifo_buffer[253] [6]),
-	.Y(n_579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192118 (
-	.A(n_281),
-	.B(\fifo_buffer[209] [4]),
-	.Y(n_578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192119 (
-	.A(n_281),
-	.B(\fifo_buffer[209] [1]),
-	.Y(n_577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192120 (
-	.A(n_281),
-	.B(\fifo_buffer[209] [3]),
-	.Y(n_576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192121 (
-	.A(n_388),
-	.B(\fifo_buffer[253] [4]),
-	.Y(n_575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192122 (
-	.A(n_340),
-	.B(\fifo_buffer[246] [8]),
-	.Y(n_574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192123 (
-	.A(n_388),
-	.B(\fifo_buffer[253] [5]),
-	.Y(n_573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192124 (
-	.A(n_281),
-	.B(\fifo_buffer[209] [2]),
-	.Y(n_572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192125 (
-	.A(n_397),
-	.B(\fifo_buffer[247] [1]),
-	.Y(n_571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192126 (
-	.A(n_393),
-	.B(\fifo_buffer[207] [6]),
-	.Y(n_570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192127 (
-	.A(n_299),
-	.B(\fifo_buffer[243] [3]),
-	.Y(n_569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192128 (
-	.A(n_307),
-	.B(\fifo_buffer[250] [5]),
-	.Y(n_568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192129 (
-	.A(n_294),
-	.B(\fifo_buffer[249] [7]),
-	.Y(n_567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192130 (
-	.A(n_320),
-	.B(\fifo_buffer[252] [8]),
-	.Y(n_566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192131 (
-	.A(n_320),
-	.B(\fifo_buffer[252] [7]),
-	.Y(n_565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192132 (
-	.A(n_340),
-	.B(\fifo_buffer[246] [7]),
-	.Y(n_564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192133 (
-	.A(n_320),
-	.B(\fifo_buffer[252] [5]),
-	.Y(n_563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192134 (
-	.A(n_317),
-	.B(\fifo_buffer[212] [3]),
-	.Y(n_562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192135 (
-	.A(n_393),
-	.B(\fifo_buffer[207] [1]),
-	.Y(n_561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192136 (
-	.A(n_320),
-	.B(\fifo_buffer[252] [3]),
-	.Y(n_560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192137 (
-	.A(n_393),
-	.B(\fifo_buffer[207] [3]),
-	.Y(n_559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192138 (
-	.A(n_340),
-	.B(\fifo_buffer[246] [6]),
-	.Y(n_558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192139 (
-	.A(n_320),
-	.B(\fifo_buffer[252] [2]),
-	.Y(n_557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192140 (
-	.A(n_320),
-	.B(\fifo_buffer[252] [1]),
-	.Y(n_556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192141 (
-	.A(n_366),
-	.B(\fifo_buffer[251] [8]),
-	.Y(n_555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192142 (
-	.A(n_348),
-	.B(\fifo_buffer[206] [7]),
-	.Y(n_554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192143 (
-	.A(n_283),
-	.B(\fifo_buffer[216] [8]),
-	.Y(n_553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192144 (
-	.A(n_294),
-	.B(\fifo_buffer[249] [6]),
-	.Y(n_552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192145 (
-	.A(n_348),
-	.B(\fifo_buffer[206] [3]),
-	.Y(n_551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192146 (
-	.A(n_331),
-	.B(\fifo_buffer[245] [7]),
-	.Y(n_550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192147 (
-	.A(n_366),
-	.B(\fifo_buffer[251] [6]),
-	.Y(n_549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192148 (
-	.A(n_366),
-	.B(\fifo_buffer[251] [5]),
-	.Y(n_548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192149 (
-	.A(n_366),
-	.B(\fifo_buffer[251] [4]),
-	.Y(n_547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192150 (
-	.A(n_294),
-	.B(\fifo_buffer[249] [3]),
-	.Y(n_546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192151 (
-	.A(n_366),
-	.B(\fifo_buffer[251] [3]),
-	.Y(n_545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192152 (
-	.A(n_299),
-	.B(\fifo_buffer[243] [1]),
-	.Y(n_544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192153 (
-	.A(n_294),
-	.B(\fifo_buffer[249] [4]),
-	.Y(n_543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192154 (
-	.A(n_307),
-	.B(\fifo_buffer[250] [6]),
-	.Y(n_542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192155 (
-	.A(n_380),
-	.B(\fifo_buffer[236] [4]),
-	.Y(n_541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192156 (
-	.A(n_289),
-	.B(\fifo_buffer[225] [5]),
-	.Y(n_540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192157 (
-	.A(n_397),
-	.B(\fifo_buffer[247] [2]),
-	.Y(n_539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192158 (
-	.A(n_436),
-	.B(\fifo_buffer[239] [6]),
-	.Y(n_538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192159 (
-	.A(n_331),
-	.B(\fifo_buffer[245] [1]),
-	.Y(n_537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192160 (
-	.A(n_385),
-	.B(\fifo_buffer[221] [1]),
-	.Y(n_536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192161 (
-	.A(n_333),
-	.B(\fifo_buffer[205] [1]),
-	.Y(n_535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192162 (
-	.A(n_340),
-	.B(\fifo_buffer[246] [3]),
-	.Y(n_534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192163 (
-	.A(n_345),
-	.B(\fifo_buffer[255] [2]),
-	.Y(n_533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192164 (
-	.A(n_269),
-	.B(\fifo_buffer[230] [8]),
-	.Y(n_532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g192165 (
-	.A1(waddr[7]),
-	.A2(n_262),
-	.B1(n_528),
-	.Y(n_531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g192166 (
-	.A1(n_137),
-	.A2(n_30),
-	.B1(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.Y(n_530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192167 (
-	.A(n_388),
-	.B(\fifo_buffer[253] [1]),
-	.Y(n_529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192168 (
-	.A(FE_OFN1172_n_418),
-	.B(n_75),
-	.Y(n_886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192169 (
-	.A(n_74),
-	.B(n_422),
-	.Y(n_885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192170 (
-	.A(n_91),
-	.B(n_420),
-	.Y(n_884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192171 (
-	.A(FE_OFN1172_n_418),
-	.B(n_59),
-	.Y(n_883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192172 (
-	.A(FE_OFN1172_n_418),
-	.B(n_63),
-	.Y(n_882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192173 (
-	.A(FE_OFN1172_n_418),
-	.B(n_69),
-	.Y(n_881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192174 (
-	.A(n_91),
-	.B(n_416),
-	.Y(n_880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192175 (
-	.A(n_91),
-	.B(n_417),
-	.Y(n_879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192176 (
-	.A(n_60),
-	.B(n_422),
-	.Y(n_878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192177 (
-	.A(FE_OFN1172_n_418),
-	.B(n_73),
-	.Y(n_877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192178 (
-	.A(n_84),
-	.B(n_422),
-	.Y(n_876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192179 (
-	.A(n_64),
-	.B(n_422),
-	.Y(n_875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192180 (
-	.A(n_87),
-	.B(n_422),
-	.Y(n_874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192181 (
-	.A(n_415),
-	.B(FE_OFN1164_n_82),
-	.Y(n_873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192182 (
-	.A(n_419),
-	.B(FE_OFN1164_n_82),
-	.Y(n_872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192183 (
-	.A(FE_OFN1780_n_421),
-	.B(FE_OFN1164_n_82),
-	.Y(n_871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192184 (
-	.A(n_58),
-	.B(n_422),
-	.Y(n_869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192185 (
-	.A(n_66),
-	.B(n_422),
-	.Y(n_868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192186 (
-	.A(n_70),
-	.B(n_422),
-	.Y(n_867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192187 (
-	.A(n_62),
-	.B(n_422),
-	.Y(n_866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192188 (
-	.A(n_72),
-	.B(n_422),
-	.Y(n_865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192189 (
-	.A(n_76),
-	.B(n_421),
-	.Y(n_864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192190 (
-	.A(n_68),
-	.B(n_421),
-	.Y(n_863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192191 (
-	.A(n_90),
-	.B(n_420),
-	.Y(n_862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192192 (
-	.A(n_87),
-	.B(n_420),
-	.Y(n_861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192193 (
-	.A(FE_OFN1172_n_418),
-	.B(n_71),
-	.Y(n_860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192194 (
-	.A(n_85),
-	.B(n_420),
-	.Y(n_859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192195 (
-	.A(FE_OFN1172_n_418),
-	.B(n_67),
-	.Y(n_858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192196 (
-	.A(FE_OFN1172_n_418),
-	.B(n_77),
-	.Y(n_857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192197 (
-	.A(FE_OFN1172_n_418),
-	.B(n_89),
-	.Y(n_856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192198 (
-	.A(FE_OFN1172_n_418),
-	.B(n_86),
-	.Y(n_854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192199 (
-	.A(FE_OFN1172_n_418),
-	.B(n_83),
-	.Y(n_852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192200 (
-	.A(n_85),
-	.B(n_422),
-	.Y(n_851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192201 (
-	.A(FE_OFN1172_n_418),
-	.B(n_88),
-	.Y(n_850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192202 (
-	.A(FE_OFN1172_n_418),
-	.B(n_61),
-	.Y(n_848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192203 (
-	.A(FE_OFN1172_n_418),
-	.B(n_65),
-	.Y(n_847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192204 (
-	.A(n_74),
-	.B(n_420),
-	.Y(n_846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192205 (
-	.A(n_90),
-	.B(n_416),
-	.Y(n_845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192206 (
-	.A(n_87),
-	.B(n_416),
-	.Y(n_844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192207 (
-	.A(n_419),
-	.B(n_59),
-	.Y(n_843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192208 (
-	.A(n_415),
-	.B(n_59),
-	.Y(n_842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192209 (
-	.A(n_419),
-	.B(n_63),
-	.Y(n_841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192210 (
-	.A(n_415),
-	.B(n_63),
-	.Y(n_840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192211 (
-	.A(n_85),
-	.B(n_416),
-	.Y(n_839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192212 (
-	.A(n_419),
-	.B(n_69),
-	.Y(n_838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192213 (
-	.A(n_415),
-	.B(n_69),
-	.Y(n_837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192214 (
-	.A(n_74),
-	.B(n_416),
-	.Y(n_836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192215 (
-	.A(n_60),
-	.B(n_420),
-	.Y(n_835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192216 (
-	.A(n_419),
-	.B(n_73),
-	.Y(n_834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192217 (
-	.A(n_415),
-	.B(n_73),
-	.Y(n_832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192218 (
-	.A(n_84),
-	.B(n_420),
-	.Y(n_831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192219 (
-	.A(n_64),
-	.B(n_420),
-	.Y(n_830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192220 (
-	.A(n_66),
-	.B(n_420),
-	.Y(n_828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192221 (
-	.A(n_70),
-	.B(n_420),
-	.Y(n_826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192222 (
-	.A(n_76),
-	.B(n_420),
-	.Y(n_825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192223 (
-	.A(n_60),
-	.B(n_416),
-	.Y(n_823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192224 (
-	.A(n_415),
-	.B(n_71),
-	.Y(n_822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192225 (
-	.A(n_84),
-	.B(n_416),
-	.Y(n_819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192226 (
-	.A(n_64),
-	.B(n_416),
-	.Y(n_817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192227 (
-	.A(n_415),
-	.B(n_67),
-	.Y(n_816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192228 (
-	.A(n_415),
-	.B(n_77),
-	.Y(n_814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192229 (
-	.A(n_90),
-	.B(n_422),
-	.Y(n_812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192230 (
-	.A(FE_OFN1172_n_418),
-	.B(FE_OFN1164_n_82),
-	.Y(n_811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192231 (
-	.A(n_91),
-	.B(n_422),
-	.Y(n_810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192232 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_502),
-	.Y(n_809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192233 (
-	.A(n_507),
-	.B(FE_OFN1404_n_11),
-	.Y(n_807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192234 (
-	.A(n_497),
-	.B(FE_OFN1405_n_11),
-	.Y(n_805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192235 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_481),
-	.Y(n_804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192236 (
-	.A(n_496),
-	.B(FE_OFN1401_n_11),
-	.Y(n_802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192237 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_487),
-	.Y(n_801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192238 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_500),
-	.Y(n_800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192239 (
-	.A(n_491),
-	.B(FE_OFN1405_n_11),
-	.Y(n_798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192240 (
-	.A(n_489),
-	.B(FE_OFN1401_n_11),
-	.Y(n_796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192241 (
-	.A(n_503),
-	.B(FE_OFN1404_n_11),
-	.Y(n_794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192242 (
-	.A(n_493),
-	.B(FE_OFN1399_n_11),
-	.Y(n_792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192243 (
-	.A(n_514),
-	.B(FE_OFN1404_n_11),
-	.Y(n_790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192244 (
-	.A(n_484),
-	.B(n_11),
-	.Y(n_788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192245 (
-	.A(n_526),
-	.B(FE_OFN1404_n_11),
-	.Y(n_786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192246 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_512),
-	.Y(n_785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192247 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_525),
-	.Y(n_784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192248 (
-	.A(n_477),
-	.B(FE_OFN1404_n_11),
-	.Y(n_782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192249 (
-	.A(n_478),
-	.B(FE_OFN1401_n_11),
-	.Y(n_780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192250 (
-	.A(n_523),
-	.B(FE_OFN1405_n_11),
-	.Y(n_778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192251 (
-	.A(n_476),
-	.B(FE_OFN1405_n_11),
-	.Y(n_776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192252 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_469),
-	.Y(n_775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192253 (
-	.A(n_475),
-	.B(FE_OFN1405_n_11),
-	.Y(n_773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192254 (
-	.A(n_474),
-	.B(FE_OFN1405_n_11),
-	.Y(n_771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192255 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_499),
-	.Y(n_770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192256 (
-	.A(n_473),
-	.B(FE_OFN1404_n_11),
-	.Y(n_768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192257 (
-	.A(n_472),
-	.B(FE_OFN1405_n_11),
-	.Y(n_766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192258 (
-	.A(n_471),
-	.B(FE_OFN1401_n_11),
-	.Y(n_764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192259 (
-	.A(n_470),
-	.B(FE_OFN1405_n_11),
-	.Y(n_762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192260 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_463),
-	.Y(n_761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192261 (
-	.A(n_524),
-	.B(FE_OFN1404_n_11),
-	.Y(n_759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192262 (
-	.A(n_468),
-	.B(FE_OFN1401_n_11),
-	.Y(n_757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192263 (
-	.A(n_504),
-	.B(FE_OFN1404_n_11),
-	.Y(n_755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192264 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_480),
-	.Y(n_754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192265 (
-	.A(n_465),
-	.B(FE_OFN1399_n_11),
-	.Y(n_752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192266 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_455),
-	.Y(n_751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192267 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_460),
-	.Y(n_750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192268 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_479),
-	.Y(n_749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192269 (
-	.A(n_464),
-	.B(FE_OFN1399_n_11),
-	.Y(n_747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192270 (
-	.A(n_467),
-	.B(FE_OFN1404_n_11),
-	.Y(n_745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192271 (
-	.A(n_494),
-	.B(FE_OFN1399_n_11),
-	.Y(n_743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192272 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_488),
-	.Y(n_742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192273 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_462),
-	.Y(n_741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192274 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_516),
-	.Y(n_740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192275 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_513),
-	.Y(n_739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192276 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_509),
-	.Y(n_738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192277 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_454),
-	.Y(n_737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192278 (
-	.A(n_456),
-	.B(FE_OFN1401_n_11),
-	.Y(n_735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192279 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_444),
-	.Y(n_734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192280 (
-	.A(n_483),
-	.B(n_11),
-	.Y(n_732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192281 (
-	.A(n_459),
-	.B(FE_OFN1400_n_11),
-	.Y(n_730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192282 (
-	.A(n_495),
-	.B(n_11),
-	.Y(n_728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192283 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_453),
-	.Y(n_727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192284 (
-	.A(clr_i),
-	.B(n_486),
-	.Y(n_726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192285 (
-	.A(n_446),
-	.B(FE_OFN1405_n_11),
-	.Y(n_724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192286 (
-	.A(n_441),
-	.B(FE_OFN1405_n_11),
-	.Y(n_722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192287 (
-	.A(n_485),
-	.B(n_11),
-	.Y(n_720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192288 (
-	.A(n_445),
-	.B(n_11),
-	.Y(n_718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192289 (
-	.A(n_442),
-	.B(FE_OFN1402_n_11),
-	.Y(n_716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192290 (
-	.A(n_443),
-	.B(FE_OFN1402_n_11),
-	.Y(n_714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192291 (
-	.A(n_447),
-	.B(FE_OFN1405_n_11),
-	.Y(n_712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192292 (
-	.A(n_409),
-	.B(FE_OFN1405_n_11),
-	.Y(n_710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192293 (
-	.A(n_518),
-	.B(FE_OFN1404_n_11),
-	.Y(n_708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192294 (
-	.A(n_511),
-	.B(FE_OFN1401_n_11),
-	.Y(n_706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192295 (
-	.A(n_521),
-	.B(FE_OFN1399_n_11),
-	.Y(n_704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192296 (
-	.A(n_412),
-	.B(FE_OFN1399_n_11),
-	.Y(n_702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192297 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_451),
-	.Y(n_701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192298 (
-	.A(n_510),
-	.B(FE_OFN1404_n_11),
-	.Y(n_699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192299 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_448),
-	.Y(n_698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192300 (
-	.A(n_482),
-	.B(n_11),
-	.Y(n_696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192301 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_408),
-	.Y(n_695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192302 (
-	.A(n_522),
-	.B(FE_OFN1402_n_11),
-	.Y(n_693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192303 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_461),
-	.Y(n_692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192304 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_450),
-	.Y(n_691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192305 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_449),
-	.Y(n_690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192306 (
-	.A(n_401),
-	.B(n_11),
-	.Y(n_688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192307 (
-	.A(n_411),
-	.B(FE_OFN1401_n_11),
-	.Y(n_686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192308 (
-	.A(n_400),
-	.B(FE_OFN1402_n_11),
-	.Y(n_684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192309 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_440),
-	.Y(n_683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192310 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_498),
-	.Y(n_682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192311 (
-	.A(n_466),
-	.B(FE_OFN1404_n_11),
-	.Y(n_680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192312 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_439),
-	.Y(n_679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192313 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_452),
-	.Y(n_678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192314 (
-	.A(n_410),
-	.B(FE_OFN1401_n_11),
-	.Y(n_676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192315 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_492),
-	.Y(n_675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192316 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_490),
-	.Y(n_674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192317 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_405),
-	.Y(n_673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192318 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_501),
-	.Y(n_672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192319 (
-	.A(clr_i),
-	.B(n_402),
-	.Y(n_671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192320 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_403),
-	.Y(n_670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192321 (
-	.A(clr_i),
-	.B(n_505),
-	.Y(n_669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192322 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_457),
-	.Y(n_668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192323 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_406),
-	.Y(n_667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192324 (
-	.A(clr_i),
-	.B(n_519),
-	.Y(n_666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192325 (
-	.A(n_517),
-	.B(FE_OFN1400_n_11),
-	.Y(n_664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192326 (
-	.A(n_515),
-	.B(FE_OFN1401_n_11),
-	.Y(n_662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192327 (
-	.A(n_508),
-	.B(FE_OFN1400_n_11),
-	.Y(n_660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192328 (
-	.A(n_520),
-	.Y(n_519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192329 (
-	.A(n_506),
-	.Y(n_505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192330 (
-	.A(n_458),
-	.Y(n_457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192331 (
-	.A(n_437),
-	.Y(n_438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192332 (
-	.A(n_435),
-	.Y(n_436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192333 (
-	.A(n_433),
-	.Y(n_434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192334 (
-	.A(n_431),
-	.Y(n_432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192335 (
-	.A(n_428),
-	.Y(n_429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192336 (
-	.A(n_425),
-	.Y(n_426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192337 (
-	.A(n_423),
-	.Y(n_424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192339 (
-	.A(n_420),
-	.Y(n_419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192340 (
-	.A(FE_OFN1172_n_418),
-	.Y(n_417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192341 (
-	.A(n_416),
-	.Y(n_415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g192342 (
-	.A1(n_103),
-	.A2(n_27),
-	.B1(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.Y(n_414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192343 (
-	.A(raddr[1]),
-	.B(n_263),
-	.Y(n_413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192344 (
-	.A(n_262),
-	.B(waddr[7]),
-	.Y(n_528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g192345 (
-	.A(n_80),
-	.B_N(raddr[1]),
-	.Y(n_527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192346 (
-	.A(n_257),
-	.B(FE_OFN1170_n_127),
-	.Y(n_526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192347 (
-	.A(n_128),
-	.B(n_250),
-	.Y(n_525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192348 (
-	.A(n_261),
-	.B(FE_OFN1171_n_131),
-	.Y(n_524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192349 (
-	.A(FE_OFN1779_n_142),
-	.B(FE_OFN1163_n_59),
-	.Y(n_523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192350 (
-	.A(FE_OFN1779_n_142),
-	.B(n_61),
-	.Y(n_522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192351 (
-	.A(FE_OFN1779_n_142),
-	.B(n_65),
-	.Y(n_521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192352 (
-	.A(FE_OFN1779_n_142),
-	.B(n_75),
-	.Y(n_520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192353 (
-	.A(n_261),
-	.B(FE_OFN1170_n_127),
-	.Y(n_518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192354 (
-	.A(n_259),
-	.B(FE_OFN1171_n_131),
-	.Y(n_517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192355 (
-	.A(n_128),
-	.B(n_256),
-	.Y(n_516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192356 (
-	.A(n_259),
-	.B(FE_OFN1170_n_127),
-	.Y(n_515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192357 (
-	.A(n_257),
-	.B(n_112),
-	.Y(n_514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192358 (
-	.A(n_111),
-	.B(n_250),
-	.Y(n_513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192359 (
-	.A(n_128),
-	.B(n_251),
-	.Y(n_512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192360 (
-	.A(n_257),
-	.B(n_117),
-	.Y(n_511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192361 (
-	.A(n_257),
-	.B(n_115),
-	.Y(n_510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192362 (
-	.A(n_109),
-	.B(n_250),
-	.Y(n_509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192363 (
-	.A(n_249),
-	.B(FE_OFN1171_n_131),
-	.Y(n_508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192364 (
-	.A(n_261),
-	.B(n_112),
-	.Y(n_507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192365 (
-	.A(n_257),
-	.B(n_129),
-	.Y(n_506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192366 (
-	.A(n_257),
-	.B(n_110),
-	.Y(n_504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192367 (
-	.A(n_257),
-	.B(n_108),
-	.Y(n_503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192368 (
-	.A(n_114),
-	.B(n_250),
-	.Y(n_502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192369 (
-	.A(n_130),
-	.B(n_250),
-	.Y(n_501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192370 (
-	.A(n_116),
-	.B(n_250),
-	.Y(n_500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192371 (
-	.A(n_113),
-	.B(n_250),
-	.Y(n_499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192372 (
-	.A(n_126),
-	.B(n_250),
-	.Y(n_498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192373 (
-	.A(FE_OFN1779_n_142),
-	.B(FE_OFN1164_n_82),
-	.Y(n_497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192374 (
-	.A(n_249),
-	.B(FE_OFN1170_n_127),
-	.Y(n_496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192375 (
-	.A(n_255),
-	.B(FE_OFN1171_n_131),
-	.Y(n_495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192376 (
-	.A(n_252),
-	.B(FE_OFN1171_n_131),
-	.Y(n_494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192377 (
-	.A(n_253),
-	.B(FE_OFN1171_n_131),
-	.Y(n_493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192378 (
-	.A(n_128),
-	.B(n_254),
-	.Y(n_492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192379 (
-	.A(FE_OFN1777_n_140),
-	.B(FE_OFN1164_n_82),
-	.Y(n_491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192380 (
-	.A(n_111),
-	.B(n_256),
-	.Y(n_490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192381 (
-	.A(n_259),
-	.B(n_112),
-	.Y(n_489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192382 (
-	.A(n_128),
-	.B(n_258),
-	.Y(n_488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192383 (
-	.A(n_128),
-	.B(n_248),
-	.Y(n_487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192384 (
-	.A(n_128),
-	.B(FE_OFN1785_n_261),
-	.Y(n_486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192385 (
-	.A(n_255),
-	.B(FE_OFN1170_n_127),
-	.Y(n_485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192386 (
-	.A(n_253),
-	.B(FE_OFN1170_n_127),
-	.Y(n_484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192387 (
-	.A(n_252),
-	.B(FE_OFN1170_n_127),
-	.Y(n_483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192388 (
-	.A(FE_OFN1779_n_142),
-	.B(n_83),
-	.Y(n_482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192389 (
-	.A(n_111),
-	.B(n_251),
-	.Y(n_481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192390 (
-	.A(n_91),
-	.B(n_140),
-	.Y(n_480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192391 (
-	.A(n_91),
-	.B(n_142),
-	.Y(n_479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192392 (
-	.A(n_261),
-	.B(n_117),
-	.Y(n_478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192393 (
-	.A(n_257),
-	.B(FE_OFN1171_n_131),
-	.Y(n_477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192394 (
-	.A(FE_OFN1779_n_142),
-	.B(n_63),
-	.Y(n_476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192395 (
-	.A(FE_OFN1777_n_140),
-	.B(FE_OFN1163_n_59),
-	.Y(n_475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192396 (
-	.A(FE_OFN1777_n_140),
-	.B(n_63),
-	.Y(n_474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192397 (
-	.A(n_261),
-	.B(n_115),
-	.Y(n_473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192398 (
-	.A(FE_OFN1779_n_142),
-	.B(n_69),
-	.Y(n_472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192399 (
-	.A(n_259),
-	.B(n_117),
-	.Y(n_471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192400 (
-	.A(FE_OFN1777_n_140),
-	.B(n_69),
-	.Y(n_470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192401 (
-	.A(n_109),
-	.B(n_256),
-	.Y(n_469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192402 (
-	.A(n_259),
-	.B(n_115),
-	.Y(n_468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192403 (
-	.A(n_261),
-	.B(n_108),
-	.Y(n_467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192404 (
-	.A(n_261),
-	.B(n_110),
-	.Y(n_466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192405 (
-	.A(FE_OFN1779_n_142),
-	.B(n_73),
-	.Y(n_465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192406 (
-	.A(FE_OFN1777_n_140),
-	.B(n_73),
-	.Y(n_464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192407 (
-	.A(n_109),
-	.B(n_251),
-	.Y(n_463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192408 (
-	.A(n_116),
-	.B(n_256),
-	.Y(n_462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192409 (
-	.A(n_113),
-	.B(n_256),
-	.Y(n_461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192410 (
-	.A(n_114),
-	.B(n_256),
-	.Y(n_460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192411 (
-	.A(n_259),
-	.B(n_108),
-	.Y(n_459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192412 (
-	.A(n_259),
-	.B(n_110),
-	.Y(n_458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192413 (
-	.A(n_249),
-	.B(n_112),
-	.Y(n_456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192414 (
-	.A(n_111),
-	.B(n_254),
-	.Y(n_455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192415 (
-	.A(n_114),
-	.B(n_251),
-	.Y(n_454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192416 (
-	.A(n_116),
-	.B(n_251),
-	.Y(n_453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192417 (
-	.A(n_113),
-	.B(n_251),
-	.Y(n_452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192418 (
-	.A(n_90),
-	.B(n_140),
-	.Y(n_451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192419 (
-	.A(n_90),
-	.B(n_142),
-	.Y(n_450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192420 (
-	.A(n_87),
-	.B(n_142),
-	.Y(n_449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192421 (
-	.A(n_87),
-	.B(n_140),
-	.Y(n_448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192422 (
-	.A(FE_OFN1779_n_142),
-	.B(n_77),
-	.Y(n_447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192423 (
-	.A(FE_OFN1779_n_142),
-	.B(n_71),
-	.Y(n_446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192424 (
-	.A(n_253),
-	.B(n_112),
-	.Y(n_445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192425 (
-	.A(n_111),
-	.B(n_248),
-	.Y(n_444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192426 (
-	.A(FE_OFN1777_n_140),
-	.B(n_67),
-	.Y(n_443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192427 (
-	.A(FE_OFN1779_n_142),
-	.B(n_67),
-	.Y(n_442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192428 (
-	.A(FE_OFN1777_n_140),
-	.B(n_71),
-	.Y(n_441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192429 (
-	.A(n_85),
-	.B(n_140),
-	.Y(n_440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192430 (
-	.A(n_85),
-	.B(n_142),
-	.Y(n_439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192431 (
-	.A(n_247),
-	.B(FE_OFN1404_n_11),
-	.Y(n_437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192432 (
-	.A(n_228),
-	.B(FE_OFN1401_n_11),
-	.Y(n_435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192433 (
-	.A(n_245),
-	.B(FE_OFN1401_n_11),
-	.Y(n_433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192434 (
-	.A(n_244),
-	.B(FE_OFN1404_n_11),
-	.Y(n_431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192435 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_246),
-	.Y(n_430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192436 (
-	.A(n_241),
-	.B(FE_OFN1401_n_11),
-	.Y(n_428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192437 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_237),
-	.Y(n_427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192438 (
-	.A(n_242),
-	.B(FE_OFN1404_n_11),
-	.Y(n_425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192439 (
-	.A(n_243),
-	.B(FE_OFN1404_n_11),
-	.Y(n_423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192440 (
-	.A(n_8816),
-	.B(waddr[7]),
-	.Y(n_421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g192441 (
-	.A(n_200),
-	.B(waddr[7]),
-	.Y(n_420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192442 (
-	.A(waddr[7]),
-	.B(n_199),
-	.Y(n_418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g192443 (
-	.A(n_8816),
-	.B(n_2),
-	.Y(n_416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192444 (
-	.A(n_406),
-	.Y(n_407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192445 (
-	.A(n_403),
-	.Y(n_404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192446 (
-	.A(n_398),
-	.Y(n_399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192447 (
-	.A(n_396),
-	.Y(n_397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192448 (
-	.A(n_394),
-	.Y(n_395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192449 (
-	.A(n_392),
-	.Y(n_393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192450 (
-	.A(n_390),
-	.Y(n_391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192451 (
-	.A(n_387),
-	.Y(n_388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192452 (
-	.A(n_384),
-	.Y(n_385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192453 (
-	.A(n_381),
-	.Y(n_382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192454 (
-	.A(n_379),
-	.Y(n_380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192455 (
-	.A(n_377),
-	.Y(n_378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192456 (
-	.A(n_371),
-	.Y(n_372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192457 (
-	.A(n_368),
-	.Y(n_369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192458 (
-	.A(n_365),
-	.Y(n_366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192459 (
-	.A(n_362),
-	.Y(n_363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192460 (
-	.A(n_360),
-	.Y(n_361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192461 (
-	.A(n_358),
-	.Y(n_359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192462 (
-	.A(n_355),
-	.Y(n_356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192463 (
-	.A(n_352),
-	.Y(n_353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192464 (
-	.A(n_347),
-	.Y(n_348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192465 (
-	.A(n_344),
-	.Y(n_345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192466 (
-	.A(n_341),
-	.Y(n_342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192467 (
-	.A(n_339),
-	.Y(n_340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192468 (
-	.A(n_337),
-	.Y(n_338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192469 (
-	.A(n_335),
-	.Y(n_336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192470 (
-	.A(n_332),
-	.Y(n_333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192471 (
-	.A(n_330),
-	.Y(n_331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192472 (
-	.A(n_327),
-	.Y(n_328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192473 (
-	.A(n_325),
-	.Y(n_326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192474 (
-	.A(n_321),
-	.Y(n_322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192475 (
-	.A(n_319),
-	.Y(n_320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192476 (
-	.A(n_316),
-	.Y(n_317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192477 (
-	.A(n_309),
-	.Y(n_310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192478 (
-	.A(n_306),
-	.Y(n_307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192479 (
-	.A(n_302),
-	.Y(n_303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192480 (
-	.A(n_300),
-	.Y(n_301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192481 (
-	.A(n_298),
-	.Y(n_299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192482 (
-	.A(n_295),
-	.Y(n_296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192483 (
-	.A(n_293),
-	.Y(n_294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192484 (
-	.A(n_290),
-	.Y(n_291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192485 (
-	.A(n_288),
-	.Y(n_289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192486 (
-	.A(n_282),
-	.Y(n_283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192487 (
-	.A(n_280),
-	.Y(n_281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192488 (
-	.A(n_274),
-	.Y(n_275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192489 (
-	.A(n_271),
-	.Y(n_272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192490 (
-	.A(n_268),
-	.Y(n_269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g192491 (
-	.A1(FE_OFN1164_n_82),
-	.A2(n_95),
-	.A3(waddr[7]),
-	.B1(waddr[8]),
-	.Y(n_267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g192492 (
-	.A(FE_OFN1069_u_uart_u_uart_core_tx_fifo_reset),
-	.B(n_263),
-	.C(n_81),
-	.Y(n_266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192493 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_136),
-	.Y(n_265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g192494 (
-	.A(waddr[4]),
-	.B(n_132),
-	.Y(n_264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192495 (
-	.A(FE_OFN1777_n_140),
-	.B(n_65),
-	.Y(n_412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192496 (
-	.A(n_249),
-	.B(n_117),
-	.Y(n_411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192497 (
-	.A(n_249),
-	.B(n_115),
-	.Y(n_410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192498 (
-	.A(FE_OFN1777_n_140),
-	.B(n_77),
-	.Y(n_409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192499 (
-	.A(n_109),
-	.B(n_254),
-	.Y(n_408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192500 (
-	.A(n_114),
-	.B(n_254),
-	.Y(n_406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192501 (
-	.A(n_109),
-	.B(n_248),
-	.Y(n_405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192502 (
-	.A(n_116),
-	.B(n_254),
-	.Y(n_403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192503 (
-	.A(n_74),
-	.B(n_140),
-	.Y(n_402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192504 (
-	.A(FE_OFN1777_n_140),
-	.B(n_83),
-	.Y(n_401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192505 (
-	.A(FE_OFN1777_n_140),
-	.B(n_61),
-	.Y(n_400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192506 (
-	.A(n_225),
-	.B(FE_OFN1404_n_11),
-	.Y(n_398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192507 (
-	.A(n_221),
-	.B(FE_OFN1404_n_11),
-	.Y(n_396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192508 (
-	.A(n_220),
-	.B(FE_OFN1404_n_11),
-	.Y(n_394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192509 (
-	.A(n_224),
-	.B(FE_OFN1401_n_11),
-	.Y(n_392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192510 (
-	.A(n_219),
-	.B(FE_OFN1400_n_11),
-	.Y(n_390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192511 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_232),
-	.Y(n_389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192512 (
-	.A(n_218),
-	.B(FE_OFN1405_n_11),
-	.Y(n_387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192513 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_145),
-	.Y(n_386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192514 (
-	.A(n_239),
-	.B(FE_OFN1401_n_11),
-	.Y(n_384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192515 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_144),
-	.Y(n_383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192516 (
-	.A(n_223),
-	.B(FE_OFN1405_n_11),
-	.Y(n_381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192517 (
-	.A(n_217),
-	.B(FE_OFN1401_n_11),
-	.Y(n_379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192518 (
-	.A(n_216),
-	.B(FE_OFN1401_n_11),
-	.Y(n_377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192519 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_143),
-	.Y(n_376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192520 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_197),
-	.Y(n_375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192521 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_196),
-	.Y(n_374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192522 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_195),
-	.Y(n_373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192523 (
-	.A(n_208),
-	.B(FE_OFN1401_n_11),
-	.Y(n_371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192524 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_194),
-	.Y(n_370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192525 (
-	.A(n_211),
-	.B(FE_OFN1400_n_11),
-	.Y(n_368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192526 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_191),
-	.Y(n_367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192527 (
-	.A(n_146),
-	.B(FE_OFN1399_n_11),
-	.Y(n_365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192528 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_190),
-	.Y(n_364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192529 (
-	.A(n_203),
-	.B(FE_OFN1399_n_11),
-	.Y(n_362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192530 (
-	.A(n_213),
-	.B(FE_OFN1399_n_11),
-	.Y(n_360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192531 (
-	.A(n_210),
-	.B(n_11),
-	.Y(n_358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192532 (
-	.A(clr_i),
-	.B(n_181),
-	.Y(n_357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192533 (
-	.A(n_207),
-	.B(FE_OFN1399_n_11),
-	.Y(n_355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192534 (
-	.A(clr_i),
-	.B(n_175),
-	.Y(n_354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192535 (
-	.A(n_202),
-	.B(FE_OFN1402_n_11),
-	.Y(n_352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192536 (
-	.A(clr_i),
-	.B(n_177),
-	.Y(n_351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192537 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_171),
-	.Y(n_350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192538 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_235),
-	.Y(n_349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192539 (
-	.A(n_186),
-	.B(FE_OFN1401_n_11),
-	.Y(n_347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192540 (
-	.A(clr_i),
-	.B(n_178),
-	.Y(n_346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192541 (
-	.A(n_240),
-	.B(FE_OFN1404_n_11),
-	.Y(n_344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192542 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_236),
-	.Y(n_343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192543 (
-	.A(n_184),
-	.B(FE_OFN1404_n_11),
-	.Y(n_341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192544 (
-	.A(n_174),
-	.B(FE_OFN1404_n_11),
-	.Y(n_339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192545 (
-	.A(n_187),
-	.B(FE_OFN1405_n_11),
-	.Y(n_337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192546 (
-	.A(n_185),
-	.B(FE_OFN1405_n_11),
-	.Y(n_335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192547 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_231),
-	.Y(n_334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192548 (
-	.A(n_147),
-	.B(FE_OFN1401_n_11),
-	.Y(n_332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192549 (
-	.A(n_170),
-	.B(FE_OFN1400_n_11),
-	.Y(n_330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192550 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_230),
-	.Y(n_329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192551 (
-	.A(n_215),
-	.B(FE_OFN1400_n_11),
-	.Y(n_327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192552 (
-	.A(n_173),
-	.B(FE_OFN1405_n_11),
-	.Y(n_325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192553 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_193),
-	.Y(n_324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192554 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_192),
-	.Y(n_323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192555 (
-	.A(n_222),
-	.B(FE_OFN1405_n_11),
-	.Y(n_321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192556 (
-	.A(n_168),
-	.B(FE_OFN1405_n_11),
-	.Y(n_319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192557 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_162),
-	.Y(n_318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192558 (
-	.A(n_204),
-	.B(FE_OFN1401_n_11),
-	.Y(n_316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192559 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_161),
-	.Y(n_315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192560 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_233),
-	.Y(n_314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192561 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_229),
-	.Y(n_313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192562 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_179),
-	.Y(n_312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192563 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_160),
-	.Y(n_311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192564 (
-	.A(n_163),
-	.B(FE_OFN1400_n_11),
-	.Y(n_309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192565 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_176),
-	.Y(n_308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192566 (
-	.A(n_165),
-	.B(FE_OFN1399_n_11),
-	.Y(n_306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192567 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_159),
-	.Y(n_305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192568 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_158),
-	.Y(n_304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192569 (
-	.A(n_212),
-	.B(FE_OFN1399_n_11),
-	.Y(n_302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192570 (
-	.A(n_164),
-	.B(FE_OFN1399_n_11),
-	.Y(n_300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192571 (
-	.A(n_166),
-	.B(n_11),
-	.Y(n_298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192572 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_153),
-	.Y(n_297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192573 (
-	.A(n_205),
-	.B(FE_OFN1399_n_11),
-	.Y(n_295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192574 (
-	.A(n_167),
-	.B(FE_OFN1402_n_11),
-	.Y(n_293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192575 (
-	.A(clr_i),
-	.B(n_152),
-	.Y(n_292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192576 (
-	.A(n_209),
-	.B(n_11),
-	.Y(n_290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192577 (
-	.A(n_214),
-	.B(n_11),
-	.Y(n_288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192578 (
-	.A(clr_i),
-	.B(n_180),
-	.Y(n_287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192579 (
-	.A(clr_i),
-	.B(n_169),
-	.Y(n_286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192580 (
-	.A(clr_i),
-	.B(n_151),
-	.Y(n_285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192581 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_148),
-	.Y(n_284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192582 (
-	.A(n_206),
-	.B(FE_OFN1402_n_11),
-	.Y(n_282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192583 (
-	.A(n_172),
-	.B(n_11),
-	.Y(n_280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192584 (
-	.A(clr_i),
-	.B(n_154),
-	.Y(n_279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192585 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_149),
-	.Y(n_278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192586 (
-	.A(clr_i),
-	.B(n_182),
-	.Y(n_277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192587 (
-	.A(clr_i),
-	.B(n_156),
-	.Y(n_276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192588 (
-	.A(n_238),
-	.B(FE_OFN1400_n_11),
-	.Y(n_274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192589 (
-	.A(clr_i),
-	.B(n_188),
-	.Y(n_273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192590 (
-	.A(n_234),
-	.B(FE_OFN1399_n_11),
-	.Y(n_271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192591 (
-	.A(clr_i),
-	.B(n_227),
-	.Y(n_270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192592 (
-	.A(n_226),
-	.B(FE_OFN1404_n_11),
-	.Y(n_268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g192593 (
-	.A(n_80),
-	.Y(n_263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192595 (
-	.A(n_259),
-	.Y(n_258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g192596 (
-	.A(n_256),
-	.Y(n_255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g192597 (
-	.A(n_254),
-	.Y(n_253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192598 (
-	.A(n_252),
-	.Y(n_251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192599 (
-	.A(n_249),
-	.Y(n_248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192600 (
-	.A(n_132),
-	.B(waddr[5]),
-	.Y(n_201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g192601 (
-	.A(n_132),
-	.B(n_95),
-	.X(n_262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192603 (
-	.A(n_27),
-	.B(n_135),
-	.Y(n_261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192604 (
-	.A(n_25),
-	.B(n_135),
-	.Y(n_259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192605 (
-	.A(n_51),
-	.B(n_135),
-	.Y(n_257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192606 (
-	.A(n_134),
-	.B(n_26),
-	.Y(n_256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192607 (
-	.A(n_134),
-	.B(n_50),
-	.Y(n_254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192608 (
-	.A(n_27),
-	.B(n_133),
-	.Y(n_252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192609 (
-	.A(n_134),
-	.B(n_53),
-	.Y(n_250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192610 (
-	.A(n_52),
-	.B(n_135),
-	.Y(n_249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192611 (
-	.A(FE_OFN1168_n_123),
-	.B(FE_OFN1164_n_82),
-	.Y(n_247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192612 (
-	.A(n_91),
-	.B(FE_OFN1169_n_125),
-	.Y(n_246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192613 (
-	.A(FE_OFN1167_n_121),
-	.B(n_59),
-	.Y(n_245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192614 (
-	.A(FE_OFN1167_n_121),
-	.B(n_63),
-	.Y(n_244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192615 (
-	.A(FE_OFN1168_n_123),
-	.B(FE_OFN1163_n_59),
-	.Y(n_243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192616 (
-	.A(FE_OFN1168_n_123),
-	.B(n_63),
-	.Y(n_242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192617 (
-	.A(FE_OFN1167_n_121),
-	.B(n_69),
-	.Y(n_241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192618 (
-	.A(n_106),
-	.B(FE_OFN1164_n_82),
-	.Y(n_240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192619 (
-	.A(FE_OFN1168_n_123),
-	.B(n_69),
-	.Y(n_239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192620 (
-	.A(FE_OFN1167_n_121),
-	.B(n_73),
-	.Y(n_238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192621 (
-	.A(n_91),
-	.B(FE_OFN1166_n_119),
-	.Y(n_237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192622 (
-	.A(n_90),
-	.B(FE_OFN1169_n_125),
-	.Y(n_236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192623 (
-	.A(n_87),
-	.B(FE_OFN1169_n_125),
-	.Y(n_235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192624 (
-	.A(FE_OFN1168_n_123),
-	.B(n_73),
-	.Y(n_234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192625 (
-	.A(n_91),
-	.B(n_105),
-	.Y(n_233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192626 (
-	.A(n_91),
-	.B(n_107),
-	.Y(n_232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192627 (
-	.A(n_91),
-	.B(n_122),
-	.Y(n_231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192628 (
-	.A(n_91),
-	.B(n_120),
-	.Y(n_230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192629 (
-	.A(n_85),
-	.B(FE_OFN1169_n_125),
-	.Y(n_229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192630 (
-	.A(FE_OFN1167_n_121),
-	.B(FE_OFN1164_n_82),
-	.Y(n_228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192631 (
-	.A(n_74),
-	.B(FE_OFN1169_n_125),
-	.Y(n_227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192632 (
-	.A(FE_OFN1167_n_121),
-	.B(n_71),
-	.Y(n_226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192633 (
-	.A(n_106),
-	.B(FE_OFN1163_n_59),
-	.Y(n_225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192634 (
-	.A(n_104),
-	.B(FE_OFN1164_n_82),
-	.Y(n_224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192635 (
-	.A(n_118),
-	.B(FE_OFN1164_n_82),
-	.Y(n_223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192636 (
-	.A(n_124),
-	.B(FE_OFN1164_n_82),
-	.Y(n_222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192637 (
-	.A(n_106),
-	.B(n_63),
-	.Y(n_221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192638 (
-	.A(FE_OFN1168_n_123),
-	.B(n_71),
-	.Y(n_220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192639 (
-	.A(FE_OFN1167_n_121),
-	.B(n_67),
-	.Y(n_219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192640 (
-	.A(n_106),
-	.B(n_69),
-	.Y(n_218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192641 (
-	.A(FE_OFN1167_n_121),
-	.B(n_77),
-	.Y(n_217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192642 (
-	.A(FE_OFN1168_n_123),
-	.B(n_67),
-	.Y(n_216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192643 (
-	.A(FE_OFN1167_n_121),
-	.B(n_75),
-	.Y(n_215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192644 (
-	.A(FE_OFN1167_n_121),
-	.B(n_89),
-	.Y(n_214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192645 (
-	.A(FE_OFN1167_n_121),
-	.B(n_83),
-	.Y(n_213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192646 (
-	.A(FE_OFN1167_n_121),
-	.B(n_86),
-	.Y(n_212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192647 (
-	.A(FE_OFN1167_n_121),
-	.B(n_65),
-	.Y(n_211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192648 (
-	.A(FE_OFN1167_n_121),
-	.B(n_61),
-	.Y(n_210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192649 (
-	.A(FE_OFN1167_n_121),
-	.B(n_88),
-	.Y(n_209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192650 (
-	.A(FE_OFN1168_n_123),
-	.B(n_77),
-	.Y(n_208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192651 (
-	.A(FE_OFN1168_n_123),
-	.B(n_83),
-	.Y(n_207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192652 (
-	.A(FE_OFN1168_n_123),
-	.B(n_88),
-	.Y(n_206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192653 (
-	.A(FE_OFN1168_n_123),
-	.B(n_86),
-	.Y(n_205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192654 (
-	.A(FE_OFN1168_n_123),
-	.B(n_75),
-	.Y(n_204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192655 (
-	.A(FE_OFN1168_n_123),
-	.B(n_65),
-	.Y(n_203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192656 (
-	.A(FE_OFN1168_n_123),
-	.B(n_61),
-	.Y(n_202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g192657 (
-	.A(n_199),
-	.Y(n_200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192658 (
-	.A(n_188),
-	.Y(n_189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192659 (
-	.A(n_182),
-	.Y(n_183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192660 (
-	.A(n_156),
-	.Y(n_157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192661 (
-	.A(n_154),
-	.Y(n_155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192662 (
-	.A(n_149),
-	.Y(n_150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192665 (
-	.A(n_132),
-	.B(waddr[4]),
-	.Y(n_138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g192666 (
-	.A1_N(n_28),
-	.A2_N(n_94),
-	.B1(waddr[3]),
-	.B2(n_94),
-	.Y(n_137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g192667 (
-	.A(waddr[2]),
-	.B(n_94),
-	.X(n_136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g192668 (
-	.A(n_99),
-	.B(n_1),
-	.C(waddr[5]),
-	.Y(n_199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192670 (
-	.A(n_84),
-	.B(FE_OFN1169_n_125),
-	.Y(n_197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192671 (
-	.A(n_90),
-	.B(n_105),
-	.Y(n_196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192672 (
-	.A(n_87),
-	.B(n_105),
-	.Y(n_195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192673 (
-	.A(n_85),
-	.B(n_119),
-	.Y(n_194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192674 (
-	.A(n_90),
-	.B(n_107),
-	.Y(n_193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192675 (
-	.A(n_87),
-	.B(n_107),
-	.Y(n_192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192676 (
-	.A(n_64),
-	.B(FE_OFN1169_n_125),
-	.Y(n_191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192677 (
-	.A(n_85),
-	.B(n_105),
-	.Y(n_190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192678 (
-	.A(n_58),
-	.B(FE_OFN1169_n_125),
-	.Y(n_188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192679 (
-	.A(n_118),
-	.B(FE_OFN1163_n_59),
-	.Y(n_187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192680 (
-	.A(n_104),
-	.B(n_59),
-	.Y(n_186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192681 (
-	.A(n_118),
-	.B(n_63),
-	.Y(n_185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192682 (
-	.A(n_104),
-	.B(n_63),
-	.Y(n_184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192683 (
-	.A(n_62),
-	.B(FE_OFN1169_n_125),
-	.Y(n_182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192684 (
-	.A(n_76),
-	.B(FE_OFN1169_n_125),
-	.Y(n_181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192685 (
-	.A(n_68),
-	.B(FE_OFN1169_n_125),
-	.Y(n_180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192686 (
-	.A(n_72),
-	.B(FE_OFN1169_n_125),
-	.Y(n_179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192687 (
-	.A(n_70),
-	.B(FE_OFN1169_n_125),
-	.Y(n_178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192688 (
-	.A(n_66),
-	.B(FE_OFN1169_n_125),
-	.Y(n_177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192689 (
-	.A(n_85),
-	.B(n_107),
-	.Y(n_176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192690 (
-	.A(n_74),
-	.B(FE_OFN1166_n_119),
-	.Y(n_175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192691 (
-	.A(n_106),
-	.B(n_71),
-	.Y(n_174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192692 (
-	.A(n_118),
-	.B(n_69),
-	.Y(n_173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192693 (
-	.A(FE_OFN1168_n_123),
-	.B(n_89),
-	.Y(n_172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192694 (
-	.A(n_74),
-	.B(n_105),
-	.Y(n_171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192695 (
-	.A(n_106),
-	.B(n_67),
-	.Y(n_170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192696 (
-	.A(n_74),
-	.B(n_107),
-	.Y(n_169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192697 (
-	.A(n_106),
-	.B(n_77),
-	.Y(n_168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192698 (
-	.A(n_106),
-	.B(n_61),
-	.Y(n_167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192699 (
-	.A(n_106),
-	.B(n_83),
-	.Y(n_166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192700 (
-	.A(n_106),
-	.B(n_65),
-	.Y(n_165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192701 (
-	.A(n_118),
-	.B(n_73),
-	.Y(n_164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192702 (
-	.A(n_104),
-	.B(n_73),
-	.Y(n_163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192703 (
-	.A(n_60),
-	.B(FE_OFN1166_n_119),
-	.Y(n_162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192704 (
-	.A(n_84),
-	.B(n_119),
-	.Y(n_161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192705 (
-	.A(n_60),
-	.B(n_105),
-	.Y(n_160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192706 (
-	.A(n_84),
-	.B(n_105),
-	.Y(n_159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192707 (
-	.A(n_64),
-	.B(n_119),
-	.Y(n_158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192708 (
-	.A(n_70),
-	.B(n_105),
-	.Y(n_156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192709 (
-	.A(n_70),
-	.B(n_119),
-	.Y(n_154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192710 (
-	.A(n_64),
-	.B(n_105),
-	.Y(n_153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192711 (
-	.A(n_76),
-	.B(n_119),
-	.Y(n_152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192712 (
-	.A(n_66),
-	.B(FE_OFN1166_n_119),
-	.Y(n_151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192713 (
-	.A(n_66),
-	.B(n_105),
-	.Y(n_149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192714 (
-	.A(n_76),
-	.B(n_105),
-	.Y(n_148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192715 (
-	.A(n_104),
-	.B(n_69),
-	.Y(n_147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192716 (
-	.A(n_106),
-	.B(n_73),
-	.Y(n_146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192717 (
-	.A(n_90),
-	.B(FE_OFN1166_n_119),
-	.Y(n_145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192718 (
-	.A(n_87),
-	.B(FE_OFN1166_n_119),
-	.Y(n_144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192719 (
-	.A(n_60),
-	.B(FE_OFN1169_n_125),
-	.Y(n_143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g192720 (
-	.A(n_92),
-	.B(n_31),
-	.C(n_1),
-	.Y(n_142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g192721 (
-	.A(n_78),
-	.B(n_31),
-	.C(n_1),
-	.Y(n_140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g192722 (
-	.A(n_133),
-	.Y(n_134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192723 (
-	.A(FE_OFN1171_n_131),
-	.Y(n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g192724 (
-	.A(n_128),
-	.Y(n_129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192725 (
-	.A(FE_OFN1170_n_127),
-	.Y(n_126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192727 (
-	.A(FE_OFN1168_n_123),
-	.Y(n_122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192729 (
-	.A(n_119),
-	.Y(n_118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192730 (
-	.A(n_78),
-	.B(waddr[2]),
-	.Y(n_135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192731 (
-	.A(n_78),
-	.B(n_3),
-	.Y(n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192732 (
-	.A(n_29),
-	.B(n_94),
-	.Y(n_132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192733 (
-	.A(waddr[3]),
-	.B(n_96),
-	.Y(n_131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192734 (
-	.A(n_98),
-	.B(waddr[3]),
-	.Y(n_128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192735 (
-	.A(waddr[3]),
-	.B(n_79),
-	.Y(n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192736 (
-	.A(n_78),
-	.B(n_97),
-	.Y(n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192737 (
-	.A(n_79),
-	.B(n_93),
-	.Y(n_123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192738 (
-	.A(n_96),
-	.B(n_93),
-	.Y(n_121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192739 (
-	.A(n_92),
-	.B(n_97),
-	.Y(n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192740 (
-	.A(n_117),
-	.Y(n_116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192741 (
-	.A(n_115),
-	.Y(n_114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192742 (
-	.A(n_113),
-	.Y(n_112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192743 (
-	.A(n_111),
-	.Y(n_110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g192744 (
-	.A(n_109),
-	.Y(n_108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192745 (
-	.A(n_107),
-	.Y(n_106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192746 (
-	.A(n_105),
-	.Y(n_104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g192747 (
-	.A1(FE_OFN1389_u_uart_u_uart_core_tx_fifo_we),
-	.A2(n_26),
-	.B1(n_13),
-	.B2(waddr[1]),
-	.Y(n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4b_1 g192748 (
-	.A_N(n_6000),
-	.B(raddr[7]),
-	.C(raddr[0]),
-	.D(raddr[1]),
-	.X(n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192749 (
-	.A(FE_OFN1068_u_uart_u_uart_core_tx_fifo_clear),
-	.B(n_57),
-	.Y(n_101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192750 (
-	.A(n_12),
-	.B(n_96),
-	.Y(n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192751 (
-	.A(n_12),
-	.B(n_79),
-	.Y(n_115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192752 (
-	.A(n_95),
-	.B(n_12),
-	.Y(n_113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192753 (
-	.A(n_95),
-	.B(waddr[3]),
-	.Y(n_111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192754 (
-	.A(n_98),
-	.B(n_12),
-	.Y(n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192755 (
-	.A(n_92),
-	.B(n_95),
-	.Y(n_107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g192756 (
-	.A(n_92),
-	.B(n_98),
-	.Y(n_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g192758 (
-	.A(n_93),
-	.Y(n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192759 (
-	.A(n_90),
-	.Y(n_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192760 (
-	.A(n_88),
-	.Y(n_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192761 (
-	.A(n_86),
-	.Y(n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192762 (
-	.A(n_84),
-	.Y(n_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192763 (
-	.A(raddr[0]),
-	.B(n_35),
-	.Y(n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192764 (
-	.A(waddr[4]),
-	.B(n_55),
-	.Y(n_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g192765 (
-	.A(waddr[5]),
-	.B_N(n_34),
-	.Y(n_98), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192766 (
-	.A(waddr[6]),
-	.B(n_56),
-	.Y(n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192767 (
-	.A(n_34),
-	.B(waddr[5]),
-	.Y(n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192768 (
-	.A(n_1),
-	.B(n_56),
-	.Y(n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192769 (
-	.A(FE_OFN1389_u_uart_u_uart_core_tx_fifo_we),
-	.B(n_50),
-	.Y(n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192770 (
-	.A(FE_OFN1773_n_54),
-	.B(waddr[7]),
-	.Y(n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192771 (
-	.A(n_53),
-	.B(n_33),
-	.Y(n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192772 (
-	.A(n_26),
-	.B(n_33),
-	.Y(n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192773 (
-	.A(n_52),
-	.B(n_30),
-	.Y(n_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192774 (
-	.A(n_32),
-	.B(n_27),
-	.Y(n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192775 (
-	.A(n_33),
-	.B(n_50),
-	.Y(n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192776 (
-	.A(n_29),
-	.B(n_51),
-	.Y(n_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192777 (
-	.A(n_77),
-	.Y(n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192778 (
-	.A(n_75),
-	.Y(n_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192779 (
-	.A(n_73),
-	.Y(n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192780 (
-	.A(n_71),
-	.Y(n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192781 (
-	.A(n_69),
-	.Y(n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192782 (
-	.A(n_67),
-	.Y(n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192783 (
-	.A(n_65),
-	.Y(n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192784 (
-	.A(n_63),
-	.Y(n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192785 (
-	.A(n_61),
-	.Y(n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192786 (
-	.A(FE_OFN1163_n_59),
-	.Y(n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g192787 (
-	.A(waddr[0]),
-	.B(FE_OFN1389_u_uart_u_uart_core_tx_fifo_we),
-	.Y(n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192788 (
-	.A(n_35),
-	.B(raddr[0]),
-	.Y(n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192789 (
-	.A(n_31),
-	.B(waddr[6]),
-	.Y(n_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192790 (
-	.A(waddr[7]),
-	.B(n_55),
-	.Y(n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192791 (
-	.A(n_52),
-	.B(n_29),
-	.Y(n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192792 (
-	.A(n_52),
-	.B(n_28),
-	.Y(n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g192793 (
-	.A(n_30),
-	.B(n_51),
-	.Y(n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192794 (
-	.A(n_28),
-	.B(n_27),
-	.Y(n_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g192795 (
-	.A(n_25),
-	.B(n_29),
-	.Y(n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192796 (
-	.A(n_28),
-	.B(n_25),
-	.Y(n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192797 (
-	.A(n_30),
-	.B(n_27),
-	.Y(n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g192798 (
-	.A(n_28),
-	.B(n_51),
-	.Y(n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g192799 (
-	.A(n_30),
-	.B(n_25),
-	.Y(n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192800 (
-	.A(n_27),
-	.B(n_29),
-	.Y(n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192802 (
-	.A(n_53),
-	.Y(n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g192803 (
-	.A(n_51),
-	.Y(n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 g192804 (
-	.A(FE_OFN1613_n_49),
-	.Y(n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192805 (
-	.A(FE_OFN1643_n_47),
-	.Y(n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192806 (
-	.A(FE_OFN1610_n_45),
-	.Y(n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192807 (
-	.A(FE_OFN1638_n_43),
-	.Y(n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192808 (
-	.A(FE_OFN1634_n_41),
-	.Y(n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192809 (
-	.A(FE_OFN1630_n_39),
-	.Y(n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 g192810 (
-	.A(FE_OFN1607_n_37),
-	.Y(n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192811 (
-	.A(waddr[4]),
-	.B(waddr[5]),
-	.Y(n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192812 (
-	.A(buffer_full_217),
-	.B(n_13),
-	.Y(n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192813 (
-	.A(waddr[1]),
-	.B(waddr[0]),
-	.Y(n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192814 (
-	.A(waddr[0]),
-	.B(waddr[1]),
-	.Y(n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g192815 (
-	.A(wdata_i[7]),
-	.B(FE_OFN1405_n_11),
-	.Y(n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192816 (
-	.A(wdata_i[6]),
-	.B(FE_OFN1405_n_11),
-	.Y(n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192817 (
-	.A(FE_OFN1439_xbar_to_dccm_a_data__1),
-	.B(FE_OFN1405_n_11),
-	.Y(n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192818 (
-	.A(wdata_i[4]),
-	.B(FE_OFN1405_n_11),
-	.Y(n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192819 (
-	.A(wdata_i[5]),
-	.B(FE_OFN1405_n_11),
-	.Y(n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g192820 (
-	.A(wdata_i[2]),
-	.B(FE_OFN1405_n_11),
-	.Y(n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192821 (
-	.A(wdata_i[3]),
-	.B(FE_OFN1405_n_11),
-	.Y(n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g192822 (
-	.A(n_32),
-	.Y(n_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192823 (
-	.A(n_26),
-	.Y(n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 g192824 (
-	.A(FE_OFN1626_n_24),
-	.Y(n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g192826 (
-	.A(raddr[8]),
-	.B_N(re_i),
-	.Y(n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192827 (
-	.A(waddr[4]),
-	.B(n_1),
-	.Y(n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192828 (
-	.A(n_3),
-	.B(n_12),
-	.Y(n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192829 (
-	.A(waddr[5]),
-	.B(n_4),
-	.Y(n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192830 (
-	.A(n_3),
-	.B(waddr[3]),
-	.Y(n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192831 (
-	.A(waddr[2]),
-	.B(waddr[3]),
-	.Y(n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192832 (
-	.A(n_12),
-	.B(waddr[2]),
-	.Y(n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192833 (
-	.A(n_14),
-	.B(waddr[1]),
-	.Y(n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g192834 (
-	.A(waddr[1]),
-	.B(n_14),
-	.Y(n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g192835 (
-	.A(wdata_i[0]),
-	.B(FE_OFN1405_n_11),
-	.Y(n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g192846 (
-	.A(FE_OFN1067_u_uart_u_uart_core_tx_fifo_clear),
-	.Y(n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g192857 (
-	.A(FE_OFN1069_u_uart_u_uart_core_tx_fifo_reset),
-	.Y(n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 hi_fo_buf201691 (
-	.A(FE_OFN1180_n_3994),
-	.Y(n_4008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 hi_fo_buf201781 (
-	.A(FE_OFN1176_n_3945),
-	.Y(n_3957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 hi_fo_buf201819 (
-	.A(FE_OFN1192_n_4186),
-	.Y(n_4115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \raddr_reg[3]  (
-	.CLK(CTS_23),
-	.D(n_3924),
-	.Q(raddr[3]),
-	.Q_N(n_3942),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \raddr_reg[2]  (
-	.CLK(CTS_23),
-	.D(n_3921),
-	.Q(raddr[2]),
-	.Q_N(n_3941),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \raddr_reg[5]  (
-	.CLK(CTS_7),
-	.D(n_3930),
-	.Q(raddr[5]),
-	.Q_N(n_3940),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \raddr_reg[4]  (
-	.CLK(CTS_7),
-	.D(n_3927),
-	.Q(raddr[4]),
-	.Q_N(n_3939),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \raddr_reg[7]  (
-	.CLK(CTS_7),
-	.D(n_3935),
-	.Q(raddr[7]),
-	.Q_N(n_3938),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \raddr_reg[0]  (
-	.CLK(CTS_7),
-	.D(n_266),
-	.Q(raddr[0]),
-	.Q_N(n_3937),
-	.RESET_B(FE_OFN106_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \raddr_reg[6]  (
-	.CLK(CTS_7),
-	.D(n_3933),
-	.Q(raddr[6]),
-	.Q_N(n_3936),
-	.RESET_B(FE_OFN50_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \waddr_reg[0]  (
-	.CLK(CTS_11),
-	.D(n_101),
-	.Q(waddr[0]),
-	.Q_N(n_14),
-	.RESET_B(FE_OFN131_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \waddr_reg[3]  (
-	.CLK(CTS_11),
-	.D(n_530),
-	.Q(waddr[3]),
-	.Q_N(n_12),
-	.RESET_B(FE_OFN55_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \waddr_reg[4]  (
-	.CLK(CTS_23),
-	.D(n_1944),
-	.Q(waddr[4]),
-	.Q_N(n_4),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \waddr_reg[2]  (
-	.CLK(CTS_17),
-	.D(n_265),
-	.Q(waddr[2]),
-	.Q_N(n_3),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \waddr_reg[7]  (
-	.CLK(CTS_23),
-	.D(n_3231),
-	.Q(waddr[7]),
-	.Q_N(n_2),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \waddr_reg[6]  (
-	.CLK(CTS_23),
-	.D(n_3234),
-	.Q(waddr[6]),
-	.Q_N(n_1),
-	.RESET_B(FE_OFN107_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g2 (
-	.A(n_5119),
-	.B(buffer_full_217),
-	.X(n_8813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g201869 (
-	.A_N(n_4301),
-	.B(n_4097),
-	.Y(n_8814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g201870 (
-	.A_N(n_4299),
-	.B(n_4662),
-	.C(\fifo_buffer[161] [0]),
-	.Y(n_8815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g201871 (
-	.A(waddr[6]),
-	.B(waddr[5]),
-	.C_N(n_99),
-	.Y(n_8816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-endmodule
-
-module azadi_soc_top (
-	clk_i, 
-	rst_ni, 
-	prog, 
-	clks_per_bit, 
-	uart_tx, 
-	uart_rx, 
-	vccd1, 
-	vssd1);
-   input clk_i;
-   input rst_ni;
-   input prog;
-   input [15:0] clks_per_bit;
-   output uart_tx;
-   input uart_rx;
-   inout vccd1;
-   inout vssd1;
-
-   // Internal wires
-   wire FE_PHN3994_u_top_u_core_csr_mepc_15;
-   wire FE_PHN3993_u_top_u_core_csr_mepc_31;
-   wire FE_PHN3992_u_top_u_core_pc_id_28;
-   wire FE_PHN3991_u_top_u_core_pc_id_15;
-   wire FE_PHN3990_u_top_u_core_pc_id_14;
-   wire FE_PHN3989_u_top_u_core_pc_id_29;
-   wire FE_PHN3988_u_top_u_core_csr_mepc_30;
-   wire FE_PHN3987_u_top_u_core_csr_mepc_15;
-   wire FE_PHN3986_u_top_u_core_csr_mepc_29;
-   wire FE_USKN3985_CTS_87;
-   wire FE_USKN3984_CTS_5;
-   wire FE_USKN3983_CTS_87;
-   wire FE_USKN3982_CTS_10;
-   wire FE_USKN3981_CTS_10;
-   wire FE_USKN3980_CTS_10;
-   wire FE_PSN3979_u_top_u_core_ex_block_i_alu_i_add_86_53_n_179;
-   wire FE_USKN3978_CTS_95;
-   wire FE_USKN3977_CTS_99;
-   wire FE_USKN3976_CTS_15;
-   wire FE_USKN3975_CTS_15;
-   wire FE_USKN3974_CTS_15;
-   wire FE_USKN3973_CTS_10;
-   wire FE_USKN3972_CTS_10;
-   wire FE_USKN3971_CTS_10;
-   wire FE_USKN3970_CTS_95;
-   wire FE_USKN3969_CTS_10;
-   wire FE_USKN3968_CTS_10;
-   wire FE_PSBN11071_n_10979;
-   wire FE_PSBN11070_n_10979;
-   wire FE_PSBN11069_n_11062;
-   wire FE_PDN11068_n;
-   wire FE_PDN11067_n;
-   wire FE_PDN3953_FE_OFN647_instr_wdata_10;
-   wire FE_PDN11066_n;
-   wire FE_PDN3952_FE_OFN769_u_iccm_rdata2_3;
-   wire FE_PDN11065_n;
-   wire FE_PDN3951_FE_OFN16539_FE_OFN16242_n;
-   wire FE_PDN3950_FE_OFN17097_n;
-   wire FE_PDN3949_FE_OFN16966_FE_OFN16579_FE_OFN16228_n;
-   wire FE_PDN11064_n;
-   wire FE_PDN11063_n;
-   wire FE_USKN3975_CTS_94;
-   wire FE_USKN3974_CTS_87;
-   wire FE_USKN3973_CTS_92;
-   wire FE_USKN3972_CTS_92;
-   wire FE_USKN3971_CTS_85;
-   wire FE_USKN3970_CTS_85;
-   wire FE_USKN3969_CTS_78;
-   wire FE_USKN3968_CTS_78;
-   wire FE_PSN3967_n_15731;
-   wire FE_PSN3966_u_top_u_core_instr_valid_id;
-   wire FE_PSN3965_n_15686;
-   wire FE_PSN3964_u_top_u_core_alu_operand_b_ex_2;
-   wire FE_PSN3963_n_11923;
-   wire FE_USKN3961_u_top_u_core_clk;
-   wire FE_USKN3960_CTS_87;
-   wire FE_USKN3958_CTS_95;
-   wire FE_USKN3957_CTS_95;
-   wire FE_USKN3956_CTS_10;
-   wire FE_USKN3955_CTS_10;
-   wire FE_USKN3954_CTS_95;
-   wire FE_USKN3953_CTS_10;
-   wire FE_USKN3952_CTS_10;
-   wire FE_PSN3951_lsu_to_xbar_a_address_31;
-   wire FE_PSN3950_FE_OFN803_u_iccm_rdata3_1;
-   wire FE_PSN3949_FE_PDN3937_FE_OFN771_u_iccm_rdata2_1;
-   wire FE_PDN3948_FE_OFN647_instr_wdata_10;
-   wire FE_PDN3947_FE_OFN766_u_iccm_rdata2_6;
-   wire FE_PDN3946_FE_OFN789_u_iccm_rdata3_15;
-   wire FE_PDN3945_FE_OFN756_u_iccm_rdata2_16;
-   wire FE_PDN3944_FE_OFN647_instr_wdata_10;
-   wire FE_PDN3943_FE_OFN16980_FE_OFN16847_FE_OFN16602_FE_OFN16405_FE_OFN16115_FE_OFN1708_n;
-   wire FE_PDN3942_FE_OFN17056_FE_OFN16916_FE_OFN16580_FE_OFN16155_FE_OFN1741_n;
-   wire FE_PDN3941_FE_OFN16966_FE_OFN16579_FE_OFN16228_n;
-   wire FE_PDN3940_FE_OFN17097_n;
-   wire FE_PDN3939_FE_OFN16937_n;
-   wire FE_PDN17142_FE_OFN766_u_iccm_rdata2_6;
-   wire FE_PDN17141_FE_OFN766_u_iccm_rdata2_6;
-   wire FE_PDN17140_FE_OFN766_u_iccm_rdata2_6;
-   wire FE_PDN3938_FE_OFN732_u_iccm_rdata1_8;
-   wire FE_PDN3937_FE_OFN771_u_iccm_rdata2_1;
-   wire FE_PDN3936_logic_0_41_net;
-   wire FE_PDN3935_FE_OFN238_data_wdata_30;
-   wire FE_PDN3934_FE_OFN0_system_rst_ni;
-   wire FE_PDN3933_FE_OFN729_u_iccm_rdata1_11;
-   wire FE_PDN3932_FE_OFN526_instr_wdata_29;
-   wire FE_PDN3931_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo_under_rst;
-   wire FE_PDN3930_FE_OFN581_instr_wdata_20;
-   wire FE_PDN3929_n_2092;
-   wire FE_PDN3928_FE_OFN756_u_iccm_rdata2_16;
-   wire FE_PDN17139_FE_OFN629_instr_wdata_13;
-   wire FE_PDN3927_FE_OFN791_u_iccm_rdata3_13;
-   wire FE_PDN3926_FE_OFN744_u_iccm_rdata2_28;
-   wire FE_PDN17138_FE_OFN647_instr_wdata_10;
-   wire FE_PDN17137_FE_OFN647_instr_wdata_10;
-   wire FE_PDN17136_FE_OFN647_instr_wdata_10;
-   wire FE_PDN17135_FE_OFN647_instr_wdata_10;
-   wire FE_PDN17134_FE_OFN660_instr_wdata_8;
-   wire FE_PDN17133_FE_OFN660_instr_wdata_8;
-   wire FE_PDN17132_FE_OFN660_instr_wdata_8;
-   wire FE_PDN3925_FE_OFN602_instr_wdata_17;
-   wire FE_PDN3924_FE_OFN789_u_iccm_rdata3_15;
-   wire FE_PDN17131_FE_OFN799_u_iccm_rdata3_5;
-   wire FE_PDN17130_FE_OFN799_u_iccm_rdata3_5;
-   wire FE_USKN3921_CTS_94;
-   wire FE_USKN3920_CTS_94;
-   wire FE_USKN3919_CTS_94;
-   wire FE_USKN3917_CTS_92;
-   wire FE_USKN3916_CTS_94;
-   wire FE_USKN3915_CTS_92;
-   wire FE_USKN3914_CTS_87;
-   wire FE_USKN3909_CTS_92;
-   wire FE_USKN3908_CTS_94;
-   wire FE_USKN3907_CTS_92;
-   wire FE_USKN3906_CTS_63;
-   wire FE_USKN3905_CTS_77;
-   wire FE_USKN3904_CTS_78;
-   wire FE_USKN3903_CTS_84;
-   wire FE_USKN3902_CTS_85;
-   wire FE_USKN3901_CTS_46;
-   wire FE_USKN3900_CTS_51;
-   wire FE_USKN3899_CTS_57;
-   wire FE_USKN3898_CTS_70;
-   wire FE_USKN3897_CTS_59;
-   wire FE_USKN3896_CTS_73;
-   wire FE_USKN3895_CTS_64;
-   wire FE_USKN3894_CTS_75;
-   wire FE_USKN3893_CTS_67;
-   wire FE_USKN3892_CTS_58;
-   wire FE_USKN3891_CTS_72;
-   wire FE_USKN3890_CTS_71;
-   wire FE_USKN3889_CTS_65;
-   wire FE_USKN3888_CTS_68;
-   wire FE_USKN3887_CTS_66;
-   wire FE_USKN3886_CTS_62;
-   wire FE_USKN3885_CTS_61;
-   wire FE_USKN3884_CTS_63;
-   wire FE_USKN3883_CTS_77;
-   wire FE_USKN3882_CTS_78;
-   wire FE_PSN3881_u_top_u_core_alu_operand_b_ex_15;
-   wire FE_PSN3880_FE_OFN16059_n_15701;
-   wire FE_PSN3879_u_top_u_core_instr_rdata_id_15;
-   wire FE_PSN3878_n_11278;
-   wire FE_PSN3877_n_13702;
-   wire FE_PSN3876_n_13304;
-   wire FE_PSN3875_n_13303;
-   wire FE_PSN3874_FE_OFN1859_u_top_u_core_rf_waddr_wb_4;
-   wire FE_PSN3873_FE_OFN15988_n_15690;
-   wire FE_PSN3872_u_top_u_core_rf_waddr_wb_3;
-   wire FE_PSN3871_FE_OFN813_u_tcam_rdata_1;
-   wire FE_PSN3870_FE_OFN811_u_tcam_rdata_2;
-   wire FE_USKN3868_CTS_92;
-   wire FE_USKN3864_CTS_10;
-   wire FE_USKN3863_CTS_15;
-   wire FE_USKN3862_CTS_15;
-   wire FE_USKN3861_CTS_15;
-   wire FE_USKN3860_CTS_16;
-   wire FE_USKN3859_CTS_91;
-   wire FE_USKN3857_CTS_15;
-   wire FE_USKN3856_CTS_16;
-   wire FE_USKN3855_CTS_80;
-   wire FE_USKN3854_u_top_u_core_clk;
-   wire FE_USKN3853_CTS_79;
-   wire FE_USKN3852_CTS_5;
-   wire FE_USKN3851_CTS_1;
-   wire FE_USKN3850_CTS_91;
-   wire FE_USKN3849_CTS_80;
-   wire FE_USKN3848_CTS_79;
-   wire FE_USKN3847_CTS_18;
-   wire FE_USKN3846_CTS_27;
-   wire FE_USKN3845_u_top_u_core_clk;
-   wire FE_USKN3844_CTS_15;
-   wire FE_USKN3843_CTS_16;
-   wire FE_PSN3842_u_top_u_core_if_stage_i_gen_prefetch_buffer_prefetch_buffer_i_fifo_i_add_145_48_n_1;
-   wire FE_PSN3841_u_top_u_core_if_stage_i_gen_prefetch_buffer_prefetch_buffer_i_fifo_i_add_145_48_n_1;
-   wire FE_PSN3840_FE_PDN17122_n;
-   wire FE_PSN3839_FE_PDN3830_FE_OFN803_u_iccm_rdata3_1;
-   wire FE_PSN3838_n_10745;
-   wire FE_PSN3837_n_11064;
-   wire FE_PSN3836_FE_OCPN16328_n_11062;
-   wire FE_PSN3835_u_top_u_core_if_stage_i_gen_prefetch_buffer_prefetch_buffer_i_fifo_i_addr_incr_two;
-   wire FE_PDN3834_FE_OFN787_u_iccm_rdata3_17;
-   wire FE_PDN3833_FE_OFN794_u_iccm_rdata3_10;
-   wire FE_PDN3832_FE_OFN506_u_dccm_rdata3_7;
-   wire FE_PDN3831_FE_OFN500_u_dccm_rdata3_13;
-   wire FE_PDN3830_FE_OFN803_u_iccm_rdata3_1;
-   wire FE_PDN3829_FE_OFN797_u_iccm_rdata3_7;
-   wire FE_PDN17124_n;
-   wire FE_PDN17123_n;
-   wire FE_PDN17122_n;
-   wire FE_PDN3828_FE_OFN794_u_iccm_rdata3_10;
-   wire FE_PDN3827_FE_OFN350_data_wdata_11;
-   wire FE_PDN3826_FE_OFN500_u_dccm_rdata3_13;
-   wire FE_PDN3825_FE_OFN492_u_dccm_rdata3_21;
-   wire FE_PDN3824_FE_OFN510_u_dccm_rdata3_3;
-   wire FE_PDN3823_FE_OFN506_u_dccm_rdata3_7;
-   wire FE_PDN3822_FE_OFN504_u_dccm_rdata3_9;
-   wire FE_PDN3821_FE_OFN798_u_iccm_rdata3_6;
-   wire FE_PDN3820_FE_OFN230_n_0;
-   wire FE_PDN3819_FE_OFN508_u_dccm_rdata3_5;
-   wire FE_PDN3818_FE_OFN802_u_iccm_rdata3_2;
-   wire FE_PDN3817_FE_OFN801_u_iccm_rdata3_3;
-   wire FE_PDN3816_FE_OFN796_u_iccm_rdata3_8;
-   wire FE_PDN3815_FE_OFN773_u_iccm_rdata3_31;
-   wire FE_PDN3814_FE_OFN560_instr_wdata_24;
-   wire FE_PDN3813_FE_OFN701_instr_wdata_2;
-   wire FE_PDN3812_FE_OFN410_data_wdata_2;
-   wire FE_PDN3811_FE_OFN712_instr_wdata_0;
-   wire FE_PDN3810_FE_OFN16093_FE_OFN890_instr_wmask_0;
-   wire FE_PDN3809_FE_OFN292_data_wdata_21;
-   wire FE_PDN3808_FE_OFN416_data_wdata_1;
-   wire FE_PDN17121_FE_OFN687_instr_wdata_4;
-   wire FE_PDN3807_FE_OFN16095_FE_OFN889_instr_wmask_0;
-   wire FE_PDN3806_n_2083;
-   wire FE_PDN3804_FE_OFN710_instr_wdata_0;
-   wire FE_PDN17120_FE_OFN231_n_0;
-   wire FE_PDN17119_FE_OFN231_n_0;
-   wire FE_PDN17118_FE_OFN231_n_0;
-   wire FE_PDN3800_u_dccm_csb4;
-   wire FE_PDN3799_FE_OFN17094_n;
-   wire FE_PDN3798_FE_OFN17078_n;
-   wire FE_PDN3797_FE_OFN17056_FE_OFN16916_FE_OFN16580_FE_OFN16155_FE_OFN1741_n;
-   wire FE_PDN3795_FE_OFN16673_FE_OFN16527_FE_OFN16173_FE_OFN1667_n;
-   wire FE_PDN3794_FE_OFN16395_FE_OFN16102_FE_OFN871_data_wmask_2;
-   wire FE_PDN3793_logic_0_11_net;
-   wire FE_PDN3790_FE_OFN16969_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n;
-   wire FE_PDN3789_FE_OFN16974_FE_OFN16844_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n;
-   wire FE_PDN3788_n_8446;
-   wire FE_PDN3787_u_iccm_addr3_7;
-   wire FE_PDN3786_FE_OFN296_data_wdata_20;
-   wire FE_PDN3785_logic_0_14_net;
-   wire FE_PDN3784_FE_OFN241_data_wdata_30;
-   wire FE_PDN3783_FE_OFN592_instr_wdata_19;
-   wire FE_PDN3782_FE_OFN558_instr_wdata_24;
-   wire FE_PDN3781_FE_OFN698_instr_wdata_2;
-   wire FE_PDN3780_FE_OFN609_instr_wdata_16;
-   wire FE_PDN3779_FE_OFN442_u_dccm_rdata1_7;
-   wire FE_PDN3778_FE_OFN878_data_wmask_1;
-   wire FE_PDN3775_logic_0_59_net;
-   wire FE_PDN3774_FE_OFN246_data_wdata_29;
-   wire FE_PDN3772_FE_OFN305_data_wdata_19;
-   wire FE_PDN3770_FE_OFN740_u_iccm_rdata1_0;
-   wire FE_PDN3769_FE_OFN678_instr_wdata_5;
-   wire FE_PDN3768_FE_OFN16098_FE_OFN1738_n;
-   wire FE_PDN3767_FE_OFN617_instr_wdata_15;
-   wire FE_PDN3766_FE_OFN863_data_wmask_3;
-   wire FE_PDN3765_FE_OFN638_instr_wdata_12;
-   wire FE_PDN3764_FE_OFN659_instr_wdata_8;
-   wire FE_PDN3763_FE_OFN548_instr_wdata_26;
-   wire FE_PDN3762_FE_OFN586_instr_wdata_20;
-   wire FE_PDN3761_FE_OFN278_data_wdata_23;
-   wire FE_PDN3760_FE_OFN563_instr_wdata_23;
-   wire FE_PDN3758_FE_OFN269_data_wdata_25;
-   wire FE_PDN3757_FE_OFN739_u_iccm_rdata1_1;
-   wire FE_PDN3756_FE_OFN390_data_wdata_5;
-   wire FE_PDN3755_FE_OFN709_instr_wdata_0;
-   wire FE_PDN3754_FE_OFN286_data_wdata_22;
-   wire FE_PDN3753_FE_OFN450_u_dccm_rdata2_31;
-   wire FE_PDN3752_FE_OFN233_data_wdata_31;
-   wire FE_PDN3751_FE_OFN690_instr_wdata_3;
-   wire FE_PDN3749_FE_OFN408_data_wdata_2;
-   wire FE_PDN3748_FE_OFN422_data_wdata_0;
-   wire FE_PDN3747_FE_OFN764_u_iccm_rdata2_8;
-   wire FE_PDN3746_n_17990;
-   wire FE_PDN3745_FE_OFN479_u_dccm_rdata2_2;
-   wire FE_PDN3744_FE_OFN656_instr_wdata_9;
-   wire FE_PDN3743_FE_OFN697_instr_wdata_2;
-   wire FE_PDN3742_FE_OFN784_u_iccm_rdata3_20;
-   wire FE_PDN3741_FE_OFN383_data_wdata_6;
-   wire FE_PDN3740_FE_OFN483_u_dccm_rdata3_30;
-   wire FE_PDN3739_FE_OFN454_u_dccm_rdata2_27;
-   wire FE_PDN3738_FE_OFN769_u_iccm_rdata2_3;
-   wire FE_PDN3737_n_2074;
-   wire FE_PDN3735_FE_OFN762_u_iccm_rdata2_10;
-   wire FE_PDN3734_FE_OFN744_u_iccm_rdata2_28;
-   wire FE_PDN3733_FE_OFN736_u_iccm_rdata1_4;
-   wire FE_PDN3732_logic_0_47_net;
-   wire FE_PDN3731_FE_OFN451_u_dccm_rdata2_30;
-   wire FE_PDN3730_FE_OFN766_u_iccm_rdata2_6;
-   wire FE_PDN3729_FE_OFN370_data_wdata_8;
-   wire FE_PDN3728_FE_OFN761_u_iccm_rdata2_11;
-   wire FE_PDN3726_FE_OFN763_u_iccm_rdata2_9;
-   wire FE_PDN3725_FE_OFN726_u_iccm_rdata1_14;
-   wire FE_PDN3724_FE_OFN452_u_dccm_rdata2_29;
-   wire FE_PDN3723_FE_OFN676_instr_wdata_6;
-   wire FE_PDN3722_FE_OFN770_u_iccm_rdata2_2;
-   wire FE_PDN3721_FE_OFN728_u_iccm_rdata1_12;
-   wire FE_PDN3720_FE_OFN768_u_iccm_rdata2_4;
-   wire FE_PDN3719_FE_OFN654_instr_wdata_9;
-   wire FE_PDN3718_FE_OFN732_u_iccm_rdata1_8;
-   wire FE_PDN3717_FE_OFN731_u_iccm_rdata1_9;
-   wire FE_PDN3716_FE_OFN403_data_wdata_3;
-   wire FE_PDN3715_FE_OFN392_data_wdata_4;
-   wire FE_PDN3714_FE_OFN429_u_dccm_rdata1_20;
-   wire FE_PDN3713_FE_OFN603_instr_wdata_17;
-   wire FE_PDN3712_FE_OFN375_data_wdata_7;
-   wire FE_PDN3711_FE_OFN488_u_dccm_rdata3_25;
-   wire FE_PDN3710_FE_OFN323_data_wdata_16;
-   wire FE_PDN3709_FE_OFN767_u_iccm_rdata2_5;
-   wire FE_PDN3708_FE_OFN734_u_iccm_rdata1_6;
-   wire FE_PDN3707_FE_OFN786_u_iccm_rdata3_18;
-   wire FE_PDN3706_FE_OFN426_u_dccm_rdata1_23;
-   wire FE_PDN3704_FE_OFN440_u_dccm_rdata1_9;
-   wire FE_PDN3703_FE_OFN725_u_iccm_rdata1_15;
-   wire FE_PDN17117_FE_OFN771_u_iccm_rdata2_1;
-   wire FE_PDN3701_FE_OFN443_u_dccm_rdata1_6;
-   wire FE_PDN3700_FE_OFN396_data_wdata_4;
-   wire FE_PDN3699_FE_OFN364_data_wdata_9;
-   wire FE_PDN3698_FE_OFN750_u_iccm_rdata2_22;
-   wire FE_PDN3697_FE_OFN772_u_iccm_rdata2_0;
-   wire FE_PDN3696_FE_OFN432_u_dccm_rdata1_17;
-   wire FE_PDN3695_FE_OFN723_u_iccm_rdata1_17;
-   wire FE_PDN3694_FE_OFN437_u_dccm_rdata1_12;
-   wire FE_PDN3693_FE_OFN633_instr_wdata_13;
-   wire FE_PDN3692_FE_OFN313_data_wdata_17;
-   wire FE_PDN3691_FE_OFN724_u_iccm_rdata1_16;
-   wire FE_PDN3690_FE_OFN800_u_iccm_rdata3_4;
-   wire FE_PDN3689_FE_OFN398_data_wdata_3;
-   wire FE_PDN3688_FE_OFN428_u_dccm_rdata1_21;
-   wire FE_PDN3687_FE_OFN436_u_dccm_rdata1_13;
-   wire FE_PDN3686_FE_OFN430_u_dccm_rdata1_19;
-   wire FE_PDN3685_FE_OFN623_instr_wdata_14;
-   wire FE_PDN3684_FE_OFN466_u_dccm_rdata2_15;
-   wire FE_PDN3683_FE_OFN791_u_iccm_rdata3_13;
-   wire FE_PDN3682_FE_OFN439_u_dccm_rdata1_10;
-   wire FE_PDN3681_FE_OFN468_u_dccm_rdata2_13;
-   wire FE_PDN3680_FE_OFN753_u_iccm_rdata2_19;
-   wire FE_PDN3679_FE_OFN684_instr_wdata_4;
-   wire FE_PDN3678_FE_OFN554_instr_wdata_25;
-   wire FE_PDN3677_FE_OFN988_n_4934;
-   wire FE_PDN17116_logic_0_27_net;
-   wire FE_PDN17115_FE_OFN574_instr_wdata_21;
-   wire FE_PDN17114_FE_OFN574_instr_wdata_21;
-   wire FE_PDN3676_FE_OFN459_u_dccm_rdata2_22;
-   wire FE_PDN3675_FE_OFN291_data_wdata_21;
-   wire FE_PDN3674_FE_OFN759_u_iccm_rdata2_13;
-   wire FE_PDN3673_n_2100;
-   wire FE_PDN17113_logic_0_24_net;
-   wire FE_PDN3672_FE_OFN904_data_we;
-   wire FE_PDN3669_FE_OFN413_data_wdata_1;
-   wire FE_PDN3668_FE_OFN16094_FE_OFN889_instr_wmask_0;
-   wire FE_PDN3667_FE_OFN798_u_iccm_rdata3_6;
-   wire FE_PDN3666_FE_OFN803_u_iccm_rdata3_1;
-   wire FE_PDN3665_FE_OFN801_u_iccm_rdata3_3;
-   wire FE_PDN3664_FE_OFN802_u_iccm_rdata3_2;
-   wire FE_PDN3663_FE_OFN797_u_iccm_rdata3_7;
-   wire FE_PDN3662_FE_OFN777_u_iccm_rdata3_27;
-   wire FE_PDN3661_FE_OFN794_u_iccm_rdata3_10;
-   wire FE_PDN3660_FE_OFN510_u_dccm_rdata3_3;
-   wire FE_PDN17112_FE_OFN350_data_wdata_11;
-   wire FE_PDN17111_FE_OFN350_data_wdata_11;
-   wire FE_PDN17110_FE_OFN350_data_wdata_11;
-   wire FE_PDN17109_FE_OFN350_data_wdata_11;
-   wire FE_PDN3659_FE_OFN641_instr_wdata_11;
-   wire FE_PDN3658_FE_OFN504_u_dccm_rdata3_9;
-   wire FE_PDN3657_FE_OFN787_u_iccm_rdata3_17;
-   wire FE_PDN3656_FE_OFN796_u_iccm_rdata3_8;
-   wire FE_PDN3655_FE_OFN500_u_dccm_rdata3_13;
-   wire FE_PDN3654_FE_OFN230_n_0;
-   wire FE_PDN3653_FE_OFN492_u_dccm_rdata3_21;
-   wire FE_PDN3652_FE_OFN508_u_dccm_rdata3_5;
-   wire FE_PDN17108_FE_OFN490_u_dccm_rdata3_23;
-   wire FE_PDN17107_FE_OFN490_u_dccm_rdata3_23;
-   wire FE_PDN17106_FE_OFN773_u_iccm_rdata3_31;
-   wire FE_PDN17105_FE_OFN773_u_iccm_rdata3_31;
-   wire FE_PDN17104_FE_OFN773_u_iccm_rdata3_31;
-   wire FE_PDN3651_FE_OFN506_u_dccm_rdata3_7;
-   wire FE_PDN17103_FE_OFN497_u_dccm_rdata3_16;
-   wire FE_PDN17102_FE_OFN497_u_dccm_rdata3_16;
-   wire FE_PDN17101_FE_OFN497_u_dccm_rdata3_16;
-   wire FE_PDN17100_FE_OFN780_u_iccm_rdata3_24;
-   wire FE_PDN17099_FE_OFN780_u_iccm_rdata3_24;
-   wire FE_PDN3648_FE_OFN704_instr_wdata_1;
-   wire FE_PDN3647_FE_OFN526_instr_wdata_29;
-   wire FE_OFN17098_n;
-   wire FE_OFN17097_n;
-   wire FE_OFN17096_n;
-   wire FE_OFN17095_n;
-   wire FE_OFN17094_n;
-   wire FE_OFN17093_n;
-   wire FE_OFN17092_n;
-   wire FE_OFN17091_n;
-   wire FE_OFN17090_n;
-   wire FE_OFN17089_n;
-   wire FE_OFN17088_n;
-   wire FE_OFN17087_n;
-   wire FE_OFN17086_n;
-   wire FE_OFN17085_n;
-   wire FE_OFN17084_n;
-   wire FE_OFN17083_n;
-   wire FE_OFN17082_n;
-   wire FE_OFN17081_n;
-   wire FE_OFN17080_n;
-   wire FE_OFN17079_n;
-   wire FE_OFN17078_n;
-   wire FE_OFN17077_n;
-   wire FE_OFN17076_n;
-   wire FE_OFN17075_n;
-   wire FE_OFN17074_n;
-   wire FE_OFN17073_n;
-   wire FE_OFN17072_n;
-   wire FE_OFN17071_n;
-   wire FE_OFN17070_n;
-   wire FE_OFN17069_n;
-   wire FE_OFN17068_n;
-   wire FE_OFN17066_FE_OFN577_instr_wdata_21;
-   wire FE_OFN17065_n_9231;
-   wire FE_OFN17064_instr_wdata_3;
-   wire FE_OFN17063_data_wdata_29;
-   wire FE_OFN17062_FE_OFN16874_FE_OFN16669_FE_OFN16434_FE_OFN16183_FE_OFN1721_n;
-   wire FE_OFN17061_FE_OFN614_instr_wdata_16;
-   wire FE_OFN17060_FE_OFN16928_FE_OFN16671_FE_OFN16536_FE_OFN16158_FE_OFN1661_n;
-   wire FE_OFN17059_FE_OFN543_instr_wdata_27;
-   wire FE_OFN17058_FE_OFN16962_n;
-   wire FE_OFN17057_data_wdata_30;
-   wire FE_OFN17056_FE_OFN16916_FE_OFN16580_FE_OFN16155_FE_OFN1741_n;
-   wire FE_OFN17055_FE_OFN16964_n;
-   wire FE_OFN17054_data_wdata_25;
-   wire FE_OFN17053_data_wdata_0;
-   wire FE_OFN17052_FE_OFN16923_FE_OFN16653_FE_OFN16470_FE_OFN16133_FE_OFN1699_n;
-   wire FE_OFN17051_FE_OFN591_instr_wdata_19;
-   wire FE_OFN17050_FE_OFN16921_FE_OFN16630_FE_OFN16478_FE_OFN16135_FE_OFN1697_n;
-   wire FE_OFN17049_FE_OFN16859_FE_OFN16661_FE_OFN16550_FE_OFN16477_FE_OFN16139_FE_OFN1698_n;
-   wire FE_OFN17048_FE_OFN16871_FE_OFN16705_n;
-   wire FE_OFN17047_FE_OFN16918_FE_OFN16636_FE_OFN16468_FE_OFN16142_FE_OFN1696_n;
-   wire FE_OFN17046_n_9384;
-   wire FE_OFN17045_FE_OFN16954_n;
-   wire FE_OFN17044_FE_OFN16863_FE_OFN16699_n;
-   wire FE_OFN17043_FE_OFN16915_FE_OFN16634_FE_OFN16463_FE_OFN16136_FE_OFN1675_n;
-   wire FE_OFN17042_n_10339;
-   wire FE_OFN17041_FE_OFN16908_FE_OFN16658_FE_OFN16471_FE_OFN16151_FE_OFN1742_n;
-   wire FE_OFN17040_FE_OFN16910_FE_OFN16603_FE_OFN16458_FE_OFN16143_FE_OFN1683_n;
-   wire FE_OFN17039_FE_OFN16955_n;
-   wire FE_OFN17038_data_wdata_28;
-   wire FE_OFN17037_FE_OFN16912_FE_OFN16601_FE_OFN16465_FE_OFN16129_FE_OFN1677_n;
-   wire FE_OFN17036_FE_OFN16951_n;
-   wire FE_OFN17035_FE_OFN567_instr_wdata_23;
-   wire FE_OFN17034_FE_OFN16909_FE_OFN16638_FE_OFN16462_FE_OFN16137_FE_OFN1674_n;
-   wire FE_OFN17033_FE_OFN16854_FE_OFN16713_n;
-   wire FE_OFN17032_FE_OFN16950_n;
-   wire FE_OFN17031_FE_OFN593_instr_wdata_19;
-   wire FE_OFN17030_FE_OFN16905_FE_OFN16626_FE_OFN16533_FE_OFN16197_FE_OFN1686_n;
-   wire FE_OFN17029_FE_OFN16903_FE_OFN16622_FE_OFN16531_FE_OFN16180_FE_OFN1685_n;
-   wire FE_OFN17028_data_wdata_6;
-   wire FE_OFN17027_FE_OFN16898_FE_OFN16621_FE_OFN16448_FE_OFN16177_FE_OFN1713_n;
-   wire FE_OFN17026_FE_OFN16901_FE_OFN16623_FE_OFN16530_FE_OFN16192_FE_OFN1687_n;
-   wire FE_OFN17025_FE_OFN16896_FE_OFN16620_FE_OFN16443_FE_OFN16154_FE_OFN1688_n;
-   wire FE_OFN17024_FE_OFN16902_FE_OFN16625_FE_OFN16529_FE_OFN16181_FE_OFN1662_n;
-   wire FE_OFN17023_FE_OFN16892_FE_OFN16666_FE_OFN16432_FE_OFN16186_FE_OFN1720_n;
-   wire FE_OFN17022_FE_OFN16895_FE_OFN16627_FE_OFN16554_FE_OFN16444_FE_OFN16152_FE_OFN1690_n;
-   wire FE_OFN17021_FE_OFN16893_FE_OFN16618_FE_OFN16446_FE_OFN16171_FE_OFN1689_n;
-   wire FE_OFN17020_FE_OFN16894_FE_OFN16606_FE_OFN16445_FE_OFN16145_FE_OFN1664_n;
-   wire FE_OFN17019_FE_OFN16963_n;
-   wire FE_OFN17018_FE_OFN16886_FE_OFN16675_FE_OFN16203_FE_OFN1712_n;
-   wire FE_OFN17017_FE_OFN16889_FE_OFN16674_FE_OFN16441_FE_OFN16179_FE_OFN1711_n;
-   wire FE_OFN17016_FE_OFN16890_FE_OFN16733_FE_OFN16628_FE_OFN16436_FE_OFN16156_FE_OFN1692_n;
-   wire FE_OFN17015_FE_OFN16891_FE_OFN16607_FE_OFN16431_FE_OFN16200_FE_OFN1719_n;
-   wire FE_OFN17014_FE_OFN16879_FE_OFN16611_FE_OFN16430_FE_OFN16169_FE_OFN1715_n;
-   wire FE_OFN17013_FE_OFN16883_FE_OFN16629_FE_OFN16555_FE_OFN16428_FE_OFN16147_FE_OFN1691_n;
-   wire FE_OFN17012_FE_OFN16884_FE_OFN16617_FE_OFN16558_FE_OFN16427_FE_OFN16251_n;
-   wire FE_OFN17011_FE_OFN16887_FE_OFN16616_FE_OFN16447_FE_OFN16205_FE_OFN1710_n;
-   wire FE_OFN17010_FE_OFN16888_FE_OFN16610_FE_OFN16435_FE_OFN16149_FE_OFN1665_n;
-   wire FE_OFN17009_FE_OFN16885_FE_OFN16613_FE_OFN16442_FE_OFN16178_FE_OFN1718_n;
-   wire FE_OFN17008_FE_OFN16880_FE_OFN16735_FE_OFN16619_FE_OFN16451_FE_OFN16229_n;
-   wire FE_OFN17007_FE_OFN16881_FE_OFN16608_FE_OFN16437_FE_OFN16146_FE_OFN1666_n;
-   wire FE_OFN17006_FE_OFN16876_FE_OFN16614_FE_OFN16553_FE_OFN16433_FE_OFN16253_n;
-   wire FE_OFN17005_FE_OFN16877_FE_OFN16730_FE_OFN16605_FE_OFN16543_FE_OFN16429_FE_OFN16247_n;
-   wire FE_OFN17004_FE_OFN16878_FE_OFN16704_n;
-   wire FE_OFN17003_FE_OFN16875_FE_OFN16615_FE_OFN16541_FE_OFN16438_FE_OFN16144_FE_OFN1663_n;
-   wire FE_OFN17002_xbar_to_lsu_d_valid;
-   wire FE_OFN17001_FE_OFN16873_FE_OFN16604_FE_OFN16556_FE_OFN16425_FE_OFN16165_FE_OFN1723_n;
-   wire FE_OFN17000_FE_OFN16860_FE_OFN16710_n;
-   wire FE_OFN16999_FE_OFN16866_FE_OFN16727_FE_OFN16697_n;
-   wire FE_OFN16998_FE_OFN16870_FE_OFN16598_FE_OFN16509_n;
-   wire FE_OFN16997_FE_OFN16869_FE_OFN16599_FE_OFN16508_n;
-   wire FE_OFN16996_FE_OFN16867_FE_OFN16706_n;
-   wire FE_OFN16995_FE_OFN16868_FE_OFN16597_FE_OFN16412_FE_OFN16119_FE_OFN1670_n;
-   wire FE_OFN16994_FE_OFN16947_n;
-   wire FE_OFN16993_FE_OFN16864_FE_OFN16600_FE_OFN16455_FE_OFN16195_FE_OFN1672_n;
-   wire FE_OFN16992_FE_OFN16865_FE_OFN16596_FE_OFN16454_FE_OFN16198_FE_OFN1671_n;
-   wire FE_OFN16991_FE_OFN16862_FE_OFN16708_n;
-   wire FE_OFN16990_FE_OFN16958_n;
-   wire FE_OFN16989_FE_OFN16855_FE_OFN16592_FE_OFN16476_FE_OFN16132_FE_OFN1694_n;
-   wire FE_OFN16988_FE_OFN16919_FE_OFN16589_FE_OFN16411_FE_OFN16123_FE_OFN1705_n;
-   wire FE_OFN16987_FE_OFN16853_FE_OFN16612_FE_OFN16410_FE_OFN16124_FE_OFN1704_n;
-   wire FE_OFN16986_FE_OFN16953_n;
-   wire FE_OFN16985_FE_OFN16904_FE_OFN16609_FE_OFN16464_FE_OFN16118_FE_OFN1673_n;
-   wire FE_OFN16984_FE_OFN16960_n;
-   wire FE_OFN16983_FE_OFN16851_FE_OFN16652_FE_OFN16408_FE_OFN16121_FE_OFN1703_n;
-   wire FE_OFN16982_FE_OFN16846_FE_OFN16640_FE_OFN16520_n;
-   wire FE_OFN16981_FE_OFN16942_n;
-   wire FE_OFN16980_FE_OFN16847_FE_OFN16602_FE_OFN16405_FE_OFN16115_FE_OFN1708_n;
-   wire FE_OFN16979_FE_OFN16952_n;
-   wire FE_OFN16978_FE_OFN16718_n;
-   wire FE_OFN16977_FE_OFN16941_n;
-   wire FE_OFN16976_FE_OFN16857_FE_OFN16703_n;
-   wire FE_OFN16975_FE_OFN16844_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n;
-   wire FE_OFN16974_FE_OFN16844_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n;
-   wire FE_OFN16973_FE_OFN16694_n;
-   wire FE_OFN16972_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n;
-   wire FE_OFN16971_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n;
-   wire FE_OFN16970_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n;
-   wire FE_OFN16969_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n;
-   wire FE_OFN16968_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n;
-   wire FE_OFN16967_FE_OFN16579_FE_OFN16228_n;
-   wire FE_OFN16966_FE_OFN16579_FE_OFN16228_n;
-   wire FE_OFN16965_n;
-   wire FE_OFN16964_n;
-   wire FE_OFN16963_n;
-   wire FE_OFN16962_n;
-   wire FE_OFN16960_n;
-   wire FE_OFN16958_n;
-   wire FE_OFN16957_n;
-   wire FE_OFN16955_n;
-   wire FE_OFN16954_n;
-   wire FE_OFN16953_n;
-   wire FE_OFN16952_n;
-   wire FE_OFN16951_n;
-   wire FE_OFN16950_n;
-   wire FE_OFN16948_n;
-   wire FE_OFN16947_n;
-   wire FE_OFN16945_n;
-   wire FE_OFN16944_n;
-   wire FE_OFN16943_n;
-   wire FE_OFN16942_n;
-   wire FE_OFN16941_n;
-   wire FE_OFN16938_n;
-   wire FE_OFN16937_n;
-   wire FE_OFN16936_n;
-   wire FE_OFN16934_n_9397;
-   wire FE_OFN16930_n_10843;
-   wire FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n;
-   wire FE_OFN16928_FE_OFN16671_FE_OFN16536_FE_OFN16158_FE_OFN1661_n;
-   wire FE_OFN16923_FE_OFN16653_FE_OFN16470_FE_OFN16133_FE_OFN1699_n;
-   wire FE_OFN16921_FE_OFN16630_FE_OFN16478_FE_OFN16135_FE_OFN1697_n;
-   wire FE_OFN16919_FE_OFN16589_FE_OFN16411_FE_OFN16123_FE_OFN1705_n;
-   wire FE_OFN16918_FE_OFN16636_FE_OFN16468_FE_OFN16142_FE_OFN1696_n;
-   wire FE_OFN16916_FE_OFN16580_FE_OFN16155_FE_OFN1741_n;
-   wire FE_OFN16915_FE_OFN16634_FE_OFN16463_FE_OFN16136_FE_OFN1675_n;
-   wire FE_OFN16912_FE_OFN16601_FE_OFN16465_FE_OFN16129_FE_OFN1677_n;
-   wire FE_OFN16910_FE_OFN16603_FE_OFN16458_FE_OFN16143_FE_OFN1683_n;
-   wire FE_OFN16909_FE_OFN16638_FE_OFN16462_FE_OFN16137_FE_OFN1674_n;
-   wire FE_OFN16908_FE_OFN16658_FE_OFN16471_FE_OFN16151_FE_OFN1742_n;
-   wire FE_OFN16906_data_wdata_5;
-   wire FE_OFN16905_FE_OFN16626_FE_OFN16533_FE_OFN16197_FE_OFN1686_n;
-   wire FE_OFN16904_FE_OFN16609_FE_OFN16464_FE_OFN16118_FE_OFN1673_n;
-   wire FE_OFN16903_FE_OFN16622_FE_OFN16531_FE_OFN16180_FE_OFN1685_n;
-   wire FE_OFN16902_FE_OFN16625_FE_OFN16529_FE_OFN16181_FE_OFN1662_n;
-   wire FE_OFN16901_FE_OFN16623_FE_OFN16530_FE_OFN16192_FE_OFN1687_n;
-   wire FE_OFN16898_FE_OFN16621_FE_OFN16448_FE_OFN16177_FE_OFN1713_n;
-   wire FE_OFN16897_FE_OFN612_instr_wdata_16;
-   wire FE_OFN16896_FE_OFN16620_FE_OFN16443_FE_OFN16154_FE_OFN1688_n;
-   wire FE_OFN16895_FE_OFN16627_FE_OFN16554_FE_OFN16444_FE_OFN16152_FE_OFN1690_n;
-   wire FE_OFN16894_FE_OFN16606_FE_OFN16445_FE_OFN16145_FE_OFN1664_n;
-   wire FE_OFN16893_FE_OFN16618_FE_OFN16446_FE_OFN16171_FE_OFN1689_n;
-   wire FE_OFN16892_FE_OFN16666_FE_OFN16432_FE_OFN16186_FE_OFN1720_n;
-   wire FE_OFN16891_FE_OFN16607_FE_OFN16431_FE_OFN16200_FE_OFN1719_n;
-   wire FE_OFN16890_FE_OFN16733_FE_OFN16628_FE_OFN16436_FE_OFN16156_FE_OFN1692_n;
-   wire FE_OFN16889_FE_OFN16674_FE_OFN16441_FE_OFN16179_FE_OFN1711_n;
-   wire FE_OFN16888_FE_OFN16610_FE_OFN16435_FE_OFN16149_FE_OFN1665_n;
-   wire FE_OFN16887_FE_OFN16616_FE_OFN16447_FE_OFN16205_FE_OFN1710_n;
-   wire FE_OFN16886_FE_OFN16675_FE_OFN16203_FE_OFN1712_n;
-   wire FE_OFN16885_FE_OFN16613_FE_OFN16442_FE_OFN16178_FE_OFN1718_n;
-   wire FE_OFN16884_FE_OFN16617_FE_OFN16558_FE_OFN16427_FE_OFN16251_n;
-   wire FE_OFN16883_FE_OFN16629_FE_OFN16555_FE_OFN16428_FE_OFN16147_FE_OFN1691_n;
-   wire FE_OFN16881_FE_OFN16608_FE_OFN16437_FE_OFN16146_FE_OFN1666_n;
-   wire FE_OFN16880_FE_OFN16735_FE_OFN16619_FE_OFN16451_FE_OFN16229_n;
-   wire FE_OFN16879_FE_OFN16611_FE_OFN16430_FE_OFN16169_FE_OFN1715_n;
-   wire FE_OFN16878_FE_OFN16704_n;
-   wire FE_OFN16877_FE_OFN16730_FE_OFN16605_FE_OFN16543_FE_OFN16429_FE_OFN16247_n;
-   wire FE_OFN16876_FE_OFN16614_FE_OFN16553_FE_OFN16433_FE_OFN16253_n;
-   wire FE_OFN16875_FE_OFN16615_FE_OFN16541_FE_OFN16438_FE_OFN16144_FE_OFN1663_n;
-   wire FE_OFN16874_FE_OFN16669_FE_OFN16434_FE_OFN16183_FE_OFN1721_n;
-   wire FE_OFN16873_FE_OFN16604_FE_OFN16556_FE_OFN16425_FE_OFN16165_FE_OFN1723_n;
-   wire FE_OFN16871_FE_OFN16705_n;
-   wire FE_OFN16870_FE_OFN16598_FE_OFN16509_n;
-   wire FE_OFN16869_FE_OFN16599_FE_OFN16508_n;
-   wire FE_OFN16868_FE_OFN16597_FE_OFN16412_FE_OFN16119_FE_OFN1670_n;
-   wire FE_OFN16867_FE_OFN16706_n;
-   wire FE_OFN16866_FE_OFN16727_FE_OFN16697_n;
-   wire FE_OFN16865_FE_OFN16596_FE_OFN16454_FE_OFN16198_FE_OFN1671_n;
-   wire FE_OFN16864_FE_OFN16600_FE_OFN16455_FE_OFN16195_FE_OFN1672_n;
-   wire FE_OFN16863_FE_OFN16699_n;
-   wire FE_OFN16862_FE_OFN16708_n;
-   wire FE_OFN16860_FE_OFN16710_n;
-   wire FE_OFN16859_FE_OFN16661_FE_OFN16550_FE_OFN16477_FE_OFN16139_FE_OFN1698_n;
-   wire FE_OFN16857_FE_OFN16703_n;
-   wire FE_OFN16855_FE_OFN16592_FE_OFN16476_FE_OFN16132_FE_OFN1694_n;
-   wire FE_OFN16854_FE_OFN16713_n;
-   wire FE_OFN16853_FE_OFN16612_FE_OFN16410_FE_OFN16124_FE_OFN1704_n;
-   wire FE_OFN16852_data_csb;
-   wire FE_OFN16851_FE_OFN16652_FE_OFN16408_FE_OFN16121_FE_OFN1703_n;
-   wire FE_OFN16849_FE_OFN16726_FE_OFN16501_n;
-   wire FE_OFN16847_FE_OFN16602_FE_OFN16405_FE_OFN16115_FE_OFN1708_n;
-   wire FE_OFN16846_FE_OFN16640_FE_OFN16520_n;
-   wire FE_OFN16844_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n;
-   wire FE_OFN16841_FE_OFN16685_n;
-   wire FE_OFN16840_FE_OFN16685_n;
-   wire FE_OCPN16837_n_11905;
-   wire FE_OCPN16836_n_11905;
-   wire FE_RN_251_0;
-   wire FE_OCPN16835_u_top_u_core_multdiv_operand_b_ex_0;
-   wire FE_OCPN16833_n_15717;
-   wire FE_OCPN16832_n_15699;
-   wire FE_RN_250_0;
-   wire FE_RN_249_0;
-   wire FE_RN_248_0;
-   wire FE_OCPN16831_n_13300;
-   wire FE_RN_247_0;
-   wire FE_RN_246_0;
-   wire FE_RN_245_0;
-   wire FE_RN_244_0;
-   wire FE_RN_243_0;
-   wire FE_RN_242_0;
-   wire FE_OCPN16830_n_15713;
-   wire FE_OCPN16829_n_15713;
-   wire FE_OCPN16828_n_15713;
-   wire FE_OCPN16827_FE_OFN1880_n_15831;
-   wire FE_OCPN16826_FE_OFN1880_n_15831;
-   wire FE_OCPN16825_FE_OFN1880_n_15831;
-   wire FE_RN_241_0;
-   wire FE_RN_240_0;
-   wire FE_RN_239_0;
-   wire FE_RN_238_0;
-   wire FE_RN_237_0;
-   wire FE_OCPN16824_n_13637;
-   wire FE_OCPN3496_n_13401;
-   wire FE_OCPN3494_n_13197;
-   wire FE_RN_234_0;
-   wire FE_RN_231_0;
-   wire FE_OCPN16823_n_15834;
-   wire FE_OCPN16822_n_11638;
-   wire FE_OCPN16821_n_11638;
-   wire FE_OCPN16820_n_11638;
-   wire FE_OCPN16819_n_11638;
-   wire FE_OCPN16818_n_11145;
-   wire FE_RN_229_0;
-   wire FE_RN_228_0;
-   wire FE_OCPN16817_n_15833;
-   wire FE_RN_227_0;
-   wire FE_OCPN16816_u_top_u_core_instr_rdata_id_17;
-   wire FE_RN_226_0;
-   wire FE_OCPN16814_n_10959;
-   wire FE_RN_225_0;
-   wire FE_RN_224_0;
-   wire FE_RN_223_0;
-   wire FE_OCPN16813_lsu_to_xbar_a_address__30;
-   wire FE_OFN16812_n_15710;
-   wire FE_OFN16811_n_15710;
-   wire FE_OFN16810_FE_RN_6_0;
-   wire FE_OFN16808_n;
-   wire FE_OFN16807_n;
-   wire FE_OFN16806_u_top_u_core_instr_rdata_id_29;
-   wire FE_OFN16805_n_11923;
-   wire FE_OFN16804_n;
-   wire FE_OFN16802_n_11553;
-   wire FE_OFN16801_n_11553;
-   wire FE_OFN16800_n_13653;
-   wire FE_OFN16798_u_top_u_core_instr_rdata_id_28;
-   wire FE_OFN16797_n;
-   wire FE_OFN16796_n_13701;
-   wire FE_OFN16795_n;
-   wire FE_OFN16794_n_13700;
-   wire FE_OFN16793_n_15710;
-   wire FE_OFN16792_n;
-   wire FE_OFN16791_n;
-   wire FE_OFN16790_n_11212;
-   wire FE_OFN16789_n_11551;
-   wire FE_OFN16787_xbar_to_dccm_a_address__5;
-   wire FE_OFN16783_n_285;
-   wire FE_OFN16780_n_10978;
-   wire FE_OFN16778_n_15825;
-   wire FE_OFN16777_n_15829;
-   wire FE_OFN16775_n;
-   wire FE_OFN16773_n_15833;
-   wire FE_OFN16770_n;
-   wire FE_OFN16769_lsu_to_xbar_a_address__16;
-   wire FE_OFN16768_u_top_u_core_instr_rdata_id_27;
-   wire FE_OFN16765_n;
-   wire FE_OFN16764_n_13640;
-   wire FE_OFN16763_u_top_u_core_instr_rdata_id_19;
-   wire FE_OFN16760_n_13307;
-   wire FE_OFN16759_n_15830;
-   wire FE_OFN16757_n;
-   wire FE_OFN16755_lsu_to_xbar_a_address__19;
-   wire FE_OFN16754_n_13641;
-   wire FE_OFN16752_dccm_adapter_data_weD;
-   wire FE_OFN16751_n_9951;
-   wire FE_OFN16750_n_12959;
-   wire FE_OFN16749_n_15827;
-   wire FE_OFN16748_n_15716;
-   wire FE_OFN16746_lsu_to_xbar_a_address__21;
-   wire FE_OFN16745_n_13656;
-   wire FE_OFN16744_u_top_u_core_instr_rdata_id_12;
-   wire FE_OFN16743_u_top_u_core_instr_rdata_id_30;
-   wire FE_OFN16742_n_11931;
-   wire FE_OFN16737_FE_OFN1669_n;
-   wire FE_OFN16724_n_9408;
-   wire FE_OFN16721_n_9398;
-   wire FE_OFN16720_n_1346;
-   wire CTS_100;
-   wire CTS_99;
-   wire CTS_98;
-   wire CTS_97;
-   wire CTS_96;
-   wire CTS_95;
-   wire CTS_94;
-   wire CTS_93;
-   wire CTS_92;
-   wire CTS_91;
-   wire CTS_89;
-   wire CTS_90;
-   wire CTS_88;
-   wire CTS_87;
-   wire CTS_86;
-   wire CTS_85;
-   wire CTS_84;
-   wire CTS_83;
-   wire CTS_82;
-   wire CTS_81;
-   wire CTS_80;
-   wire CTS_79;
-   wire CTS_78;
-   wire CTS_77;
-   wire CTS_76;
-   wire CTS_75;
-   wire CTS_74;
-   wire CTS_73;
-   wire CTS_72;
-   wire CTS_71;
-   wire CTS_70;
-   wire CTS_69;
-   wire CTS_68;
-   wire CTS_67;
-   wire CTS_66;
-   wire CTS_65;
-   wire CTS_64;
-   wire CTS_63;
-   wire CTS_62;
-   wire CTS_61;
-   wire CTS_60;
-   wire CTS_59;
-   wire CTS_58;
-   wire CTS_57;
-   wire CTS_56;
-   wire CTS_55;
-   wire CTS_54;
-   wire CTS_53;
-   wire CTS_52;
-   wire CTS_51;
-   wire CTS_50;
-   wire CTS_49;
-   wire CTS_48;
-   wire CTS_47;
-   wire CTS_46;
-   wire CTS_45;
-   wire CTS_44;
-   wire CTS_43;
-   wire CTS_42;
-   wire CTS_41;
-   wire CTS_40;
-   wire CTS_39;
-   wire CTS_38;
-   wire CTS_37;
-   wire CTS_36;
-   wire CTS_35;
-   wire CTS_34;
-   wire CTS_33;
-   wire CTS_32;
-   wire CTS_31;
-   wire CTS_30;
-   wire CTS_29;
-   wire CTS_28;
-   wire CTS_27;
-   wire CTS_26;
-   wire CTS_25;
-   wire CTS_24;
-   wire CTS_23;
-   wire CTS_22;
-   wire CTS_21;
-   wire CTS_20;
-   wire CTS_19;
-   wire CTS_18;
-   wire CTS_1;
-   wire CTS_6;
-   wire CTS_5;
-   wire CTS_4;
-   wire CTS_8;
-   wire CTS_3;
-   wire CTS_17;
-   wire CTS_16;
-   wire CTS_15;
-   wire CTS_14;
-   wire CTS_13;
-   wire CTS_12;
-   wire CTS_11;
-   wire CTS_10;
-   wire CTS_9;
-   wire CTS_7;
-   wire CTS_2;
-   wire FE_RN_222_0;
-   wire FE_OFN16719_n;
-   wire FE_OFN16718_n;
-   wire FE_OFN16703_n;
-   wire FE_OFN16701_n;
-   wire FE_OFN16694_n;
-   wire FE_OFN16687_n;
-   wire FE_OFN16682_n_9388;
-   wire FE_OFN16680_FE_OFN517_instr_wdata_31;
-   wire FE_OFN16679_n_9407;
-   wire FE_OFN16677_n_9406;
-   wire FE_OFN16673_FE_OFN16527_FE_OFN16173_FE_OFN1667_n;
-   wire FE_OFN16672_FE_OFN516_instr_wdata_31;
-   wire FE_OFN16648_FE_OFN16416_FE_OFN16131_FE_OFN1707_n;
-   wire FE_OFN16646_FE_OFN578_instr_wdata_21;
-   wire FE_OFN16595_FE_OFN599_instr_wdata_18;
-   wire FE_OFN16581_FE_OFN16155_FE_OFN1741_n;
-   wire FE_OFN16579_FE_OFN16228_n;
-   wire FE_RN_221_0;
-   wire FE_RN_16;
-   wire FE_RN_218_0;
-   wire FE_RN_217_0;
-   wire FE_RN_216_0;
-   wire FE_RN_215_0;
-   wire FE_RN_214_0;
-   wire FE_RN_213_0;
-   wire FE_RN_212_0;
-   wire FE_RN_211_0;
-   wire FE_RN_210_0;
-   wire FE_RN_209_0;
-   wire FE_RN_208_0;
-   wire FE_RN_207_0;
-   wire FE_RN_206_0;
-   wire FE_RN_205_0;
-   wire FE_RN_204_0;
-   wire FE_RN_203_0;
-   wire FE_RN_202_0;
-   wire FE_RN_201_0;
-   wire FE_RN_200_0;
-   wire FE_RN_199_0;
-   wire FE_RN_198_0;
-   wire FE_RN_197_0;
-   wire FE_RN_196_0;
-   wire FE_RN_195_0;
-   wire FE_RN_194_0;
-   wire FE_RN_193_0;
-   wire FE_RN_192_0;
-   wire FE_RN_191_0;
-   wire FE_RN_190_0;
-   wire FE_RN_189_0;
-   wire FE_RN_188_0;
-   wire FE_RN_187_0;
-   wire FE_RN_186_0;
-   wire FE_RN_185_0;
-   wire FE_RN_184_0;
-   wire FE_RN_183_0;
-   wire FE_RN_182_0;
-   wire FE_OCPN16574_n_11215;
-   wire FE_OCPN16573_FE_OFN825_lsu_to_xbar_a_address__29;
-   wire FE_OCPN16572_instr_addr_8;
-   wire FE_RN_181_0;
-   wire FE_RN_180_0;
-   wire FE_RN_178_0;
-   wire FE_OCPN16570_instr_addr_9;
-   wire FE_OCPN16569_FE_RN_8;
-   wire FE_RN_177_0;
-   wire FE_RN_176_0;
-   wire FE_RN_175_0;
-   wire FE_RN_174_0;
-   wire FE_RN_173_0;
-   wire FE_RN_172_0;
-   wire FE_RN_169_0;
-   wire FE_RN_168_0;
-   wire FE_OCPN16567_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162;
-   wire FE_RN_166_0;
-   wire FE_OCPN16565_u_top_u_core_instr_rdata_id_15;
-   wire FE_OFN16561_n_3385;
-   wire FE_OFN16559_n_3386;
-   wire FE_OFN16551_FE_OFN1678_n;
-   wire FE_OFN16547_n_10948;
-   wire FE_OFN16546_n_10952;
-   wire FE_OFN16539_FE_OFN16242_n;
-   wire FE_OFN16534_FE_OFN16191_FE_OFN1734_n;
-   wire FE_OFN16526_n_9227;
-   wire FE_OFN16505_n;
-   wire FE_OFN16456_data_we;
-   wire FE_OFN16449_FE_OFN244_data_wdata_29;
-   wire FE_OFN16423_FE_OFN585_instr_wdata_20;
-   wire FE_OFN16416_FE_OFN16131_FE_OFN1707_n;
-   wire FE_OFN16395_FE_OFN16102_FE_OFN871_data_wmask_2;
-   wire FE_OFN16394_FE_OFN16102_FE_OFN871_data_wmask_2;
-   wire FE_RN_165_0;
-   wire FE_OCPN16389_n_15715;
-   wire FE_RN_15;
-   wire FE_RN_164_0;
-   wire FE_RN_13;
-   wire FE_OCPN16384_n_11612;
-   wire FE_RN_12;
-   wire FE_OCPN16381_FE_OFN16018_n_15726;
-   wire FE_OCPN16379_FE_OFN16018_n_15726;
-   wire FE_OCPN16377_n_15702;
-   wire FE_OCPN16375_n_15702;
-   wire FE_OCPN16374_n_15702;
-   wire FE_OCPN16372_FE_OFN1879_n_15826;
-   wire FE_OCPN16370_FE_OFN1879_n_15826;
-   wire FE_OCPN16362_n_15717;
-   wire FE_OCPN16360_n_11610;
-   wire FE_OCPN16353_FE_OFN15994_n_15713;
-   wire FE_OCPN16350_n_15701;
-   wire FE_OCPN16349_n_15701;
-   wire FE_OCPN16348_n_15701;
-   wire FE_OCPN16344_n_11633;
-   wire FE_OCPN16341_n_13306;
-   wire FE_OCPN16340_n_15830;
-   wire FE_OCPN16339_n_15830;
-   wire FE_OCPN16338_FE_OFN1034_n_11550;
-   wire FE_OCPN16335_n_13299;
-   wire FE_OCPN16331_n_11931;
-   wire FE_OCPN16324_n_13301;
-   wire FE_OCPN16323_n_15827;
-   wire FE_OCPN16318_n_15702;
-   wire FE_OCPN16317_n_11633;
-   wire FE_RN_163_0;
-   wire FE_RN_161_0;
-   wire FE_RN_160_0;
-   wire FE_RN_159_0;
-   wire FE_OCPN16314_u_top_u_core_instr_rdata_id_16;
-   wire FE_OCPN16312_n_11554;
-   wire FE_OCPN16311_n_11554;
-   wire FE_OCPN16306_n_15698;
-   wire FE_OCPN16296_n_11907;
-   wire FE_OCPN16294_n_11907;
-   wire FE_OCPN16292_n_15727;
-   wire FE_OCPN16287_n_13302;
-   wire FE_OCPN16286_u_top_u_core_alu_operand_b_ex_2;
-   wire FE_OCPN16282_n_11639;
-   wire FE_OCPN16278_n_15690;
-   wire FE_OCPN16273_FE_OFN15989_n_15734;
-   wire FE_RN_158_0;
-   wire FE_RN_11;
-   wire FE_RN_10;
-   wire FE_RN_157_0;
-   wire FE_RN_8;
-   wire FE_RN_7;
-   wire FE_RN_6;
-   wire FE_RN_5;
-   wire FE_RN_4;
-   wire FE_RN_3;
-   wire FE_RN_2;
-   wire FE_RN_156_0;
-   wire FE_RN_155_0;
-   wire FE_RN_154_0;
-   wire FE_RN_153_0;
-   wire FE_RN_152_0;
-   wire FE_RN_151_0;
-   wire FE_RN_150_0;
-   wire FE_OCPN16268_FE_OFN16050_u_top_u_core_instr_rdata_id_21;
-   wire FE_RN_1;
-   wire FE_RN_149_0;
-   wire FE_RN_148_0;
-   wire FE_RN_147_0;
-   wire FE_RN_146_0;
-   wire FE_RN_145_0;
-   wire FE_RN_144_0;
-   wire FE_RN_143_0;
-   wire FE_RN_142_0;
-   wire FE_RN_139_0;
-   wire FE_RN_138_0;
-   wire FE_RN_137_0;
-   wire FE_RN_136_0;
-   wire FE_RN_135_0;
-   wire FE_RN_133_0;
-   wire FE_RN_132_0;
-   wire FE_RN_131_0;
-   wire FE_RN_130_0;
-   wire FE_RN_129_0;
-   wire FE_RN_128_0;
-   wire FE_RN_127_0;
-   wire FE_RN_126_0;
-   wire FE_RN_125_0;
-   wire FE_OCPN16265_u_top_u_core_instr_rdata_id_22;
-   wire FE_RN_123_0;
-   wire FE_RN_122_0;
-   wire FE_RN_121_0;
-   wire FE_RN_120_0;
-   wire FE_RN_119_0;
-   wire FE_RN_118_0;
-   wire FE_RN_117_0;
-   wire FE_RN_112_0;
-   wire FE_RN_111_0;
-   wire FE_RN_110_0;
-   wire FE_OCPN16264_n_15834;
-   wire FE_RN_109_0;
-   wire FE_RN_108_0;
-   wire FE_RN_107_0;
-   wire FE_RN_106_0;
-   wire FE_RN_105_0;
-   wire FE_RN_104_0;
-   wire FE_OCPN16262_n_13193;
-   wire FE_RN_103_0;
-   wire FE_OCPN16261_n_13635;
-   wire FE_RN_102_0;
-   wire FE_RN_101_0;
-   wire FE_RN_100_0;
-   wire FE_RN_99_0;
-   wire FE_RN_98_0;
-   wire FE_RN_96_0;
-   wire FE_RN_95_0;
-   wire FE_RN_94_0;
-   wire FE_RN_93_0;
-   wire FE_RN_92_0;
-   wire FE_RN_91_0;
-   wire FE_RN_90_0;
-   wire FE_RN_89_0;
-   wire FE_RN_88_0;
-   wire FE_RN_87_0;
-   wire FE_RN_86_0;
-   wire FE_RN_85_0;
-   wire FE_RN_84_0;
-   wire FE_RN_83_0;
-   wire FE_RN_82_0;
-   wire FE_RN_81_0;
-   wire FE_RN_80_0;
-   wire FE_RN_79_0;
-   wire FE_RN_78_0;
-   wire FE_RN_77_0;
-   wire FE_RN_76_0;
-   wire FE_RN_75_0;
-   wire FE_RN_74_0;
-   wire FE_RN_73_0;
-   wire FE_RN_72_0;
-   wire FE_RN_71_0;
-   wire FE_RN_70_0;
-   wire FE_RN_69_0;
-   wire FE_RN_67_0;
-   wire FE_RN_66_0;
-   wire FE_RN_65_0;
-   wire FE_RN_64_0;
-   wire FE_RN_63_0;
-   wire FE_RN_62_0;
-   wire FE_RN_61_0;
-   wire FE_RN_60_0;
-   wire FE_RN_59_0;
-   wire FE_RN_58_0;
-   wire FE_RN_57_0;
-   wire FE_RN_56_0;
-   wire FE_RN_54_0;
-   wire FE_RN_53_0;
-   wire FE_RN_52_0;
-   wire FE_RN_51_0;
-   wire FE_RN_50_0;
-   wire FE_RN_49_0;
-   wire FE_RN_48_0;
-   wire FE_RN_47_0;
-   wire FE_RN_46_0;
-   wire FE_RN_45_0;
-   wire FE_RN_44_0;
-   wire FE_RN_43_0;
-   wire FE_RN_42_0;
-   wire FE_RN_41_0;
-   wire FE_RN_40_0;
-   wire FE_RN_38_0;
-   wire FE_RN_37_0;
-   wire FE_RN_36_0;
-   wire FE_RN_35_0;
-   wire FE_RN_34_0;
-   wire FE_RN_33_0;
-   wire FE_RN_32_0;
-   wire FE_RN_31_0;
-   wire FE_RN_30_0;
-   wire FE_RN_29_0;
-   wire FE_RN_28_0;
-   wire FE_RN_27_0;
-   wire FE_RN_26_0;
-   wire FE_RN_25_0;
-   wire FE_RN_24_0;
-   wire FE_RN_23_0;
-   wire FE_RN_22_0;
-   wire FE_RN_21_0;
-   wire FE_RN_20_0;
-   wire FE_RN_19_0;
-   wire FE_RN_18_0;
-   wire FE_RN_17_0;
-   wire FE_RN_16_0;
-   wire FE_RN_15_0;
-   wire FE_RN_14_0;
-   wire FE_RN_13_0;
-   wire FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set;
-   wire FE_RN_12_0;
-   wire FE_RN_11_0;
-   wire FE_RN_10_0;
-   wire FE_RN_9_0;
-   wire FE_RN_8_0;
-   wire FE_RN_7_0;
-   wire FE_RN_6_0;
-   wire FE_RN_5_0;
-   wire FE_RN_4_0;
-   wire FE_RN_3_0;
-   wire FE_RN_2_0;
-   wire FE_RN_1_0;
-   wire FE_RN_0_0;
-   wire FE_OFN16230_n;
-   wire FE_OFN16219_n_10954;
-   wire FE_OFN16217_n_4527;
-   wire FE_OFN16209_FE_OFN1682_n;
-   wire FE_OFN16194_n_3381;
-   wire FE_OFN16185_FE_OFN1733_n;
-   wire FE_OFN16175_n_10951;
-   wire FE_OFN16174_n_10955;
-   wire FE_OFN16161_n_10634;
-   wire FE_OFN16159_n_10950;
-   wire FE_OFN16153_n_3499;
-   wire FE_OFN16150_FE_OFN245_data_wdata_29;
-   wire FE_OFN16141_n_1722;
-   wire FE_OFN16140_instr_wdata_24;
-   wire FE_OFN16134_n_10258;
-   wire FE_OFN16125_n_10337;
-   wire FE_OFN16120_n_16023;
-   wire FE_OFN16110_instr_wdata_13;
-   wire FE_OFN16109_instr_wdata_10;
-   wire FE_OFN16108_instr_wdata_14;
-   wire FE_OFN16106_instr_wdata_11;
-   wire FE_OFN16105_instr_wdata_12;
-   wire FE_OFN16104_instr_wdata_26;
-   wire FE_OFN16098_FE_OFN1738_n;
-   wire FE_OFN16097_FE_OFN1738_n;
-   wire FE_OFN16095_FE_OFN889_instr_wmask_0;
-   wire FE_OFN16094_FE_OFN889_instr_wmask_0;
-   wire FE_OFN16093_FE_OFN890_instr_wmask_0;
-   wire FE_OFN16087_n_15712;
-   wire FE_OFN16085_n_15692;
-   wire FE_OFN16084_n;
-   wire FE_OFN16083_n;
-   wire FE_OFN16080_n;
-   wire FE_OFN16079_n;
-   wire FE_OFN16078_n_11585;
-   wire FE_OFN16077_n;
-   wire FE_OFN16076_n_15700;
-   wire FE_OFN16075_n;
-   wire FE_OFN16072_n_13657;
-   wire FE_OFN16069_n_11380;
-   wire FE_OFN16068_n;
-   wire FE_OFN16067_n_15703;
-   wire FE_OFN16066_n_15693;
-   wire FE_OFN16063_n_11931;
-   wire FE_OFN16062_n_15694;
-   wire FE_OFN16061_n_15700;
-   wire FE_OFN16059_n_15701;
-   wire FE_OFN16058_n_15701;
-   wire FE_OFN16056_n;
-   wire FE_OFN16054_n_15698;
-   wire FE_OFN16052_n_15695;
-   wire FE_OFN16051_n_11145;
-   wire FE_OFN16049_n_15833;
-   wire FE_OFN16047_n_15833;
-   wire FE_OFN16046_n_11904;
-   wire FE_OFN16045_n_11904;
-   wire FE_OFN16044_n_11358;
-   wire FE_OFN16042_n_15714;
-   wire FE_OFN16041_n_15714;
-   wire FE_OFN16040_u_top_u_core_instr_rdata_id_4;
-   wire FE_OFN16039_n_11638;
-   wire FE_OFN16037_n_11613;
-   wire FE_OFN16035_u_top_u_core_instr_rdata_id_13;
-   wire FE_OFN16034_n_15695;
-   wire FE_OFN16033_n_15716;
-   wire FE_OFN16032_n;
-   wire FE_OFN16031_n;
-   wire FE_OFN16028_n_15696;
-   wire FE_OFN16027_n_15830;
-   wire FE_OFN16026_n_11905;
-   wire FE_OFN16024_n_11612;
-   wire FE_OFN16023_n_15825;
-   wire FE_OFN16022_n_15706;
-   wire FE_OFN16021_n_15706;
-   wire FE_OFN16020_n_11902;
-   wire FE_OFN16019_n_15717;
-   wire FE_OFN16018_n_15726;
-   wire FE_OFN16016_n_11642;
-   wire FE_OFN16015_n_11642;
-   wire FE_OFN16013_n_15715;
-   wire FE_OFN16012_n_15707;
-   wire FE_OFN16011_n_11639;
-   wire FE_OFN16009_n_15695;
-   wire FE_OFN16008_n_11610;
-   wire FE_OFN16007_n_11633;
-   wire FE_OFN16006_n_11613;
-   wire FE_OFN16005_n_11638;
-   wire FE_OFN16003_u_top_u_core_instr_rdata_id_14;
-   wire FE_OFN15998_u_top_u_core_instr_rdata_id_14;
-   wire FE_OFN15997_n_11390;
-   wire FE_OFN15996_n_15691;
-   wire FE_OFN15994_n_15713;
-   wire FE_OFN15991_n_15734;
-   wire FE_OFN15990_n_15734;
-   wire FE_OFN15989_n_15734;
-   wire FE_OFN15988_n_15690;
-   wire FE_OFN15987_n_13642;
-   wire FE_OFN15986_u_top_u_core_alu_operand_b_ex_10;
-   wire FE_OFN15985_u_top_u_core_alu_operand_b_ex_6;
-   wire FE_OFN15981_n_15708;
-   wire FE_OFN15980_n_11390;
-   wire FE_OFN15979_n_15705;
-   wire FE_OFN15978_n_12195;
-   wire FE_OFN15976_n_15734;
-   wire FE_OFN15974_n_15704;
-   wire FE_OFN15973_n_15688;
-   wire FE_OFN15971_n_13639;
-   wire FE_OFN15970_u_top_u_core_alu_operand_b_ex_5;
-   wire FE_OFN15969_n_15691;
-   wire FE_OFN15968_u_top_u_core_alu_operand_b_ex_7;
-   wire FE_OFN15967_n_15711;
-   wire FE_OFN15966_lsu_to_xbar_a_address__18;
-   wire FE_OFN15965_n_13636;
-   wire FE_OFN15964_n_10509;
-   wire FE_OFN15963_n_10508;
-   wire FE_OFN15962_n_15700;
-   wire FE_OFN15961_n_15697;
-   wire FE_OFN15960_n_13638;
-   wire FE_OFN15959_n_10506;
-   wire FE_OFN15958_n_10504;
-   wire FE_OFN15957_n_15699;
-   wire FE_OFN15955_lsu_to_xbar_a_address__17;
-   wire FE_OFN15954_n_11552;
-   wire FE_OFN15946_n_11437;
-   wire FE_OFN15943_n_12506;
-   wire FE_OFN15939_n_13403;
-   wire FE_OFN15937_u_top_u_core_rf_waddr_wb_4;
-   wire FE_OFN15934_u_top_u_core_id_stage_i_bt_b_mux_sel_1;
-   wire FE_OFN15932_n_11438;
-   wire FE_OFN15929_n_766;
-   wire FE_OFN15925_n_11503;
-   wire FE_OFN15924_n_13199;
-   wire FE_OFN15922_u_top_u_core_rf_waddr_wb_0;
-   wire FE_OFN15920_n_15732;
-   wire FE_OFN15919_n_11505;
-   wire FE_OFN15918_n_15709;
-   wire FE_OFN15914_n_11078;
-   wire FE_OFN15905_n_11923;
-   wire FE_OFN15902_n_11355;
-   wire FE_OFN15871_n_10965;
-   wire FE_OFN15868_n_11501;
-   wire FE_OFN15862_n_13651;
-   wire FE_OFN15861_u_top_u_core_alu_operand_b_ex_11;
-   wire FE_OFN15860_u_iccm_n_193;
-   wire FE_OFN15858_n;
-   wire FE_OFN15855_n_13646;
-   wire FE_OFN15854_u_top_u_core_alu_operand_b_ex_8;
-   wire FE_OFN15848_n;
-   wire FE_OFN15846_n_15689;
-   wire FE_OFN15845_n_13642;
-   wire FE_OFN15842_n_13655;
-   wire FE_OFN15841_n_10772;
-   wire FE_OFN15838_n_13650;
-   wire FE_OFN15833_n_13635;
-   wire FE_OFN15831_u_top_u_core_instr_rdata_id_18;
-   wire FE_OFN15827_n_13654;
-   wire FE_OFN13732_n_15710;
-   wire FE_OFN13730_n_10980;
-   wire FE_OFN13728_n;
-   wire FE_OFN13726_n_10312;
-   wire FE_OFN13725_n_15686;
-   wire FE_OFN13709_u_top_u_core_instr_rdata_id_16;
-   wire FE_OFN13708_n;
-   wire FE_OFN5765_n_10318;
-   wire FE_OFN5764_n_10507;
-   wire FE_OFN5763_lsu_to_xbar_a_address__31;
-   wire FE_OFN5762_iccm_adapter_inst_mem_wmask_int_0__30;
-   wire FE_OFN5759_n;
-   wire FE_OFN1887_n_13310;
-   wire FE_OFN1886_n_13712;
-   wire FE_OFN1885_n;
-   wire FE_OFN1880_n_15831;
-   wire FE_OFN1876_n_15832;
-   wire FE_OFN1874_ifu_to_xbar_a_address__6;
-   wire FE_OFN1873_u_top_u_core_pc_set;
-   wire FE_OFN1872_u_top_u_core_instr_rdata_id_24;
-   wire FE_OFN1870_u_top_u_core_instr_rdata_id_20;
-   wire FE_OFN1869_n_10795;
-   wire FE_OFN1868_n_10795;
-   wire FE_OFN1865_u_top_u_core_instr_rdata_id_4;
-   wire FE_OFN1863_n_11276;
-   wire FE_OFN1862_n_10832;
-   wire FE_OFN1861_n_10832;
-   wire FE_OFN1859_u_top_u_core_rf_waddr_wb_4;
-   wire FE_OFN1858_xbar_to_dccm_a_address__11;
-   wire FE_OFN1857_n_11392;
-   wire FE_OFN1854_n_8218;
-   wire FE_OFN1852_u_top_u_core_rf_waddr_wb_2;
-   wire FE_OFN1851_u_top_u_core_instr_rdata_id_26;
-   wire FE_OFN1850_u_top_u_core_instr_rdata_id_26;
-   wire FE_OFN1848_n_10794;
-   wire FE_OFN1847_n_10794;
-   wire FE_OFN1846_lsu_to_xbar_a_address__24;
-   wire FE_OFN1845_n_8223;
-   wire FE_OFN1842_u_top_u_core_pc_id_7;
-   wire FE_OFN1840_n_4539;
-   wire FE_OFN1839_n_4553;
-   wire FE_OFN1835_u_top_u_core_pc_id_8;
-   wire FE_OFN1833_n_9166;
-   wire FE_OFN1832_xbar_to_dccm_a_address__4;
-   wire FE_OFN1830_n_15739;
-   wire FE_OFN1829_n_8214;
-   wire FE_OFN1827_n_8225;
-   wire FE_OFN1825_u_top_u_core_pc_id_9;
-   wire FE_OFN1824_n_9310;
-   wire FE_OFN1821_lsu_to_xbar_a_address__15;
-   wire FE_OFN1818_n_4545;
-   wire FE_OFN1816_n_8239;
-   wire FE_OFN1815_u_top_u_core_alu_operator_ex_2;
-   wire FE_OFN1814_u_top_u_core_instr_rdata_id_13;
-   wire FE_OFN1813_n_8986;
-   wire FE_OFN1812_u_iccm_n_193;
-   wire FE_OFN1811_n_12196;
-   wire FE_OFN1810_u_top_u_core_instr_rdata_id_30;
-   wire FE_OFN1808_n_198;
-   wire FE_OFN1807_n_198;
-   wire FE_OFN1805_n_12506;
-   wire FE_OFN1803_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36;
-   wire FE_OFN1802_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2;
-   wire FE_OFN1801_n_4922;
-   wire FE_OFN1800_n_4922;
-   wire FE_OFN1799_n_9155;
-   wire FE_OFN1798_n_9158;
-   wire FE_OFN1797_n_4928;
-   wire FE_OFN1796_n_4928;
-   wire FE_OFN1795_n_4924;
-   wire FE_OFN1794_n_4924;
-   wire FE_OFN1793_n_288;
-   wire FE_OFN1792_n_8201;
-   wire FE_OFN1791_n_271;
-   wire FE_OFN1790_lsu_to_xbar_a_address__19;
-   wire FE_OFN1788_n_9008;
-   wire FE_OFN1787_n_9008;
-   wire FE_OFN1786_n_8974;
-   wire FE_OFN1784_n_11130;
-   wire FE_OFN1783_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169;
-   wire FE_OFN1782_n_5758;
-   wire FE_OFN1781_n_5758;
-   wire FE_OFN1776_n_13259;
-   wire FE_OFN1774_n_623;
-   wire FE_OFN1771_n;
-   wire FE_OFN1769_u_tcam_rdata_0;
-   wire FE_OFN1757_n;
-   wire FE_OFN1756_n;
-   wire FE_OFN1755_n;
-   wire FE_OFN1754_n;
-   wire FE_OFN1753_n;
-   wire FE_OFN1752_n;
-   wire FE_OFN1751_n;
-   wire FE_OFN1735_n;
-   wire FE_OFN1732_n;
-   wire FE_OFN1660_n;
-   wire FE_OFN1650_n_10636;
-   wire FE_OFN1649_n_3262;
-   wire FE_OFN1648_n_3261;
-   wire FE_OFN1647_n_2139;
-   wire FE_OFN1646_n_1670;
-   wire FE_OFN1645_n_1669;
-   wire FE_OFN1625_n_10705;
-   wire FE_OFN1624_n_10638;
-   wire FE_OFN1623_n_9245;
-   wire FE_OFN1622_n_2140;
-   wire FE_OFN1621_n_1979;
-   wire FE_OFN1620_n_1975;
-   wire FE_OFN1619_n_1682;
-   wire FE_OFN1618_n_1243;
-   wire FE_OFN1617_n_1061;
-   wire FE_OFN1616_n_1060;
-   wire FE_OFN1606_n_9234;
-   wire FE_OFN1605_n_9233;
-   wire FE_OFN1601_n_9137;
-   wire FE_OFN1599_n_9102;
-   wire FE_OFN1598_n_8996;
-   wire FE_OFN1597_n_8990;
-   wire FE_OFN1595_n_8978;
-   wire FE_OFN1594_n_8967;
-   wire FE_OFN1593_n_8631;
-   wire FE_OFN1592_n_8630;
-   wire FE_OFN1591_n_8581;
-   wire FE_OFN1590_n_8579;
-   wire FE_OFN1589_n_8577;
-   wire FE_OFN1588_n_8575;
-   wire FE_OFN1587_n_8573;
-   wire FE_OFN1586_n_8283;
-   wire FE_OFN1585_n_8282;
-   wire FE_OFN1584_n_8281;
-   wire FE_OFN1583_n_6561;
-   wire FE_OFN1582_n_4267;
-   wire FE_OFN1581_n_3032;
-   wire FE_OFN1580_n_2147;
-   wire FE_OFN1579_n_1971;
-   wire FE_OFN1578_n_1726;
-   wire FE_OFN1577_n_1239;
-   wire FE_OFN1576_n_1237;
-   wire FE_OFN1575_n_1235;
-   wire FE_OFN1574_n_1233;
-   wire FE_OFN1573_n_1233;
-   wire FE_OFN1572_n_1057;
-   wire FE_OFN1571_n_1055;
-   wire FE_OFN1570_n_1053;
-   wire FE_OFN1569_n_1053;
-   wire FE_OFN1568_xbar_to_dccm_a_data__0;
-   wire FE_OFN1566_xbar_to_dccm_a_data__4;
-   wire FE_OFN1555_n_16022;
-   wire FE_OFN1554_n_15782;
-   wire FE_OFN1553_n_13721;
-   wire FE_OFN1552_n_13720;
-   wire FE_OFN1551_n_13719;
-   wire FE_OFN1550_n_13717;
-   wire FE_OFN1549_n_13716;
-   wire FE_OFN1548_n_13715;
-   wire FE_OFN1547_n_13714;
-   wire FE_OFN1546_n_13713;
-   wire FE_OFN1545_n_13707;
-   wire FE_OFN1544_n_13656;
-   wire FE_OFN1542_n_13654;
-   wire FE_OFN1540_n_13647;
-   wire FE_OFN1538_n_13644;
-   wire FE_OFN1535_n_13056;
-   wire FE_OFN1534_n_11331;
-   wire FE_OFN1531_n_10439;
-   wire FE_OFN1530_n_10386;
-   wire FE_OFN1529_n_10385;
-   wire FE_OFN1528_n_10355;
-   wire FE_OFN1527_n_10353;
-   wire FE_OFN1526_n_10351;
-   wire FE_OFN1525_n_10349;
-   wire FE_OFN1524_n_10345;
-   wire FE_OFN1523_n_10343;
-   wire FE_OFN1521_n_10335;
-   wire FE_OFN1520_n_10333;
-   wire FE_OFN1519_n_10329;
-   wire FE_OFN1518_n_10327;
-   wire FE_OFN1517_n_10325;
-   wire FE_OFN1516_n_10324;
-   wire FE_OFN1515_n_10323;
-   wire FE_OFN1514_n_10322;
-   wire FE_OFN1513_n_10321;
-   wire FE_OFN1512_n_10320;
-   wire FE_OFN1511_n_10319;
-   wire FE_OFN1509_n_10317;
-   wire FE_OFN1508_n_10316;
-   wire FE_OFN1507_n_10315;
-   wire FE_OFN1506_n_10314;
-   wire FE_OFN1505_n_10313;
-   wire FE_OFN1503_n_10311;
-   wire FE_OFN1502_n_10310;
-   wire FE_OFN1501_n_10309;
-   wire FE_OFN1500_n_10308;
-   wire FE_OFN1499_n_10307;
-   wire FE_OFN1498_n_10306;
-   wire FE_OFN1497_n_10262;
-   wire FE_OFN1496_n_10260;
-   wire FE_OFN1494_n_10256;
-   wire FE_OFN1492_n_10250;
-   wire FE_OFN1491_n_10194;
-   wire FE_OFN1490_n_10192;
-   wire FE_OFN1488_n_10106;
-   wire FE_OFN1487_n_10025;
-   wire FE_OFN1486_n_10023;
-   wire FE_OFN1484_n_9943;
-   wire FE_OFN1483_n_9881;
-   wire FE_OFN1482_n_9879;
-   wire FE_OFN1481_n_9780;
-   wire FE_OFN1480_n_9543;
-   wire FE_OFN1479_n_9000;
-   wire FE_OFN1478_n_8999;
-   wire FE_OFN1477_n_8993;
-   wire FE_OFN1476_n_8976;
-   wire FE_OFN1475_n_8245;
-   wire FE_OFN1474_n_8220;
-   wire FE_OFN1473_n_8216;
-   wire FE_OFN1472_n_8212;
-   wire FE_OFN1471_n_4495;
-   wire FE_OFN1470_n_4260;
-   wire FE_OFN1469_n_3412;
-   wire FE_OFN1468_n_3391;
-   wire FE_OFN1466_n_3387;
-   wire FE_OFN1464_n_3383;
-   wire FE_OFN1463_n_3374;
-   wire FE_OFN1461_n_1240;
-   wire FE_OFN1460_n_1240;
-   wire FE_OFN1459_n_1240;
-   wire FE_OFN1458_n_1238;
-   wire FE_OFN1457_n_1238;
-   wire FE_OFN1456_n_1238;
-   wire FE_OFN1455_n_1236;
-   wire FE_OFN1454_n_1236;
-   wire FE_OFN1453_n_1236;
-   wire FE_OFN1452_n_1234;
-   wire FE_OFN1451_n_1234;
-   wire FE_OFN1450_n_1234;
-   wire FE_OFN1449_n_1058;
-   wire FE_OFN1448_n_1058;
-   wire FE_OFN1447_n_1058;
-   wire FE_OFN1446_n_1058;
-   wire FE_OFN1445_n_1056;
-   wire FE_OFN1444_n_1056;
-   wire FE_OFN1443_n_1056;
-   wire FE_OFN1442_n_1054;
-   wire FE_OFN1441_n_1054;
-   wire FE_OFN1440_n_1054;
-   wire FE_OFN1437_xbar_to_dccm_a_data__7;
-   wire FE_OFN1388_u_top_u_core_id_stage_i_rf_ren_a;
-   wire FE_OFN1386_u_iccm_csb2;
-   wire FE_OFN1385_u_iccm_csb1;
-   wire FE_OFN1384_u_dccm_csb3;
-   wire FE_OFN1383_u_dccm_csb3;
-   wire FE_OFN1382_u_dccm_csb1;
-   wire FE_OFN1379_n_15714;
-   wire FE_OFN1377_n_13660;
-   wire FE_OFN1376_n_13659;
-   wire FE_OFN1373_n_13648;
-   wire FE_OFN1372_n_13643;
-   wire FE_OFN1371_n_11061;
-   wire FE_OFN1369_n_10949;
-   wire FE_OFN1368_n_10792;
-   wire FE_OFN1367_n_10791;
-   wire FE_OFN1366_n_10772;
-   wire FE_OFN1365_n_10762;
-   wire FE_OFN1364_n_10251;
-   wire FE_OFN1360_n_9404;
-   wire FE_OFN1359_n_9403;
-   wire FE_OFN1358_n_9401;
-   wire FE_OFN1357_n_9400;
-   wire FE_OFN1350_n_9205;
-   wire FE_OFN1348_n_8997;
-   wire FE_OFN1347_n_8992;
-   wire FE_OFN1346_n_8988;
-   wire FE_OFN1345_n_8984;
-   wire FE_OFN1344_n_8982;
-   wire FE_OFN1343_n_8980;
-   wire FE_OFN1342_n_8823;
-   wire FE_OFN1341_n_8571;
-   wire FE_OFN1340_n_8279;
-   wire FE_OFN1339_n_8241;
-   wire FE_OFN1338_n_8239;
-   wire FE_OFN1337_n_8237;
-   wire FE_OFN1336_n_8233;
-   wire FE_OFN1335_n_8229;
-   wire FE_OFN1333_n_8198;
-   wire FE_OFN1332_n_5791;
-   wire FE_OFN1331_n_4941;
-   wire FE_OFN1330_n_4555;
-   wire FE_OFN1329_n_4549;
-   wire FE_OFN1328_n_4547;
-   wire FE_OFN1326_n_4543;
-   wire FE_OFN1325_n_4541;
-   wire FE_OFN1324_n_4537;
-   wire FE_OFN1323_n_4533;
-   wire FE_OFN1322_n_4531;
-   wire FE_OFN1320_n_4272;
-   wire FE_OFN1319_n_3737;
-   wire FE_OFN1318_n_3389;
-   wire FE_OFN1314_n_3377;
-   wire FE_OFN1313_n_3373;
-   wire FE_OFN1312_n_2269;
-   wire FE_OFN1311_n_2183;
-   wire FE_OFN1310_n_2148;
-   wire FE_OFN1309_n_1727;
-   wire FE_OFN1308_n_1676;
-   wire FE_OFN1307_n_1249;
-   wire FE_OFN1306_n_1247;
-   wire FE_OFN1305_n_1245;
-   wire FE_OFN1296_u_iccm_addr2_0;
-   wire FE_OFN1295_u_iccm_addr2_0;
-   wire FE_OFN1289_u_iccm_addr2_5;
-   wire FE_OFN1287_u_iccm_addr2_6;
-   wire FE_OFN1283_u_iccm_addr3_0;
-   wire FE_OFN1282_u_iccm_addr3_1;
-   wire FE_OFN1281_u_iccm_addr3_1;
-   wire FE_OFN1277_u_iccm_addr3_4;
-   wire FE_OFN1276_u_iccm_addr3_5;
-   wire FE_OFN1275_u_iccm_addr3_5;
-   wire FE_OFN1273_u_iccm_addr3_6;
-   wire FE_OFN1242_u_dccm_addr3_7;
-   wire FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr;
-   wire FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr;
-   wire FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr;
-   wire FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr;
-   wire FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr;
-   wire FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr;
-   wire FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr;
-   wire FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr;
-   wire FE_OFN1064_u_top_u_core_instr_req_int;
-   wire FE_OFN1062_u_top_data_we;
-   wire FE_OFN1061_n_16024;
-   wire FE_OFN1060_n_16023;
-   wire FE_OFN1059_n_15841;
-   wire FE_OFN1058_n_15839;
-   wire FE_OFN1056_n_15831;
-   wire FE_OFN1055_n_15826;
-   wire FE_OFN1054_n_15788;
-   wire FE_OFN1053_n_15764;
-   wire FE_OFN1052_n_15754;
-   wire FE_OFN1051_n_15728;
-   wire FE_OFN1050_n_15718;
-   wire FE_OFN1049_n_15716;
-   wire FE_OFN1047_n_15694;
-   wire FE_OFN1045_n_13600;
-   wire FE_OFN1044_n_13599;
-   wire FE_OFN1043_n_13297;
-   wire FE_OFN1042_n_13296;
-   wire FE_OFN1039_n_13259;
-   wire FE_OFN1037_n_11553;
-   wire FE_OFN1036_n_11552;
-   wire FE_OFN1035_n_11551;
-   wire FE_OFN1034_n_11550;
-   wire FE_OFN1033_n_11410;
-   wire FE_OFN1032_n_10825;
-   wire FE_OFN1031_n_10824;
-   wire FE_OFN1030_n_10818;
-   wire FE_OFN1029_n_10809;
-   wire FE_OFN1027_n_8199;
-   wire FE_OFN1026_n_8194;
-   wire FE_OFN1025_n_8192;
-   wire FE_OFN1024_n_6565;
-   wire FE_OFN1023_n_6563;
-   wire FE_OFN1022_n_6562;
-   wire FE_OFN1021_n_6560;
-   wire FE_OFN1020_n_6559;
-   wire FE_OFN1019_n_5787;
-   wire FE_OFN1018_n_5786;
-   wire FE_OFN1017_n_5785;
-   wire FE_OFN1016_n_5784;
-   wire FE_OFN1015_n_5783;
-   wire FE_OFN1014_n_5780;
-   wire FE_OFN1013_n_5779;
-   wire FE_OFN1012_n_5778;
-   wire FE_OFN1011_n_5778;
-   wire FE_OFN1010_n_5777;
-   wire FE_OFN1009_n_5776;
-   wire FE_OFN1008_n_5775;
-   wire FE_OFN1007_n_5774;
-   wire FE_OFN1006_n_5774;
-   wire FE_OFN1005_n_5773;
-   wire FE_OFN1004_n_5772;
-   wire FE_OFN1003_n_5771;
-   wire FE_OFN1002_n_5770;
-   wire FE_OFN1001_n_5769;
-   wire FE_OFN1000_n_5768;
-   wire FE_OFN999_n_5767;
-   wire FE_OFN998_n_5766;
-   wire FE_OFN997_n_5765;
-   wire FE_OFN996_n_5764;
-   wire FE_OFN995_n_5763;
-   wire FE_OFN994_n_5761;
-   wire FE_OFN993_n_5760;
-   wire FE_OFN991_n_5758;
-   wire FE_OFN990_n_4938;
-   wire FE_OFN989_n_4936;
-   wire FE_OFN988_n_4934;
-   wire FE_OFN987_n_4930;
-   wire FE_OFN986_n_4522;
-   wire FE_OFN984_n_3344;
-   wire FE_OFN983_n_3308;
-   wire FE_OFN982_n_3277;
-   wire FE_OFN981_n_3269;
-   wire FE_OFN980_n_3259;
-   wire FE_OFN979_n_2279;
-   wire FE_OFN978_n_2277;
-   wire FE_OFN977_n_2275;
-   wire FE_OFN976_n_2271;
-   wire FE_OFN975_n_2185;
-   wire FE_OFN974_n_2143;
-   wire FE_OFN973_n_1983;
-   wire FE_OFN972_n_1973;
-   wire FE_OFN971_n_1967;
-   wire FE_OFN970_n_1949;
-   wire FE_OFN969_n_1949;
-   wire FE_OFN968_n_1949;
-   wire FE_OFN967_n_1949;
-   wire FE_OFN966_n_1876;
-   wire FE_OFN965_n_1876;
-   wire FE_OFN964_n_1876;
-   wire FE_OFN963_n_1797;
-   wire FE_OFN962_n_1797;
-   wire FE_OFN961_n_1797;
-   wire FE_OFN960_n_1797;
-   wire FE_OFN959_n_1765;
-   wire FE_OFN958_n_1765;
-   wire FE_OFN957_n_1765;
-   wire FE_OFN956_n_1765;
-   wire FE_OFN955_n_1705;
-   wire FE_OFN954_n_1699;
-   wire FE_OFN953_n_1697;
-   wire FE_OFN952_n_1695;
-   wire FE_OFN951_n_1687;
-   wire FE_OFN950_n_1675;
-   wire FE_OFN949_n_1674;
-   wire FE_OFN948_n_1673;
-   wire FE_OFN947_n_1672;
-   wire FE_OFN946_n_1671;
-   wire FE_OFN945_n_1568;
-   wire FE_OFN944_n_1568;
-   wire FE_OFN943_n_1568;
-   wire FE_OFN942_n_1459;
-   wire FE_OFN941_n_1459;
-   wire FE_OFN940_n_1459;
-   wire FE_OFN939_n_1459;
-   wire FE_OFN938_n_1459;
-   wire FE_OFN937_n_1393;
-   wire FE_OFN936_n_1393;
-   wire FE_OFN935_n_1393;
-   wire FE_OFN934_n_1393;
-   wire FE_OFN933_n_1330;
-   wire FE_OFN932_n_1330;
-   wire FE_OFN931_n_1330;
-   wire FE_OFN930_n_1330;
-   wire FE_OFN929_n_1064;
-   wire FE_OFN928_n_766;
-   wire FE_OFN927_n_750;
-   wire FE_OFN926_n_721;
-   wire FE_OFN925_n_310;
-   wire FE_OFN924_n_303;
-   wire FE_OFN923_n_297;
-   wire FE_OFN922_n_296;
-   wire FE_OFN921_n_295;
-   wire FE_OFN920_n_285;
-   wire FE_OFN919_n_0;
-   wire FE_OFN917_logic_0_49_net;
-   wire FE_OFN916_logic_0_49_net;
-   wire FE_OFN915_logic_0_48_net;
-   wire FE_OFN914_logic_0_48_net;
-   wire FE_OFN913_logic_0_47_net;
-   wire FE_OFN909_instr_we;
-   wire FE_OFN908_instr_we;
-   wire FE_OFN907_instr_we;
-   wire FE_OFN906_data_we;
-   wire FE_OFN905_data_we;
-   wire FE_OFN904_data_we;
-   wire FE_OFN903_data_we;
-   wire FE_OFN902_data_we;
-   wire FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1;
-   wire FE_OFN898_ifu_to_xbar_a_address__2;
-   wire FE_OFN897_ifu_to_xbar_a_address__3;
-   wire FE_OFN896_ifu_to_xbar_a_address__4;
-   wire FE_OFN895_ifu_to_xbar_a_address__5;
-   wire FE_OFN894_ifu_to_xbar_a_address__7;
-   wire FE_OFN886_instr_addr_7;
-   wire FE_OFN885_data_wmask_0;
-   wire FE_OFN882_data_wmask_0;
-   wire FE_OFN879_data_wmask_1;
-   wire FE_OFN878_data_wmask_1;
-   wire FE_OFN877_data_wmask_1;
-   wire FE_OFN876_data_wmask_1;
-   wire FE_OFN875_data_wmask_1;
-   wire FE_OFN871_data_wmask_2;
-   wire FE_OFN867_data_wmask_2;
-   wire FE_OFN863_data_wmask_3;
-   wire FE_OFN861_data_wmask_3;
-   wire FE_OFN860_data_addr_0;
-   wire FE_OFN859_data_addr_1;
-   wire FE_OFN858_data_addr_2;
-   wire FE_OFN857_data_addr_3;
-   wire FE_OFN856_data_addr_4;
-   wire FE_OFN855_data_addr_5;
-   wire FE_OFN854_data_addr_6;
-   wire FE_OFN853_data_addr_7;
-   wire FE_OFN852_xbar_to_dccm_a_address__2;
-   wire FE_OFN851_xbar_to_dccm_a_address__3;
-   wire FE_OFN849_xbar_to_dccm_a_address__5;
-   wire FE_OFN848_xbar_to_dccm_a_address__6;
-   wire FE_OFN847_xbar_to_dccm_a_address__7;
-   wire FE_OFN846_xbar_to_dccm_a_address__8;
-   wire FE_OFN845_xbar_to_dccm_a_address__9;
-   wire FE_OFN844_xbar_to_dccm_a_address__10;
-   wire FE_OFN842_xbar_to_dccm_a_address__12;
-   wire FE_OFN841_xbar_to_dccm_a_address__13;
-   wire FE_OFN840_lsu_to_xbar_a_address__14;
-   wire FE_OFN839_lsu_to_xbar_a_address__15;
-   wire FE_OFN838_lsu_to_xbar_a_address__16;
-   wire FE_OFN837_lsu_to_xbar_a_address__17;
-   wire FE_OFN834_lsu_to_xbar_a_address__20;
-   wire FE_OFN833_lsu_to_xbar_a_address__21;
-   wire FE_OFN831_lsu_to_xbar_a_address__23;
-   wire FE_OFN829_lsu_to_xbar_a_address__25;
-   wire FE_OFN828_lsu_to_xbar_a_address__26;
-   wire FE_OFN827_lsu_to_xbar_a_address__27;
-   wire FE_OFN826_lsu_to_xbar_a_address__28;
-   wire FE_OFN824_lsu_to_xbar_a_address__30;
-   wire FE_OFN823_u_top_u_core_pc_if_1;
-   wire FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0;
-   wire FE_OFN821_u_top_u_core_instr_rdata_id_14;
-   wire FE_OFN818_u_top_u_core_instr_rdata_id_23;
-   wire FE_OFN817_u_top_u_core_instr_rdata_id_25;
-   wire FE_OFN816_u_top_u_core_instr_rdata_id_30;
-   wire FE_OFN815_u_tcam_rdata_0;
-   wire FE_OFN813_u_tcam_rdata_1;
-   wire FE_OFN811_u_tcam_rdata_2;
-   wire FE_OFN809_u_tcam_rdata_3;
-   wire FE_OFN807_u_tcam_rdata_4;
-   wire FE_OFN806_u_tcam_rdata_5;
-   wire FE_OFN804_u_iccm_rdata3_0;
-   wire FE_OFN803_u_iccm_rdata3_1;
-   wire FE_OFN802_u_iccm_rdata3_2;
-   wire FE_OFN801_u_iccm_rdata3_3;
-   wire FE_OFN800_u_iccm_rdata3_4;
-   wire FE_OFN799_u_iccm_rdata3_5;
-   wire FE_OFN798_u_iccm_rdata3_6;
-   wire FE_OFN797_u_iccm_rdata3_7;
-   wire FE_OFN796_u_iccm_rdata3_8;
-   wire FE_OFN795_u_iccm_rdata3_9;
-   wire FE_OFN794_u_iccm_rdata3_10;
-   wire FE_OFN793_u_iccm_rdata3_11;
-   wire FE_OFN792_u_iccm_rdata3_12;
-   wire FE_OFN791_u_iccm_rdata3_13;
-   wire FE_OFN790_u_iccm_rdata3_14;
-   wire FE_OFN789_u_iccm_rdata3_15;
-   wire FE_OFN788_u_iccm_rdata3_16;
-   wire FE_OFN787_u_iccm_rdata3_17;
-   wire FE_OFN786_u_iccm_rdata3_18;
-   wire FE_OFN785_u_iccm_rdata3_19;
-   wire FE_OFN784_u_iccm_rdata3_20;
-   wire FE_OFN783_u_iccm_rdata3_21;
-   wire FE_OFN782_u_iccm_rdata3_22;
-   wire FE_OFN781_u_iccm_rdata3_23;
-   wire FE_OFN780_u_iccm_rdata3_24;
-   wire FE_OFN779_u_iccm_rdata3_25;
-   wire FE_OFN778_u_iccm_rdata3_26;
-   wire FE_OFN777_u_iccm_rdata3_27;
-   wire FE_OFN776_u_iccm_rdata3_28;
-   wire FE_OFN775_u_iccm_rdata3_29;
-   wire FE_OFN774_u_iccm_rdata3_30;
-   wire FE_OFN773_u_iccm_rdata3_31;
-   wire FE_OFN772_u_iccm_rdata2_0;
-   wire FE_OFN771_u_iccm_rdata2_1;
-   wire FE_OFN770_u_iccm_rdata2_2;
-   wire FE_OFN769_u_iccm_rdata2_3;
-   wire FE_OFN768_u_iccm_rdata2_4;
-   wire FE_OFN767_u_iccm_rdata2_5;
-   wire FE_OFN766_u_iccm_rdata2_6;
-   wire FE_OFN765_u_iccm_rdata2_7;
-   wire FE_OFN764_u_iccm_rdata2_8;
-   wire FE_OFN763_u_iccm_rdata2_9;
-   wire FE_OFN762_u_iccm_rdata2_10;
-   wire FE_OFN761_u_iccm_rdata2_11;
-   wire FE_OFN760_u_iccm_rdata2_12;
-   wire FE_OFN759_u_iccm_rdata2_13;
-   wire FE_OFN758_u_iccm_rdata2_14;
-   wire FE_OFN757_u_iccm_rdata2_15;
-   wire FE_OFN756_u_iccm_rdata2_16;
-   wire FE_OFN755_u_iccm_rdata2_17;
-   wire FE_OFN754_u_iccm_rdata2_18;
-   wire FE_OFN753_u_iccm_rdata2_19;
-   wire FE_OFN752_u_iccm_rdata2_20;
-   wire FE_OFN751_u_iccm_rdata2_21;
-   wire FE_OFN750_u_iccm_rdata2_22;
-   wire FE_OFN749_u_iccm_rdata2_23;
-   wire FE_OFN748_u_iccm_rdata2_24;
-   wire FE_OFN747_u_iccm_rdata2_25;
-   wire FE_OFN746_u_iccm_rdata2_26;
-   wire FE_OFN745_u_iccm_rdata2_27;
-   wire FE_OFN744_u_iccm_rdata2_28;
-   wire FE_OFN743_u_iccm_rdata2_29;
-   wire FE_OFN742_u_iccm_rdata2_30;
-   wire FE_OFN741_u_iccm_rdata2_31;
-   wire FE_OFN740_u_iccm_rdata1_0;
-   wire FE_OFN739_u_iccm_rdata1_1;
-   wire FE_OFN738_u_iccm_rdata1_2;
-   wire FE_OFN737_u_iccm_rdata1_3;
-   wire FE_OFN736_u_iccm_rdata1_4;
-   wire FE_OFN735_u_iccm_rdata1_5;
-   wire FE_OFN734_u_iccm_rdata1_6;
-   wire FE_OFN733_u_iccm_rdata1_7;
-   wire FE_OFN732_u_iccm_rdata1_8;
-   wire FE_OFN731_u_iccm_rdata1_9;
-   wire FE_OFN730_u_iccm_rdata1_10;
-   wire FE_OFN729_u_iccm_rdata1_11;
-   wire FE_OFN728_u_iccm_rdata1_12;
-   wire FE_OFN727_u_iccm_rdata1_13;
-   wire FE_OFN726_u_iccm_rdata1_14;
-   wire FE_OFN725_u_iccm_rdata1_15;
-   wire FE_OFN724_u_iccm_rdata1_16;
-   wire FE_OFN723_u_iccm_rdata1_17;
-   wire FE_OFN722_u_iccm_rdata1_24;
-   wire FE_OFN721_u_iccm_rdata1_25;
-   wire FE_OFN720_u_iccm_rdata1_26;
-   wire FE_OFN719_u_iccm_rdata1_27;
-   wire FE_OFN718_u_iccm_rdata1_28;
-   wire FE_OFN717_u_iccm_rdata1_29;
-   wire FE_OFN716_u_iccm_rdata1_30;
-   wire FE_OFN715_u_iccm_rdata1_31;
-   wire FE_OFN714_instr_wdata_0;
-   wire FE_OFN713_instr_wdata_0;
-   wire FE_OFN712_instr_wdata_0;
-   wire FE_OFN711_instr_wdata_0;
-   wire FE_OFN710_instr_wdata_0;
-   wire FE_OFN709_instr_wdata_0;
-   wire FE_OFN708_instr_wdata_0;
-   wire FE_OFN707_instr_wdata_1;
-   wire FE_OFN706_instr_wdata_1;
-   wire FE_OFN705_instr_wdata_1;
-   wire FE_OFN704_instr_wdata_1;
-   wire FE_OFN703_instr_wdata_1;
-   wire FE_OFN702_instr_wdata_2;
-   wire FE_OFN701_instr_wdata_2;
-   wire FE_OFN700_instr_wdata_2;
-   wire FE_OFN699_instr_wdata_2;
-   wire FE_OFN698_instr_wdata_2;
-   wire FE_OFN697_instr_wdata_2;
-   wire FE_OFN696_instr_wdata_2;
-   wire FE_OFN695_instr_wdata_3;
-   wire FE_OFN694_instr_wdata_3;
-   wire FE_OFN693_instr_wdata_3;
-   wire FE_OFN692_instr_wdata_3;
-   wire FE_OFN691_instr_wdata_3;
-   wire FE_OFN690_instr_wdata_3;
-   wire FE_OFN689_instr_wdata_4;
-   wire FE_OFN688_instr_wdata_4;
-   wire FE_OFN687_instr_wdata_4;
-   wire FE_OFN686_instr_wdata_4;
-   wire FE_OFN685_instr_wdata_4;
-   wire FE_OFN684_instr_wdata_4;
-   wire FE_OFN683_instr_wdata_5;
-   wire FE_OFN682_instr_wdata_5;
-   wire FE_OFN681_instr_wdata_5;
-   wire FE_OFN680_instr_wdata_5;
-   wire FE_OFN679_instr_wdata_5;
-   wire FE_OFN678_instr_wdata_5;
-   wire FE_OFN677_instr_wdata_6;
-   wire FE_OFN676_instr_wdata_6;
-   wire FE_OFN675_instr_wdata_6;
-   wire FE_OFN674_instr_wdata_6;
-   wire FE_OFN673_instr_wdata_6;
-   wire FE_OFN671_instr_wdata_6;
-   wire FE_OFN670_instr_wdata_7;
-   wire FE_OFN669_instr_wdata_7;
-   wire FE_OFN668_instr_wdata_7;
-   wire FE_OFN667_instr_wdata_7;
-   wire FE_OFN666_instr_wdata_7;
-   wire FE_OFN665_instr_wdata_7;
-   wire FE_OFN664_instr_wdata_8;
-   wire FE_OFN663_instr_wdata_8;
-   wire FE_OFN662_instr_wdata_8;
-   wire FE_OFN661_instr_wdata_8;
-   wire FE_OFN660_instr_wdata_8;
-   wire FE_OFN659_instr_wdata_8;
-   wire FE_OFN658_instr_wdata_9;
-   wire FE_OFN657_instr_wdata_9;
-   wire FE_OFN656_instr_wdata_9;
-   wire FE_OFN655_instr_wdata_9;
-   wire FE_OFN654_instr_wdata_9;
-   wire FE_OFN653_instr_wdata_9;
-   wire FE_OFN652_instr_wdata_10;
-   wire FE_OFN651_instr_wdata_10;
-   wire FE_OFN650_instr_wdata_10;
-   wire FE_OFN649_instr_wdata_10;
-   wire FE_OFN647_instr_wdata_10;
-   wire FE_OFN646_instr_wdata_11;
-   wire FE_OFN645_instr_wdata_11;
-   wire FE_OFN644_instr_wdata_11;
-   wire FE_OFN643_instr_wdata_11;
-   wire FE_OFN641_instr_wdata_11;
-   wire FE_OFN640_instr_wdata_12;
-   wire FE_OFN639_instr_wdata_12;
-   wire FE_OFN638_instr_wdata_12;
-   wire FE_OFN637_instr_wdata_12;
-   wire FE_OFN635_instr_wdata_12;
-   wire FE_OFN634_instr_wdata_13;
-   wire FE_OFN633_instr_wdata_13;
-   wire FE_OFN632_instr_wdata_13;
-   wire FE_OFN631_instr_wdata_13;
-   wire FE_OFN629_instr_wdata_13;
-   wire FE_OFN628_instr_wdata_14;
-   wire FE_OFN627_instr_wdata_14;
-   wire FE_OFN626_instr_wdata_14;
-   wire FE_OFN625_instr_wdata_14;
-   wire FE_OFN623_instr_wdata_14;
-   wire FE_OFN622_instr_wdata_15;
-   wire FE_OFN621_instr_wdata_15;
-   wire FE_OFN620_instr_wdata_15;
-   wire FE_OFN619_instr_wdata_15;
-   wire FE_OFN618_instr_wdata_15;
-   wire FE_OFN617_instr_wdata_15;
-   wire FE_OFN616_instr_wdata_15;
-   wire FE_OFN615_instr_wdata_16;
-   wire FE_OFN614_instr_wdata_16;
-   wire FE_OFN613_instr_wdata_16;
-   wire FE_OFN610_instr_wdata_16;
-   wire FE_OFN609_instr_wdata_16;
-   wire FE_OFN608_instr_wdata_17;
-   wire FE_OFN607_instr_wdata_17;
-   wire FE_OFN606_instr_wdata_17;
-   wire FE_OFN605_instr_wdata_17;
-   wire FE_OFN604_instr_wdata_17;
-   wire FE_OFN603_instr_wdata_17;
-   wire FE_OFN602_instr_wdata_17;
-   wire FE_OFN601_instr_wdata_18;
-   wire FE_OFN600_instr_wdata_18;
-   wire FE_OFN599_instr_wdata_18;
-   wire FE_OFN598_instr_wdata_18;
-   wire FE_OFN597_instr_wdata_18;
-   wire FE_OFN595_instr_wdata_18;
-   wire FE_OFN594_instr_wdata_19;
-   wire FE_OFN593_instr_wdata_19;
-   wire FE_OFN592_instr_wdata_19;
-   wire FE_OFN591_instr_wdata_19;
-   wire FE_OFN590_instr_wdata_19;
-   wire FE_OFN588_instr_wdata_19;
-   wire FE_OFN587_instr_wdata_20;
-   wire FE_OFN586_instr_wdata_20;
-   wire FE_OFN585_instr_wdata_20;
-   wire FE_OFN584_instr_wdata_20;
-   wire FE_OFN583_instr_wdata_20;
-   wire FE_OFN581_instr_wdata_20;
-   wire FE_OFN580_instr_wdata_21;
-   wire FE_OFN579_instr_wdata_21;
-   wire FE_OFN577_instr_wdata_21;
-   wire FE_OFN576_instr_wdata_21;
-   wire FE_OFN574_instr_wdata_21;
-   wire FE_OFN573_instr_wdata_22;
-   wire FE_OFN572_instr_wdata_22;
-   wire FE_OFN571_instr_wdata_22;
-   wire FE_OFN570_instr_wdata_22;
-   wire FE_OFN569_instr_wdata_22;
-   wire FE_OFN568_instr_wdata_22;
-   wire FE_OFN567_instr_wdata_23;
-   wire FE_OFN566_instr_wdata_23;
-   wire FE_OFN565_instr_wdata_23;
-   wire FE_OFN564_instr_wdata_23;
-   wire FE_OFN563_instr_wdata_23;
-   wire FE_OFN561_instr_wdata_24;
-   wire FE_OFN560_instr_wdata_24;
-   wire FE_OFN559_instr_wdata_24;
-   wire FE_OFN558_instr_wdata_24;
-   wire FE_OFN555_instr_wdata_25;
-   wire FE_OFN554_instr_wdata_25;
-   wire FE_OFN553_instr_wdata_25;
-   wire FE_OFN552_instr_wdata_25;
-   wire FE_OFN551_instr_wdata_25;
-   wire FE_OFN550_instr_wdata_25;
-   wire FE_OFN549_instr_wdata_26;
-   wire FE_OFN548_instr_wdata_26;
-   wire FE_OFN547_instr_wdata_26;
-   wire FE_OFN546_instr_wdata_26;
-   wire FE_OFN545_instr_wdata_26;
-   wire FE_OFN544_instr_wdata_26;
-   wire FE_OFN543_instr_wdata_27;
-   wire FE_OFN542_instr_wdata_27;
-   wire FE_OFN541_instr_wdata_27;
-   wire FE_OFN540_instr_wdata_27;
-   wire FE_OFN538_instr_wdata_27;
-   wire FE_OFN537_instr_wdata_28;
-   wire FE_OFN536_instr_wdata_28;
-   wire FE_OFN535_instr_wdata_28;
-   wire FE_OFN534_instr_wdata_28;
-   wire FE_OFN532_instr_wdata_28;
-   wire FE_OFN531_instr_wdata_29;
-   wire FE_OFN530_instr_wdata_29;
-   wire FE_OFN529_instr_wdata_29;
-   wire FE_OFN528_instr_wdata_29;
-   wire FE_OFN526_instr_wdata_29;
-   wire FE_OFN525_instr_wdata_30;
-   wire FE_OFN524_instr_wdata_30;
-   wire FE_OFN523_instr_wdata_30;
-   wire FE_OFN522_instr_wdata_30;
-   wire FE_OFN521_instr_wdata_30;
-   wire FE_OFN520_instr_wdata_30;
-   wire FE_OFN519_instr_wdata_31;
-   wire FE_OFN518_instr_wdata_31;
-   wire FE_OFN516_instr_wdata_31;
-   wire FE_OFN515_instr_wdata_31;
-   wire FE_OFN514_instr_wdata_31;
-   wire FE_OFN513_u_dccm_rdata3_0;
-   wire FE_OFN512_u_dccm_rdata3_1;
-   wire FE_OFN511_u_dccm_rdata3_2;
-   wire FE_OFN510_u_dccm_rdata3_3;
-   wire FE_OFN509_u_dccm_rdata3_4;
-   wire FE_OFN508_u_dccm_rdata3_5;
-   wire FE_OFN507_u_dccm_rdata3_6;
-   wire FE_OFN506_u_dccm_rdata3_7;
-   wire FE_OFN505_u_dccm_rdata3_8;
-   wire FE_OFN504_u_dccm_rdata3_9;
-   wire FE_OFN503_u_dccm_rdata3_10;
-   wire FE_OFN502_u_dccm_rdata3_11;
-   wire FE_OFN501_u_dccm_rdata3_12;
-   wire FE_OFN500_u_dccm_rdata3_13;
-   wire FE_OFN499_u_dccm_rdata3_14;
-   wire FE_OFN498_u_dccm_rdata3_15;
-   wire FE_OFN497_u_dccm_rdata3_16;
-   wire FE_OFN496_u_dccm_rdata3_17;
-   wire FE_OFN495_u_dccm_rdata3_18;
-   wire FE_OFN494_u_dccm_rdata3_19;
-   wire FE_OFN493_u_dccm_rdata3_20;
-   wire FE_OFN492_u_dccm_rdata3_21;
-   wire FE_OFN491_u_dccm_rdata3_22;
-   wire FE_OFN490_u_dccm_rdata3_23;
-   wire FE_OFN489_u_dccm_rdata3_24;
-   wire FE_OFN488_u_dccm_rdata3_25;
-   wire FE_OFN487_u_dccm_rdata3_26;
-   wire FE_OFN486_u_dccm_rdata3_27;
-   wire FE_OFN485_u_dccm_rdata3_28;
-   wire FE_OFN484_u_dccm_rdata3_29;
-   wire FE_OFN483_u_dccm_rdata3_30;
-   wire FE_OFN482_u_dccm_rdata3_31;
-   wire FE_OFN481_u_dccm_rdata2_0;
-   wire FE_OFN480_u_dccm_rdata2_1;
-   wire FE_OFN479_u_dccm_rdata2_2;
-   wire FE_OFN478_u_dccm_rdata2_3;
-   wire FE_OFN477_u_dccm_rdata2_4;
-   wire FE_OFN476_u_dccm_rdata2_5;
-   wire FE_OFN475_u_dccm_rdata2_6;
-   wire FE_OFN474_u_dccm_rdata2_7;
-   wire FE_OFN473_u_dccm_rdata2_8;
-   wire FE_OFN472_u_dccm_rdata2_9;
-   wire FE_OFN471_u_dccm_rdata2_10;
-   wire FE_OFN470_u_dccm_rdata2_11;
-   wire FE_OFN469_u_dccm_rdata2_12;
-   wire FE_OFN468_u_dccm_rdata2_13;
-   wire FE_OFN467_u_dccm_rdata2_14;
-   wire FE_OFN466_u_dccm_rdata2_15;
-   wire FE_OFN465_u_dccm_rdata2_16;
-   wire FE_OFN464_u_dccm_rdata2_17;
-   wire FE_OFN463_u_dccm_rdata2_18;
-   wire FE_OFN462_u_dccm_rdata2_19;
-   wire FE_OFN461_u_dccm_rdata2_20;
-   wire FE_OFN460_u_dccm_rdata2_21;
-   wire FE_OFN459_u_dccm_rdata2_22;
-   wire FE_OFN458_u_dccm_rdata2_23;
-   wire FE_OFN457_u_dccm_rdata2_24;
-   wire FE_OFN456_u_dccm_rdata2_25;
-   wire FE_OFN455_u_dccm_rdata2_26;
-   wire FE_OFN454_u_dccm_rdata2_27;
-   wire FE_OFN453_u_dccm_rdata2_28;
-   wire FE_OFN452_u_dccm_rdata2_29;
-   wire FE_OFN451_u_dccm_rdata2_30;
-   wire FE_OFN450_u_dccm_rdata2_31;
-   wire FE_OFN449_u_dccm_rdata1_0;
-   wire FE_OFN448_u_dccm_rdata1_1;
-   wire FE_OFN447_u_dccm_rdata1_2;
-   wire FE_OFN446_u_dccm_rdata1_3;
-   wire FE_OFN445_u_dccm_rdata1_4;
-   wire FE_OFN444_u_dccm_rdata1_5;
-   wire FE_OFN443_u_dccm_rdata1_6;
-   wire FE_OFN442_u_dccm_rdata1_7;
-   wire FE_OFN441_u_dccm_rdata1_8;
-   wire FE_OFN440_u_dccm_rdata1_9;
-   wire FE_OFN439_u_dccm_rdata1_10;
-   wire FE_OFN438_u_dccm_rdata1_11;
-   wire FE_OFN437_u_dccm_rdata1_12;
-   wire FE_OFN436_u_dccm_rdata1_13;
-   wire FE_OFN435_u_dccm_rdata1_14;
-   wire FE_OFN434_u_dccm_rdata1_15;
-   wire FE_OFN433_u_dccm_rdata1_16;
-   wire FE_OFN432_u_dccm_rdata1_17;
-   wire FE_OFN431_u_dccm_rdata1_18;
-   wire FE_OFN430_u_dccm_rdata1_19;
-   wire FE_OFN429_u_dccm_rdata1_20;
-   wire FE_OFN428_u_dccm_rdata1_21;
-   wire FE_OFN427_u_dccm_rdata1_22;
-   wire FE_OFN426_u_dccm_rdata1_23;
-   wire FE_OFN425_data_wdata_0;
-   wire FE_OFN424_data_wdata_0;
-   wire FE_OFN423_data_wdata_0;
-   wire FE_OFN422_data_wdata_0;
-   wire FE_OFN421_data_wdata_0;
-   wire FE_OFN419_data_wdata_0;
-   wire FE_OFN418_data_wdata_1;
-   wire FE_OFN417_data_wdata_1;
-   wire FE_OFN416_data_wdata_1;
-   wire FE_OFN415_data_wdata_1;
-   wire FE_OFN414_data_wdata_1;
-   wire FE_OFN413_data_wdata_1;
-   wire FE_OFN411_data_wdata_2;
-   wire FE_OFN410_data_wdata_2;
-   wire FE_OFN409_data_wdata_2;
-   wire FE_OFN408_data_wdata_2;
-   wire FE_OFN407_data_wdata_2;
-   wire FE_OFN406_data_wdata_2;
-   wire FE_OFN405_data_wdata_2;
-   wire FE_OFN404_data_wdata_3;
-   wire FE_OFN403_data_wdata_3;
-   wire FE_OFN402_data_wdata_3;
-   wire FE_OFN401_data_wdata_3;
-   wire FE_OFN400_data_wdata_3;
-   wire FE_OFN399_data_wdata_3;
-   wire FE_OFN398_data_wdata_3;
-   wire FE_OFN397_data_wdata_4;
-   wire FE_OFN396_data_wdata_4;
-   wire FE_OFN395_data_wdata_4;
-   wire FE_OFN394_data_wdata_4;
-   wire FE_OFN393_data_wdata_4;
-   wire FE_OFN392_data_wdata_4;
-   wire FE_OFN391_data_wdata_5;
-   wire FE_OFN390_data_wdata_5;
-   wire FE_OFN389_data_wdata_5;
-   wire FE_OFN388_data_wdata_5;
-   wire FE_OFN387_data_wdata_5;
-   wire FE_OFN386_data_wdata_5;
-   wire FE_OFN384_data_wdata_6;
-   wire FE_OFN383_data_wdata_6;
-   wire FE_OFN382_data_wdata_6;
-   wire FE_OFN381_data_wdata_6;
-   wire FE_OFN380_data_wdata_6;
-   wire FE_OFN378_data_wdata_6;
-   wire FE_OFN377_data_wdata_7;
-   wire FE_OFN376_data_wdata_7;
-   wire FE_OFN375_data_wdata_7;
-   wire FE_OFN374_data_wdata_7;
-   wire FE_OFN373_data_wdata_7;
-   wire FE_OFN372_data_wdata_7;
-   wire FE_OFN371_data_wdata_8;
-   wire FE_OFN370_data_wdata_8;
-   wire FE_OFN369_data_wdata_8;
-   wire FE_OFN368_data_wdata_8;
-   wire FE_OFN367_data_wdata_8;
-   wire FE_OFN366_data_wdata_8;
-   wire FE_OFN365_data_wdata_9;
-   wire FE_OFN364_data_wdata_9;
-   wire FE_OFN363_data_wdata_9;
-   wire FE_OFN362_data_wdata_9;
-   wire FE_OFN361_data_wdata_9;
-   wire FE_OFN360_data_wdata_9;
-   wire FE_OFN359_data_wdata_10;
-   wire FE_OFN358_data_wdata_10;
-   wire FE_OFN357_data_wdata_10;
-   wire FE_OFN356_data_wdata_10;
-   wire FE_OFN355_data_wdata_10;
-   wire FE_OFN354_data_wdata_10;
-   wire FE_OFN353_data_wdata_11;
-   wire FE_OFN352_data_wdata_11;
-   wire FE_OFN351_data_wdata_11;
-   wire FE_OFN350_data_wdata_11;
-   wire FE_OFN349_data_wdata_11;
-   wire FE_OFN348_data_wdata_12;
-   wire FE_OFN347_data_wdata_12;
-   wire FE_OFN346_data_wdata_12;
-   wire FE_OFN345_data_wdata_12;
-   wire FE_OFN344_data_wdata_12;
-   wire FE_OFN343_data_wdata_12;
-   wire FE_OFN342_data_wdata_13;
-   wire FE_OFN341_data_wdata_13;
-   wire FE_OFN340_data_wdata_13;
-   wire FE_OFN339_data_wdata_13;
-   wire FE_OFN338_data_wdata_13;
-   wire FE_OFN337_data_wdata_13;
-   wire FE_OFN336_data_wdata_14;
-   wire FE_OFN335_data_wdata_14;
-   wire FE_OFN334_data_wdata_14;
-   wire FE_OFN333_data_wdata_14;
-   wire FE_OFN332_data_wdata_14;
-   wire FE_OFN331_data_wdata_14;
-   wire FE_OFN330_data_wdata_15;
-   wire FE_OFN329_data_wdata_15;
-   wire FE_OFN328_data_wdata_15;
-   wire FE_OFN327_data_wdata_15;
-   wire FE_OFN326_data_wdata_15;
-   wire FE_OFN325_data_wdata_15;
-   wire FE_OFN324_data_wdata_16;
-   wire FE_OFN323_data_wdata_16;
-   wire FE_OFN322_data_wdata_16;
-   wire FE_OFN321_data_wdata_16;
-   wire FE_OFN320_data_wdata_16;
-   wire FE_OFN319_data_wdata_16;
-   wire FE_OFN318_data_wdata_17;
-   wire FE_OFN317_data_wdata_17;
-   wire FE_OFN316_data_wdata_17;
-   wire FE_OFN315_data_wdata_17;
-   wire FE_OFN314_data_wdata_17;
-   wire FE_OFN313_data_wdata_17;
-   wire FE_OFN312_data_wdata_18;
-   wire FE_OFN311_data_wdata_18;
-   wire FE_OFN310_data_wdata_18;
-   wire FE_OFN309_data_wdata_18;
-   wire FE_OFN308_data_wdata_18;
-   wire FE_OFN307_data_wdata_18;
-   wire FE_OFN306_data_wdata_19;
-   wire FE_OFN305_data_wdata_19;
-   wire FE_OFN304_data_wdata_19;
-   wire FE_OFN303_data_wdata_19;
-   wire FE_OFN302_data_wdata_19;
-   wire FE_OFN301_data_wdata_19;
-   wire FE_OFN300_data_wdata_20;
-   wire FE_OFN299_data_wdata_20;
-   wire FE_OFN298_data_wdata_20;
-   wire FE_OFN297_data_wdata_20;
-   wire FE_OFN296_data_wdata_20;
-   wire FE_OFN295_data_wdata_20;
-   wire FE_OFN294_data_wdata_21;
-   wire FE_OFN293_data_wdata_21;
-   wire FE_OFN292_data_wdata_21;
-   wire FE_OFN291_data_wdata_21;
-   wire FE_OFN290_data_wdata_21;
-   wire FE_OFN289_data_wdata_21;
-   wire FE_OFN288_data_wdata_22;
-   wire FE_OFN287_data_wdata_22;
-   wire FE_OFN286_data_wdata_22;
-   wire FE_OFN285_data_wdata_22;
-   wire FE_OFN284_data_wdata_22;
-   wire FE_OFN283_data_wdata_22;
-   wire FE_OFN282_data_wdata_23;
-   wire FE_OFN281_data_wdata_23;
-   wire FE_OFN280_data_wdata_23;
-   wire FE_OFN279_data_wdata_23;
-   wire FE_OFN278_data_wdata_23;
-   wire FE_OFN277_data_wdata_23;
-   wire FE_OFN276_data_wdata_24;
-   wire FE_OFN275_data_wdata_24;
-   wire FE_OFN274_data_wdata_24;
-   wire FE_OFN273_data_wdata_24;
-   wire FE_OFN272_data_wdata_24;
-   wire FE_OFN271_data_wdata_24;
-   wire FE_OFN270_data_wdata_25;
-   wire FE_OFN269_data_wdata_25;
-   wire FE_OFN268_data_wdata_25;
-   wire FE_OFN267_data_wdata_25;
-   wire FE_OFN266_data_wdata_25;
-   wire FE_OFN265_data_wdata_26;
-   wire FE_OFN264_data_wdata_26;
-   wire FE_OFN263_data_wdata_26;
-   wire FE_OFN262_data_wdata_26;
-   wire FE_OFN261_data_wdata_26;
-   wire FE_OFN260_data_wdata_26;
-   wire FE_OFN259_data_wdata_27;
-   wire FE_OFN258_data_wdata_27;
-   wire FE_OFN257_data_wdata_27;
-   wire FE_OFN256_data_wdata_27;
-   wire FE_OFN255_data_wdata_27;
-   wire FE_OFN254_data_wdata_27;
-   wire FE_OFN253_data_wdata_28;
-   wire FE_OFN252_data_wdata_28;
-   wire FE_OFN251_data_wdata_28;
-   wire FE_OFN250_data_wdata_28;
-   wire FE_OFN249_data_wdata_28;
-   wire FE_OFN248_data_wdata_28;
-   wire FE_OFN247_data_wdata_29;
-   wire FE_OFN246_data_wdata_29;
-   wire FE_OFN245_data_wdata_29;
-   wire FE_OFN243_data_wdata_29;
-   wire FE_OFN242_data_wdata_30;
-   wire FE_OFN241_data_wdata_30;
-   wire FE_OFN240_data_wdata_30;
-   wire FE_OFN239_data_wdata_30;
-   wire FE_OFN238_data_wdata_30;
-   wire FE_OFN236_data_wdata_31;
-   wire FE_OFN235_data_wdata_31;
-   wire FE_OFN234_data_wdata_31;
-   wire FE_OFN233_data_wdata_31;
-   wire FE_OFN232_data_wdata_31;
-   wire FE_OFN231_n_0;
-   wire FE_OFN230_n_0;
-   wire FE_OFN228_system_rst_ni;
-   wire FE_OFN227_system_rst_ni;
-   wire FE_OFN226_system_rst_ni;
-   wire FE_OFN225_system_rst_ni;
-   wire FE_OFN224_system_rst_ni;
-   wire FE_OFN223_system_rst_ni;
-   wire FE_OFN222_system_rst_ni;
-   wire FE_OFN221_system_rst_ni;
-   wire FE_OFN220_system_rst_ni;
-   wire FE_OFN219_system_rst_ni;
-   wire FE_OFN217_system_rst_ni;
-   wire FE_OFN216_system_rst_ni;
-   wire FE_OFN215_system_rst_ni;
-   wire FE_OFN214_system_rst_ni;
-   wire FE_OFN213_system_rst_ni;
-   wire FE_OFN212_system_rst_ni;
-   wire FE_OFN211_system_rst_ni;
-   wire FE_OFN210_system_rst_ni;
-   wire FE_OFN209_system_rst_ni;
-   wire FE_OFN208_system_rst_ni;
-   wire FE_OFN207_system_rst_ni;
-   wire FE_OFN206_system_rst_ni;
-   wire FE_OFN205_system_rst_ni;
-   wire FE_OFN204_system_rst_ni;
-   wire FE_OFN203_system_rst_ni;
-   wire FE_OFN202_system_rst_ni;
-   wire FE_OFN201_system_rst_ni;
-   wire FE_OFN200_system_rst_ni;
-   wire FE_OFN199_system_rst_ni;
-   wire FE_OFN198_system_rst_ni;
-   wire FE_OFN197_system_rst_ni;
-   wire FE_OFN196_system_rst_ni;
-   wire FE_OFN195_system_rst_ni;
-   wire FE_OFN194_system_rst_ni;
-   wire FE_OFN193_system_rst_ni;
-   wire FE_OFN192_system_rst_ni;
-   wire FE_OFN191_system_rst_ni;
-   wire FE_OFN190_system_rst_ni;
-   wire FE_OFN189_system_rst_ni;
-   wire FE_OFN188_system_rst_ni;
-   wire FE_OFN184_system_rst_ni;
-   wire FE_OFN183_system_rst_ni;
-   wire FE_OFN181_system_rst_ni;
-   wire FE_OFN180_system_rst_ni;
-   wire FE_OFN179_system_rst_ni;
-   wire FE_OFN178_system_rst_ni;
-   wire FE_OFN177_system_rst_ni;
-   wire FE_OFN176_system_rst_ni;
-   wire FE_OFN175_system_rst_ni;
-   wire FE_OFN174_system_rst_ni;
-   wire FE_OFN173_system_rst_ni;
-   wire FE_OFN172_system_rst_ni;
-   wire FE_OFN171_system_rst_ni;
-   wire FE_OFN170_system_rst_ni;
-   wire FE_OFN169_system_rst_ni;
-   wire FE_OFN168_system_rst_ni;
-   wire FE_OFN167_system_rst_ni;
-   wire FE_OFN166_system_rst_ni;
-   wire FE_OFN165_system_rst_ni;
-   wire FE_OFN164_system_rst_ni;
-   wire FE_OFN163_system_rst_ni;
-   wire FE_OFN162_system_rst_ni;
-   wire FE_OFN161_system_rst_ni;
-   wire FE_OFN160_system_rst_ni;
-   wire FE_OFN159_system_rst_ni;
-   wire FE_OFN158_system_rst_ni;
-   wire FE_OFN157_system_rst_ni;
-   wire FE_OFN156_system_rst_ni;
-   wire FE_OFN155_system_rst_ni;
-   wire FE_OFN154_system_rst_ni;
-   wire FE_OFN153_system_rst_ni;
-   wire FE_OFN152_system_rst_ni;
-   wire FE_OFN151_system_rst_ni;
-   wire FE_OFN150_system_rst_ni;
-   wire FE_OFN149_system_rst_ni;
-   wire FE_OFN148_system_rst_ni;
-   wire FE_OFN147_system_rst_ni;
-   wire FE_OFN146_system_rst_ni;
-   wire FE_OFN145_system_rst_ni;
-   wire FE_OFN144_system_rst_ni;
-   wire FE_OFN143_system_rst_ni;
-   wire FE_OFN142_system_rst_ni;
-   wire FE_OFN141_system_rst_ni;
-   wire FE_OFN117_system_rst_ni;
-   wire FE_OFN116_system_rst_ni;
-   wire FE_OFN115_system_rst_ni;
-   wire FE_OFN114_system_rst_ni;
-   wire FE_OFN112_system_rst_ni;
-   wire FE_OFN110_system_rst_ni;
-   wire FE_OFN108_system_rst_ni;
-   wire FE_OFN105_system_rst_ni;
-   wire FE_OFN103_system_rst_ni;
-   wire FE_OFN101_system_rst_ni;
-   wire FE_OFN100_system_rst_ni;
-   wire FE_OFN93_system_rst_ni;
-   wire FE_OFN92_system_rst_ni;
-   wire FE_OFN91_system_rst_ni;
-   wire FE_OFN90_system_rst_ni;
-   wire FE_OFN89_system_rst_ni;
-   wire FE_OFN88_system_rst_ni;
-   wire FE_OFN87_system_rst_ni;
-   wire FE_OFN86_system_rst_ni;
-   wire FE_OFN85_system_rst_ni;
-   wire FE_OFN84_system_rst_ni;
-   wire FE_OFN83_system_rst_ni;
-   wire FE_OFN82_system_rst_ni;
-   wire FE_OFN81_system_rst_ni;
-   wire FE_OFN80_system_rst_ni;
-   wire FE_OFN79_system_rst_ni;
-   wire FE_OFN78_system_rst_ni;
-   wire FE_OFN77_system_rst_ni;
-   wire FE_OFN76_system_rst_ni;
-   wire FE_OFN75_system_rst_ni;
-   wire FE_OFN74_system_rst_ni;
-   wire FE_OFN73_system_rst_ni;
-   wire FE_OFN72_system_rst_ni;
-   wire FE_OFN71_system_rst_ni;
-   wire FE_OFN70_system_rst_ni;
-   wire FE_OFN69_system_rst_ni;
-   wire FE_OFN68_system_rst_ni;
-   wire FE_OFN67_system_rst_ni;
-   wire FE_OFN66_system_rst_ni;
-   wire FE_OFN65_system_rst_ni;
-   wire FE_OFN48_system_rst_ni;
-   wire FE_OFN45_system_rst_ni;
-   wire FE_OFN43_system_rst_ni;
-   wire FE_OFN41_system_rst_ni;
-   wire FE_OFN40_system_rst_ni;
-   wire FE_OFN38_system_rst_ni;
-   wire FE_OFN37_system_rst_ni;
-   wire FE_OFN36_system_rst_ni;
-   wire FE_OFN35_system_rst_ni;
-   wire FE_OFN34_system_rst_ni;
-   wire FE_OFN30_system_rst_ni;
-   wire FE_OFN29_system_rst_ni;
-   wire FE_OFN28_system_rst_ni;
-   wire FE_OFN26_system_rst_ni;
-   wire FE_OFN25_system_rst_ni;
-   wire FE_OFN24_system_rst_ni;
-   wire FE_OFN23_system_rst_ni;
-   wire FE_OFN22_system_rst_ni;
-   wire FE_OFN21_system_rst_ni;
-   wire FE_OFN20_system_rst_ni;
-   wire FE_OFN19_system_rst_ni;
-   wire FE_OFN18_system_rst_ni;
-   wire FE_OFN17_system_rst_ni;
-   wire FE_OFN15_system_rst_ni;
-   wire FE_OFN14_system_rst_ni;
-   wire FE_OFN13_system_rst_ni;
-   wire FE_OFN12_system_rst_ni;
-   wire FE_OFN11_system_rst_ni;
-   wire FE_OFN10_system_rst_ni;
-   wire FE_OFN9_system_rst_ni;
-   wire FE_OFN7_system_rst_ni;
-   wire FE_OFN6_system_rst_ni;
-   wire FE_OFN5_system_rst_ni;
-   wire FE_OFN3_system_rst_ni;
-   wire FE_OFN2_system_rst_ni;
-   wire FE_OFN1_system_rst_ni;
-   wire FE_OFN0_system_rst_ni;
-   wire FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr;
-   wire [31:0] data_wdata;
-   wire [31:0] u_dccm_rdata1;
-   wire [31:0] u_dccm_rdata2;
-   wire [31:0] u_dccm_rdata3;
-   wire [31:0] u_dccm_rdata4;
-   wire [7:0] rx_byte_i;
-   wire [11:0] iccm_ctrl_addr_o;
-   wire [31:0] iccm_ctrl_data;
-   wire [31:0] instr_wdata;
-   wire [31:0] u_iccm_rdata1;
-   wire [31:0] u_iccm_rdata2;
-   wire [31:0] u_iccm_rdata3;
-   wire [31:0] u_iccm_rdata4;
-   wire [31:0] \u_tcam_u_tcam_adapter_wmask_int[0] ;
-   wire [31:0] u_tcam_data_addr;
-   wire [31:0] u_tcam_data_wdata;
-   wire [31:0] u_tcam_rdata;
-   wire [31:0] u_top_u_core_instr_rdata_id;
-   wire [15:0] u_top_u_core_instr_rdata_c_id;
-   wire [1:0] u_top_u_core_priv_mode_id;
-   wire [2:0] u_top_u_core_pc_mux_id;
-   wire [1:0] u_top_u_core_exc_pc_mux_id;
-   wire [5:0] u_top_u_core_exc_cause;
-   wire [2:0] u_top_u_core_debug_cause;
-   wire [31:0] u_top_u_core_csr_mtval;
-   wire [2:0] u_top_u_core_id_stage_i_imm_b_mux_sel_dec;
-   wire [1:0] u_top_u_core_id_stage_i_bt_a_mux_sel;
-   wire [2:0] u_top_u_core_id_stage_i_bt_b_mux_sel;
-   wire [5:0] u_top_u_core_alu_operator_ex;
-   wire [1:0] u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec;
-   wire [1:0] u_top_u_core_multdiv_operator_ex;
-   wire [1:0] u_top_u_core_multdiv_signed_mode_ex;
-   wire [1:0] u_top_u_core_csr_op;
-   wire [1:0] u_top_u_core_lsu_type;
-   wire [15:0] u_uart_u_uart_core_control;
-   wire [7:0] u_uart_u_uart_core_rx;
-   wire [8:0] u_uart_u_uart_core_tx_fifo_data;
-   wire [31:0] \xbar_to_dccm[a_data] ;
-   wire [2:0] u_top_u_core_cs_registers_i_mcountinhibit_q;
-   wire [33:0] \u_top_u_core_imd_val_q_ex[0] ;
-   wire [31:0] u_top_u_core_alu_operand_b_ex;
-   wire [32:0] \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q ;
-   wire [31:0] u_top_u_core_lsu_addr_last;
-   wire [31:0] u_top_u_core_pc_id;
-   wire [31:0] u_top_u_core_multdiv_operand_b_ex;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] ;
-   wire [31:0] u_top_u_core_rf_wdata_fwd_wb;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] ;
-   wire [31:0] \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] ;
-   wire [32:0] \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q ;
-   wire [31:0] \u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] ;
-   wire [31:0] u_top_u_core_cs_registers_i_tmatch_control_rdata;
-   wire [2:0] u_top_u_core_load_store_unit_i_ls_fsm_cs;
-   wire [31:0] u_top_u_core_pc_if;
-   wire [2:0] \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q ;
-   wire [4:0] u_top_u_core_rf_waddr_wb;
-   wire [1:0] \u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q ;
-   wire [17:0] u_top_u_core_cs_registers_i_u_mie_csr_rdata_q;
-   wire [1:0] main_swith_host_lsu_dev_select_outstanding;
-   wire [31:0] \lsu_to_xbar[a_address] ;
-   wire [31:0] \xbar_to_dccm[a_address] ;
-   wire [12:0] \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] ;
-   wire [12:0] \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] ;
-   wire [12:0] \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] ;
-   wire [12:0] \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] ;
-   wire [2:0] \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr ;
-   wire [12:0] \u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0] ;
-   wire [12:0] \u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2] ;
-   wire [12:0] \u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1] ;
-   wire [12:0] \u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3] ;
-   wire [2:0] \u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr ;
-   wire [2:0] \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr ;
-   wire [2:0] \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr ;
-   wire [2:0] \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr ;
-   wire [2:0] \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr ;
-   wire [2:0] \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr ;
-   wire [2:0] \u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr ;
-   wire [11:0] data_addr;
-   wire [3:0] data_wmask;
-   wire [11:0] instr_addr;
-   wire [3:0] instr_wmask;
-   wire [31:0] \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata ;
-   wire [31:0] \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] ;
-   wire [2:0] \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q ;
-   wire [31:0] \iccm_to_xbar[d_data] ;
-   wire [32:0] \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] ;
-   wire [32:0] \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] ;
-   wire [7:0] u_dccm_addr4;
-   wire [7:0] u_dccm_addr1;
-   wire [7:0] u_dccm_addr3;
-   wire [7:0] u_dccm_addr2;
-   wire [7:0] u_iccm_addr4;
-   wire [7:0] u_iccm_addr3;
-   wire [7:0] u_iccm_addr2;
-   wire [7:0] u_iccm_addr1;
-   wire [31:0] \ifu_to_xbar[a_address] ;
-   wire [1:0] \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr ;
-   wire [31:0] \iccm_adapter_inst_mem_wmask_int[0] ;
-   wire [4:0] \iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] ;
-   wire [31:0] u_top_u_core_csr_depc;
-   wire [31:0] u_top_u_core_csr_mepc;
-   wire [31:0] u_top_u_core_csr_mtvec;
-   wire [1:0] \iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr ;
-   wire [31:0] \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q ;
-   wire [31:0] \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q ;
-   wire [16:0] main_swith_host_lsu_num_req_outstanding;
-   wire [1:0] \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q ;
-   wire [2:0] \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr ;
-   wire [2:0] \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr ;
-   wire [2:0] \u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr ;
-   wire [2:0] \u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr ;
-   wire [1:0] \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy ;
-   wire [1:0] \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr ;
-   wire [1:0] \iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr ;
-   wire [12:0] \iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] ;
-   wire [12:0] \iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] ;
-   wire [1:0] \iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr ;
-   wire [1:0] \iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr ;
-   wire [4:0] \iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] ;
-   wire [32:0] \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] ;
-   wire [32:0] \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] ;
-   wire [32:0] \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] ;
-   wire [32:0] \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] ;
-   wire [4:0] \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] ;
-   wire [4:0] \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] ;
-   wire [4:0] \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] ;
-   wire [4:0] \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] ;
-   wire [2:0] main_swith_host_lsu_err_resp_err_opcode;
-   wire [32:0] \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] ;
-   wire [32:0] \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] ;
-   wire [32:0] \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] ;
-   wire [32:0] \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] ;
-   wire [4:0] \u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0] ;
-   wire [4:0] \u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1] ;
-   wire [4:0] \u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2] ;
-   wire [4:0] \u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3] ;
-   wire [63:0] \u_top_u_core_cs_registers_i_mhpmcounter[0] ;
-   wire [63:0] \u_top_u_core_cs_registers_i_mhpmcounter[2] ;
-   wire [1:0] \u_top_u_core_cs_registers_i_dcsr_q[prv] ;
-   wire [2:0] \u_top_u_core_cs_registers_i_dcsr_q[cause] ;
-   wire [31:0] u_top_u_core_cs_registers_i_dscratch0_q;
-   wire [31:0] u_top_u_core_cs_registers_i_dscratch1_q;
-   wire [5:0] u_top_u_core_cs_registers_i_mcause_q;
-   wire [31:0] u_top_u_core_cs_registers_i_mscratch_q;
-   wire [31:0] u_top_u_core_cs_registers_i_csr_rdata_int;
-   wire [31:0] u_top_u_core_cs_registers_i_mtval_q;
-   wire [33:0] \u_top_u_core_imd_val_q_ex[1] ;
-   wire [1:0] \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q ;
-   wire [31:0] \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] ;
-   wire [31:0] \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] ;
-   wire [1:0] u_top_u_core_load_store_unit_i_data_type_q;
-   wire [1:0] u_top_u_core_load_store_unit_i_rdata_offset_q;
-   wire [31:8] u_top_u_core_load_store_unit_i_rdata_q;
-   wire [31:0] \uart_to_xbar[d_data] ;
-   wire [2:0] \uart_to_xbar[d_opcode] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[0] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[1] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[2] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[3] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[4] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[5] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[6] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[7] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[8] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[9] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[10] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[11] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[12] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[13] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[14] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[15] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[16] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[17] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[18] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[19] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[20] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[21] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[22] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[23] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[24] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[25] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[26] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[27] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[28] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[29] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[30] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[31] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[32] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[33] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[34] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[35] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[36] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[37] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[38] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[39] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[40] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[41] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[42] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[43] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[44] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[45] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[46] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[47] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[48] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[49] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[50] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[51] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[52] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[53] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[54] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[55] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[56] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[57] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[58] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[59] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[60] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[61] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[62] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[63] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[64] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[65] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[66] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[67] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[68] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[69] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[70] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[71] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[72] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[73] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[74] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[75] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[76] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[77] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[78] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[79] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[80] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[81] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[82] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[83] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[84] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[85] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[86] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[87] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[88] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[89] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[90] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[91] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[92] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[93] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[94] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[95] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[96] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[97] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[98] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[99] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[100] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[101] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[102] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[103] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[104] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[105] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[106] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[107] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[108] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[109] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[110] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[111] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[112] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[113] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[114] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[115] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[116] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[117] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[118] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[119] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[120] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[121] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[122] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[123] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[124] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[125] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[126] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[127] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[128] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[129] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[130] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[131] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[132] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[133] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[134] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[135] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[136] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[137] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[138] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[139] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[140] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[141] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[142] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[143] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[144] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[145] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[146] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[147] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[148] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[149] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[150] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[151] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[152] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[153] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[154] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[155] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[156] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[157] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[158] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[159] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[160] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[161] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[162] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[163] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[164] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[165] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[166] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[167] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[168] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[169] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[170] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[171] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[172] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[173] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[174] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[175] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[176] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[177] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[178] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[179] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[180] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[181] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[182] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[183] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[184] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[185] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[186] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[187] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[188] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[189] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[190] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[191] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[192] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[193] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[194] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[195] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[196] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[197] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[198] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[199] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[200] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[201] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[202] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[203] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[204] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[205] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[206] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[207] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[208] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[209] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[210] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[211] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[212] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[213] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[214] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[215] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[216] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[217] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[218] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[219] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[220] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[221] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[222] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[223] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[224] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[225] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[226] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[227] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[228] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[229] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[230] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[231] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[232] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[233] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[234] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[235] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[236] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[237] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[238] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[239] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[240] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[241] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[242] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[243] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[244] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[245] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[246] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[247] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[248] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[249] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[250] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[251] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[252] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[253] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[254] ;
-   wire [8:0] \u_uart_u_uart_core_read_fifo_fifo_buffer[255] ;
-   wire [8:0] u_uart_u_uart_core_read_fifo_raddr;
-   wire [8:0] u_uart_u_uart_core_rx_buffer_size;
-   wire [1:0] u_uart_u_uart_core_rx_time_state_reg;
-   wire [31:0] u_uart_u_uart_core_rx_time_rx_time;
-   wire [31:0] u_uart_u_uart_core_rx_time_rx_timeout;
-   wire [31:0] u_uart_u_uart_core_rx_timeout;
-   wire [4:0] \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q ;
-   wire data_csb;
-   wire data_we;
-   wire dccm_adapter_data_csbD;
-   wire \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ;
-   wire dccm_adapter_data_mem_u_rspfifo_n_125;
-   wire dccm_adapter_data_mem_u_rspfifo_n_628;
-   wire dccm_adapter_data_mem_u_rspfifo_n_629;
-   wire dccm_adapter_data_mem_u_rspfifo_n_638;
-   wire dccm_adapter_data_mem_u_sramreqfifo_n_69;
-   wire dccm_adapter_data_weD;
-   wire dccm_adapter_rvalid_o;
-   wire \dccm_to_xbar[a_ready] ;
-   wire iccm_adapter_inst_mem_u_reqfifo_n_68;
-   wire iccm_adapter_inst_mem_u_rspfifo_n_108;
-   wire iccm_adapter_inst_mem_u_rspfifo_n_390;
-   wire iccm_adapter_inst_mem_u_sramreqfifo_n_52;
-   wire iccm_adapter_inst_mem_u_sramreqfifo_n_136;
-   wire iccm_adapter_instr_csbD;
-   wire iccm_adapter_instr_weD;
-   wire iccm_adapter_rvalid;
-   wire iccm_ctrl_we;
-   wire inc_ADD_UNS_OP2_n_0;
-   wire inc_ADD_UNS_OP2_n_2;
-   wire inc_ADD_UNS_OP2_n_4;
-   wire inc_ADD_UNS_OP2_n_6;
-   wire inc_ADD_UNS_OP2_n_8;
-   wire inc_ADD_UNS_OP2_n_10;
-   wire inc_ADD_UNS_OP2_n_12;
-   wire inc_ADD_UNS_OP2_n_14;
-   wire inc_ADD_UNS_OP2_n_16;
-   wire inc_ADD_UNS_OP2_n_18;
-   wire inc_ADD_UNS_OP2_n_20;
-   wire inc_ADD_UNS_OP2_n_22;
-   wire inc_ADD_UNS_OP2_n_24;
-   wire inc_ADD_UNS_OP2_n_26;
-   wire inc_ADD_UNS_OP2_n_28;
-   wire inc_ADD_UNS_OP2_n_30;
-   wire inc_ADD_UNS_OP2_n_32;
-   wire inc_ADD_UNS_OP2_n_34;
-   wire inc_ADD_UNS_OP2_n_36;
-   wire inc_ADD_UNS_OP2_n_38;
-   wire inc_ADD_UNS_OP2_n_40;
-   wire inc_ADD_UNS_OP2_n_42;
-   wire inc_ADD_UNS_OP2_n_44;
-   wire inc_ADD_UNS_OP2_n_46;
-   wire inc_ADD_UNS_OP2_n_48;
-   wire inc_ADD_UNS_OP2_n_50;
-   wire inc_ADD_UNS_OP2_n_52;
-   wire inc_ADD_UNS_OP2_n_54;
-   wire inc_ADD_UNS_OP2_n_56;
-   wire inc_ADD_UNS_OP2_n_58;
-   wire inc_ADD_UNS_OP2_n_60;
-   wire inc_ADD_UNS_OP2_n_62;
-   wire inc_ADD_UNS_OP2_n_64;
-   wire inc_ADD_UNS_OP2_n_66;
-   wire inc_ADD_UNS_OP2_n_68;
-   wire inc_ADD_UNS_OP2_n_70;
-   wire inc_ADD_UNS_OP2_n_72;
-   wire inc_ADD_UNS_OP2_n_74;
-   wire inc_ADD_UNS_OP2_n_76;
-   wire inc_ADD_UNS_OP2_n_78;
-   wire inc_ADD_UNS_OP2_n_80;
-   wire inc_ADD_UNS_OP2_n_82;
-   wire inc_ADD_UNS_OP2_n_84;
-   wire inc_ADD_UNS_OP2_n_86;
-   wire inc_ADD_UNS_OP2_n_88;
-   wire inc_ADD_UNS_OP2_n_90;
-   wire inc_ADD_UNS_OP2_n_92;
-   wire inc_ADD_UNS_OP2_n_94;
-   wire inc_ADD_UNS_OP2_n_96;
-   wire inc_ADD_UNS_OP2_n_98;
-   wire inc_ADD_UNS_OP2_n_100;
-   wire inc_ADD_UNS_OP2_n_102;
-   wire inc_ADD_UNS_OP2_n_104;
-   wire inc_ADD_UNS_OP2_n_106;
-   wire inc_ADD_UNS_OP2_n_108;
-   wire inc_ADD_UNS_OP2_n_110;
-   wire inc_ADD_UNS_OP2_n_112;
-   wire inc_ADD_UNS_OP2_n_114;
-   wire inc_ADD_UNS_OP2_n_116;
-   wire inc_ADD_UNS_OP2_n_118;
-   wire inc_ADD_UNS_OP2_n_120;
-   wire inc_ADD_UNS_OP2_n_123;
-   wire inc_ADD_UNS_OP2_n_124;
-   wire inc_ADD_UNS_OP12174_n_0;
-   wire inc_ADD_UNS_OP12174_n_2;
-   wire inc_ADD_UNS_OP12174_n_4;
-   wire inc_ADD_UNS_OP12174_n_6;
-   wire inc_ADD_UNS_OP12174_n_8;
-   wire inc_ADD_UNS_OP12174_n_10;
-   wire inc_ADD_UNS_OP12174_n_12;
-   wire inc_ADD_UNS_OP12174_n_14;
-   wire inc_ADD_UNS_OP12174_n_16;
-   wire inc_ADD_UNS_OP12174_n_18;
-   wire inc_ADD_UNS_OP12174_n_20;
-   wire inc_ADD_UNS_OP12174_n_22;
-   wire inc_ADD_UNS_OP12174_n_24;
-   wire inc_ADD_UNS_OP12174_n_26;
-   wire inc_ADD_UNS_OP12174_n_28;
-   wire inc_ADD_UNS_OP12174_n_30;
-   wire inc_ADD_UNS_OP12174_n_32;
-   wire inc_ADD_UNS_OP12174_n_34;
-   wire inc_ADD_UNS_OP12174_n_36;
-   wire inc_ADD_UNS_OP12174_n_38;
-   wire inc_ADD_UNS_OP12174_n_40;
-   wire inc_ADD_UNS_OP12174_n_42;
-   wire inc_ADD_UNS_OP12174_n_44;
-   wire inc_ADD_UNS_OP12174_n_46;
-   wire inc_ADD_UNS_OP12174_n_48;
-   wire inc_ADD_UNS_OP12174_n_50;
-   wire inc_ADD_UNS_OP12174_n_52;
-   wire inc_ADD_UNS_OP12174_n_54;
-   wire inc_ADD_UNS_OP12174_n_56;
-   wire inc_ADD_UNS_OP12174_n_58;
-   wire inc_ADD_UNS_OP12174_n_60;
-   wire inc_ADD_UNS_OP12174_n_62;
-   wire inc_ADD_UNS_OP12174_n_64;
-   wire inc_ADD_UNS_OP12174_n_66;
-   wire inc_ADD_UNS_OP12174_n_68;
-   wire inc_ADD_UNS_OP12174_n_70;
-   wire inc_ADD_UNS_OP12174_n_72;
-   wire inc_ADD_UNS_OP12174_n_74;
-   wire inc_ADD_UNS_OP12174_n_76;
-   wire inc_ADD_UNS_OP12174_n_78;
-   wire inc_ADD_UNS_OP12174_n_80;
-   wire inc_ADD_UNS_OP12174_n_82;
-   wire inc_ADD_UNS_OP12174_n_84;
-   wire inc_ADD_UNS_OP12174_n_86;
-   wire inc_ADD_UNS_OP12174_n_88;
-   wire inc_ADD_UNS_OP12174_n_90;
-   wire inc_ADD_UNS_OP12174_n_92;
-   wire inc_ADD_UNS_OP12174_n_94;
-   wire inc_ADD_UNS_OP12174_n_96;
-   wire inc_ADD_UNS_OP12174_n_98;
-   wire inc_ADD_UNS_OP12174_n_100;
-   wire inc_ADD_UNS_OP12174_n_102;
-   wire inc_ADD_UNS_OP12174_n_104;
-   wire inc_ADD_UNS_OP12174_n_106;
-   wire inc_ADD_UNS_OP12174_n_108;
-   wire inc_ADD_UNS_OP12174_n_110;
-   wire inc_ADD_UNS_OP12174_n_112;
-   wire inc_ADD_UNS_OP12174_n_114;
-   wire inc_ADD_UNS_OP12174_n_116;
-   wire inc_ADD_UNS_OP12174_n_118;
-   wire inc_ADD_UNS_OP12174_n_120;
-   wire inc_ADD_UNS_OP12174_n_123;
-   wire inc_ADD_UNS_OP12174_n_124;
-   wire inc_main_swith_host_lsu_add_105_52_n_162;
-   wire inc_main_swith_host_lsu_add_105_52_n_164;
-   wire inc_main_swith_host_lsu_add_105_52_n_166;
-   wire inc_main_swith_host_lsu_add_105_52_n_168;
-   wire inc_main_swith_host_lsu_add_105_52_n_170;
-   wire inc_main_swith_host_lsu_add_105_52_n_172;
-   wire inc_main_swith_host_lsu_add_105_52_n_174;
-   wire inc_main_swith_host_lsu_add_105_52_n_176;
-   wire inc_main_swith_host_lsu_add_105_52_n_178;
-   wire inc_main_swith_host_lsu_add_105_52_n_180;
-   wire inc_main_swith_host_lsu_add_105_52_n_182;
-   wire inc_main_swith_host_lsu_add_105_52_n_184;
-   wire inc_main_swith_host_lsu_add_105_52_n_186;
-   wire inc_main_swith_host_lsu_add_105_52_n_188;
-   wire inc_main_swith_host_lsu_add_105_52_n_190;
-   wire instr_we;
-   wire intr_u_rx;
-   wire intr_u_tx;
-   wire logic_0_1_net;
-   wire logic_0_2_net;
-   wire logic_0_3_net;
-   wire logic_0_4_net;
-   wire logic_0_5_net;
-   wire logic_0_6_net;
-   wire logic_0_7_net;
-   wire logic_0_8_net;
-   wire logic_0_9_net;
-   wire logic_0_10_net;
-   wire logic_0_11_net;
-   wire logic_0_12_net;
-   wire logic_0_13_net;
-   wire logic_0_14_net;
-   wire logic_0_15_net;
-   wire logic_0_16_net;
-   wire logic_0_17_net;
-   wire logic_0_18_net;
-   wire logic_0_19_net;
-   wire logic_0_20_net;
-   wire logic_0_21_net;
-   wire logic_0_22_net;
-   wire logic_0_23_net;
-   wire logic_0_24_net;
-   wire logic_0_25_net;
-   wire logic_0_26_net;
-   wire logic_0_27_net;
-   wire logic_0_28_net;
-   wire logic_0_29_net;
-   wire logic_0_30_net;
-   wire logic_0_31_net;
-   wire logic_0_32_net;
-   wire logic_0_33_net;
-   wire logic_0_34_net;
-   wire logic_0_35_net;
-   wire logic_0_36_net;
-   wire logic_0_37_net;
-   wire logic_0_38_net;
-   wire logic_0_39_net;
-   wire logic_0_40_net;
-   wire logic_0_41_net;
-   wire logic_0_42_net;
-   wire logic_0_43_net;
-   wire logic_0_44_net;
-   wire logic_0_45_net;
-   wire logic_0_46_net;
-   wire logic_0_47_net;
-   wire logic_0_48_net;
-   wire logic_0_49_net;
-   wire logic_0_50_net;
-   wire logic_0_51_net;
-   wire logic_0_52_net;
-   wire logic_0_53_net;
-   wire logic_0_54_net;
-   wire logic_0_55_net;
-   wire logic_0_56_net;
-   wire logic_0_57_net;
-   wire logic_0_58_net;
-   wire logic_0_59_net;
-   wire logic_0_60_net;
-   wire logic_0_61_net;
-   wire logic_0_62_net;
-   wire logic_0_63_net;
-   wire logic_0_64_net;
-   wire logic_0_65_net;
-   wire logic_0_66_net;
-   wire logic_0_67_net;
-   wire logic_0_68_net;
-   wire logic_0_69_net;
-   wire logic_0_70_net;
-   wire logic_0_71_net;
-   wire logic_0_72_net;
-   wire logic_0_73_net;
-   wire \main_swith_host_lsu_tl_u_i[3][d_valid] ;
-   wire n_0;
-   wire n_170;
-   wire n_171;
-   wire n_172;
-   wire n_173;
-   wire n_177;
-   wire n_178;
-   wire n_179;
-   wire n_180;
-   wire n_181;
-   wire n_182;
-   wire n_183;
-   wire n_184;
-   wire n_185;
-   wire n_186;
-   wire n_193;
-   wire n_194;
-   wire n_195;
-   wire n_196;
-   wire n_197;
-   wire n_204;
-   wire n_205;
-   wire n_207;
-   wire n_212;
-   wire n_214;
-   wire n_218;
-   wire n_223;
-   wire n_228;
-   wire n_229;
-   wire n_230;
-   wire n_231;
-   wire n_232;
-   wire n_233;
-   wire n_235;
-   wire n_239;
-   wire n_245;
-   wire n_257;
-   wire n_261;
-   wire n_262;
-   wire n_265;
-   wire n_270;
-   wire n_271;
-   wire n_276;
-   wire n_277;
-   wire n_280;
-   wire n_285;
-   wire n_288;
-   wire n_292;
-   wire n_295;
-   wire n_296;
-   wire n_297;
-   wire n_301;
-   wire n_302;
-   wire n_303;
-   wire n_306;
-   wire n_307;
-   wire n_310;
-   wire n_311;
-   wire n_312;
-   wire n_318;
-   wire n_324;
-   wire n_329;
-   wire n_335;
-   wire n_336;
-   wire n_502;
-   wire n_549;
-   wire n_557;
-   wire n_569;
-   wire n_589;
-   wire n_598;
-   wire n_602;
-   wire n_603;
-   wire n_604;
-   wire n_605;
-   wire n_606;
-   wire n_608;
-   wire n_609;
-   wire n_610;
-   wire n_611;
-   wire n_612;
-   wire n_613;
-   wire n_616;
-   wire n_623;
-   wire n_625;
-   wire n_631;
-   wire n_632;
-   wire n_637;
-   wire n_638;
-   wire n_639;
-   wire n_641;
-   wire n_647;
-   wire n_648;
-   wire n_649;
-   wire n_650;
-   wire n_651;
-   wire n_652;
-   wire n_653;
-   wire n_660;
-   wire n_661;
-   wire n_662;
-   wire n_663;
-   wire n_665;
-   wire n_667;
-   wire n_668;
-   wire n_669;
-   wire n_670;
-   wire n_671;
-   wire n_675;
-   wire n_679;
-   wire n_685;
-   wire n_687;
-   wire n_693;
-   wire n_697;
-   wire n_698;
-   wire n_699;
-   wire n_700;
-   wire n_701;
-   wire n_703;
-   wire n_704;
-   wire n_710;
-   wire n_716;
-   wire n_720;
-   wire n_721;
-   wire n_724;
-   wire n_727;
-   wire n_733;
-   wire n_734;
-   wire n_737;
-   wire n_738;
-   wire n_742;
-   wire n_750;
-   wire n_753;
-   wire n_754;
-   wire n_760;
-   wire n_766;
-   wire n_767;
-   wire n_768;
-   wire n_908;
-   wire n_1029;
-   wire n_1030;
-   wire n_1031;
-   wire n_1032;
-   wire n_1034;
-   wire n_1035;
-   wire n_1036;
-   wire n_1037;
-   wire n_1038;
-   wire n_1039;
-   wire n_1040;
-   wire n_1042;
-   wire n_1044;
-   wire n_1045;
-   wire n_1046;
-   wire n_1047;
-   wire n_1048;
-   wire n_1049;
-   wire n_1050;
-   wire n_1053;
-   wire n_1054;
-   wire n_1055;
-   wire n_1056;
-   wire n_1057;
-   wire n_1058;
-   wire n_1059;
-   wire n_1060;
-   wire n_1061;
-   wire n_1062;
-   wire n_1063;
-   wire n_1064;
-   wire n_1065;
-   wire n_1066;
-   wire n_1067;
-   wire n_1068;
-   wire n_1069;
-   wire n_1070;
-   wire n_1071;
-   wire n_1072;
-   wire n_1073;
-   wire n_1074;
-   wire n_1075;
-   wire n_1076;
-   wire n_1077;
-   wire n_1078;
-   wire n_1079;
-   wire n_1080;
-   wire n_1081;
-   wire n_1083;
-   wire n_1084;
-   wire n_1086;
-   wire n_1087;
-   wire n_1088;
-   wire n_1089;
-   wire n_1090;
-   wire n_1091;
-   wire n_1092;
-   wire n_1093;
-   wire n_1094;
-   wire n_1095;
-   wire n_1096;
-   wire n_1097;
-   wire n_1099;
-   wire n_1101;
-   wire n_1102;
-   wire n_1103;
-   wire n_1104;
-   wire n_1105;
-   wire n_1106;
-   wire n_1107;
-   wire n_1108;
-   wire n_1109;
-   wire n_1110;
-   wire n_1111;
-   wire n_1112;
-   wire n_1113;
-   wire n_1114;
-   wire n_1115;
-   wire n_1116;
-   wire n_1117;
-   wire n_1118;
-   wire n_1119;
-   wire n_1120;
-   wire n_1121;
-   wire n_1122;
-   wire n_1123;
-   wire n_1124;
-   wire n_1125;
-   wire n_1126;
-   wire n_1127;
-   wire n_1128;
-   wire n_1129;
-   wire n_1130;
-   wire n_1131;
-   wire n_1132;
-   wire n_1133;
-   wire n_1134;
-   wire n_1135;
-   wire n_1136;
-   wire n_1137;
-   wire n_1138;
-   wire n_1139;
-   wire n_1140;
-   wire n_1141;
-   wire n_1142;
-   wire n_1143;
-   wire n_1144;
-   wire n_1145;
-   wire n_1146;
-   wire n_1147;
-   wire n_1148;
-   wire n_1149;
-   wire n_1150;
-   wire n_1151;
-   wire n_1152;
-   wire n_1153;
-   wire n_1154;
-   wire n_1155;
-   wire n_1156;
-   wire n_1157;
-   wire n_1158;
-   wire n_1159;
-   wire n_1160;
-   wire n_1161;
-   wire n_1162;
-   wire n_1163;
-   wire n_1164;
-   wire n_1165;
-   wire n_1166;
-   wire n_1167;
-   wire n_1168;
-   wire n_1169;
-   wire n_1170;
-   wire n_1172;
-   wire n_1173;
-   wire n_1174;
-   wire n_1175;
-   wire n_1176;
-   wire n_1177;
-   wire n_1179;
-   wire n_1180;
-   wire n_1182;
-   wire n_1183;
-   wire n_1184;
-   wire n_1185;
-   wire n_1186;
-   wire n_1187;
-   wire n_1188;
-   wire n_1189;
-   wire n_1190;
-   wire n_1191;
-   wire n_1192;
-   wire n_1193;
-   wire n_1194;
-   wire n_1195;
-   wire n_1196;
-   wire n_1197;
-   wire n_1198;
-   wire n_1199;
-   wire n_1200;
-   wire n_1201;
-   wire n_1202;
-   wire n_1203;
-   wire n_1204;
-   wire n_1205;
-   wire n_1206;
-   wire n_1208;
-   wire n_1209;
-   wire n_1210;
-   wire n_1211;
-   wire n_1212;
-   wire n_1213;
-   wire n_1214;
-   wire n_1215;
-   wire n_1216;
-   wire n_1217;
-   wire n_1218;
-   wire n_1219;
-   wire n_1220;
-   wire n_1222;
-   wire n_1223;
-   wire n_1224;
-   wire n_1225;
-   wire n_1226;
-   wire n_1227;
-   wire n_1228;
-   wire n_1229;
-   wire n_1230;
-   wire n_1231;
-   wire n_1232;
-   wire n_1233;
-   wire n_1234;
-   wire n_1235;
-   wire n_1236;
-   wire n_1237;
-   wire n_1238;
-   wire n_1239;
-   wire n_1240;
-   wire n_1241;
-   wire n_1242;
-   wire n_1243;
-   wire n_1245;
-   wire n_1246;
-   wire n_1247;
-   wire n_1248;
-   wire n_1249;
-   wire n_1250;
-   wire n_1251;
-   wire n_1252;
-   wire n_1253;
-   wire n_1254;
-   wire n_1255;
-   wire n_1256;
-   wire n_1257;
-   wire n_1258;
-   wire n_1259;
-   wire n_1260;
-   wire n_1261;
-   wire n_1263;
-   wire n_1264;
-   wire n_1265;
-   wire n_1266;
-   wire n_1267;
-   wire n_1268;
-   wire n_1269;
-   wire n_1270;
-   wire n_1271;
-   wire n_1272;
-   wire n_1273;
-   wire n_1274;
-   wire n_1275;
-   wire n_1276;
-   wire n_1277;
-   wire n_1278;
-   wire n_1279;
-   wire n_1280;
-   wire n_1282;
-   wire n_1283;
-   wire n_1284;
-   wire n_1285;
-   wire n_1287;
-   wire n_1288;
-   wire n_1289;
-   wire n_1290;
-   wire n_1291;
-   wire n_1292;
-   wire n_1293;
-   wire n_1294;
-   wire n_1295;
-   wire n_1296;
-   wire n_1297;
-   wire n_1298;
-   wire n_1299;
-   wire n_1300;
-   wire n_1301;
-   wire n_1302;
-   wire n_1303;
-   wire n_1304;
-   wire n_1305;
-   wire n_1306;
-   wire n_1307;
-   wire n_1308;
-   wire n_1309;
-   wire n_1310;
-   wire n_1311;
-   wire n_1312;
-   wire n_1313;
-   wire n_1315;
-   wire n_1316;
-   wire n_1317;
-   wire n_1319;
-   wire n_1320;
-   wire n_1322;
-   wire n_1323;
-   wire n_1324;
-   wire n_1325;
-   wire n_1326;
-   wire n_1327;
-   wire n_1329;
-   wire n_1330;
-   wire n_1331;
-   wire n_1332;
-   wire n_1333;
-   wire n_1334;
-   wire n_1335;
-   wire n_1336;
-   wire n_1337;
-   wire n_1338;
-   wire n_1339;
-   wire n_1340;
-   wire n_1341;
-   wire n_1342;
-   wire n_1343;
-   wire n_1344;
-   wire n_1345;
-   wire n_1346;
-   wire n_1347;
-   wire n_1348;
-   wire n_1349;
-   wire n_1350;
-   wire n_1351;
-   wire n_1352;
-   wire n_1353;
-   wire n_1354;
-   wire n_1355;
-   wire n_1356;
-   wire n_1357;
-   wire n_1358;
-   wire n_1359;
-   wire n_1360;
-   wire n_1361;
-   wire n_1362;
-   wire n_1363;
-   wire n_1364;
-   wire n_1365;
-   wire n_1366;
-   wire n_1367;
-   wire n_1368;
-   wire n_1369;
-   wire n_1370;
-   wire n_1371;
-   wire n_1374;
-   wire n_1375;
-   wire n_1376;
-   wire n_1377;
-   wire n_1378;
-   wire n_1379;
-   wire n_1380;
-   wire n_1381;
-   wire n_1382;
-   wire n_1383;
-   wire n_1384;
-   wire n_1385;
-   wire n_1386;
-   wire n_1387;
-   wire n_1388;
-   wire n_1389;
-   wire n_1390;
-   wire n_1391;
-   wire n_1392;
-   wire n_1393;
-   wire n_1459;
-   wire n_1568;
-   wire n_1667;
-   wire n_1668;
-   wire n_1669;
-   wire n_1670;
-   wire n_1671;
-   wire n_1672;
-   wire n_1673;
-   wire n_1674;
-   wire n_1675;
-   wire n_1676;
-   wire n_1677;
-   wire n_1678;
-   wire n_1679;
-   wire n_1681;
-   wire n_1682;
-   wire n_1683;
-   wire n_1685;
-   wire n_1686;
-   wire n_1687;
-   wire n_1688;
-   wire n_1689;
-   wire n_1690;
-   wire n_1691;
-   wire n_1692;
-   wire n_1693;
-   wire n_1694;
-   wire n_1695;
-   wire n_1696;
-   wire n_1697;
-   wire n_1698;
-   wire n_1699;
-   wire n_1700;
-   wire n_1701;
-   wire n_1702;
-   wire n_1704;
-   wire n_1705;
-   wire n_1706;
-   wire n_1708;
-   wire n_1709;
-   wire n_1710;
-   wire n_1711;
-   wire n_1712;
-   wire n_1713;
-   wire n_1714;
-   wire n_1715;
-   wire n_1716;
-   wire n_1717;
-   wire n_1718;
-   wire n_1720;
-   wire n_1722;
-   wire n_1723;
-   wire n_1724;
-   wire n_1725;
-   wire n_1726;
-   wire n_1727;
-   wire n_1728;
-   wire n_1729;
-   wire n_1730;
-   wire n_1731;
-   wire n_1732;
-   wire n_1733;
-   wire n_1734;
-   wire n_1735;
-   wire n_1736;
-   wire n_1737;
-   wire n_1739;
-   wire n_1740;
-   wire n_1741;
-   wire n_1742;
-   wire n_1743;
-   wire n_1745;
-   wire n_1746;
-   wire n_1747;
-   wire n_1748;
-   wire n_1749;
-   wire n_1751;
-   wire n_1752;
-   wire n_1753;
-   wire n_1754;
-   wire n_1755;
-   wire n_1756;
-   wire n_1757;
-   wire n_1758;
-   wire n_1759;
-   wire n_1760;
-   wire n_1761;
-   wire n_1762;
-   wire n_1763;
-   wire n_1764;
-   wire n_1765;
-   wire n_1766;
-   wire n_1767;
-   wire n_1768;
-   wire n_1769;
-   wire n_1770;
-   wire n_1771;
-   wire n_1772;
-   wire n_1773;
-   wire n_1774;
-   wire n_1775;
-   wire n_1776;
-   wire n_1777;
-   wire n_1778;
-   wire n_1779;
-   wire n_1780;
-   wire n_1781;
-   wire n_1782;
-   wire n_1783;
-   wire n_1784;
-   wire n_1785;
-   wire n_1786;
-   wire n_1787;
-   wire n_1788;
-   wire n_1789;
-   wire n_1790;
-   wire n_1791;
-   wire n_1792;
-   wire n_1793;
-   wire n_1794;
-   wire n_1795;
-   wire n_1796;
-   wire n_1797;
-   wire n_1876;
-   wire n_1889;
-   wire n_1949;
-   wire n_1966;
-   wire n_1967;
-   wire n_1968;
-   wire n_1969;
-   wire n_1970;
-   wire n_1971;
-   wire n_1972;
-   wire n_1973;
-   wire n_1974;
-   wire n_1975;
-   wire n_1976;
-   wire n_1977;
-   wire n_1978;
-   wire n_1979;
-   wire n_1980;
-   wire n_1981;
-   wire n_1982;
-   wire n_1983;
-   wire n_1984;
-   wire n_1985;
-   wire n_1986;
-   wire n_1987;
-   wire n_1988;
-   wire n_1989;
-   wire n_1990;
-   wire n_1991;
-   wire n_1992;
-   wire n_1993;
-   wire n_1994;
-   wire n_1995;
-   wire n_1996;
-   wire n_1997;
-   wire n_1998;
-   wire n_1999;
-   wire n_2000;
-   wire n_2001;
-   wire n_2002;
-   wire n_2003;
-   wire n_2004;
-   wire n_2005;
-   wire n_2006;
-   wire n_2007;
-   wire n_2008;
-   wire n_2009;
-   wire n_2010;
-   wire n_2011;
-   wire n_2012;
-   wire n_2013;
-   wire n_2014;
-   wire n_2015;
-   wire n_2016;
-   wire n_2017;
-   wire n_2018;
-   wire n_2019;
-   wire n_2020;
-   wire n_2021;
-   wire n_2022;
-   wire n_2023;
-   wire n_2024;
-   wire n_2025;
-   wire n_2026;
-   wire n_2027;
-   wire n_2028;
-   wire n_2029;
-   wire n_2030;
-   wire n_2031;
-   wire n_2032;
-   wire n_2033;
-   wire n_2034;
-   wire n_2035;
-   wire n_2036;
-   wire n_2037;
-   wire n_2038;
-   wire n_2039;
-   wire n_2040;
-   wire n_2041;
-   wire n_2042;
-   wire n_2043;
-   wire n_2044;
-   wire n_2045;
-   wire n_2046;
-   wire n_2047;
-   wire n_2048;
-   wire n_2049;
-   wire n_2050;
-   wire n_2051;
-   wire n_2052;
-   wire n_2053;
-   wire n_2054;
-   wire n_2055;
-   wire n_2056;
-   wire n_2057;
-   wire n_2058;
-   wire n_2059;
-   wire n_2060;
-   wire n_2061;
-   wire n_2062;
-   wire n_2063;
-   wire n_2064;
-   wire n_2065;
-   wire n_2066;
-   wire n_2067;
-   wire n_2068;
-   wire n_2069;
-   wire n_2070;
-   wire n_2071;
-   wire n_2072;
-   wire n_2073;
-   wire n_2074;
-   wire n_2075;
-   wire n_2076;
-   wire n_2077;
-   wire n_2078;
-   wire n_2079;
-   wire n_2080;
-   wire n_2081;
-   wire n_2082;
-   wire n_2083;
-   wire n_2084;
-   wire n_2085;
-   wire n_2086;
-   wire n_2087;
-   wire n_2088;
-   wire n_2089;
-   wire n_2090;
-   wire n_2091;
-   wire n_2092;
-   wire n_2093;
-   wire n_2094;
-   wire n_2095;
-   wire n_2096;
-   wire n_2097;
-   wire n_2098;
-   wire n_2099;
-   wire n_2100;
-   wire n_2101;
-   wire n_2102;
-   wire n_2103;
-   wire n_2104;
-   wire n_2105;
-   wire n_2106;
-   wire n_2107;
-   wire n_2108;
-   wire n_2109;
-   wire n_2110;
-   wire n_2111;
-   wire n_2112;
-   wire n_2113;
-   wire n_2114;
-   wire n_2115;
-   wire n_2116;
-   wire n_2117;
-   wire n_2118;
-   wire n_2119;
-   wire n_2120;
-   wire n_2121;
-   wire n_2122;
-   wire n_2123;
-   wire n_2124;
-   wire n_2125;
-   wire n_2126;
-   wire n_2127;
-   wire n_2128;
-   wire n_2129;
-   wire n_2130;
-   wire n_2131;
-   wire n_2132;
-   wire n_2133;
-   wire n_2134;
-   wire n_2135;
-   wire n_2136;
-   wire n_2137;
-   wire n_2138;
-   wire n_2139;
-   wire n_2140;
-   wire n_2141;
-   wire n_2142;
-   wire n_2143;
-   wire n_2145;
-   wire n_2147;
-   wire n_2148;
-   wire n_2149;
-   wire n_2150;
-   wire n_2151;
-   wire n_2152;
-   wire n_2153;
-   wire n_2154;
-   wire n_2155;
-   wire n_2156;
-   wire n_2157;
-   wire n_2158;
-   wire n_2159;
-   wire n_2160;
-   wire n_2161;
-   wire n_2162;
-   wire n_2163;
-   wire n_2164;
-   wire n_2165;
-   wire n_2166;
-   wire n_2167;
-   wire n_2168;
-   wire n_2169;
-   wire n_2170;
-   wire n_2171;
-   wire n_2172;
-   wire n_2173;
-   wire n_2174;
-   wire n_2175;
-   wire n_2176;
-   wire n_2177;
-   wire n_2178;
-   wire n_2180;
-   wire n_2181;
-   wire n_2182;
-   wire n_2183;
-   wire n_2184;
-   wire n_2185;
-   wire n_2186;
-   wire n_2187;
-   wire n_2188;
-   wire n_2189;
-   wire n_2190;
-   wire n_2191;
-   wire n_2192;
-   wire n_2193;
-   wire n_2195;
-   wire n_2196;
-   wire n_2197;
-   wire n_2198;
-   wire n_2199;
-   wire n_2201;
-   wire n_2202;
-   wire n_2204;
-   wire n_2205;
-   wire n_2206;
-   wire n_2207;
-   wire n_2208;
-   wire n_2209;
-   wire n_2210;
-   wire n_2211;
-   wire n_2212;
-   wire n_2213;
-   wire n_2214;
-   wire n_2215;
-   wire n_2216;
-   wire n_2217;
-   wire n_2218;
-   wire n_2219;
-   wire n_2220;
-   wire n_2221;
-   wire n_2222;
-   wire n_2223;
-   wire n_2224;
-   wire n_2225;
-   wire n_2226;
-   wire n_2227;
-   wire n_2228;
-   wire n_2229;
-   wire n_2230;
-   wire n_2231;
-   wire n_2232;
-   wire n_2233;
-   wire n_2235;
-   wire n_2236;
-   wire n_2237;
-   wire n_2238;
-   wire n_2239;
-   wire n_2240;
-   wire n_2241;
-   wire n_2242;
-   wire n_2243;
-   wire n_2244;
-   wire n_2245;
-   wire n_2246;
-   wire n_2247;
-   wire n_2248;
-   wire n_2249;
-   wire n_2250;
-   wire n_2251;
-   wire n_2252;
-   wire n_2253;
-   wire n_2254;
-   wire n_2255;
-   wire n_2256;
-   wire n_2257;
-   wire n_2258;
-   wire n_2259;
-   wire n_2260;
-   wire n_2261;
-   wire n_2262;
-   wire n_2263;
-   wire n_2264;
-   wire n_2265;
-   wire n_2266;
-   wire n_2267;
-   wire n_2268;
-   wire n_2269;
-   wire n_2270;
-   wire n_2271;
-   wire n_2272;
-   wire n_2273;
-   wire n_2274;
-   wire n_2275;
-   wire n_2276;
-   wire n_2277;
-   wire n_2278;
-   wire n_2279;
-   wire n_2280;
-   wire n_2281;
-   wire n_2282;
-   wire n_2283;
-   wire n_2284;
-   wire n_2285;
-   wire n_2286;
-   wire n_2287;
-   wire n_2288;
-   wire n_2289;
-   wire n_2290;
-   wire n_2291;
-   wire n_2292;
-   wire n_2293;
-   wire n_2294;
-   wire n_2295;
-   wire n_2296;
-   wire n_2299;
-   wire n_2300;
-   wire n_2301;
-   wire n_2302;
-   wire n_2303;
-   wire n_2304;
-   wire n_2305;
-   wire n_2306;
-   wire n_2307;
-   wire n_2308;
-   wire n_2309;
-   wire n_2310;
-   wire n_2311;
-   wire n_2312;
-   wire n_2313;
-   wire n_2314;
-   wire n_2315;
-   wire n_2316;
-   wire n_2317;
-   wire n_2319;
-   wire n_2320;
-   wire n_2321;
-   wire n_2322;
-   wire n_2324;
-   wire n_2325;
-   wire n_2326;
-   wire n_2327;
-   wire n_2328;
-   wire n_2329;
-   wire n_2330;
-   wire n_2331;
-   wire n_2332;
-   wire n_2333;
-   wire n_2334;
-   wire n_2335;
-   wire n_2336;
-   wire n_2337;
-   wire n_2338;
-   wire n_2339;
-   wire n_2340;
-   wire n_2341;
-   wire n_2342;
-   wire n_2343;
-   wire n_2344;
-   wire n_2345;
-   wire n_2346;
-   wire n_2347;
-   wire n_2348;
-   wire n_2349;
-   wire n_2350;
-   wire n_2351;
-   wire n_2352;
-   wire n_2353;
-   wire n_2354;
-   wire n_2355;
-   wire n_2356;
-   wire n_2357;
-   wire n_2358;
-   wire n_2359;
-   wire n_2360;
-   wire n_2361;
-   wire n_2362;
-   wire n_2363;
-   wire n_2364;
-   wire n_2365;
-   wire n_2366;
-   wire n_2367;
-   wire n_2368;
-   wire n_2369;
-   wire n_2370;
-   wire n_2371;
-   wire n_2372;
-   wire n_2373;
-   wire n_2374;
-   wire n_2375;
-   wire n_2376;
-   wire n_2377;
-   wire n_2378;
-   wire n_2379;
-   wire n_2380;
-   wire n_2381;
-   wire n_2382;
-   wire n_2383;
-   wire n_2384;
-   wire n_2385;
-   wire n_2386;
-   wire n_2387;
-   wire n_2388;
-   wire n_2389;
-   wire n_2390;
-   wire n_2391;
-   wire n_2392;
-   wire n_2393;
-   wire n_2394;
-   wire n_2395;
-   wire n_2396;
-   wire n_2397;
-   wire n_2398;
-   wire n_2399;
-   wire n_2400;
-   wire n_2401;
-   wire n_2402;
-   wire n_2403;
-   wire n_2404;
-   wire n_2405;
-   wire n_2406;
-   wire n_2407;
-   wire n_2408;
-   wire n_2409;
-   wire n_2410;
-   wire n_2411;
-   wire n_2412;
-   wire n_2413;
-   wire n_2414;
-   wire n_2415;
-   wire n_2416;
-   wire n_2417;
-   wire n_2418;
-   wire n_2419;
-   wire n_2420;
-   wire n_2421;
-   wire n_2422;
-   wire n_2423;
-   wire n_2424;
-   wire n_2425;
-   wire n_2426;
-   wire n_2427;
-   wire n_2428;
-   wire n_2429;
-   wire n_2430;
-   wire n_2431;
-   wire n_2432;
-   wire n_2433;
-   wire n_2434;
-   wire n_2435;
-   wire n_2436;
-   wire n_2437;
-   wire n_2438;
-   wire n_2439;
-   wire n_2440;
-   wire n_2441;
-   wire n_2442;
-   wire n_2443;
-   wire n_2444;
-   wire n_2445;
-   wire n_2446;
-   wire n_2447;
-   wire n_2448;
-   wire n_2449;
-   wire n_2450;
-   wire n_2451;
-   wire n_2452;
-   wire n_2453;
-   wire n_2454;
-   wire n_2455;
-   wire n_2456;
-   wire n_2457;
-   wire n_2458;
-   wire n_2459;
-   wire n_2460;
-   wire n_2461;
-   wire n_2462;
-   wire n_2463;
-   wire n_2464;
-   wire n_2465;
-   wire n_2466;
-   wire n_2467;
-   wire n_2468;
-   wire n_2469;
-   wire n_2470;
-   wire n_2471;
-   wire n_2472;
-   wire n_2473;
-   wire n_2474;
-   wire n_2475;
-   wire n_2476;
-   wire n_2477;
-   wire n_2478;
-   wire n_2479;
-   wire n_2480;
-   wire n_2481;
-   wire n_2482;
-   wire n_2483;
-   wire n_2484;
-   wire n_2485;
-   wire n_2486;
-   wire n_2487;
-   wire n_2488;
-   wire n_2489;
-   wire n_2490;
-   wire n_2491;
-   wire n_2492;
-   wire n_2493;
-   wire n_2494;
-   wire n_2495;
-   wire n_2496;
-   wire n_2497;
-   wire n_2498;
-   wire n_2499;
-   wire n_2500;
-   wire n_2501;
-   wire n_2502;
-   wire n_2503;
-   wire n_2504;
-   wire n_2505;
-   wire n_2506;
-   wire n_2507;
-   wire n_2508;
-   wire n_2509;
-   wire n_2510;
-   wire n_2511;
-   wire n_2512;
-   wire n_2513;
-   wire n_2514;
-   wire n_2515;
-   wire n_2516;
-   wire n_2517;
-   wire n_2518;
-   wire n_2519;
-   wire n_2520;
-   wire n_2521;
-   wire n_2522;
-   wire n_2523;
-   wire n_2524;
-   wire n_2525;
-   wire n_2526;
-   wire n_2527;
-   wire n_2528;
-   wire n_2529;
-   wire n_2530;
-   wire n_2531;
-   wire n_2532;
-   wire n_2533;
-   wire n_2534;
-   wire n_2535;
-   wire n_2536;
-   wire n_2537;
-   wire n_2538;
-   wire n_2539;
-   wire n_2540;
-   wire n_2541;
-   wire n_2542;
-   wire n_2543;
-   wire n_2544;
-   wire n_2545;
-   wire n_2546;
-   wire n_2547;
-   wire n_2548;
-   wire n_2549;
-   wire n_2550;
-   wire n_2551;
-   wire n_2552;
-   wire n_2553;
-   wire n_2554;
-   wire n_2555;
-   wire n_2556;
-   wire n_2557;
-   wire n_2558;
-   wire n_2559;
-   wire n_2560;
-   wire n_2561;
-   wire n_2562;
-   wire n_2563;
-   wire n_2564;
-   wire n_2565;
-   wire n_2566;
-   wire n_2567;
-   wire n_2568;
-   wire n_2569;
-   wire n_2570;
-   wire n_2571;
-   wire n_2572;
-   wire n_2573;
-   wire n_2574;
-   wire n_2575;
-   wire n_2576;
-   wire n_2577;
-   wire n_2578;
-   wire n_2579;
-   wire n_2580;
-   wire n_2581;
-   wire n_2582;
-   wire n_2583;
-   wire n_2584;
-   wire n_2585;
-   wire n_2586;
-   wire n_2587;
-   wire n_2588;
-   wire n_2589;
-   wire n_2590;
-   wire n_2591;
-   wire n_2592;
-   wire n_2593;
-   wire n_2594;
-   wire n_2595;
-   wire n_2596;
-   wire n_2597;
-   wire n_2598;
-   wire n_2599;
-   wire n_2600;
-   wire n_2601;
-   wire n_2602;
-   wire n_2603;
-   wire n_2604;
-   wire n_2605;
-   wire n_2606;
-   wire n_2607;
-   wire n_2608;
-   wire n_2609;
-   wire n_2610;
-   wire n_2611;
-   wire n_2612;
-   wire n_2613;
-   wire n_2614;
-   wire n_2615;
-   wire n_2616;
-   wire n_2617;
-   wire n_2618;
-   wire n_2619;
-   wire n_2620;
-   wire n_2621;
-   wire n_2622;
-   wire n_2623;
-   wire n_2624;
-   wire n_2625;
-   wire n_2626;
-   wire n_2627;
-   wire n_2628;
-   wire n_2629;
-   wire n_2630;
-   wire n_2631;
-   wire n_2632;
-   wire n_2633;
-   wire n_2634;
-   wire n_2635;
-   wire n_2636;
-   wire n_2637;
-   wire n_2638;
-   wire n_2639;
-   wire n_2640;
-   wire n_2641;
-   wire n_2642;
-   wire n_2643;
-   wire n_2644;
-   wire n_2645;
-   wire n_2646;
-   wire n_2647;
-   wire n_2648;
-   wire n_2649;
-   wire n_2650;
-   wire n_2651;
-   wire n_2652;
-   wire n_2653;
-   wire n_2654;
-   wire n_2655;
-   wire n_2656;
-   wire n_2657;
-   wire n_2658;
-   wire n_2659;
-   wire n_2660;
-   wire n_2661;
-   wire n_2662;
-   wire n_2663;
-   wire n_2664;
-   wire n_2665;
-   wire n_2666;
-   wire n_2667;
-   wire n_2668;
-   wire n_2669;
-   wire n_2670;
-   wire n_2671;
-   wire n_2672;
-   wire n_2673;
-   wire n_2674;
-   wire n_2675;
-   wire n_2676;
-   wire n_2677;
-   wire n_2678;
-   wire n_2679;
-   wire n_2680;
-   wire n_2681;
-   wire n_2682;
-   wire n_2683;
-   wire n_2684;
-   wire n_2685;
-   wire n_2686;
-   wire n_2687;
-   wire n_2688;
-   wire n_2689;
-   wire n_2690;
-   wire n_2691;
-   wire n_2692;
-   wire n_2693;
-   wire n_2694;
-   wire n_2695;
-   wire n_2696;
-   wire n_2697;
-   wire n_2698;
-   wire n_2699;
-   wire n_2700;
-   wire n_2701;
-   wire n_2702;
-   wire n_2703;
-   wire n_2704;
-   wire n_2705;
-   wire n_2706;
-   wire n_2707;
-   wire n_2708;
-   wire n_2709;
-   wire n_2710;
-   wire n_2711;
-   wire n_2712;
-   wire n_2713;
-   wire n_2714;
-   wire n_2715;
-   wire n_2716;
-   wire n_2717;
-   wire n_2718;
-   wire n_2719;
-   wire n_2720;
-   wire n_2721;
-   wire n_2722;
-   wire n_2723;
-   wire n_2724;
-   wire n_2725;
-   wire n_2726;
-   wire n_2727;
-   wire n_2728;
-   wire n_2729;
-   wire n_2730;
-   wire n_2731;
-   wire n_2732;
-   wire n_2733;
-   wire n_2734;
-   wire n_2735;
-   wire n_2736;
-   wire n_2737;
-   wire n_2738;
-   wire n_2739;
-   wire n_2740;
-   wire n_2741;
-   wire n_2742;
-   wire n_2743;
-   wire n_2744;
-   wire n_2745;
-   wire n_2746;
-   wire n_2747;
-   wire n_2748;
-   wire n_2749;
-   wire n_2750;
-   wire n_2751;
-   wire n_2752;
-   wire n_2753;
-   wire n_2754;
-   wire n_2755;
-   wire n_2756;
-   wire n_2757;
-   wire n_2758;
-   wire n_2759;
-   wire n_2760;
-   wire n_2761;
-   wire n_2762;
-   wire n_2763;
-   wire n_2764;
-   wire n_2765;
-   wire n_2766;
-   wire n_2767;
-   wire n_2768;
-   wire n_2769;
-   wire n_2770;
-   wire n_2771;
-   wire n_2772;
-   wire n_2773;
-   wire n_2774;
-   wire n_2775;
-   wire n_2776;
-   wire n_2777;
-   wire n_2778;
-   wire n_2779;
-   wire n_2780;
-   wire n_2781;
-   wire n_2782;
-   wire n_2783;
-   wire n_2784;
-   wire n_2785;
-   wire n_2786;
-   wire n_2787;
-   wire n_2788;
-   wire n_2789;
-   wire n_2790;
-   wire n_2791;
-   wire n_2792;
-   wire n_2793;
-   wire n_2794;
-   wire n_2795;
-   wire n_2796;
-   wire n_2797;
-   wire n_2798;
-   wire n_2799;
-   wire n_2800;
-   wire n_2801;
-   wire n_2802;
-   wire n_2803;
-   wire n_2804;
-   wire n_2805;
-   wire n_2806;
-   wire n_2807;
-   wire n_2808;
-   wire n_2809;
-   wire n_2810;
-   wire n_2811;
-   wire n_2812;
-   wire n_2813;
-   wire n_2814;
-   wire n_2815;
-   wire n_2816;
-   wire n_2817;
-   wire n_2818;
-   wire n_2819;
-   wire n_2820;
-   wire n_2821;
-   wire n_2822;
-   wire n_2823;
-   wire n_2824;
-   wire n_2825;
-   wire n_2826;
-   wire n_2827;
-   wire n_2828;
-   wire n_2829;
-   wire n_2830;
-   wire n_2831;
-   wire n_2832;
-   wire n_2833;
-   wire n_2834;
-   wire n_2835;
-   wire n_2836;
-   wire n_2837;
-   wire n_2838;
-   wire n_2839;
-   wire n_2840;
-   wire n_2841;
-   wire n_2842;
-   wire n_2843;
-   wire n_2844;
-   wire n_2845;
-   wire n_2846;
-   wire n_2847;
-   wire n_2848;
-   wire n_2849;
-   wire n_2850;
-   wire n_2851;
-   wire n_2852;
-   wire n_2853;
-   wire n_2854;
-   wire n_2855;
-   wire n_2856;
-   wire n_2857;
-   wire n_2858;
-   wire n_2859;
-   wire n_2860;
-   wire n_2861;
-   wire n_2862;
-   wire n_2863;
-   wire n_2864;
-   wire n_2865;
-   wire n_2866;
-   wire n_2867;
-   wire n_2868;
-   wire n_2869;
-   wire n_2870;
-   wire n_2871;
-   wire n_2872;
-   wire n_2873;
-   wire n_2874;
-   wire n_2875;
-   wire n_2876;
-   wire n_2877;
-   wire n_2878;
-   wire n_2879;
-   wire n_2880;
-   wire n_2881;
-   wire n_2882;
-   wire n_2883;
-   wire n_2884;
-   wire n_2885;
-   wire n_2886;
-   wire n_2887;
-   wire n_2888;
-   wire n_2889;
-   wire n_2890;
-   wire n_2891;
-   wire n_2892;
-   wire n_2893;
-   wire n_2894;
-   wire n_2895;
-   wire n_2896;
-   wire n_2897;
-   wire n_2898;
-   wire n_2901;
-   wire n_2902;
-   wire n_2903;
-   wire n_2904;
-   wire n_2907;
-   wire n_2908;
-   wire n_2909;
-   wire n_2910;
-   wire n_2911;
-   wire n_2912;
-   wire n_2913;
-   wire n_2914;
-   wire n_2915;
-   wire n_2916;
-   wire n_2917;
-   wire n_2918;
-   wire n_2919;
-   wire n_2920;
-   wire n_2921;
-   wire n_2922;
-   wire n_2923;
-   wire n_2924;
-   wire n_2925;
-   wire n_2926;
-   wire n_2927;
-   wire n_2928;
-   wire n_2929;
-   wire n_2930;
-   wire n_2931;
-   wire n_2932;
-   wire n_2933;
-   wire n_2934;
-   wire n_2935;
-   wire n_2936;
-   wire n_2937;
-   wire n_2938;
-   wire n_2939;
-   wire n_2940;
-   wire n_2941;
-   wire n_2942;
-   wire n_2943;
-   wire n_2944;
-   wire n_2945;
-   wire n_2946;
-   wire n_2947;
-   wire n_2948;
-   wire n_2949;
-   wire n_2950;
-   wire n_2951;
-   wire n_2952;
-   wire n_2953;
-   wire n_2954;
-   wire n_2955;
-   wire n_2956;
-   wire n_2957;
-   wire n_2958;
-   wire n_2959;
-   wire n_2960;
-   wire n_2961;
-   wire n_2962;
-   wire n_2963;
-   wire n_2964;
-   wire n_2965;
-   wire n_2966;
-   wire n_2967;
-   wire n_2968;
-   wire n_2969;
-   wire n_2970;
-   wire n_2971;
-   wire n_2972;
-   wire n_2973;
-   wire n_2974;
-   wire n_2975;
-   wire n_2976;
-   wire n_2977;
-   wire n_2978;
-   wire n_2979;
-   wire n_2980;
-   wire n_2981;
-   wire n_2982;
-   wire n_2983;
-   wire n_2984;
-   wire n_2985;
-   wire n_2986;
-   wire n_2987;
-   wire n_2988;
-   wire n_2989;
-   wire n_2990;
-   wire n_2991;
-   wire n_2992;
-   wire n_2993;
-   wire n_2994;
-   wire n_2995;
-   wire n_2996;
-   wire n_2997;
-   wire n_2998;
-   wire n_2999;
-   wire n_3000;
-   wire n_3001;
-   wire n_3002;
-   wire n_3003;
-   wire n_3004;
-   wire n_3005;
-   wire n_3006;
-   wire n_3007;
-   wire n_3008;
-   wire n_3009;
-   wire n_3010;
-   wire n_3011;
-   wire n_3012;
-   wire n_3013;
-   wire n_3014;
-   wire n_3015;
-   wire n_3016;
-   wire n_3017;
-   wire n_3018;
-   wire n_3019;
-   wire n_3020;
-   wire n_3021;
-   wire n_3022;
-   wire n_3023;
-   wire n_3024;
-   wire n_3025;
-   wire n_3026;
-   wire n_3027;
-   wire n_3028;
-   wire n_3029;
-   wire n_3030;
-   wire n_3031;
-   wire n_3032;
-   wire n_3033;
-   wire n_3034;
-   wire n_3035;
-   wire n_3036;
-   wire n_3037;
-   wire n_3038;
-   wire n_3039;
-   wire n_3040;
-   wire n_3041;
-   wire n_3042;
-   wire n_3043;
-   wire n_3044;
-   wire n_3045;
-   wire n_3046;
-   wire n_3047;
-   wire n_3048;
-   wire n_3049;
-   wire n_3050;
-   wire n_3051;
-   wire n_3052;
-   wire n_3053;
-   wire n_3054;
-   wire n_3055;
-   wire n_3056;
-   wire n_3057;
-   wire n_3058;
-   wire n_3059;
-   wire n_3060;
-   wire n_3061;
-   wire n_3062;
-   wire n_3063;
-   wire n_3064;
-   wire n_3065;
-   wire n_3066;
-   wire n_3067;
-   wire n_3068;
-   wire n_3069;
-   wire n_3070;
-   wire n_3071;
-   wire n_3072;
-   wire n_3073;
-   wire n_3074;
-   wire n_3075;
-   wire n_3076;
-   wire n_3077;
-   wire n_3078;
-   wire n_3079;
-   wire n_3080;
-   wire n_3081;
-   wire n_3082;
-   wire n_3083;
-   wire n_3084;
-   wire n_3085;
-   wire n_3086;
-   wire n_3087;
-   wire n_3088;
-   wire n_3089;
-   wire n_3090;
-   wire n_3091;
-   wire n_3092;
-   wire n_3093;
-   wire n_3094;
-   wire n_3095;
-   wire n_3096;
-   wire n_3097;
-   wire n_3098;
-   wire n_3099;
-   wire n_3100;
-   wire n_3101;
-   wire n_3102;
-   wire n_3103;
-   wire n_3104;
-   wire n_3105;
-   wire n_3106;
-   wire n_3107;
-   wire n_3108;
-   wire n_3109;
-   wire n_3110;
-   wire n_3111;
-   wire n_3112;
-   wire n_3113;
-   wire n_3114;
-   wire n_3115;
-   wire n_3116;
-   wire n_3117;
-   wire n_3118;
-   wire n_3119;
-   wire n_3120;
-   wire n_3121;
-   wire n_3122;
-   wire n_3123;
-   wire n_3124;
-   wire n_3125;
-   wire n_3126;
-   wire n_3127;
-   wire n_3128;
-   wire n_3129;
-   wire n_3130;
-   wire n_3131;
-   wire n_3132;
-   wire n_3133;
-   wire n_3134;
-   wire n_3135;
-   wire n_3136;
-   wire n_3137;
-   wire n_3138;
-   wire n_3139;
-   wire n_3140;
-   wire n_3141;
-   wire n_3142;
-   wire n_3143;
-   wire n_3144;
-   wire n_3145;
-   wire n_3146;
-   wire n_3147;
-   wire n_3148;
-   wire n_3149;
-   wire n_3150;
-   wire n_3151;
-   wire n_3152;
-   wire n_3153;
-   wire n_3154;
-   wire n_3155;
-   wire n_3156;
-   wire n_3157;
-   wire n_3158;
-   wire n_3159;
-   wire n_3160;
-   wire n_3161;
-   wire n_3162;
-   wire n_3163;
-   wire n_3164;
-   wire n_3165;
-   wire n_3166;
-   wire n_3167;
-   wire n_3168;
-   wire n_3169;
-   wire n_3170;
-   wire n_3171;
-   wire n_3172;
-   wire n_3173;
-   wire n_3174;
-   wire n_3175;
-   wire n_3176;
-   wire n_3177;
-   wire n_3178;
-   wire n_3179;
-   wire n_3180;
-   wire n_3181;
-   wire n_3182;
-   wire n_3183;
-   wire n_3184;
-   wire n_3185;
-   wire n_3186;
-   wire n_3187;
-   wire n_3188;
-   wire n_3189;
-   wire n_3190;
-   wire n_3191;
-   wire n_3192;
-   wire n_3193;
-   wire n_3194;
-   wire n_3195;
-   wire n_3196;
-   wire n_3197;
-   wire n_3198;
-   wire n_3199;
-   wire n_3201;
-   wire n_3202;
-   wire n_3203;
-   wire n_3204;
-   wire n_3205;
-   wire n_3206;
-   wire n_3207;
-   wire n_3208;
-   wire n_3209;
-   wire n_3210;
-   wire n_3211;
-   wire n_3212;
-   wire n_3213;
-   wire n_3214;
-   wire n_3215;
-   wire n_3216;
-   wire n_3217;
-   wire n_3218;
-   wire n_3219;
-   wire n_3220;
-   wire n_3221;
-   wire n_3222;
-   wire n_3223;
-   wire n_3224;
-   wire n_3225;
-   wire n_3226;
-   wire n_3227;
-   wire n_3228;
-   wire n_3229;
-   wire n_3230;
-   wire n_3231;
-   wire n_3232;
-   wire n_3233;
-   wire n_3234;
-   wire n_3235;
-   wire n_3236;
-   wire n_3237;
-   wire n_3238;
-   wire n_3239;
-   wire n_3240;
-   wire n_3241;
-   wire n_3242;
-   wire n_3243;
-   wire n_3244;
-   wire n_3245;
-   wire n_3246;
-   wire n_3247;
-   wire n_3248;
-   wire n_3249;
-   wire n_3250;
-   wire n_3251;
-   wire n_3252;
-   wire n_3253;
-   wire n_3254;
-   wire n_3255;
-   wire n_3256;
-   wire n_3257;
-   wire n_3259;
-   wire n_3260;
-   wire n_3261;
-   wire n_3262;
-   wire n_3263;
-   wire n_3265;
-   wire n_3266;
-   wire n_3267;
-   wire n_3268;
-   wire n_3269;
-   wire n_3270;
-   wire n_3271;
-   wire n_3272;
-   wire n_3273;
-   wire n_3274;
-   wire n_3275;
-   wire n_3276;
-   wire n_3277;
-   wire n_3278;
-   wire n_3279;
-   wire n_3280;
-   wire n_3281;
-   wire n_3282;
-   wire n_3283;
-   wire n_3284;
-   wire n_3285;
-   wire n_3286;
-   wire n_3287;
-   wire n_3288;
-   wire n_3289;
-   wire n_3290;
-   wire n_3291;
-   wire n_3292;
-   wire n_3293;
-   wire n_3294;
-   wire n_3295;
-   wire n_3296;
-   wire n_3297;
-   wire n_3298;
-   wire n_3299;
-   wire n_3300;
-   wire n_3301;
-   wire n_3302;
-   wire n_3303;
-   wire n_3304;
-   wire n_3305;
-   wire n_3306;
-   wire n_3307;
-   wire n_3308;
-   wire n_3309;
-   wire n_3310;
-   wire n_3311;
-   wire n_3312;
-   wire n_3313;
-   wire n_3314;
-   wire n_3315;
-   wire n_3316;
-   wire n_3317;
-   wire n_3318;
-   wire n_3319;
-   wire n_3320;
-   wire n_3321;
-   wire n_3322;
-   wire n_3323;
-   wire n_3324;
-   wire n_3325;
-   wire n_3326;
-   wire n_3327;
-   wire n_3328;
-   wire n_3329;
-   wire n_3330;
-   wire n_3331;
-   wire n_3332;
-   wire n_3333;
-   wire n_3334;
-   wire n_3336;
-   wire n_3337;
-   wire n_3338;
-   wire n_3339;
-   wire n_3340;
-   wire n_3341;
-   wire n_3342;
-   wire n_3343;
-   wire n_3344;
-   wire n_3345;
-   wire n_3346;
-   wire n_3347;
-   wire n_3348;
-   wire n_3349;
-   wire n_3350;
-   wire n_3351;
-   wire n_3352;
-   wire n_3353;
-   wire n_3354;
-   wire n_3355;
-   wire n_3356;
-   wire n_3357;
-   wire n_3358;
-   wire n_3359;
-   wire n_3360;
-   wire n_3361;
-   wire n_3362;
-   wire n_3363;
-   wire n_3364;
-   wire n_3365;
-   wire n_3366;
-   wire n_3367;
-   wire n_3368;
-   wire n_3369;
-   wire n_3370;
-   wire n_3371;
-   wire n_3372;
-   wire n_3373;
-   wire n_3374;
-   wire n_3375;
-   wire n_3376;
-   wire n_3377;
-   wire n_3378;
-   wire n_3379;
-   wire n_3380;
-   wire n_3381;
-   wire n_3382;
-   wire n_3383;
-   wire n_3384;
-   wire n_3385;
-   wire n_3386;
-   wire n_3387;
-   wire n_3388;
-   wire n_3389;
-   wire n_3390;
-   wire n_3391;
-   wire n_3392;
-   wire n_3393;
-   wire n_3394;
-   wire n_3395;
-   wire n_3396;
-   wire n_3397;
-   wire n_3398;
-   wire n_3400;
-   wire n_3402;
-   wire n_3403;
-   wire n_3404;
-   wire n_3405;
-   wire n_3408;
-   wire n_3409;
-   wire n_3410;
-   wire n_3411;
-   wire n_3412;
-   wire n_3413;
-   wire n_3414;
-   wire n_3415;
-   wire n_3416;
-   wire n_3417;
-   wire n_3418;
-   wire n_3419;
-   wire n_3420;
-   wire n_3421;
-   wire n_3422;
-   wire n_3423;
-   wire n_3424;
-   wire n_3425;
-   wire n_3426;
-   wire n_3427;
-   wire n_3428;
-   wire n_3429;
-   wire n_3430;
-   wire n_3431;
-   wire n_3432;
-   wire n_3433;
-   wire n_3434;
-   wire n_3435;
-   wire n_3436;
-   wire n_3437;
-   wire n_3438;
-   wire n_3439;
-   wire n_3440;
-   wire n_3441;
-   wire n_3442;
-   wire n_3443;
-   wire n_3444;
-   wire n_3445;
-   wire n_3446;
-   wire n_3447;
-   wire n_3448;
-   wire n_3449;
-   wire n_3450;
-   wire n_3451;
-   wire n_3452;
-   wire n_3453;
-   wire n_3454;
-   wire n_3455;
-   wire n_3456;
-   wire n_3457;
-   wire n_3458;
-   wire n_3459;
-   wire n_3460;
-   wire n_3461;
-   wire n_3462;
-   wire n_3463;
-   wire n_3464;
-   wire n_3465;
-   wire n_3466;
-   wire n_3467;
-   wire n_3468;
-   wire n_3469;
-   wire n_3470;
-   wire n_3471;
-   wire n_3472;
-   wire n_3473;
-   wire n_3474;
-   wire n_3475;
-   wire n_3476;
-   wire n_3477;
-   wire n_3478;
-   wire n_3479;
-   wire n_3480;
-   wire n_3481;
-   wire n_3482;
-   wire n_3483;
-   wire n_3484;
-   wire n_3485;
-   wire n_3486;
-   wire n_3487;
-   wire n_3488;
-   wire n_3489;
-   wire n_3490;
-   wire n_3491;
-   wire n_3492;
-   wire n_3493;
-   wire n_3494;
-   wire n_3495;
-   wire n_3496;
-   wire n_3497;
-   wire n_3498;
-   wire n_3499;
-   wire n_3500;
-   wire n_3501;
-   wire n_3502;
-   wire n_3503;
-   wire n_3504;
-   wire n_3505;
-   wire n_3506;
-   wire n_3507;
-   wire n_3508;
-   wire n_3510;
-   wire n_3512;
-   wire n_3513;
-   wire n_3514;
-   wire n_3515;
-   wire n_3516;
-   wire n_3517;
-   wire n_3518;
-   wire n_3519;
-   wire n_3520;
-   wire n_3521;
-   wire n_3522;
-   wire n_3523;
-   wire n_3524;
-   wire n_3525;
-   wire n_3526;
-   wire n_3527;
-   wire n_3528;
-   wire n_3529;
-   wire n_3530;
-   wire n_3531;
-   wire n_3532;
-   wire n_3533;
-   wire n_3534;
-   wire n_3535;
-   wire n_3536;
-   wire n_3537;
-   wire n_3538;
-   wire n_3539;
-   wire n_3540;
-   wire n_3541;
-   wire n_3542;
-   wire n_3543;
-   wire n_3544;
-   wire n_3545;
-   wire n_3546;
-   wire n_3547;
-   wire n_3548;
-   wire n_3549;
-   wire n_3550;
-   wire n_3551;
-   wire n_3552;
-   wire n_3553;
-   wire n_3554;
-   wire n_3555;
-   wire n_3556;
-   wire n_3557;
-   wire n_3558;
-   wire n_3559;
-   wire n_3560;
-   wire n_3561;
-   wire n_3562;
-   wire n_3563;
-   wire n_3564;
-   wire n_3565;
-   wire n_3566;
-   wire n_3567;
-   wire n_3568;
-   wire n_3569;
-   wire n_3570;
-   wire n_3571;
-   wire n_3572;
-   wire n_3573;
-   wire n_3574;
-   wire n_3575;
-   wire n_3576;
-   wire n_3577;
-   wire n_3578;
-   wire n_3579;
-   wire n_3580;
-   wire n_3581;
-   wire n_3582;
-   wire n_3583;
-   wire n_3584;
-   wire n_3585;
-   wire n_3586;
-   wire n_3587;
-   wire n_3588;
-   wire n_3589;
-   wire n_3590;
-   wire n_3591;
-   wire n_3592;
-   wire n_3593;
-   wire n_3594;
-   wire n_3595;
-   wire n_3596;
-   wire n_3597;
-   wire n_3598;
-   wire n_3599;
-   wire n_3600;
-   wire n_3601;
-   wire n_3602;
-   wire n_3603;
-   wire n_3604;
-   wire n_3605;
-   wire n_3606;
-   wire n_3607;
-   wire n_3608;
-   wire n_3609;
-   wire n_3610;
-   wire n_3611;
-   wire n_3612;
-   wire n_3613;
-   wire n_3614;
-   wire n_3615;
-   wire n_3616;
-   wire n_3617;
-   wire n_3618;
-   wire n_3620;
-   wire n_3623;
-   wire n_3624;
-   wire n_3625;
-   wire n_3626;
-   wire n_3627;
-   wire n_3628;
-   wire n_3629;
-   wire n_3630;
-   wire n_3631;
-   wire n_3632;
-   wire n_3633;
-   wire n_3634;
-   wire n_3635;
-   wire n_3636;
-   wire n_3637;
-   wire n_3638;
-   wire n_3639;
-   wire n_3640;
-   wire n_3641;
-   wire n_3642;
-   wire n_3643;
-   wire n_3644;
-   wire n_3645;
-   wire n_3646;
-   wire n_3647;
-   wire n_3648;
-   wire n_3649;
-   wire n_3650;
-   wire n_3651;
-   wire n_3652;
-   wire n_3653;
-   wire n_3654;
-   wire n_3655;
-   wire n_3656;
-   wire n_3657;
-   wire n_3658;
-   wire n_3659;
-   wire n_3660;
-   wire n_3661;
-   wire n_3662;
-   wire n_3663;
-   wire n_3664;
-   wire n_3665;
-   wire n_3666;
-   wire n_3667;
-   wire n_3668;
-   wire n_3669;
-   wire n_3670;
-   wire n_3671;
-   wire n_3672;
-   wire n_3673;
-   wire n_3674;
-   wire n_3675;
-   wire n_3676;
-   wire n_3677;
-   wire n_3678;
-   wire n_3679;
-   wire n_3680;
-   wire n_3681;
-   wire n_3682;
-   wire n_3683;
-   wire n_3684;
-   wire n_3685;
-   wire n_3686;
-   wire n_3687;
-   wire n_3688;
-   wire n_3689;
-   wire n_3690;
-   wire n_3691;
-   wire n_3692;
-   wire n_3693;
-   wire n_3694;
-   wire n_3695;
-   wire n_3696;
-   wire n_3697;
-   wire n_3698;
-   wire n_3699;
-   wire n_3700;
-   wire n_3701;
-   wire n_3702;
-   wire n_3703;
-   wire n_3704;
-   wire n_3705;
-   wire n_3706;
-   wire n_3707;
-   wire n_3708;
-   wire n_3709;
-   wire n_3710;
-   wire n_3711;
-   wire n_3712;
-   wire n_3713;
-   wire n_3714;
-   wire n_3715;
-   wire n_3716;
-   wire n_3717;
-   wire n_3718;
-   wire n_3719;
-   wire n_3721;
-   wire n_3722;
-   wire n_3723;
-   wire n_3724;
-   wire n_3725;
-   wire n_3726;
-   wire n_3727;
-   wire n_3728;
-   wire n_3729;
-   wire n_3730;
-   wire n_3731;
-   wire n_3732;
-   wire n_3733;
-   wire n_3734;
-   wire n_3735;
-   wire n_3736;
-   wire n_3737;
-   wire n_3738;
-   wire n_3739;
-   wire n_3740;
-   wire n_3741;
-   wire n_3742;
-   wire n_3743;
-   wire n_3744;
-   wire n_3745;
-   wire n_3746;
-   wire n_3747;
-   wire n_3748;
-   wire n_3749;
-   wire n_3750;
-   wire n_3751;
-   wire n_3752;
-   wire n_3753;
-   wire n_3754;
-   wire n_3755;
-   wire n_3756;
-   wire n_3757;
-   wire n_3758;
-   wire n_3759;
-   wire n_3760;
-   wire n_3761;
-   wire n_3762;
-   wire n_3763;
-   wire n_3764;
-   wire n_3765;
-   wire n_3766;
-   wire n_3767;
-   wire n_3768;
-   wire n_3769;
-   wire n_3770;
-   wire n_3771;
-   wire n_3772;
-   wire n_3773;
-   wire n_3774;
-   wire n_3775;
-   wire n_3776;
-   wire n_3777;
-   wire n_3778;
-   wire n_3779;
-   wire n_3780;
-   wire n_3781;
-   wire n_3782;
-   wire n_3783;
-   wire n_3784;
-   wire n_3785;
-   wire n_3786;
-   wire n_3787;
-   wire n_3788;
-   wire n_3789;
-   wire n_3790;
-   wire n_3791;
-   wire n_3792;
-   wire n_3793;
-   wire n_3794;
-   wire n_3795;
-   wire n_3796;
-   wire n_3797;
-   wire n_3798;
-   wire n_3799;
-   wire n_3800;
-   wire n_3801;
-   wire n_3802;
-   wire n_3803;
-   wire n_3804;
-   wire n_3805;
-   wire n_3806;
-   wire n_3807;
-   wire n_3808;
-   wire n_3809;
-   wire n_3810;
-   wire n_3811;
-   wire n_3812;
-   wire n_3813;
-   wire n_3814;
-   wire n_3815;
-   wire n_3816;
-   wire n_3817;
-   wire n_3818;
-   wire n_3819;
-   wire n_3820;
-   wire n_3821;
-   wire n_3822;
-   wire n_3823;
-   wire n_3824;
-   wire n_3825;
-   wire n_3826;
-   wire n_3827;
-   wire n_3828;
-   wire n_3829;
-   wire n_3830;
-   wire n_3831;
-   wire n_3832;
-   wire n_3833;
-   wire n_3834;
-   wire n_3835;
-   wire n_3836;
-   wire n_3837;
-   wire n_3838;
-   wire n_3839;
-   wire n_3840;
-   wire n_3841;
-   wire n_3842;
-   wire n_3843;
-   wire n_3844;
-   wire n_3845;
-   wire n_3846;
-   wire n_3847;
-   wire n_3848;
-   wire n_3849;
-   wire n_3850;
-   wire n_3851;
-   wire n_3852;
-   wire n_3853;
-   wire n_3854;
-   wire n_3855;
-   wire n_3856;
-   wire n_3857;
-   wire n_3858;
-   wire n_3859;
-   wire n_3860;
-   wire n_3861;
-   wire n_3862;
-   wire n_3863;
-   wire n_3864;
-   wire n_3865;
-   wire n_3866;
-   wire n_3867;
-   wire n_3868;
-   wire n_3869;
-   wire n_3870;
-   wire n_3871;
-   wire n_3872;
-   wire n_3873;
-   wire n_3874;
-   wire n_3875;
-   wire n_3876;
-   wire n_3877;
-   wire n_3878;
-   wire n_3879;
-   wire n_3880;
-   wire n_3881;
-   wire n_3882;
-   wire n_3883;
-   wire n_3884;
-   wire n_3885;
-   wire n_3886;
-   wire n_3887;
-   wire n_3888;
-   wire n_3889;
-   wire n_3890;
-   wire n_3891;
-   wire n_3892;
-   wire n_3893;
-   wire n_3894;
-   wire n_3895;
-   wire n_3896;
-   wire n_3897;
-   wire n_3898;
-   wire n_3899;
-   wire n_3900;
-   wire n_3901;
-   wire n_3902;
-   wire n_3903;
-   wire n_3904;
-   wire n_3905;
-   wire n_3906;
-   wire n_3907;
-   wire n_3908;
-   wire n_3909;
-   wire n_3910;
-   wire n_3911;
-   wire n_3912;
-   wire n_3913;
-   wire n_3914;
-   wire n_3915;
-   wire n_3916;
-   wire n_3917;
-   wire n_3918;
-   wire n_3919;
-   wire n_3920;
-   wire n_3921;
-   wire n_3922;
-   wire n_3923;
-   wire n_3924;
-   wire n_3925;
-   wire n_3926;
-   wire n_3927;
-   wire n_3928;
-   wire n_3929;
-   wire n_3930;
-   wire n_3931;
-   wire n_3932;
-   wire n_3933;
-   wire n_3934;
-   wire n_3935;
-   wire n_3936;
-   wire n_3937;
-   wire n_3938;
-   wire n_3939;
-   wire n_3940;
-   wire n_3941;
-   wire n_3942;
-   wire n_3943;
-   wire n_3944;
-   wire n_3945;
-   wire n_3946;
-   wire n_3947;
-   wire n_3948;
-   wire n_3949;
-   wire n_3950;
-   wire n_3951;
-   wire n_3952;
-   wire n_3953;
-   wire n_3954;
-   wire n_3956;
-   wire n_3957;
-   wire n_3958;
-   wire n_3959;
-   wire n_3961;
-   wire n_3962;
-   wire n_3963;
-   wire n_3964;
-   wire n_3965;
-   wire n_3966;
-   wire n_3967;
-   wire n_3968;
-   wire n_3969;
-   wire n_3970;
-   wire n_3974;
-   wire n_3975;
-   wire n_3976;
-   wire n_3977;
-   wire n_3978;
-   wire n_3979;
-   wire n_3980;
-   wire n_3981;
-   wire n_3982;
-   wire n_3984;
-   wire n_3985;
-   wire n_3986;
-   wire n_3987;
-   wire n_3988;
-   wire n_3989;
-   wire n_3990;
-   wire n_3991;
-   wire n_3992;
-   wire n_3993;
-   wire n_3994;
-   wire n_3995;
-   wire n_3996;
-   wire n_3997;
-   wire n_3998;
-   wire n_3999;
-   wire n_4000;
-   wire n_4001;
-   wire n_4002;
-   wire n_4003;
-   wire n_4004;
-   wire n_4005;
-   wire n_4006;
-   wire n_4007;
-   wire n_4008;
-   wire n_4009;
-   wire n_4010;
-   wire n_4011;
-   wire n_4012;
-   wire n_4013;
-   wire n_4014;
-   wire n_4015;
-   wire n_4016;
-   wire n_4017;
-   wire n_4018;
-   wire n_4019;
-   wire n_4020;
-   wire n_4021;
-   wire n_4022;
-   wire n_4023;
-   wire n_4024;
-   wire n_4025;
-   wire n_4026;
-   wire n_4027;
-   wire n_4028;
-   wire n_4029;
-   wire n_4030;
-   wire n_4031;
-   wire n_4032;
-   wire n_4033;
-   wire n_4034;
-   wire n_4035;
-   wire n_4036;
-   wire n_4037;
-   wire n_4038;
-   wire n_4039;
-   wire n_4040;
-   wire n_4041;
-   wire n_4042;
-   wire n_4043;
-   wire n_4044;
-   wire n_4045;
-   wire n_4046;
-   wire n_4047;
-   wire n_4048;
-   wire n_4049;
-   wire n_4050;
-   wire n_4051;
-   wire n_4052;
-   wire n_4053;
-   wire n_4054;
-   wire n_4055;
-   wire n_4056;
-   wire n_4057;
-   wire n_4058;
-   wire n_4059;
-   wire n_4060;
-   wire n_4061;
-   wire n_4062;
-   wire n_4063;
-   wire n_4064;
-   wire n_4065;
-   wire n_4066;
-   wire n_4067;
-   wire n_4068;
-   wire n_4069;
-   wire n_4070;
-   wire n_4071;
-   wire n_4072;
-   wire n_4073;
-   wire n_4074;
-   wire n_4075;
-   wire n_4076;
-   wire n_4077;
-   wire n_4078;
-   wire n_4079;
-   wire n_4080;
-   wire n_4081;
-   wire n_4082;
-   wire n_4083;
-   wire n_4084;
-   wire n_4085;
-   wire n_4086;
-   wire n_4087;
-   wire n_4088;
-   wire n_4089;
-   wire n_4090;
-   wire n_4091;
-   wire n_4092;
-   wire n_4093;
-   wire n_4094;
-   wire n_4095;
-   wire n_4096;
-   wire n_4097;
-   wire n_4098;
-   wire n_4099;
-   wire n_4100;
-   wire n_4101;
-   wire n_4102;
-   wire n_4103;
-   wire n_4104;
-   wire n_4105;
-   wire n_4106;
-   wire n_4107;
-   wire n_4108;
-   wire n_4109;
-   wire n_4110;
-   wire n_4111;
-   wire n_4112;
-   wire n_4113;
-   wire n_4114;
-   wire n_4115;
-   wire n_4116;
-   wire n_4117;
-   wire n_4118;
-   wire n_4119;
-   wire n_4120;
-   wire n_4121;
-   wire n_4122;
-   wire n_4123;
-   wire n_4124;
-   wire n_4125;
-   wire n_4126;
-   wire n_4127;
-   wire n_4128;
-   wire n_4129;
-   wire n_4130;
-   wire n_4131;
-   wire n_4132;
-   wire n_4133;
-   wire n_4134;
-   wire n_4135;
-   wire n_4136;
-   wire n_4137;
-   wire n_4138;
-   wire n_4139;
-   wire n_4140;
-   wire n_4141;
-   wire n_4142;
-   wire n_4143;
-   wire n_4144;
-   wire n_4145;
-   wire n_4146;
-   wire n_4147;
-   wire n_4148;
-   wire n_4149;
-   wire n_4150;
-   wire n_4151;
-   wire n_4152;
-   wire n_4153;
-   wire n_4154;
-   wire n_4155;
-   wire n_4156;
-   wire n_4157;
-   wire n_4158;
-   wire n_4159;
-   wire n_4160;
-   wire n_4161;
-   wire n_4162;
-   wire n_4163;
-   wire n_4164;
-   wire n_4165;
-   wire n_4166;
-   wire n_4167;
-   wire n_4168;
-   wire n_4169;
-   wire n_4170;
-   wire n_4171;
-   wire n_4172;
-   wire n_4173;
-   wire n_4174;
-   wire n_4175;
-   wire n_4176;
-   wire n_4177;
-   wire n_4178;
-   wire n_4179;
-   wire n_4180;
-   wire n_4181;
-   wire n_4182;
-   wire n_4183;
-   wire n_4184;
-   wire n_4185;
-   wire n_4186;
-   wire n_4187;
-   wire n_4188;
-   wire n_4189;
-   wire n_4190;
-   wire n_4191;
-   wire n_4192;
-   wire n_4193;
-   wire n_4194;
-   wire n_4195;
-   wire n_4196;
-   wire n_4197;
-   wire n_4198;
-   wire n_4199;
-   wire n_4200;
-   wire n_4201;
-   wire n_4202;
-   wire n_4203;
-   wire n_4204;
-   wire n_4205;
-   wire n_4206;
-   wire n_4207;
-   wire n_4208;
-   wire n_4209;
-   wire n_4210;
-   wire n_4211;
-   wire n_4212;
-   wire n_4213;
-   wire n_4214;
-   wire n_4215;
-   wire n_4216;
-   wire n_4217;
-   wire n_4218;
-   wire n_4219;
-   wire n_4220;
-   wire n_4221;
-   wire n_4222;
-   wire n_4223;
-   wire n_4224;
-   wire n_4225;
-   wire n_4226;
-   wire n_4227;
-   wire n_4228;
-   wire n_4229;
-   wire n_4230;
-   wire n_4231;
-   wire n_4232;
-   wire n_4233;
-   wire n_4234;
-   wire n_4235;
-   wire n_4236;
-   wire n_4237;
-   wire n_4238;
-   wire n_4239;
-   wire n_4240;
-   wire n_4241;
-   wire n_4242;
-   wire n_4243;
-   wire n_4244;
-   wire n_4245;
-   wire n_4246;
-   wire n_4247;
-   wire n_4248;
-   wire n_4249;
-   wire n_4250;
-   wire n_4251;
-   wire n_4252;
-   wire n_4253;
-   wire n_4254;
-   wire n_4255;
-   wire n_4256;
-   wire n_4257;
-   wire n_4258;
-   wire n_4259;
-   wire n_4260;
-   wire n_4261;
-   wire n_4262;
-   wire n_4264;
-   wire n_4266;
-   wire n_4267;
-   wire n_4268;
-   wire n_4269;
-   wire n_4270;
-   wire n_4271;
-   wire n_4272;
-   wire n_4273;
-   wire n_4274;
-   wire n_4275;
-   wire n_4276;
-   wire n_4277;
-   wire n_4278;
-   wire n_4279;
-   wire n_4280;
-   wire n_4281;
-   wire n_4282;
-   wire n_4283;
-   wire n_4284;
-   wire n_4285;
-   wire n_4286;
-   wire n_4287;
-   wire n_4288;
-   wire n_4289;
-   wire n_4290;
-   wire n_4291;
-   wire n_4292;
-   wire n_4293;
-   wire n_4294;
-   wire n_4295;
-   wire n_4296;
-   wire n_4297;
-   wire n_4298;
-   wire n_4299;
-   wire n_4300;
-   wire n_4301;
-   wire n_4302;
-   wire n_4303;
-   wire n_4304;
-   wire n_4305;
-   wire n_4306;
-   wire n_4307;
-   wire n_4308;
-   wire n_4309;
-   wire n_4310;
-   wire n_4311;
-   wire n_4312;
-   wire n_4313;
-   wire n_4314;
-   wire n_4315;
-   wire n_4316;
-   wire n_4317;
-   wire n_4318;
-   wire n_4319;
-   wire n_4320;
-   wire n_4321;
-   wire n_4322;
-   wire n_4323;
-   wire n_4324;
-   wire n_4325;
-   wire n_4326;
-   wire n_4327;
-   wire n_4328;
-   wire n_4329;
-   wire n_4330;
-   wire n_4331;
-   wire n_4332;
-   wire n_4333;
-   wire n_4334;
-   wire n_4335;
-   wire n_4336;
-   wire n_4337;
-   wire n_4338;
-   wire n_4339;
-   wire n_4340;
-   wire n_4341;
-   wire n_4342;
-   wire n_4343;
-   wire n_4344;
-   wire n_4345;
-   wire n_4346;
-   wire n_4347;
-   wire n_4348;
-   wire n_4349;
-   wire n_4350;
-   wire n_4351;
-   wire n_4352;
-   wire n_4353;
-   wire n_4354;
-   wire n_4355;
-   wire n_4356;
-   wire n_4357;
-   wire n_4358;
-   wire n_4359;
-   wire n_4360;
-   wire n_4361;
-   wire n_4362;
-   wire n_4363;
-   wire n_4364;
-   wire n_4365;
-   wire n_4366;
-   wire n_4367;
-   wire n_4368;
-   wire n_4369;
-   wire n_4370;
-   wire n_4371;
-   wire n_4372;
-   wire n_4373;
-   wire n_4374;
-   wire n_4375;
-   wire n_4376;
-   wire n_4377;
-   wire n_4378;
-   wire n_4379;
-   wire n_4380;
-   wire n_4381;
-   wire n_4382;
-   wire n_4383;
-   wire n_4384;
-   wire n_4385;
-   wire n_4386;
-   wire n_4387;
-   wire n_4388;
-   wire n_4389;
-   wire n_4390;
-   wire n_4391;
-   wire n_4392;
-   wire n_4393;
-   wire n_4394;
-   wire n_4395;
-   wire n_4396;
-   wire n_4397;
-   wire n_4398;
-   wire n_4399;
-   wire n_4400;
-   wire n_4401;
-   wire n_4402;
-   wire n_4403;
-   wire n_4404;
-   wire n_4405;
-   wire n_4406;
-   wire n_4407;
-   wire n_4408;
-   wire n_4409;
-   wire n_4410;
-   wire n_4411;
-   wire n_4412;
-   wire n_4413;
-   wire n_4414;
-   wire n_4415;
-   wire n_4416;
-   wire n_4417;
-   wire n_4418;
-   wire n_4419;
-   wire n_4420;
-   wire n_4421;
-   wire n_4422;
-   wire n_4423;
-   wire n_4424;
-   wire n_4425;
-   wire n_4426;
-   wire n_4427;
-   wire n_4428;
-   wire n_4429;
-   wire n_4430;
-   wire n_4431;
-   wire n_4432;
-   wire n_4433;
-   wire n_4434;
-   wire n_4435;
-   wire n_4436;
-   wire n_4437;
-   wire n_4438;
-   wire n_4439;
-   wire n_4440;
-   wire n_4441;
-   wire n_4442;
-   wire n_4443;
-   wire n_4444;
-   wire n_4445;
-   wire n_4446;
-   wire n_4447;
-   wire n_4448;
-   wire n_4449;
-   wire n_4450;
-   wire n_4451;
-   wire n_4452;
-   wire n_4453;
-   wire n_4454;
-   wire n_4455;
-   wire n_4456;
-   wire n_4457;
-   wire n_4458;
-   wire n_4459;
-   wire n_4460;
-   wire n_4461;
-   wire n_4462;
-   wire n_4463;
-   wire n_4464;
-   wire n_4465;
-   wire n_4466;
-   wire n_4467;
-   wire n_4468;
-   wire n_4469;
-   wire n_4470;
-   wire n_4471;
-   wire n_4472;
-   wire n_4473;
-   wire n_4474;
-   wire n_4475;
-   wire n_4476;
-   wire n_4477;
-   wire n_4478;
-   wire n_4479;
-   wire n_4480;
-   wire n_4481;
-   wire n_4482;
-   wire n_4483;
-   wire n_4484;
-   wire n_4485;
-   wire n_4486;
-   wire n_4487;
-   wire n_4488;
-   wire n_4489;
-   wire n_4490;
-   wire n_4491;
-   wire n_4492;
-   wire n_4493;
-   wire n_4494;
-   wire n_4495;
-   wire n_4496;
-   wire n_4497;
-   wire n_4498;
-   wire n_4499;
-   wire n_4500;
-   wire n_4501;
-   wire n_4502;
-   wire n_4503;
-   wire n_4504;
-   wire n_4505;
-   wire n_4506;
-   wire n_4507;
-   wire n_4508;
-   wire n_4509;
-   wire n_4510;
-   wire n_4511;
-   wire n_4512;
-   wire n_4513;
-   wire n_4514;
-   wire n_4515;
-   wire n_4516;
-   wire n_4517;
-   wire n_4518;
-   wire n_4519;
-   wire n_4520;
-   wire n_4521;
-   wire n_4522;
-   wire n_4523;
-   wire n_4524;
-   wire n_4525;
-   wire n_4526;
-   wire n_4527;
-   wire n_4528;
-   wire n_4529;
-   wire n_4530;
-   wire n_4531;
-   wire n_4532;
-   wire n_4533;
-   wire n_4534;
-   wire n_4535;
-   wire n_4536;
-   wire n_4537;
-   wire n_4538;
-   wire n_4539;
-   wire n_4540;
-   wire n_4541;
-   wire n_4542;
-   wire n_4543;
-   wire n_4544;
-   wire n_4545;
-   wire n_4546;
-   wire n_4547;
-   wire n_4548;
-   wire n_4549;
-   wire n_4550;
-   wire n_4551;
-   wire n_4552;
-   wire n_4553;
-   wire n_4554;
-   wire n_4555;
-   wire n_4556;
-   wire n_4558;
-   wire n_4559;
-   wire n_4560;
-   wire n_4561;
-   wire n_4562;
-   wire n_4563;
-   wire n_4564;
-   wire n_4565;
-   wire n_4566;
-   wire n_4567;
-   wire n_4568;
-   wire n_4569;
-   wire n_4570;
-   wire n_4571;
-   wire n_4572;
-   wire n_4573;
-   wire n_4574;
-   wire n_4575;
-   wire n_4576;
-   wire n_4577;
-   wire n_4578;
-   wire n_4579;
-   wire n_4580;
-   wire n_4581;
-   wire n_4582;
-   wire n_4583;
-   wire n_4584;
-   wire n_4585;
-   wire n_4586;
-   wire n_4587;
-   wire n_4588;
-   wire n_4589;
-   wire n_4590;
-   wire n_4591;
-   wire n_4592;
-   wire n_4593;
-   wire n_4594;
-   wire n_4595;
-   wire n_4596;
-   wire n_4597;
-   wire n_4598;
-   wire n_4599;
-   wire n_4600;
-   wire n_4601;
-   wire n_4602;
-   wire n_4603;
-   wire n_4604;
-   wire n_4605;
-   wire n_4606;
-   wire n_4607;
-   wire n_4608;
-   wire n_4609;
-   wire n_4610;
-   wire n_4611;
-   wire n_4612;
-   wire n_4613;
-   wire n_4614;
-   wire n_4615;
-   wire n_4616;
-   wire n_4617;
-   wire n_4618;
-   wire n_4619;
-   wire n_4620;
-   wire n_4621;
-   wire n_4622;
-   wire n_4623;
-   wire n_4624;
-   wire n_4625;
-   wire n_4626;
-   wire n_4627;
-   wire n_4628;
-   wire n_4629;
-   wire n_4630;
-   wire n_4631;
-   wire n_4632;
-   wire n_4633;
-   wire n_4634;
-   wire n_4635;
-   wire n_4636;
-   wire n_4637;
-   wire n_4638;
-   wire n_4639;
-   wire n_4640;
-   wire n_4641;
-   wire n_4642;
-   wire n_4643;
-   wire n_4644;
-   wire n_4645;
-   wire n_4646;
-   wire n_4647;
-   wire n_4648;
-   wire n_4649;
-   wire n_4650;
-   wire n_4651;
-   wire n_4652;
-   wire n_4653;
-   wire n_4654;
-   wire n_4655;
-   wire n_4656;
-   wire n_4657;
-   wire n_4658;
-   wire n_4659;
-   wire n_4660;
-   wire n_4661;
-   wire n_4662;
-   wire n_4663;
-   wire n_4664;
-   wire n_4665;
-   wire n_4666;
-   wire n_4667;
-   wire n_4668;
-   wire n_4669;
-   wire n_4670;
-   wire n_4671;
-   wire n_4672;
-   wire n_4673;
-   wire n_4674;
-   wire n_4675;
-   wire n_4676;
-   wire n_4677;
-   wire n_4678;
-   wire n_4679;
-   wire n_4680;
-   wire n_4681;
-   wire n_4682;
-   wire n_4683;
-   wire n_4684;
-   wire n_4685;
-   wire n_4686;
-   wire n_4687;
-   wire n_4688;
-   wire n_4689;
-   wire n_4690;
-   wire n_4691;
-   wire n_4692;
-   wire n_4693;
-   wire n_4694;
-   wire n_4695;
-   wire n_4696;
-   wire n_4697;
-   wire n_4698;
-   wire n_4699;
-   wire n_4700;
-   wire n_4701;
-   wire n_4702;
-   wire n_4703;
-   wire n_4704;
-   wire n_4705;
-   wire n_4706;
-   wire n_4707;
-   wire n_4708;
-   wire n_4709;
-   wire n_4710;
-   wire n_4711;
-   wire n_4712;
-   wire n_4713;
-   wire n_4714;
-   wire n_4715;
-   wire n_4716;
-   wire n_4717;
-   wire n_4718;
-   wire n_4719;
-   wire n_4720;
-   wire n_4721;
-   wire n_4722;
-   wire n_4723;
-   wire n_4724;
-   wire n_4725;
-   wire n_4726;
-   wire n_4727;
-   wire n_4728;
-   wire n_4729;
-   wire n_4730;
-   wire n_4731;
-   wire n_4732;
-   wire n_4733;
-   wire n_4734;
-   wire n_4735;
-   wire n_4736;
-   wire n_4737;
-   wire n_4738;
-   wire n_4739;
-   wire n_4740;
-   wire n_4741;
-   wire n_4742;
-   wire n_4743;
-   wire n_4744;
-   wire n_4745;
-   wire n_4746;
-   wire n_4747;
-   wire n_4748;
-   wire n_4749;
-   wire n_4750;
-   wire n_4751;
-   wire n_4752;
-   wire n_4753;
-   wire n_4754;
-   wire n_4755;
-   wire n_4756;
-   wire n_4757;
-   wire n_4758;
-   wire n_4759;
-   wire n_4760;
-   wire n_4761;
-   wire n_4762;
-   wire n_4763;
-   wire n_4764;
-   wire n_4765;
-   wire n_4766;
-   wire n_4767;
-   wire n_4768;
-   wire n_4769;
-   wire n_4770;
-   wire n_4771;
-   wire n_4772;
-   wire n_4773;
-   wire n_4774;
-   wire n_4775;
-   wire n_4776;
-   wire n_4777;
-   wire n_4778;
-   wire n_4779;
-   wire n_4780;
-   wire n_4781;
-   wire n_4782;
-   wire n_4783;
-   wire n_4784;
-   wire n_4785;
-   wire n_4786;
-   wire n_4787;
-   wire n_4788;
-   wire n_4789;
-   wire n_4790;
-   wire n_4791;
-   wire n_4792;
-   wire n_4793;
-   wire n_4794;
-   wire n_4795;
-   wire n_4796;
-   wire n_4797;
-   wire n_4798;
-   wire n_4799;
-   wire n_4800;
-   wire n_4801;
-   wire n_4802;
-   wire n_4803;
-   wire n_4804;
-   wire n_4805;
-   wire n_4806;
-   wire n_4807;
-   wire n_4808;
-   wire n_4809;
-   wire n_4810;
-   wire n_4811;
-   wire n_4812;
-   wire n_4813;
-   wire n_4814;
-   wire n_4815;
-   wire n_4816;
-   wire n_4817;
-   wire n_4818;
-   wire n_4819;
-   wire n_4820;
-   wire n_4821;
-   wire n_4822;
-   wire n_4823;
-   wire n_4824;
-   wire n_4825;
-   wire n_4826;
-   wire n_4827;
-   wire n_4828;
-   wire n_4829;
-   wire n_4830;
-   wire n_4831;
-   wire n_4832;
-   wire n_4833;
-   wire n_4834;
-   wire n_4835;
-   wire n_4836;
-   wire n_4837;
-   wire n_4838;
-   wire n_4839;
-   wire n_4840;
-   wire n_4841;
-   wire n_4842;
-   wire n_4843;
-   wire n_4844;
-   wire n_4845;
-   wire n_4846;
-   wire n_4847;
-   wire n_4848;
-   wire n_4849;
-   wire n_4850;
-   wire n_4851;
-   wire n_4852;
-   wire n_4853;
-   wire n_4854;
-   wire n_4855;
-   wire n_4856;
-   wire n_4857;
-   wire n_4858;
-   wire n_4859;
-   wire n_4860;
-   wire n_4861;
-   wire n_4862;
-   wire n_4863;
-   wire n_4864;
-   wire n_4865;
-   wire n_4866;
-   wire n_4867;
-   wire n_4868;
-   wire n_4869;
-   wire n_4870;
-   wire n_4871;
-   wire n_4872;
-   wire n_4873;
-   wire n_4874;
-   wire n_4875;
-   wire n_4876;
-   wire n_4877;
-   wire n_4878;
-   wire n_4879;
-   wire n_4880;
-   wire n_4881;
-   wire n_4882;
-   wire n_4883;
-   wire n_4884;
-   wire n_4885;
-   wire n_4886;
-   wire n_4887;
-   wire n_4888;
-   wire n_4889;
-   wire n_4890;
-   wire n_4891;
-   wire n_4892;
-   wire n_4893;
-   wire n_4894;
-   wire n_4895;
-   wire n_4896;
-   wire n_4897;
-   wire n_4898;
-   wire n_4899;
-   wire n_4900;
-   wire n_4901;
-   wire n_4902;
-   wire n_4903;
-   wire n_4904;
-   wire n_4905;
-   wire n_4906;
-   wire n_4907;
-   wire n_4908;
-   wire n_4909;
-   wire n_4910;
-   wire n_4911;
-   wire n_4912;
-   wire n_4913;
-   wire n_4914;
-   wire n_4915;
-   wire n_4916;
-   wire n_4917;
-   wire n_4918;
-   wire n_4919;
-   wire n_4920;
-   wire n_4921;
-   wire n_4922;
-   wire n_4923;
-   wire n_4924;
-   wire n_4925;
-   wire n_4926;
-   wire n_4927;
-   wire n_4928;
-   wire n_4929;
-   wire n_4930;
-   wire n_4931;
-   wire n_4932;
-   wire n_4933;
-   wire n_4934;
-   wire n_4935;
-   wire n_4936;
-   wire n_4937;
-   wire n_4938;
-   wire n_4939;
-   wire n_4940;
-   wire n_4941;
-   wire n_4942;
-   wire n_4943;
-   wire n_4944;
-   wire n_4945;
-   wire n_4946;
-   wire n_4947;
-   wire n_4948;
-   wire n_4949;
-   wire n_4950;
-   wire n_4951;
-   wire n_4952;
-   wire n_4953;
-   wire n_4954;
-   wire n_4955;
-   wire n_4956;
-   wire n_4957;
-   wire n_4958;
-   wire n_4959;
-   wire n_4960;
-   wire n_4961;
-   wire n_4962;
-   wire n_4963;
-   wire n_4964;
-   wire n_4965;
-   wire n_4966;
-   wire n_4967;
-   wire n_4968;
-   wire n_4969;
-   wire n_4970;
-   wire n_4971;
-   wire n_4972;
-   wire n_4973;
-   wire n_4974;
-   wire n_4975;
-   wire n_4976;
-   wire n_4977;
-   wire n_4978;
-   wire n_4979;
-   wire n_4980;
-   wire n_4981;
-   wire n_4982;
-   wire n_4983;
-   wire n_4984;
-   wire n_4985;
-   wire n_4986;
-   wire n_4987;
-   wire n_4988;
-   wire n_4989;
-   wire n_4990;
-   wire n_4991;
-   wire n_4992;
-   wire n_4993;
-   wire n_4994;
-   wire n_4995;
-   wire n_4996;
-   wire n_4997;
-   wire n_4998;
-   wire n_4999;
-   wire n_5000;
-   wire n_5001;
-   wire n_5002;
-   wire n_5003;
-   wire n_5004;
-   wire n_5005;
-   wire n_5006;
-   wire n_5007;
-   wire n_5008;
-   wire n_5009;
-   wire n_5010;
-   wire n_5011;
-   wire n_5012;
-   wire n_5013;
-   wire n_5014;
-   wire n_5015;
-   wire n_5016;
-   wire n_5017;
-   wire n_5018;
-   wire n_5019;
-   wire n_5020;
-   wire n_5021;
-   wire n_5022;
-   wire n_5023;
-   wire n_5024;
-   wire n_5025;
-   wire n_5026;
-   wire n_5027;
-   wire n_5028;
-   wire n_5030;
-   wire n_5031;
-   wire n_5032;
-   wire n_5033;
-   wire n_5034;
-   wire n_5035;
-   wire n_5036;
-   wire n_5037;
-   wire n_5038;
-   wire n_5039;
-   wire n_5041;
-   wire n_5042;
-   wire n_5043;
-   wire n_5044;
-   wire n_5045;
-   wire n_5046;
-   wire n_5048;
-   wire n_5049;
-   wire n_5050;
-   wire n_5051;
-   wire n_5052;
-   wire n_5053;
-   wire n_5055;
-   wire n_5056;
-   wire n_5059;
-   wire n_5060;
-   wire n_5061;
-   wire n_5062;
-   wire n_5063;
-   wire n_5064;
-   wire n_5065;
-   wire n_5066;
-   wire n_5067;
-   wire n_5068;
-   wire n_5069;
-   wire n_5070;
-   wire n_5071;
-   wire n_5072;
-   wire n_5073;
-   wire n_5074;
-   wire n_5075;
-   wire n_5076;
-   wire n_5077;
-   wire n_5078;
-   wire n_5079;
-   wire n_5080;
-   wire n_5081;
-   wire n_5082;
-   wire n_5083;
-   wire n_5084;
-   wire n_5085;
-   wire n_5086;
-   wire n_5087;
-   wire n_5088;
-   wire n_5089;
-   wire n_5090;
-   wire n_5091;
-   wire n_5092;
-   wire n_5093;
-   wire n_5094;
-   wire n_5095;
-   wire n_5096;
-   wire n_5097;
-   wire n_5098;
-   wire n_5099;
-   wire n_5100;
-   wire n_5101;
-   wire n_5102;
-   wire n_5103;
-   wire n_5104;
-   wire n_5105;
-   wire n_5106;
-   wire n_5107;
-   wire n_5108;
-   wire n_5109;
-   wire n_5110;
-   wire n_5111;
-   wire n_5112;
-   wire n_5113;
-   wire n_5114;
-   wire n_5115;
-   wire n_5116;
-   wire n_5117;
-   wire n_5118;
-   wire n_5119;
-   wire n_5120;
-   wire n_5121;
-   wire n_5122;
-   wire n_5123;
-   wire n_5124;
-   wire n_5125;
-   wire n_5126;
-   wire n_5127;
-   wire n_5128;
-   wire n_5129;
-   wire n_5130;
-   wire n_5131;
-   wire n_5132;
-   wire n_5133;
-   wire n_5134;
-   wire n_5135;
-   wire n_5136;
-   wire n_5137;
-   wire n_5138;
-   wire n_5139;
-   wire n_5140;
-   wire n_5141;
-   wire n_5142;
-   wire n_5143;
-   wire n_5144;
-   wire n_5145;
-   wire n_5146;
-   wire n_5147;
-   wire n_5148;
-   wire n_5149;
-   wire n_5150;
-   wire n_5151;
-   wire n_5152;
-   wire n_5153;
-   wire n_5154;
-   wire n_5155;
-   wire n_5156;
-   wire n_5157;
-   wire n_5158;
-   wire n_5159;
-   wire n_5160;
-   wire n_5161;
-   wire n_5162;
-   wire n_5163;
-   wire n_5164;
-   wire n_5165;
-   wire n_5166;
-   wire n_5167;
-   wire n_5168;
-   wire n_5169;
-   wire n_5170;
-   wire n_5171;
-   wire n_5172;
-   wire n_5173;
-   wire n_5174;
-   wire n_5175;
-   wire n_5176;
-   wire n_5177;
-   wire n_5178;
-   wire n_5179;
-   wire n_5180;
-   wire n_5181;
-   wire n_5182;
-   wire n_5183;
-   wire n_5184;
-   wire n_5185;
-   wire n_5186;
-   wire n_5187;
-   wire n_5188;
-   wire n_5189;
-   wire n_5190;
-   wire n_5191;
-   wire n_5192;
-   wire n_5193;
-   wire n_5194;
-   wire n_5195;
-   wire n_5196;
-   wire n_5197;
-   wire n_5198;
-   wire n_5199;
-   wire n_5200;
-   wire n_5201;
-   wire n_5202;
-   wire n_5203;
-   wire n_5204;
-   wire n_5205;
-   wire n_5206;
-   wire n_5207;
-   wire n_5208;
-   wire n_5209;
-   wire n_5210;
-   wire n_5211;
-   wire n_5212;
-   wire n_5213;
-   wire n_5214;
-   wire n_5215;
-   wire n_5216;
-   wire n_5217;
-   wire n_5218;
-   wire n_5219;
-   wire n_5220;
-   wire n_5221;
-   wire n_5222;
-   wire n_5223;
-   wire n_5224;
-   wire n_5225;
-   wire n_5226;
-   wire n_5227;
-   wire n_5228;
-   wire n_5229;
-   wire n_5230;
-   wire n_5231;
-   wire n_5232;
-   wire n_5233;
-   wire n_5234;
-   wire n_5235;
-   wire n_5236;
-   wire n_5237;
-   wire n_5238;
-   wire n_5239;
-   wire n_5240;
-   wire n_5241;
-   wire n_5242;
-   wire n_5243;
-   wire n_5244;
-   wire n_5245;
-   wire n_5246;
-   wire n_5247;
-   wire n_5248;
-   wire n_5249;
-   wire n_5250;
-   wire n_5251;
-   wire n_5252;
-   wire n_5253;
-   wire n_5254;
-   wire n_5255;
-   wire n_5256;
-   wire n_5257;
-   wire n_5258;
-   wire n_5259;
-   wire n_5260;
-   wire n_5261;
-   wire n_5262;
-   wire n_5263;
-   wire n_5264;
-   wire n_5265;
-   wire n_5266;
-   wire n_5267;
-   wire n_5268;
-   wire n_5269;
-   wire n_5270;
-   wire n_5271;
-   wire n_5272;
-   wire n_5273;
-   wire n_5274;
-   wire n_5275;
-   wire n_5276;
-   wire n_5277;
-   wire n_5278;
-   wire n_5279;
-   wire n_5280;
-   wire n_5281;
-   wire n_5282;
-   wire n_5283;
-   wire n_5284;
-   wire n_5285;
-   wire n_5286;
-   wire n_5287;
-   wire n_5288;
-   wire n_5289;
-   wire n_5290;
-   wire n_5291;
-   wire n_5292;
-   wire n_5293;
-   wire n_5294;
-   wire n_5295;
-   wire n_5296;
-   wire n_5297;
-   wire n_5298;
-   wire n_5299;
-   wire n_5300;
-   wire n_5301;
-   wire n_5302;
-   wire n_5303;
-   wire n_5304;
-   wire n_5305;
-   wire n_5306;
-   wire n_5307;
-   wire n_5308;
-   wire n_5309;
-   wire n_5310;
-   wire n_5311;
-   wire n_5312;
-   wire n_5313;
-   wire n_5314;
-   wire n_5315;
-   wire n_5316;
-   wire n_5317;
-   wire n_5318;
-   wire n_5319;
-   wire n_5320;
-   wire n_5321;
-   wire n_5322;
-   wire n_5323;
-   wire n_5324;
-   wire n_5325;
-   wire n_5326;
-   wire n_5327;
-   wire n_5328;
-   wire n_5329;
-   wire n_5330;
-   wire n_5331;
-   wire n_5332;
-   wire n_5333;
-   wire n_5334;
-   wire n_5335;
-   wire n_5336;
-   wire n_5337;
-   wire n_5338;
-   wire n_5339;
-   wire n_5340;
-   wire n_5341;
-   wire n_5342;
-   wire n_5343;
-   wire n_5344;
-   wire n_5345;
-   wire n_5346;
-   wire n_5347;
-   wire n_5348;
-   wire n_5349;
-   wire n_5350;
-   wire n_5351;
-   wire n_5352;
-   wire n_5353;
-   wire n_5354;
-   wire n_5355;
-   wire n_5356;
-   wire n_5357;
-   wire n_5358;
-   wire n_5359;
-   wire n_5360;
-   wire n_5361;
-   wire n_5362;
-   wire n_5363;
-   wire n_5364;
-   wire n_5365;
-   wire n_5366;
-   wire n_5367;
-   wire n_5368;
-   wire n_5369;
-   wire n_5370;
-   wire n_5371;
-   wire n_5372;
-   wire n_5373;
-   wire n_5374;
-   wire n_5375;
-   wire n_5376;
-   wire n_5377;
-   wire n_5378;
-   wire n_5379;
-   wire n_5380;
-   wire n_5381;
-   wire n_5382;
-   wire n_5383;
-   wire n_5384;
-   wire n_5385;
-   wire n_5386;
-   wire n_5387;
-   wire n_5388;
-   wire n_5389;
-   wire n_5390;
-   wire n_5391;
-   wire n_5392;
-   wire n_5393;
-   wire n_5394;
-   wire n_5395;
-   wire n_5396;
-   wire n_5397;
-   wire n_5398;
-   wire n_5399;
-   wire n_5400;
-   wire n_5401;
-   wire n_5402;
-   wire n_5403;
-   wire n_5404;
-   wire n_5405;
-   wire n_5406;
-   wire n_5407;
-   wire n_5408;
-   wire n_5409;
-   wire n_5410;
-   wire n_5411;
-   wire n_5412;
-   wire n_5413;
-   wire n_5414;
-   wire n_5415;
-   wire n_5416;
-   wire n_5417;
-   wire n_5418;
-   wire n_5419;
-   wire n_5420;
-   wire n_5421;
-   wire n_5422;
-   wire n_5423;
-   wire n_5424;
-   wire n_5425;
-   wire n_5426;
-   wire n_5427;
-   wire n_5428;
-   wire n_5429;
-   wire n_5430;
-   wire n_5431;
-   wire n_5432;
-   wire n_5433;
-   wire n_5434;
-   wire n_5435;
-   wire n_5436;
-   wire n_5437;
-   wire n_5438;
-   wire n_5439;
-   wire n_5440;
-   wire n_5441;
-   wire n_5442;
-   wire n_5443;
-   wire n_5444;
-   wire n_5445;
-   wire n_5446;
-   wire n_5447;
-   wire n_5448;
-   wire n_5449;
-   wire n_5450;
-   wire n_5451;
-   wire n_5452;
-   wire n_5453;
-   wire n_5454;
-   wire n_5455;
-   wire n_5456;
-   wire n_5457;
-   wire n_5458;
-   wire n_5459;
-   wire n_5460;
-   wire n_5461;
-   wire n_5462;
-   wire n_5463;
-   wire n_5464;
-   wire n_5465;
-   wire n_5466;
-   wire n_5467;
-   wire n_5468;
-   wire n_5469;
-   wire n_5470;
-   wire n_5471;
-   wire n_5472;
-   wire n_5473;
-   wire n_5474;
-   wire n_5475;
-   wire n_5476;
-   wire n_5477;
-   wire n_5478;
-   wire n_5479;
-   wire n_5480;
-   wire n_5481;
-   wire n_5482;
-   wire n_5483;
-   wire n_5484;
-   wire n_5485;
-   wire n_5486;
-   wire n_5487;
-   wire n_5488;
-   wire n_5489;
-   wire n_5490;
-   wire n_5491;
-   wire n_5492;
-   wire n_5493;
-   wire n_5494;
-   wire n_5495;
-   wire n_5496;
-   wire n_5497;
-   wire n_5498;
-   wire n_5499;
-   wire n_5500;
-   wire n_5501;
-   wire n_5502;
-   wire n_5503;
-   wire n_5504;
-   wire n_5505;
-   wire n_5506;
-   wire n_5507;
-   wire n_5508;
-   wire n_5509;
-   wire n_5510;
-   wire n_5511;
-   wire n_5512;
-   wire n_5513;
-   wire n_5514;
-   wire n_5515;
-   wire n_5516;
-   wire n_5517;
-   wire n_5518;
-   wire n_5519;
-   wire n_5520;
-   wire n_5521;
-   wire n_5522;
-   wire n_5523;
-   wire n_5524;
-   wire n_5525;
-   wire n_5526;
-   wire n_5527;
-   wire n_5528;
-   wire n_5529;
-   wire n_5530;
-   wire n_5531;
-   wire n_5532;
-   wire n_5533;
-   wire n_5534;
-   wire n_5535;
-   wire n_5536;
-   wire n_5537;
-   wire n_5538;
-   wire n_5539;
-   wire n_5540;
-   wire n_5541;
-   wire n_5542;
-   wire n_5543;
-   wire n_5544;
-   wire n_5545;
-   wire n_5546;
-   wire n_5547;
-   wire n_5548;
-   wire n_5549;
-   wire n_5550;
-   wire n_5551;
-   wire n_5552;
-   wire n_5553;
-   wire n_5554;
-   wire n_5555;
-   wire n_5556;
-   wire n_5557;
-   wire n_5558;
-   wire n_5559;
-   wire n_5560;
-   wire n_5561;
-   wire n_5562;
-   wire n_5563;
-   wire n_5564;
-   wire n_5565;
-   wire n_5566;
-   wire n_5567;
-   wire n_5568;
-   wire n_5569;
-   wire n_5570;
-   wire n_5571;
-   wire n_5572;
-   wire n_5573;
-   wire n_5574;
-   wire n_5575;
-   wire n_5576;
-   wire n_5577;
-   wire n_5578;
-   wire n_5579;
-   wire n_5580;
-   wire n_5581;
-   wire n_5582;
-   wire n_5583;
-   wire n_5584;
-   wire n_5585;
-   wire n_5586;
-   wire n_5587;
-   wire n_5588;
-   wire n_5589;
-   wire n_5590;
-   wire n_5591;
-   wire n_5592;
-   wire n_5593;
-   wire n_5594;
-   wire n_5595;
-   wire n_5596;
-   wire n_5597;
-   wire n_5598;
-   wire n_5599;
-   wire n_5600;
-   wire n_5601;
-   wire n_5602;
-   wire n_5603;
-   wire n_5604;
-   wire n_5605;
-   wire n_5606;
-   wire n_5607;
-   wire n_5608;
-   wire n_5609;
-   wire n_5610;
-   wire n_5611;
-   wire n_5612;
-   wire n_5613;
-   wire n_5614;
-   wire n_5615;
-   wire n_5616;
-   wire n_5617;
-   wire n_5618;
-   wire n_5619;
-   wire n_5620;
-   wire n_5621;
-   wire n_5622;
-   wire n_5623;
-   wire n_5624;
-   wire n_5625;
-   wire n_5626;
-   wire n_5627;
-   wire n_5628;
-   wire n_5629;
-   wire n_5630;
-   wire n_5631;
-   wire n_5632;
-   wire n_5633;
-   wire n_5634;
-   wire n_5635;
-   wire n_5636;
-   wire n_5637;
-   wire n_5638;
-   wire n_5639;
-   wire n_5640;
-   wire n_5641;
-   wire n_5642;
-   wire n_5643;
-   wire n_5644;
-   wire n_5645;
-   wire n_5646;
-   wire n_5647;
-   wire n_5648;
-   wire n_5649;
-   wire n_5650;
-   wire n_5651;
-   wire n_5652;
-   wire n_5653;
-   wire n_5654;
-   wire n_5655;
-   wire n_5656;
-   wire n_5657;
-   wire n_5658;
-   wire n_5659;
-   wire n_5660;
-   wire n_5661;
-   wire n_5662;
-   wire n_5663;
-   wire n_5664;
-   wire n_5665;
-   wire n_5666;
-   wire n_5667;
-   wire n_5668;
-   wire n_5669;
-   wire n_5670;
-   wire n_5671;
-   wire n_5672;
-   wire n_5673;
-   wire n_5674;
-   wire n_5675;
-   wire n_5676;
-   wire n_5677;
-   wire n_5678;
-   wire n_5679;
-   wire n_5680;
-   wire n_5681;
-   wire n_5682;
-   wire n_5683;
-   wire n_5684;
-   wire n_5685;
-   wire n_5686;
-   wire n_5687;
-   wire n_5688;
-   wire n_5689;
-   wire n_5690;
-   wire n_5691;
-   wire n_5692;
-   wire n_5693;
-   wire n_5694;
-   wire n_5695;
-   wire n_5696;
-   wire n_5697;
-   wire n_5698;
-   wire n_5699;
-   wire n_5700;
-   wire n_5701;
-   wire n_5702;
-   wire n_5703;
-   wire n_5704;
-   wire n_5705;
-   wire n_5706;
-   wire n_5707;
-   wire n_5708;
-   wire n_5709;
-   wire n_5710;
-   wire n_5711;
-   wire n_5712;
-   wire n_5713;
-   wire n_5714;
-   wire n_5715;
-   wire n_5716;
-   wire n_5717;
-   wire n_5718;
-   wire n_5719;
-   wire n_5720;
-   wire n_5721;
-   wire n_5722;
-   wire n_5723;
-   wire n_5724;
-   wire n_5725;
-   wire n_5726;
-   wire n_5727;
-   wire n_5728;
-   wire n_5729;
-   wire n_5730;
-   wire n_5731;
-   wire n_5732;
-   wire n_5733;
-   wire n_5734;
-   wire n_5735;
-   wire n_5736;
-   wire n_5737;
-   wire n_5738;
-   wire n_5739;
-   wire n_5740;
-   wire n_5741;
-   wire n_5742;
-   wire n_5743;
-   wire n_5744;
-   wire n_5745;
-   wire n_5746;
-   wire n_5747;
-   wire n_5748;
-   wire n_5749;
-   wire n_5750;
-   wire n_5751;
-   wire n_5752;
-   wire n_5753;
-   wire n_5754;
-   wire n_5755;
-   wire n_5756;
-   wire n_5758;
-   wire n_5759;
-   wire n_5760;
-   wire n_5761;
-   wire n_5762;
-   wire n_5763;
-   wire n_5764;
-   wire n_5765;
-   wire n_5766;
-   wire n_5767;
-   wire n_5768;
-   wire n_5769;
-   wire n_5770;
-   wire n_5771;
-   wire n_5772;
-   wire n_5773;
-   wire n_5774;
-   wire n_5775;
-   wire n_5776;
-   wire n_5777;
-   wire n_5778;
-   wire n_5779;
-   wire n_5780;
-   wire n_5783;
-   wire n_5784;
-   wire n_5785;
-   wire n_5786;
-   wire n_5787;
-   wire n_5788;
-   wire n_5789;
-   wire n_5790;
-   wire n_5791;
-   wire n_5792;
-   wire n_5793;
-   wire n_5794;
-   wire n_5795;
-   wire n_5796;
-   wire n_5797;
-   wire n_5798;
-   wire n_5799;
-   wire n_5800;
-   wire n_5801;
-   wire n_5802;
-   wire n_5803;
-   wire n_5804;
-   wire n_5805;
-   wire n_5806;
-   wire n_5807;
-   wire n_5808;
-   wire n_5809;
-   wire n_5810;
-   wire n_5811;
-   wire n_5812;
-   wire n_5813;
-   wire n_5814;
-   wire n_5815;
-   wire n_5816;
-   wire n_5817;
-   wire n_5818;
-   wire n_5819;
-   wire n_5820;
-   wire n_5821;
-   wire n_5822;
-   wire n_5823;
-   wire n_5824;
-   wire n_5825;
-   wire n_5826;
-   wire n_5827;
-   wire n_5828;
-   wire n_5829;
-   wire n_5830;
-   wire n_5831;
-   wire n_5832;
-   wire n_5833;
-   wire n_5834;
-   wire n_5835;
-   wire n_5836;
-   wire n_5837;
-   wire n_5838;
-   wire n_5839;
-   wire n_5840;
-   wire n_5841;
-   wire n_5842;
-   wire n_5843;
-   wire n_5844;
-   wire n_5845;
-   wire n_5846;
-   wire n_5847;
-   wire n_5848;
-   wire n_5849;
-   wire n_5850;
-   wire n_5851;
-   wire n_5852;
-   wire n_5853;
-   wire n_5854;
-   wire n_5855;
-   wire n_5856;
-   wire n_5857;
-   wire n_5858;
-   wire n_5859;
-   wire n_5860;
-   wire n_5861;
-   wire n_5862;
-   wire n_5863;
-   wire n_5864;
-   wire n_5865;
-   wire n_5866;
-   wire n_5867;
-   wire n_5868;
-   wire n_5869;
-   wire n_5870;
-   wire n_5871;
-   wire n_5872;
-   wire n_5873;
-   wire n_5874;
-   wire n_5875;
-   wire n_5876;
-   wire n_5877;
-   wire n_5878;
-   wire n_5879;
-   wire n_5880;
-   wire n_5881;
-   wire n_5882;
-   wire n_5883;
-   wire n_5884;
-   wire n_5885;
-   wire n_5886;
-   wire n_5887;
-   wire n_5888;
-   wire n_5889;
-   wire n_5890;
-   wire n_5891;
-   wire n_5892;
-   wire n_5893;
-   wire n_5894;
-   wire n_5895;
-   wire n_5896;
-   wire n_5897;
-   wire n_5898;
-   wire n_5899;
-   wire n_5900;
-   wire n_5901;
-   wire n_5902;
-   wire n_5903;
-   wire n_5904;
-   wire n_5905;
-   wire n_5906;
-   wire n_5907;
-   wire n_5908;
-   wire n_5909;
-   wire n_5910;
-   wire n_5911;
-   wire n_5912;
-   wire n_5913;
-   wire n_5914;
-   wire n_5915;
-   wire n_5916;
-   wire n_5917;
-   wire n_5918;
-   wire n_5919;
-   wire n_5920;
-   wire n_5921;
-   wire n_5922;
-   wire n_5923;
-   wire n_5924;
-   wire n_5925;
-   wire n_5926;
-   wire n_5927;
-   wire n_5928;
-   wire n_5929;
-   wire n_5930;
-   wire n_5931;
-   wire n_5932;
-   wire n_5933;
-   wire n_5934;
-   wire n_5935;
-   wire n_5936;
-   wire n_5937;
-   wire n_5938;
-   wire n_5939;
-   wire n_5940;
-   wire n_5941;
-   wire n_5942;
-   wire n_5943;
-   wire n_5944;
-   wire n_5945;
-   wire n_5946;
-   wire n_5947;
-   wire n_5948;
-   wire n_5949;
-   wire n_5950;
-   wire n_5951;
-   wire n_5952;
-   wire n_5953;
-   wire n_5954;
-   wire n_5955;
-   wire n_5956;
-   wire n_5957;
-   wire n_5958;
-   wire n_5959;
-   wire n_5960;
-   wire n_5961;
-   wire n_5962;
-   wire n_5963;
-   wire n_5964;
-   wire n_5965;
-   wire n_5966;
-   wire n_5967;
-   wire n_5968;
-   wire n_5969;
-   wire n_5970;
-   wire n_5971;
-   wire n_5972;
-   wire n_5973;
-   wire n_5974;
-   wire n_5975;
-   wire n_5976;
-   wire n_5977;
-   wire n_5978;
-   wire n_5979;
-   wire n_5980;
-   wire n_5981;
-   wire n_5982;
-   wire n_5983;
-   wire n_5984;
-   wire n_5985;
-   wire n_5986;
-   wire n_5987;
-   wire n_5988;
-   wire n_5989;
-   wire n_5990;
-   wire n_5991;
-   wire n_5992;
-   wire n_5993;
-   wire n_5994;
-   wire n_5995;
-   wire n_5996;
-   wire n_5997;
-   wire n_5998;
-   wire n_5999;
-   wire n_6000;
-   wire n_6001;
-   wire n_6002;
-   wire n_6003;
-   wire n_6004;
-   wire n_6005;
-   wire n_6006;
-   wire n_6007;
-   wire n_6008;
-   wire n_6009;
-   wire n_6010;
-   wire n_6011;
-   wire n_6012;
-   wire n_6013;
-   wire n_6014;
-   wire n_6015;
-   wire n_6016;
-   wire n_6017;
-   wire n_6018;
-   wire n_6019;
-   wire n_6020;
-   wire n_6021;
-   wire n_6022;
-   wire n_6023;
-   wire n_6024;
-   wire n_6025;
-   wire n_6026;
-   wire n_6027;
-   wire n_6028;
-   wire n_6029;
-   wire n_6030;
-   wire n_6031;
-   wire n_6032;
-   wire n_6033;
-   wire n_6034;
-   wire n_6035;
-   wire n_6036;
-   wire n_6037;
-   wire n_6038;
-   wire n_6039;
-   wire n_6040;
-   wire n_6041;
-   wire n_6042;
-   wire n_6043;
-   wire n_6044;
-   wire n_6045;
-   wire n_6046;
-   wire n_6047;
-   wire n_6048;
-   wire n_6049;
-   wire n_6050;
-   wire n_6051;
-   wire n_6052;
-   wire n_6053;
-   wire n_6054;
-   wire n_6055;
-   wire n_6056;
-   wire n_6057;
-   wire n_6058;
-   wire n_6059;
-   wire n_6060;
-   wire n_6061;
-   wire n_6062;
-   wire n_6063;
-   wire n_6064;
-   wire n_6065;
-   wire n_6066;
-   wire n_6067;
-   wire n_6068;
-   wire n_6069;
-   wire n_6070;
-   wire n_6071;
-   wire n_6072;
-   wire n_6073;
-   wire n_6074;
-   wire n_6075;
-   wire n_6076;
-   wire n_6077;
-   wire n_6078;
-   wire n_6079;
-   wire n_6080;
-   wire n_6081;
-   wire n_6082;
-   wire n_6083;
-   wire n_6084;
-   wire n_6085;
-   wire n_6086;
-   wire n_6087;
-   wire n_6088;
-   wire n_6089;
-   wire n_6090;
-   wire n_6091;
-   wire n_6092;
-   wire n_6093;
-   wire n_6094;
-   wire n_6095;
-   wire n_6096;
-   wire n_6097;
-   wire n_6098;
-   wire n_6099;
-   wire n_6100;
-   wire n_6101;
-   wire n_6102;
-   wire n_6103;
-   wire n_6104;
-   wire n_6105;
-   wire n_6106;
-   wire n_6107;
-   wire n_6108;
-   wire n_6109;
-   wire n_6110;
-   wire n_6111;
-   wire n_6112;
-   wire n_6113;
-   wire n_6114;
-   wire n_6115;
-   wire n_6116;
-   wire n_6117;
-   wire n_6118;
-   wire n_6119;
-   wire n_6120;
-   wire n_6121;
-   wire n_6122;
-   wire n_6123;
-   wire n_6124;
-   wire n_6125;
-   wire n_6126;
-   wire n_6127;
-   wire n_6128;
-   wire n_6129;
-   wire n_6130;
-   wire n_6131;
-   wire n_6132;
-   wire n_6133;
-   wire n_6134;
-   wire n_6135;
-   wire n_6136;
-   wire n_6137;
-   wire n_6138;
-   wire n_6139;
-   wire n_6140;
-   wire n_6141;
-   wire n_6142;
-   wire n_6143;
-   wire n_6144;
-   wire n_6145;
-   wire n_6146;
-   wire n_6147;
-   wire n_6148;
-   wire n_6149;
-   wire n_6150;
-   wire n_6151;
-   wire n_6152;
-   wire n_6153;
-   wire n_6154;
-   wire n_6155;
-   wire n_6156;
-   wire n_6157;
-   wire n_6158;
-   wire n_6159;
-   wire n_6160;
-   wire n_6161;
-   wire n_6162;
-   wire n_6163;
-   wire n_6164;
-   wire n_6165;
-   wire n_6166;
-   wire n_6167;
-   wire n_6168;
-   wire n_6169;
-   wire n_6170;
-   wire n_6171;
-   wire n_6172;
-   wire n_6173;
-   wire n_6174;
-   wire n_6175;
-   wire n_6176;
-   wire n_6177;
-   wire n_6178;
-   wire n_6179;
-   wire n_6180;
-   wire n_6181;
-   wire n_6182;
-   wire n_6183;
-   wire n_6184;
-   wire n_6185;
-   wire n_6186;
-   wire n_6187;
-   wire n_6188;
-   wire n_6189;
-   wire n_6190;
-   wire n_6191;
-   wire n_6192;
-   wire n_6193;
-   wire n_6194;
-   wire n_6195;
-   wire n_6196;
-   wire n_6197;
-   wire n_6198;
-   wire n_6199;
-   wire n_6200;
-   wire n_6201;
-   wire n_6202;
-   wire n_6203;
-   wire n_6204;
-   wire n_6205;
-   wire n_6206;
-   wire n_6207;
-   wire n_6208;
-   wire n_6209;
-   wire n_6210;
-   wire n_6211;
-   wire n_6212;
-   wire n_6213;
-   wire n_6214;
-   wire n_6215;
-   wire n_6216;
-   wire n_6217;
-   wire n_6218;
-   wire n_6219;
-   wire n_6220;
-   wire n_6221;
-   wire n_6222;
-   wire n_6223;
-   wire n_6224;
-   wire n_6225;
-   wire n_6226;
-   wire n_6227;
-   wire n_6228;
-   wire n_6229;
-   wire n_6230;
-   wire n_6231;
-   wire n_6232;
-   wire n_6233;
-   wire n_6234;
-   wire n_6235;
-   wire n_6236;
-   wire n_6237;
-   wire n_6238;
-   wire n_6239;
-   wire n_6240;
-   wire n_6241;
-   wire n_6242;
-   wire n_6243;
-   wire n_6244;
-   wire n_6245;
-   wire n_6246;
-   wire n_6247;
-   wire n_6248;
-   wire n_6249;
-   wire n_6250;
-   wire n_6251;
-   wire n_6252;
-   wire n_6253;
-   wire n_6254;
-   wire n_6255;
-   wire n_6256;
-   wire n_6257;
-   wire n_6258;
-   wire n_6259;
-   wire n_6260;
-   wire n_6261;
-   wire n_6262;
-   wire n_6263;
-   wire n_6264;
-   wire n_6265;
-   wire n_6266;
-   wire n_6267;
-   wire n_6268;
-   wire n_6269;
-   wire n_6270;
-   wire n_6271;
-   wire n_6272;
-   wire n_6273;
-   wire n_6274;
-   wire n_6275;
-   wire n_6276;
-   wire n_6277;
-   wire n_6278;
-   wire n_6279;
-   wire n_6280;
-   wire n_6281;
-   wire n_6282;
-   wire n_6283;
-   wire n_6284;
-   wire n_6285;
-   wire n_6286;
-   wire n_6287;
-   wire n_6288;
-   wire n_6289;
-   wire n_6290;
-   wire n_6291;
-   wire n_6292;
-   wire n_6293;
-   wire n_6294;
-   wire n_6295;
-   wire n_6296;
-   wire n_6297;
-   wire n_6298;
-   wire n_6299;
-   wire n_6300;
-   wire n_6301;
-   wire n_6302;
-   wire n_6303;
-   wire n_6304;
-   wire n_6305;
-   wire n_6306;
-   wire n_6307;
-   wire n_6308;
-   wire n_6309;
-   wire n_6310;
-   wire n_6311;
-   wire n_6312;
-   wire n_6313;
-   wire n_6314;
-   wire n_6315;
-   wire n_6316;
-   wire n_6317;
-   wire n_6318;
-   wire n_6319;
-   wire n_6320;
-   wire n_6321;
-   wire n_6322;
-   wire n_6323;
-   wire n_6324;
-   wire n_6325;
-   wire n_6326;
-   wire n_6327;
-   wire n_6328;
-   wire n_6329;
-   wire n_6330;
-   wire n_6331;
-   wire n_6332;
-   wire n_6333;
-   wire n_6334;
-   wire n_6335;
-   wire n_6336;
-   wire n_6337;
-   wire n_6338;
-   wire n_6339;
-   wire n_6340;
-   wire n_6341;
-   wire n_6342;
-   wire n_6343;
-   wire n_6344;
-   wire n_6345;
-   wire n_6346;
-   wire n_6347;
-   wire n_6348;
-   wire n_6349;
-   wire n_6350;
-   wire n_6351;
-   wire n_6352;
-   wire n_6353;
-   wire n_6354;
-   wire n_6355;
-   wire n_6356;
-   wire n_6357;
-   wire n_6358;
-   wire n_6359;
-   wire n_6360;
-   wire n_6361;
-   wire n_6362;
-   wire n_6363;
-   wire n_6364;
-   wire n_6365;
-   wire n_6366;
-   wire n_6367;
-   wire n_6368;
-   wire n_6369;
-   wire n_6370;
-   wire n_6371;
-   wire n_6372;
-   wire n_6373;
-   wire n_6374;
-   wire n_6375;
-   wire n_6376;
-   wire n_6377;
-   wire n_6378;
-   wire n_6379;
-   wire n_6380;
-   wire n_6381;
-   wire n_6382;
-   wire n_6383;
-   wire n_6384;
-   wire n_6385;
-   wire n_6386;
-   wire n_6387;
-   wire n_6388;
-   wire n_6389;
-   wire n_6390;
-   wire n_6391;
-   wire n_6392;
-   wire n_6393;
-   wire n_6394;
-   wire n_6395;
-   wire n_6396;
-   wire n_6397;
-   wire n_6398;
-   wire n_6399;
-   wire n_6400;
-   wire n_6401;
-   wire n_6402;
-   wire n_6403;
-   wire n_6404;
-   wire n_6405;
-   wire n_6406;
-   wire n_6407;
-   wire n_6408;
-   wire n_6409;
-   wire n_6410;
-   wire n_6411;
-   wire n_6412;
-   wire n_6413;
-   wire n_6414;
-   wire n_6415;
-   wire n_6416;
-   wire n_6417;
-   wire n_6418;
-   wire n_6419;
-   wire n_6420;
-   wire n_6421;
-   wire n_6422;
-   wire n_6423;
-   wire n_6424;
-   wire n_6425;
-   wire n_6426;
-   wire n_6427;
-   wire n_6428;
-   wire n_6429;
-   wire n_6430;
-   wire n_6431;
-   wire n_6432;
-   wire n_6433;
-   wire n_6434;
-   wire n_6435;
-   wire n_6436;
-   wire n_6437;
-   wire n_6438;
-   wire n_6439;
-   wire n_6440;
-   wire n_6441;
-   wire n_6442;
-   wire n_6443;
-   wire n_6444;
-   wire n_6445;
-   wire n_6446;
-   wire n_6447;
-   wire n_6448;
-   wire n_6449;
-   wire n_6450;
-   wire n_6451;
-   wire n_6452;
-   wire n_6453;
-   wire n_6454;
-   wire n_6455;
-   wire n_6456;
-   wire n_6457;
-   wire n_6458;
-   wire n_6459;
-   wire n_6460;
-   wire n_6461;
-   wire n_6462;
-   wire n_6463;
-   wire n_6464;
-   wire n_6465;
-   wire n_6466;
-   wire n_6467;
-   wire n_6468;
-   wire n_6469;
-   wire n_6470;
-   wire n_6471;
-   wire n_6472;
-   wire n_6473;
-   wire n_6474;
-   wire n_6475;
-   wire n_6476;
-   wire n_6477;
-   wire n_6478;
-   wire n_6479;
-   wire n_6480;
-   wire n_6481;
-   wire n_6482;
-   wire n_6483;
-   wire n_6484;
-   wire n_6485;
-   wire n_6486;
-   wire n_6487;
-   wire n_6488;
-   wire n_6489;
-   wire n_6490;
-   wire n_6491;
-   wire n_6492;
-   wire n_6493;
-   wire n_6494;
-   wire n_6495;
-   wire n_6496;
-   wire n_6497;
-   wire n_6498;
-   wire n_6499;
-   wire n_6500;
-   wire n_6501;
-   wire n_6502;
-   wire n_6503;
-   wire n_6504;
-   wire n_6505;
-   wire n_6506;
-   wire n_6507;
-   wire n_6508;
-   wire n_6509;
-   wire n_6510;
-   wire n_6511;
-   wire n_6512;
-   wire n_6513;
-   wire n_6514;
-   wire n_6515;
-   wire n_6516;
-   wire n_6517;
-   wire n_6518;
-   wire n_6519;
-   wire n_6520;
-   wire n_6521;
-   wire n_6522;
-   wire n_6523;
-   wire n_6524;
-   wire n_6525;
-   wire n_6526;
-   wire n_6527;
-   wire n_6528;
-   wire n_6529;
-   wire n_6530;
-   wire n_6531;
-   wire n_6532;
-   wire n_6533;
-   wire n_6534;
-   wire n_6535;
-   wire n_6536;
-   wire n_6537;
-   wire n_6538;
-   wire n_6539;
-   wire n_6540;
-   wire n_6541;
-   wire n_6542;
-   wire n_6543;
-   wire n_6544;
-   wire n_6545;
-   wire n_6546;
-   wire n_6547;
-   wire n_6548;
-   wire n_6549;
-   wire n_6550;
-   wire n_6551;
-   wire n_6552;
-   wire n_6553;
-   wire n_6554;
-   wire n_6555;
-   wire n_6556;
-   wire n_6557;
-   wire n_6558;
-   wire n_6559;
-   wire n_6560;
-   wire n_6561;
-   wire n_6562;
-   wire n_6563;
-   wire n_6564;
-   wire n_6565;
-   wire n_6566;
-   wire n_6567;
-   wire n_6569;
-   wire n_6570;
-   wire n_6571;
-   wire n_6572;
-   wire n_6573;
-   wire n_6574;
-   wire n_6575;
-   wire n_6576;
-   wire n_6577;
-   wire n_6578;
-   wire n_6579;
-   wire n_6580;
-   wire n_6581;
-   wire n_6582;
-   wire n_6583;
-   wire n_6584;
-   wire n_6585;
-   wire n_6586;
-   wire n_6587;
-   wire n_6588;
-   wire n_6589;
-   wire n_6590;
-   wire n_6591;
-   wire n_6592;
-   wire n_6593;
-   wire n_6594;
-   wire n_6595;
-   wire n_6596;
-   wire n_6597;
-   wire n_6598;
-   wire n_6599;
-   wire n_6600;
-   wire n_6601;
-   wire n_6602;
-   wire n_6603;
-   wire n_6604;
-   wire n_6605;
-   wire n_6606;
-   wire n_6607;
-   wire n_6608;
-   wire n_6609;
-   wire n_6610;
-   wire n_6611;
-   wire n_6612;
-   wire n_6613;
-   wire n_6614;
-   wire n_6615;
-   wire n_6616;
-   wire n_6617;
-   wire n_6618;
-   wire n_6619;
-   wire n_6620;
-   wire n_6621;
-   wire n_6622;
-   wire n_6623;
-   wire n_6624;
-   wire n_6625;
-   wire n_6626;
-   wire n_6627;
-   wire n_6628;
-   wire n_6629;
-   wire n_6630;
-   wire n_6631;
-   wire n_6632;
-   wire n_6633;
-   wire n_6634;
-   wire n_6635;
-   wire n_6636;
-   wire n_6637;
-   wire n_6638;
-   wire n_6639;
-   wire n_6640;
-   wire n_6641;
-   wire n_6642;
-   wire n_6643;
-   wire n_6644;
-   wire n_6645;
-   wire n_6646;
-   wire n_6647;
-   wire n_6648;
-   wire n_6649;
-   wire n_6650;
-   wire n_6651;
-   wire n_6652;
-   wire n_6653;
-   wire n_6654;
-   wire n_6655;
-   wire n_6656;
-   wire n_6657;
-   wire n_6658;
-   wire n_6659;
-   wire n_6660;
-   wire n_6661;
-   wire n_6662;
-   wire n_6663;
-   wire n_6664;
-   wire n_6665;
-   wire n_6666;
-   wire n_6667;
-   wire n_6668;
-   wire n_6669;
-   wire n_6670;
-   wire n_6671;
-   wire n_6672;
-   wire n_6673;
-   wire n_6674;
-   wire n_6675;
-   wire n_6676;
-   wire n_6677;
-   wire n_6678;
-   wire n_6679;
-   wire n_6680;
-   wire n_6681;
-   wire n_6682;
-   wire n_6683;
-   wire n_6684;
-   wire n_6685;
-   wire n_6686;
-   wire n_6687;
-   wire n_6688;
-   wire n_6689;
-   wire n_6690;
-   wire n_6691;
-   wire n_6692;
-   wire n_6693;
-   wire n_6694;
-   wire n_6695;
-   wire n_6696;
-   wire n_6697;
-   wire n_6698;
-   wire n_6699;
-   wire n_6700;
-   wire n_6701;
-   wire n_6702;
-   wire n_6703;
-   wire n_6704;
-   wire n_6705;
-   wire n_6706;
-   wire n_6707;
-   wire n_6708;
-   wire n_6709;
-   wire n_6710;
-   wire n_6711;
-   wire n_6712;
-   wire n_6713;
-   wire n_6714;
-   wire n_6715;
-   wire n_6716;
-   wire n_6717;
-   wire n_6718;
-   wire n_6719;
-   wire n_6720;
-   wire n_6721;
-   wire n_6722;
-   wire n_6723;
-   wire n_6724;
-   wire n_6725;
-   wire n_6726;
-   wire n_6727;
-   wire n_6728;
-   wire n_6729;
-   wire n_6730;
-   wire n_6731;
-   wire n_6732;
-   wire n_6733;
-   wire n_6734;
-   wire n_6735;
-   wire n_6736;
-   wire n_6737;
-   wire n_6738;
-   wire n_6739;
-   wire n_6740;
-   wire n_6741;
-   wire n_6742;
-   wire n_6743;
-   wire n_6744;
-   wire n_6745;
-   wire n_6746;
-   wire n_6747;
-   wire n_6748;
-   wire n_6749;
-   wire n_6750;
-   wire n_6751;
-   wire n_6752;
-   wire n_6753;
-   wire n_6754;
-   wire n_6755;
-   wire n_6756;
-   wire n_6757;
-   wire n_6758;
-   wire n_6759;
-   wire n_6760;
-   wire n_6761;
-   wire n_6762;
-   wire n_6763;
-   wire n_6764;
-   wire n_6765;
-   wire n_6766;
-   wire n_6767;
-   wire n_6768;
-   wire n_6769;
-   wire n_6770;
-   wire n_6771;
-   wire n_6772;
-   wire n_6773;
-   wire n_6774;
-   wire n_6775;
-   wire n_6776;
-   wire n_6777;
-   wire n_6778;
-   wire n_6779;
-   wire n_6780;
-   wire n_6781;
-   wire n_6782;
-   wire n_6783;
-   wire n_6784;
-   wire n_6785;
-   wire n_6786;
-   wire n_6787;
-   wire n_6788;
-   wire n_6789;
-   wire n_6790;
-   wire n_6791;
-   wire n_6792;
-   wire n_6793;
-   wire n_6794;
-   wire n_6795;
-   wire n_6796;
-   wire n_6797;
-   wire n_6798;
-   wire n_6799;
-   wire n_6800;
-   wire n_6801;
-   wire n_6802;
-   wire n_6803;
-   wire n_6804;
-   wire n_6805;
-   wire n_6806;
-   wire n_6807;
-   wire n_6808;
-   wire n_6809;
-   wire n_6810;
-   wire n_6811;
-   wire n_6812;
-   wire n_6813;
-   wire n_6814;
-   wire n_6815;
-   wire n_6816;
-   wire n_6817;
-   wire n_6818;
-   wire n_6819;
-   wire n_6820;
-   wire n_6821;
-   wire n_6822;
-   wire n_6823;
-   wire n_6824;
-   wire n_6825;
-   wire n_6826;
-   wire n_6827;
-   wire n_6828;
-   wire n_6829;
-   wire n_6830;
-   wire n_6831;
-   wire n_6832;
-   wire n_6833;
-   wire n_6834;
-   wire n_6835;
-   wire n_6836;
-   wire n_6837;
-   wire n_6838;
-   wire n_6839;
-   wire n_6840;
-   wire n_6841;
-   wire n_6842;
-   wire n_6843;
-   wire n_6844;
-   wire n_6845;
-   wire n_6846;
-   wire n_6847;
-   wire n_6848;
-   wire n_6849;
-   wire n_6850;
-   wire n_6851;
-   wire n_6852;
-   wire n_6853;
-   wire n_6854;
-   wire n_6855;
-   wire n_6856;
-   wire n_6857;
-   wire n_6858;
-   wire n_6859;
-   wire n_6860;
-   wire n_6861;
-   wire n_6862;
-   wire n_6863;
-   wire n_6864;
-   wire n_6865;
-   wire n_6866;
-   wire n_6867;
-   wire n_6868;
-   wire n_6869;
-   wire n_6870;
-   wire n_6871;
-   wire n_6872;
-   wire n_6873;
-   wire n_6874;
-   wire n_6875;
-   wire n_6876;
-   wire n_6877;
-   wire n_6878;
-   wire n_6879;
-   wire n_6880;
-   wire n_6881;
-   wire n_6882;
-   wire n_6883;
-   wire n_6884;
-   wire n_6885;
-   wire n_6886;
-   wire n_6887;
-   wire n_6888;
-   wire n_6889;
-   wire n_6890;
-   wire n_6891;
-   wire n_6892;
-   wire n_6893;
-   wire n_6894;
-   wire n_6895;
-   wire n_6896;
-   wire n_6897;
-   wire n_6898;
-   wire n_6899;
-   wire n_6900;
-   wire n_6901;
-   wire n_6902;
-   wire n_6903;
-   wire n_6904;
-   wire n_6905;
-   wire n_6906;
-   wire n_6907;
-   wire n_6908;
-   wire n_6909;
-   wire n_6910;
-   wire n_6911;
-   wire n_6912;
-   wire n_6913;
-   wire n_6914;
-   wire n_6915;
-   wire n_6916;
-   wire n_6917;
-   wire n_6918;
-   wire n_6919;
-   wire n_6920;
-   wire n_6921;
-   wire n_6922;
-   wire n_6923;
-   wire n_6924;
-   wire n_6925;
-   wire n_6926;
-   wire n_6927;
-   wire n_6928;
-   wire n_6929;
-   wire n_6930;
-   wire n_6931;
-   wire n_6932;
-   wire n_6933;
-   wire n_6934;
-   wire n_6935;
-   wire n_6936;
-   wire n_6937;
-   wire n_6938;
-   wire n_6939;
-   wire n_6940;
-   wire n_6941;
-   wire n_6942;
-   wire n_6943;
-   wire n_6944;
-   wire n_6945;
-   wire n_6946;
-   wire n_6947;
-   wire n_6948;
-   wire n_6949;
-   wire n_6950;
-   wire n_6951;
-   wire n_6952;
-   wire n_6953;
-   wire n_6954;
-   wire n_6955;
-   wire n_6956;
-   wire n_6957;
-   wire n_6958;
-   wire n_6959;
-   wire n_6960;
-   wire n_6961;
-   wire n_6962;
-   wire n_6963;
-   wire n_6964;
-   wire n_6965;
-   wire n_6966;
-   wire n_6967;
-   wire n_6968;
-   wire n_6969;
-   wire n_6970;
-   wire n_6971;
-   wire n_6972;
-   wire n_6973;
-   wire n_6974;
-   wire n_6975;
-   wire n_6976;
-   wire n_6977;
-   wire n_6978;
-   wire n_6979;
-   wire n_6980;
-   wire n_6981;
-   wire n_6982;
-   wire n_6983;
-   wire n_6984;
-   wire n_6985;
-   wire n_6986;
-   wire n_6987;
-   wire n_6988;
-   wire n_6989;
-   wire n_6990;
-   wire n_6991;
-   wire n_6992;
-   wire n_6993;
-   wire n_6994;
-   wire n_6995;
-   wire n_6996;
-   wire n_6997;
-   wire n_6998;
-   wire n_6999;
-   wire n_7000;
-   wire n_7001;
-   wire n_7002;
-   wire n_7003;
-   wire n_7004;
-   wire n_7005;
-   wire n_7006;
-   wire n_7007;
-   wire n_7008;
-   wire n_7009;
-   wire n_7010;
-   wire n_7011;
-   wire n_7012;
-   wire n_7013;
-   wire n_7014;
-   wire n_7015;
-   wire n_7016;
-   wire n_7017;
-   wire n_7018;
-   wire n_7019;
-   wire n_7020;
-   wire n_7021;
-   wire n_7022;
-   wire n_7023;
-   wire n_7024;
-   wire n_7025;
-   wire n_7026;
-   wire n_7027;
-   wire n_7028;
-   wire n_7029;
-   wire n_7030;
-   wire n_7031;
-   wire n_7032;
-   wire n_7033;
-   wire n_7034;
-   wire n_7035;
-   wire n_7036;
-   wire n_7037;
-   wire n_7038;
-   wire n_7039;
-   wire n_7040;
-   wire n_7041;
-   wire n_7042;
-   wire n_7043;
-   wire n_7044;
-   wire n_7045;
-   wire n_7046;
-   wire n_7047;
-   wire n_7048;
-   wire n_7049;
-   wire n_7050;
-   wire n_7051;
-   wire n_7052;
-   wire n_7053;
-   wire n_7054;
-   wire n_7055;
-   wire n_7056;
-   wire n_7057;
-   wire n_7058;
-   wire n_7059;
-   wire n_7060;
-   wire n_7061;
-   wire n_7062;
-   wire n_7063;
-   wire n_7064;
-   wire n_7065;
-   wire n_7066;
-   wire n_7067;
-   wire n_7068;
-   wire n_7069;
-   wire n_7070;
-   wire n_7071;
-   wire n_7072;
-   wire n_7073;
-   wire n_7074;
-   wire n_7075;
-   wire n_7076;
-   wire n_7077;
-   wire n_7078;
-   wire n_7079;
-   wire n_7080;
-   wire n_7081;
-   wire n_7082;
-   wire n_7083;
-   wire n_7084;
-   wire n_7085;
-   wire n_7086;
-   wire n_7087;
-   wire n_7088;
-   wire n_7089;
-   wire n_7090;
-   wire n_7091;
-   wire n_7092;
-   wire n_7093;
-   wire n_7094;
-   wire n_7095;
-   wire n_7096;
-   wire n_7097;
-   wire n_7098;
-   wire n_7099;
-   wire n_7100;
-   wire n_7101;
-   wire n_7102;
-   wire n_7103;
-   wire n_7104;
-   wire n_7105;
-   wire n_7106;
-   wire n_7107;
-   wire n_7108;
-   wire n_7109;
-   wire n_7110;
-   wire n_7111;
-   wire n_7112;
-   wire n_7113;
-   wire n_7114;
-   wire n_7115;
-   wire n_7116;
-   wire n_7117;
-   wire n_7118;
-   wire n_7119;
-   wire n_7120;
-   wire n_7121;
-   wire n_7122;
-   wire n_7123;
-   wire n_7124;
-   wire n_7125;
-   wire n_7126;
-   wire n_7127;
-   wire n_7128;
-   wire n_7129;
-   wire n_7130;
-   wire n_7131;
-   wire n_7132;
-   wire n_7133;
-   wire n_7134;
-   wire n_7135;
-   wire n_7136;
-   wire n_7137;
-   wire n_7138;
-   wire n_7139;
-   wire n_7140;
-   wire n_7141;
-   wire n_7142;
-   wire n_7143;
-   wire n_7144;
-   wire n_7145;
-   wire n_7146;
-   wire n_7147;
-   wire n_7148;
-   wire n_7149;
-   wire n_7150;
-   wire n_7151;
-   wire n_7152;
-   wire n_7153;
-   wire n_7154;
-   wire n_7155;
-   wire n_7156;
-   wire n_7157;
-   wire n_7158;
-   wire n_7159;
-   wire n_7160;
-   wire n_7161;
-   wire n_7162;
-   wire n_7163;
-   wire n_7164;
-   wire n_7165;
-   wire n_7166;
-   wire n_7167;
-   wire n_7168;
-   wire n_7169;
-   wire n_7170;
-   wire n_7171;
-   wire n_7172;
-   wire n_7173;
-   wire n_7174;
-   wire n_7175;
-   wire n_7176;
-   wire n_7177;
-   wire n_7178;
-   wire n_7179;
-   wire n_7180;
-   wire n_7181;
-   wire n_7182;
-   wire n_7183;
-   wire n_7184;
-   wire n_7185;
-   wire n_7186;
-   wire n_7187;
-   wire n_7188;
-   wire n_7189;
-   wire n_7190;
-   wire n_7191;
-   wire n_7192;
-   wire n_7193;
-   wire n_7194;
-   wire n_7195;
-   wire n_7196;
-   wire n_7197;
-   wire n_7198;
-   wire n_7199;
-   wire n_7200;
-   wire n_7201;
-   wire n_7202;
-   wire n_7203;
-   wire n_7204;
-   wire n_7205;
-   wire n_7206;
-   wire n_7207;
-   wire n_7208;
-   wire n_7209;
-   wire n_7210;
-   wire n_7211;
-   wire n_7212;
-   wire n_7213;
-   wire n_7214;
-   wire n_7215;
-   wire n_7216;
-   wire n_7217;
-   wire n_7218;
-   wire n_7219;
-   wire n_7220;
-   wire n_7221;
-   wire n_7222;
-   wire n_7223;
-   wire n_7224;
-   wire n_7225;
-   wire n_7226;
-   wire n_7227;
-   wire n_7228;
-   wire n_7229;
-   wire n_7230;
-   wire n_7231;
-   wire n_7232;
-   wire n_7233;
-   wire n_7234;
-   wire n_7235;
-   wire n_7236;
-   wire n_7237;
-   wire n_7238;
-   wire n_7239;
-   wire n_7240;
-   wire n_7241;
-   wire n_7242;
-   wire n_7243;
-   wire n_7244;
-   wire n_7245;
-   wire n_7246;
-   wire n_7247;
-   wire n_7248;
-   wire n_7249;
-   wire n_7250;
-   wire n_7251;
-   wire n_7252;
-   wire n_7253;
-   wire n_7254;
-   wire n_7255;
-   wire n_7256;
-   wire n_7257;
-   wire n_7258;
-   wire n_7259;
-   wire n_7260;
-   wire n_7261;
-   wire n_7262;
-   wire n_7263;
-   wire n_7264;
-   wire n_7265;
-   wire n_7266;
-   wire n_7267;
-   wire n_7268;
-   wire n_7269;
-   wire n_7270;
-   wire n_7271;
-   wire n_7272;
-   wire n_7273;
-   wire n_7274;
-   wire n_7275;
-   wire n_7276;
-   wire n_7277;
-   wire n_7278;
-   wire n_7279;
-   wire n_7280;
-   wire n_7281;
-   wire n_7282;
-   wire n_7283;
-   wire n_7284;
-   wire n_7285;
-   wire n_7286;
-   wire n_7287;
-   wire n_7288;
-   wire n_7289;
-   wire n_7290;
-   wire n_7291;
-   wire n_7292;
-   wire n_7293;
-   wire n_7294;
-   wire n_7295;
-   wire n_7296;
-   wire n_7297;
-   wire n_7298;
-   wire n_7299;
-   wire n_7300;
-   wire n_7301;
-   wire n_7302;
-   wire n_7303;
-   wire n_7304;
-   wire n_7305;
-   wire n_7306;
-   wire n_7307;
-   wire n_7308;
-   wire n_7309;
-   wire n_7310;
-   wire n_7311;
-   wire n_7312;
-   wire n_7313;
-   wire n_7314;
-   wire n_7315;
-   wire n_7316;
-   wire n_7317;
-   wire n_7318;
-   wire n_7319;
-   wire n_7320;
-   wire n_7321;
-   wire n_7322;
-   wire n_7323;
-   wire n_7324;
-   wire n_7325;
-   wire n_7326;
-   wire n_7327;
-   wire n_7328;
-   wire n_7329;
-   wire n_7330;
-   wire n_7331;
-   wire n_7332;
-   wire n_7333;
-   wire n_7334;
-   wire n_7335;
-   wire n_7336;
-   wire n_7337;
-   wire n_7338;
-   wire n_7339;
-   wire n_7340;
-   wire n_7341;
-   wire n_7342;
-   wire n_7343;
-   wire n_7344;
-   wire n_7345;
-   wire n_7346;
-   wire n_7347;
-   wire n_7348;
-   wire n_7349;
-   wire n_7350;
-   wire n_7351;
-   wire n_7352;
-   wire n_7353;
-   wire n_7354;
-   wire n_7355;
-   wire n_7356;
-   wire n_7357;
-   wire n_7358;
-   wire n_7359;
-   wire n_7360;
-   wire n_7361;
-   wire n_7362;
-   wire n_7363;
-   wire n_7364;
-   wire n_7365;
-   wire n_7366;
-   wire n_7367;
-   wire n_7368;
-   wire n_7369;
-   wire n_7370;
-   wire n_7371;
-   wire n_7372;
-   wire n_7373;
-   wire n_7374;
-   wire n_7375;
-   wire n_7376;
-   wire n_7377;
-   wire n_7378;
-   wire n_7379;
-   wire n_7380;
-   wire n_7381;
-   wire n_7382;
-   wire n_7383;
-   wire n_7384;
-   wire n_7385;
-   wire n_7386;
-   wire n_7387;
-   wire n_7388;
-   wire n_7389;
-   wire n_7390;
-   wire n_7391;
-   wire n_7392;
-   wire n_7393;
-   wire n_7394;
-   wire n_7395;
-   wire n_7396;
-   wire n_7397;
-   wire n_7398;
-   wire n_7399;
-   wire n_7400;
-   wire n_7401;
-   wire n_7402;
-   wire n_7403;
-   wire n_7404;
-   wire n_7405;
-   wire n_7406;
-   wire n_7407;
-   wire n_7408;
-   wire n_7409;
-   wire n_7410;
-   wire n_7411;
-   wire n_7412;
-   wire n_7413;
-   wire n_7414;
-   wire n_7415;
-   wire n_7416;
-   wire n_7417;
-   wire n_7418;
-   wire n_7419;
-   wire n_7420;
-   wire n_7421;
-   wire n_7422;
-   wire n_7423;
-   wire n_7424;
-   wire n_7425;
-   wire n_7426;
-   wire n_7427;
-   wire n_7428;
-   wire n_7429;
-   wire n_7430;
-   wire n_7431;
-   wire n_7432;
-   wire n_7433;
-   wire n_7434;
-   wire n_7435;
-   wire n_7436;
-   wire n_7437;
-   wire n_7438;
-   wire n_7439;
-   wire n_7440;
-   wire n_7441;
-   wire n_7442;
-   wire n_7443;
-   wire n_7444;
-   wire n_7445;
-   wire n_7446;
-   wire n_7447;
-   wire n_7448;
-   wire n_7449;
-   wire n_7450;
-   wire n_7451;
-   wire n_7452;
-   wire n_7453;
-   wire n_7454;
-   wire n_7455;
-   wire n_7456;
-   wire n_7457;
-   wire n_7458;
-   wire n_7459;
-   wire n_7460;
-   wire n_7461;
-   wire n_7462;
-   wire n_7463;
-   wire n_7464;
-   wire n_7465;
-   wire n_7466;
-   wire n_7467;
-   wire n_7468;
-   wire n_7469;
-   wire n_7470;
-   wire n_7471;
-   wire n_7472;
-   wire n_7473;
-   wire n_7474;
-   wire n_7475;
-   wire n_7476;
-   wire n_7477;
-   wire n_7478;
-   wire n_7479;
-   wire n_7480;
-   wire n_7481;
-   wire n_7482;
-   wire n_7483;
-   wire n_7484;
-   wire n_7485;
-   wire n_7486;
-   wire n_7487;
-   wire n_7488;
-   wire n_7489;
-   wire n_7490;
-   wire n_7491;
-   wire n_7492;
-   wire n_7493;
-   wire n_7494;
-   wire n_7495;
-   wire n_7496;
-   wire n_7497;
-   wire n_7498;
-   wire n_7499;
-   wire n_7500;
-   wire n_7501;
-   wire n_7502;
-   wire n_7503;
-   wire n_7504;
-   wire n_7505;
-   wire n_7506;
-   wire n_7507;
-   wire n_7508;
-   wire n_7509;
-   wire n_7510;
-   wire n_7511;
-   wire n_7512;
-   wire n_7513;
-   wire n_7514;
-   wire n_7515;
-   wire n_7516;
-   wire n_7517;
-   wire n_7518;
-   wire n_7519;
-   wire n_7520;
-   wire n_7521;
-   wire n_7522;
-   wire n_7523;
-   wire n_7524;
-   wire n_7525;
-   wire n_7526;
-   wire n_7527;
-   wire n_7528;
-   wire n_7529;
-   wire n_7530;
-   wire n_7531;
-   wire n_7532;
-   wire n_7533;
-   wire n_7534;
-   wire n_7535;
-   wire n_7536;
-   wire n_7537;
-   wire n_7538;
-   wire n_7539;
-   wire n_7540;
-   wire n_7541;
-   wire n_7542;
-   wire n_7543;
-   wire n_7544;
-   wire n_7545;
-   wire n_7546;
-   wire n_7547;
-   wire n_7548;
-   wire n_7549;
-   wire n_7550;
-   wire n_7551;
-   wire n_7552;
-   wire n_7553;
-   wire n_7554;
-   wire n_7555;
-   wire n_7556;
-   wire n_7557;
-   wire n_7558;
-   wire n_7559;
-   wire n_7560;
-   wire n_7561;
-   wire n_7562;
-   wire n_7563;
-   wire n_7564;
-   wire n_7565;
-   wire n_7566;
-   wire n_7567;
-   wire n_7568;
-   wire n_7569;
-   wire n_7570;
-   wire n_7571;
-   wire n_7572;
-   wire n_7573;
-   wire n_7574;
-   wire n_7575;
-   wire n_7576;
-   wire n_7577;
-   wire n_7578;
-   wire n_7579;
-   wire n_7580;
-   wire n_7581;
-   wire n_7582;
-   wire n_7583;
-   wire n_7584;
-   wire n_7585;
-   wire n_7586;
-   wire n_7587;
-   wire n_7588;
-   wire n_7589;
-   wire n_7590;
-   wire n_7591;
-   wire n_7592;
-   wire n_7593;
-   wire n_7594;
-   wire n_7595;
-   wire n_7596;
-   wire n_7597;
-   wire n_7598;
-   wire n_7599;
-   wire n_7600;
-   wire n_7601;
-   wire n_7602;
-   wire n_7603;
-   wire n_7604;
-   wire n_7605;
-   wire n_7606;
-   wire n_7607;
-   wire n_7608;
-   wire n_7609;
-   wire n_7610;
-   wire n_7611;
-   wire n_7612;
-   wire n_7613;
-   wire n_7614;
-   wire n_7615;
-   wire n_7616;
-   wire n_7617;
-   wire n_7618;
-   wire n_7619;
-   wire n_7620;
-   wire n_7621;
-   wire n_7622;
-   wire n_7623;
-   wire n_7624;
-   wire n_7625;
-   wire n_7626;
-   wire n_7627;
-   wire n_7628;
-   wire n_7629;
-   wire n_7630;
-   wire n_7631;
-   wire n_7632;
-   wire n_7633;
-   wire n_7634;
-   wire n_7635;
-   wire n_7636;
-   wire n_7637;
-   wire n_7638;
-   wire n_7639;
-   wire n_7640;
-   wire n_7641;
-   wire n_7642;
-   wire n_7643;
-   wire n_7644;
-   wire n_7645;
-   wire n_7646;
-   wire n_7647;
-   wire n_7648;
-   wire n_7649;
-   wire n_7650;
-   wire n_7651;
-   wire n_7652;
-   wire n_7653;
-   wire n_7654;
-   wire n_7655;
-   wire n_7656;
-   wire n_7657;
-   wire n_7658;
-   wire n_7659;
-   wire n_7660;
-   wire n_7661;
-   wire n_7662;
-   wire n_7663;
-   wire n_7664;
-   wire n_7665;
-   wire n_7666;
-   wire n_7667;
-   wire n_7668;
-   wire n_7669;
-   wire n_7670;
-   wire n_7671;
-   wire n_7672;
-   wire n_7673;
-   wire n_7674;
-   wire n_7675;
-   wire n_7676;
-   wire n_7677;
-   wire n_7678;
-   wire n_7679;
-   wire n_7680;
-   wire n_7681;
-   wire n_7682;
-   wire n_7683;
-   wire n_7684;
-   wire n_7685;
-   wire n_7686;
-   wire n_7687;
-   wire n_7688;
-   wire n_7689;
-   wire n_7690;
-   wire n_7691;
-   wire n_7692;
-   wire n_7693;
-   wire n_7694;
-   wire n_7695;
-   wire n_7696;
-   wire n_7697;
-   wire n_7698;
-   wire n_7699;
-   wire n_7700;
-   wire n_7701;
-   wire n_7702;
-   wire n_7703;
-   wire n_7704;
-   wire n_7705;
-   wire n_7706;
-   wire n_7707;
-   wire n_7708;
-   wire n_7709;
-   wire n_7710;
-   wire n_7711;
-   wire n_7712;
-   wire n_7713;
-   wire n_7714;
-   wire n_7715;
-   wire n_7716;
-   wire n_7717;
-   wire n_7718;
-   wire n_7719;
-   wire n_7720;
-   wire n_7721;
-   wire n_7722;
-   wire n_7723;
-   wire n_7724;
-   wire n_7725;
-   wire n_7726;
-   wire n_7727;
-   wire n_7728;
-   wire n_7729;
-   wire n_7730;
-   wire n_7731;
-   wire n_7732;
-   wire n_7733;
-   wire n_7734;
-   wire n_7735;
-   wire n_7736;
-   wire n_7737;
-   wire n_7738;
-   wire n_7739;
-   wire n_7740;
-   wire n_7741;
-   wire n_7742;
-   wire n_7743;
-   wire n_7744;
-   wire n_7745;
-   wire n_7746;
-   wire n_7747;
-   wire n_7748;
-   wire n_7749;
-   wire n_7750;
-   wire n_7751;
-   wire n_7752;
-   wire n_7753;
-   wire n_7754;
-   wire n_7755;
-   wire n_7756;
-   wire n_7757;
-   wire n_7758;
-   wire n_7759;
-   wire n_7760;
-   wire n_7761;
-   wire n_7762;
-   wire n_7763;
-   wire n_7764;
-   wire n_7765;
-   wire n_7766;
-   wire n_7767;
-   wire n_7768;
-   wire n_7769;
-   wire n_7770;
-   wire n_7771;
-   wire n_7772;
-   wire n_7773;
-   wire n_7774;
-   wire n_7775;
-   wire n_7776;
-   wire n_7777;
-   wire n_7778;
-   wire n_7779;
-   wire n_7780;
-   wire n_7781;
-   wire n_7782;
-   wire n_7783;
-   wire n_7784;
-   wire n_7785;
-   wire n_7786;
-   wire n_7787;
-   wire n_7788;
-   wire n_7789;
-   wire n_7790;
-   wire n_7791;
-   wire n_7792;
-   wire n_7793;
-   wire n_7794;
-   wire n_7795;
-   wire n_7796;
-   wire n_7797;
-   wire n_7798;
-   wire n_7799;
-   wire n_7800;
-   wire n_7801;
-   wire n_7802;
-   wire n_7803;
-   wire n_7804;
-   wire n_7805;
-   wire n_7806;
-   wire n_7807;
-   wire n_7808;
-   wire n_7809;
-   wire n_7810;
-   wire n_7811;
-   wire n_7812;
-   wire n_7813;
-   wire n_7814;
-   wire n_7815;
-   wire n_7816;
-   wire n_7817;
-   wire n_7818;
-   wire n_7819;
-   wire n_7820;
-   wire n_7821;
-   wire n_7822;
-   wire n_7823;
-   wire n_7824;
-   wire n_7825;
-   wire n_7826;
-   wire n_7827;
-   wire n_7828;
-   wire n_7829;
-   wire n_7830;
-   wire n_7831;
-   wire n_7832;
-   wire n_7833;
-   wire n_7834;
-   wire n_7835;
-   wire n_7836;
-   wire n_7837;
-   wire n_7838;
-   wire n_7839;
-   wire n_7840;
-   wire n_7841;
-   wire n_7842;
-   wire n_7843;
-   wire n_7844;
-   wire n_7845;
-   wire n_7846;
-   wire n_7847;
-   wire n_7848;
-   wire n_7849;
-   wire n_7850;
-   wire n_7851;
-   wire n_7852;
-   wire n_7853;
-   wire n_7854;
-   wire n_7855;
-   wire n_7856;
-   wire n_7857;
-   wire n_7858;
-   wire n_7859;
-   wire n_7860;
-   wire n_7861;
-   wire n_7862;
-   wire n_7863;
-   wire n_7864;
-   wire n_7865;
-   wire n_7866;
-   wire n_7867;
-   wire n_7868;
-   wire n_7869;
-   wire n_7870;
-   wire n_7871;
-   wire n_7872;
-   wire n_7873;
-   wire n_7874;
-   wire n_7875;
-   wire n_7876;
-   wire n_7877;
-   wire n_7878;
-   wire n_7879;
-   wire n_7880;
-   wire n_7881;
-   wire n_7882;
-   wire n_7883;
-   wire n_7884;
-   wire n_7885;
-   wire n_7886;
-   wire n_7887;
-   wire n_7888;
-   wire n_7889;
-   wire n_7890;
-   wire n_7891;
-   wire n_7892;
-   wire n_7893;
-   wire n_7894;
-   wire n_7895;
-   wire n_7896;
-   wire n_7897;
-   wire n_7898;
-   wire n_7899;
-   wire n_7900;
-   wire n_7901;
-   wire n_7902;
-   wire n_7903;
-   wire n_7904;
-   wire n_7905;
-   wire n_7906;
-   wire n_7907;
-   wire n_7908;
-   wire n_7909;
-   wire n_7910;
-   wire n_7911;
-   wire n_7912;
-   wire n_7913;
-   wire n_7914;
-   wire n_7915;
-   wire n_7916;
-   wire n_7917;
-   wire n_7918;
-   wire n_7919;
-   wire n_7920;
-   wire n_7921;
-   wire n_7922;
-   wire n_7923;
-   wire n_7924;
-   wire n_7925;
-   wire n_7926;
-   wire n_7927;
-   wire n_7928;
-   wire n_7929;
-   wire n_7930;
-   wire n_7931;
-   wire n_7932;
-   wire n_7933;
-   wire n_7934;
-   wire n_7935;
-   wire n_7936;
-   wire n_7937;
-   wire n_7938;
-   wire n_7939;
-   wire n_7940;
-   wire n_7941;
-   wire n_7942;
-   wire n_7943;
-   wire n_7944;
-   wire n_7945;
-   wire n_7946;
-   wire n_7947;
-   wire n_7948;
-   wire n_7949;
-   wire n_7950;
-   wire n_7951;
-   wire n_7952;
-   wire n_7953;
-   wire n_7954;
-   wire n_7955;
-   wire n_7956;
-   wire n_7957;
-   wire n_7958;
-   wire n_7959;
-   wire n_7960;
-   wire n_7961;
-   wire n_7962;
-   wire n_7963;
-   wire n_7964;
-   wire n_7965;
-   wire n_7966;
-   wire n_7967;
-   wire n_7968;
-   wire n_7969;
-   wire n_7970;
-   wire n_7971;
-   wire n_7972;
-   wire n_7973;
-   wire n_7974;
-   wire n_7975;
-   wire n_7976;
-   wire n_7977;
-   wire n_7978;
-   wire n_7979;
-   wire n_7980;
-   wire n_7981;
-   wire n_7982;
-   wire n_7983;
-   wire n_7984;
-   wire n_7985;
-   wire n_7986;
-   wire n_7987;
-   wire n_7988;
-   wire n_7989;
-   wire n_7990;
-   wire n_7991;
-   wire n_7992;
-   wire n_7993;
-   wire n_7994;
-   wire n_7995;
-   wire n_7996;
-   wire n_7997;
-   wire n_7998;
-   wire n_7999;
-   wire n_8000;
-   wire n_8001;
-   wire n_8002;
-   wire n_8003;
-   wire n_8004;
-   wire n_8005;
-   wire n_8006;
-   wire n_8007;
-   wire n_8008;
-   wire n_8009;
-   wire n_8010;
-   wire n_8011;
-   wire n_8012;
-   wire n_8013;
-   wire n_8014;
-   wire n_8015;
-   wire n_8016;
-   wire n_8017;
-   wire n_8018;
-   wire n_8019;
-   wire n_8020;
-   wire n_8021;
-   wire n_8022;
-   wire n_8023;
-   wire n_8024;
-   wire n_8025;
-   wire n_8026;
-   wire n_8027;
-   wire n_8028;
-   wire n_8029;
-   wire n_8030;
-   wire n_8031;
-   wire n_8032;
-   wire n_8033;
-   wire n_8034;
-   wire n_8035;
-   wire n_8036;
-   wire n_8037;
-   wire n_8038;
-   wire n_8039;
-   wire n_8040;
-   wire n_8041;
-   wire n_8042;
-   wire n_8043;
-   wire n_8044;
-   wire n_8045;
-   wire n_8046;
-   wire n_8047;
-   wire n_8048;
-   wire n_8049;
-   wire n_8050;
-   wire n_8051;
-   wire n_8052;
-   wire n_8053;
-   wire n_8054;
-   wire n_8055;
-   wire n_8056;
-   wire n_8057;
-   wire n_8058;
-   wire n_8059;
-   wire n_8060;
-   wire n_8061;
-   wire n_8062;
-   wire n_8063;
-   wire n_8064;
-   wire n_8065;
-   wire n_8066;
-   wire n_8067;
-   wire n_8068;
-   wire n_8069;
-   wire n_8070;
-   wire n_8071;
-   wire n_8072;
-   wire n_8073;
-   wire n_8074;
-   wire n_8075;
-   wire n_8076;
-   wire n_8077;
-   wire n_8078;
-   wire n_8079;
-   wire n_8080;
-   wire n_8081;
-   wire n_8082;
-   wire n_8083;
-   wire n_8084;
-   wire n_8085;
-   wire n_8086;
-   wire n_8087;
-   wire n_8088;
-   wire n_8089;
-   wire n_8090;
-   wire n_8091;
-   wire n_8092;
-   wire n_8093;
-   wire n_8094;
-   wire n_8095;
-   wire n_8096;
-   wire n_8097;
-   wire n_8098;
-   wire n_8099;
-   wire n_8100;
-   wire n_8101;
-   wire n_8102;
-   wire n_8103;
-   wire n_8104;
-   wire n_8105;
-   wire n_8106;
-   wire n_8107;
-   wire n_8108;
-   wire n_8109;
-   wire n_8110;
-   wire n_8111;
-   wire n_8112;
-   wire n_8113;
-   wire n_8114;
-   wire n_8115;
-   wire n_8116;
-   wire n_8117;
-   wire n_8118;
-   wire n_8119;
-   wire n_8120;
-   wire n_8121;
-   wire n_8122;
-   wire n_8123;
-   wire n_8124;
-   wire n_8125;
-   wire n_8126;
-   wire n_8127;
-   wire n_8128;
-   wire n_8129;
-   wire n_8130;
-   wire n_8131;
-   wire n_8132;
-   wire n_8133;
-   wire n_8134;
-   wire n_8135;
-   wire n_8136;
-   wire n_8137;
-   wire n_8138;
-   wire n_8139;
-   wire n_8140;
-   wire n_8141;
-   wire n_8142;
-   wire n_8143;
-   wire n_8144;
-   wire n_8145;
-   wire n_8146;
-   wire n_8147;
-   wire n_8148;
-   wire n_8149;
-   wire n_8150;
-   wire n_8151;
-   wire n_8152;
-   wire n_8153;
-   wire n_8154;
-   wire n_8155;
-   wire n_8156;
-   wire n_8157;
-   wire n_8158;
-   wire n_8159;
-   wire n_8160;
-   wire n_8161;
-   wire n_8162;
-   wire n_8163;
-   wire n_8164;
-   wire n_8165;
-   wire n_8166;
-   wire n_8167;
-   wire n_8168;
-   wire n_8169;
-   wire n_8170;
-   wire n_8171;
-   wire n_8172;
-   wire n_8173;
-   wire n_8174;
-   wire n_8175;
-   wire n_8176;
-   wire n_8177;
-   wire n_8178;
-   wire n_8179;
-   wire n_8180;
-   wire n_8181;
-   wire n_8182;
-   wire n_8183;
-   wire n_8184;
-   wire n_8185;
-   wire n_8186;
-   wire n_8187;
-   wire n_8188;
-   wire n_8189;
-   wire n_8190;
-   wire n_8191;
-   wire n_8192;
-   wire n_8193;
-   wire n_8194;
-   wire n_8195;
-   wire n_8198;
-   wire n_8199;
-   wire n_8201;
-   wire n_8202;
-   wire n_8203;
-   wire n_8204;
-   wire n_8205;
-   wire n_8206;
-   wire n_8207;
-   wire n_8208;
-   wire n_8209;
-   wire n_8210;
-   wire n_8211;
-   wire n_8212;
-   wire n_8213;
-   wire n_8214;
-   wire n_8215;
-   wire n_8216;
-   wire n_8217;
-   wire n_8218;
-   wire n_8219;
-   wire n_8220;
-   wire n_8221;
-   wire n_8222;
-   wire n_8223;
-   wire n_8224;
-   wire n_8225;
-   wire n_8226;
-   wire n_8227;
-   wire n_8228;
-   wire n_8229;
-   wire n_8230;
-   wire n_8231;
-   wire n_8232;
-   wire n_8233;
-   wire n_8234;
-   wire n_8235;
-   wire n_8236;
-   wire n_8237;
-   wire n_8239;
-   wire n_8240;
-   wire n_8241;
-   wire n_8242;
-   wire n_8243;
-   wire n_8244;
-   wire n_8245;
-   wire n_8246;
-   wire n_8247;
-   wire n_8248;
-   wire n_8249;
-   wire n_8250;
-   wire n_8251;
-   wire n_8252;
-   wire n_8253;
-   wire n_8254;
-   wire n_8255;
-   wire n_8256;
-   wire n_8257;
-   wire n_8258;
-   wire n_8259;
-   wire n_8261;
-   wire n_8262;
-   wire n_8263;
-   wire n_8264;
-   wire n_8265;
-   wire n_8266;
-   wire n_8267;
-   wire n_8268;
-   wire n_8269;
-   wire n_8270;
-   wire n_8271;
-   wire n_8272;
-   wire n_8273;
-   wire n_8274;
-   wire n_8275;
-   wire n_8276;
-   wire n_8277;
-   wire n_8278;
-   wire n_8279;
-   wire n_8280;
-   wire n_8281;
-   wire n_8282;
-   wire n_8283;
-   wire n_8284;
-   wire n_8286;
-   wire n_8287;
-   wire n_8288;
-   wire n_8289;
-   wire n_8290;
-   wire n_8291;
-   wire n_8292;
-   wire n_8293;
-   wire n_8294;
-   wire n_8295;
-   wire n_8296;
-   wire n_8297;
-   wire n_8298;
-   wire n_8299;
-   wire n_8300;
-   wire n_8301;
-   wire n_8302;
-   wire n_8303;
-   wire n_8304;
-   wire n_8305;
-   wire n_8306;
-   wire n_8307;
-   wire n_8308;
-   wire n_8309;
-   wire n_8310;
-   wire n_8311;
-   wire n_8312;
-   wire n_8313;
-   wire n_8314;
-   wire n_8315;
-   wire n_8316;
-   wire n_8317;
-   wire n_8318;
-   wire n_8319;
-   wire n_8320;
-   wire n_8321;
-   wire n_8322;
-   wire n_8323;
-   wire n_8324;
-   wire n_8325;
-   wire n_8326;
-   wire n_8327;
-   wire n_8328;
-   wire n_8329;
-   wire n_8330;
-   wire n_8331;
-   wire n_8332;
-   wire n_8333;
-   wire n_8334;
-   wire n_8335;
-   wire n_8336;
-   wire n_8337;
-   wire n_8338;
-   wire n_8339;
-   wire n_8340;
-   wire n_8341;
-   wire n_8342;
-   wire n_8343;
-   wire n_8344;
-   wire n_8345;
-   wire n_8346;
-   wire n_8347;
-   wire n_8348;
-   wire n_8349;
-   wire n_8350;
-   wire n_8351;
-   wire n_8352;
-   wire n_8353;
-   wire n_8354;
-   wire n_8355;
-   wire n_8356;
-   wire n_8357;
-   wire n_8358;
-   wire n_8359;
-   wire n_8360;
-   wire n_8361;
-   wire n_8362;
-   wire n_8363;
-   wire n_8364;
-   wire n_8365;
-   wire n_8366;
-   wire n_8367;
-   wire n_8368;
-   wire n_8369;
-   wire n_8370;
-   wire n_8371;
-   wire n_8372;
-   wire n_8373;
-   wire n_8374;
-   wire n_8375;
-   wire n_8376;
-   wire n_8377;
-   wire n_8378;
-   wire n_8379;
-   wire n_8380;
-   wire n_8381;
-   wire n_8382;
-   wire n_8383;
-   wire n_8384;
-   wire n_8385;
-   wire n_8386;
-   wire n_8387;
-   wire n_8388;
-   wire n_8389;
-   wire n_8390;
-   wire n_8391;
-   wire n_8392;
-   wire n_8393;
-   wire n_8394;
-   wire n_8395;
-   wire n_8396;
-   wire n_8397;
-   wire n_8398;
-   wire n_8399;
-   wire n_8400;
-   wire n_8401;
-   wire n_8402;
-   wire n_8403;
-   wire n_8404;
-   wire n_8405;
-   wire n_8406;
-   wire n_8407;
-   wire n_8408;
-   wire n_8409;
-   wire n_8410;
-   wire n_8411;
-   wire n_8412;
-   wire n_8413;
-   wire n_8414;
-   wire n_8415;
-   wire n_8416;
-   wire n_8417;
-   wire n_8418;
-   wire n_8419;
-   wire n_8420;
-   wire n_8421;
-   wire n_8422;
-   wire n_8423;
-   wire n_8424;
-   wire n_8425;
-   wire n_8426;
-   wire n_8427;
-   wire n_8428;
-   wire n_8429;
-   wire n_8430;
-   wire n_8431;
-   wire n_8432;
-   wire n_8433;
-   wire n_8434;
-   wire n_8435;
-   wire n_8436;
-   wire n_8437;
-   wire n_8438;
-   wire n_8439;
-   wire n_8440;
-   wire n_8441;
-   wire n_8442;
-   wire n_8443;
-   wire n_8444;
-   wire n_8445;
-   wire n_8446;
-   wire n_8447;
-   wire n_8448;
-   wire n_8449;
-   wire n_8450;
-   wire n_8451;
-   wire n_8452;
-   wire n_8453;
-   wire n_8454;
-   wire n_8455;
-   wire n_8456;
-   wire n_8457;
-   wire n_8458;
-   wire n_8459;
-   wire n_8460;
-   wire n_8461;
-   wire n_8462;
-   wire n_8463;
-   wire n_8464;
-   wire n_8465;
-   wire n_8466;
-   wire n_8467;
-   wire n_8468;
-   wire n_8469;
-   wire n_8470;
-   wire n_8471;
-   wire n_8472;
-   wire n_8473;
-   wire n_8474;
-   wire n_8475;
-   wire n_8476;
-   wire n_8477;
-   wire n_8478;
-   wire n_8479;
-   wire n_8480;
-   wire n_8481;
-   wire n_8482;
-   wire n_8483;
-   wire n_8484;
-   wire n_8485;
-   wire n_8486;
-   wire n_8487;
-   wire n_8488;
-   wire n_8489;
-   wire n_8490;
-   wire n_8491;
-   wire n_8492;
-   wire n_8493;
-   wire n_8494;
-   wire n_8495;
-   wire n_8496;
-   wire n_8497;
-   wire n_8498;
-   wire n_8499;
-   wire n_8500;
-   wire n_8501;
-   wire n_8502;
-   wire n_8503;
-   wire n_8504;
-   wire n_8505;
-   wire n_8506;
-   wire n_8507;
-   wire n_8508;
-   wire n_8509;
-   wire n_8510;
-   wire n_8511;
-   wire n_8512;
-   wire n_8513;
-   wire n_8514;
-   wire n_8515;
-   wire n_8516;
-   wire n_8517;
-   wire n_8518;
-   wire n_8519;
-   wire n_8520;
-   wire n_8521;
-   wire n_8522;
-   wire n_8523;
-   wire n_8524;
-   wire n_8525;
-   wire n_8526;
-   wire n_8527;
-   wire n_8528;
-   wire n_8529;
-   wire n_8530;
-   wire n_8531;
-   wire n_8532;
-   wire n_8533;
-   wire n_8534;
-   wire n_8535;
-   wire n_8536;
-   wire n_8537;
-   wire n_8538;
-   wire n_8539;
-   wire n_8540;
-   wire n_8541;
-   wire n_8542;
-   wire n_8543;
-   wire n_8544;
-   wire n_8545;
-   wire n_8546;
-   wire n_8547;
-   wire n_8548;
-   wire n_8549;
-   wire n_8550;
-   wire n_8551;
-   wire n_8552;
-   wire n_8553;
-   wire n_8554;
-   wire n_8555;
-   wire n_8556;
-   wire n_8557;
-   wire n_8558;
-   wire n_8559;
-   wire n_8560;
-   wire n_8561;
-   wire n_8562;
-   wire n_8563;
-   wire n_8564;
-   wire n_8565;
-   wire n_8566;
-   wire n_8567;
-   wire n_8568;
-   wire n_8569;
-   wire n_8570;
-   wire n_8571;
-   wire n_8572;
-   wire n_8573;
-   wire n_8574;
-   wire n_8575;
-   wire n_8576;
-   wire n_8577;
-   wire n_8578;
-   wire n_8579;
-   wire n_8580;
-   wire n_8581;
-   wire n_8582;
-   wire n_8583;
-   wire n_8584;
-   wire n_8585;
-   wire n_8586;
-   wire n_8587;
-   wire n_8588;
-   wire n_8589;
-   wire n_8590;
-   wire n_8591;
-   wire n_8592;
-   wire n_8593;
-   wire n_8594;
-   wire n_8595;
-   wire n_8596;
-   wire n_8597;
-   wire n_8598;
-   wire n_8599;
-   wire n_8600;
-   wire n_8601;
-   wire n_8602;
-   wire n_8603;
-   wire n_8604;
-   wire n_8605;
-   wire n_8606;
-   wire n_8607;
-   wire n_8608;
-   wire n_8609;
-   wire n_8610;
-   wire n_8611;
-   wire n_8612;
-   wire n_8613;
-   wire n_8614;
-   wire n_8615;
-   wire n_8616;
-   wire n_8617;
-   wire n_8618;
-   wire n_8619;
-   wire n_8620;
-   wire n_8621;
-   wire n_8622;
-   wire n_8623;
-   wire n_8624;
-   wire n_8625;
-   wire n_8626;
-   wire n_8627;
-   wire n_8628;
-   wire n_8629;
-   wire n_8630;
-   wire n_8631;
-   wire n_8632;
-   wire n_8633;
-   wire n_8634;
-   wire n_8635;
-   wire n_8636;
-   wire n_8637;
-   wire n_8638;
-   wire n_8639;
-   wire n_8640;
-   wire n_8641;
-   wire n_8642;
-   wire n_8643;
-   wire n_8644;
-   wire n_8645;
-   wire n_8646;
-   wire n_8647;
-   wire n_8648;
-   wire n_8649;
-   wire n_8650;
-   wire n_8651;
-   wire n_8652;
-   wire n_8653;
-   wire n_8654;
-   wire n_8655;
-   wire n_8656;
-   wire n_8657;
-   wire n_8658;
-   wire n_8659;
-   wire n_8660;
-   wire n_8661;
-   wire n_8662;
-   wire n_8663;
-   wire n_8664;
-   wire n_8665;
-   wire n_8666;
-   wire n_8667;
-   wire n_8668;
-   wire n_8669;
-   wire n_8670;
-   wire n_8671;
-   wire n_8672;
-   wire n_8673;
-   wire n_8674;
-   wire n_8675;
-   wire n_8676;
-   wire n_8677;
-   wire n_8678;
-   wire n_8679;
-   wire n_8680;
-   wire n_8681;
-   wire n_8682;
-   wire n_8683;
-   wire n_8684;
-   wire n_8685;
-   wire n_8686;
-   wire n_8687;
-   wire n_8688;
-   wire n_8689;
-   wire n_8690;
-   wire n_8691;
-   wire n_8692;
-   wire n_8693;
-   wire n_8694;
-   wire n_8695;
-   wire n_8696;
-   wire n_8697;
-   wire n_8698;
-   wire n_8699;
-   wire n_8700;
-   wire n_8701;
-   wire n_8702;
-   wire n_8703;
-   wire n_8704;
-   wire n_8705;
-   wire n_8706;
-   wire n_8707;
-   wire n_8708;
-   wire n_8709;
-   wire n_8710;
-   wire n_8711;
-   wire n_8712;
-   wire n_8713;
-   wire n_8714;
-   wire n_8715;
-   wire n_8716;
-   wire n_8717;
-   wire n_8718;
-   wire n_8719;
-   wire n_8720;
-   wire n_8721;
-   wire n_8722;
-   wire n_8723;
-   wire n_8724;
-   wire n_8725;
-   wire n_8726;
-   wire n_8727;
-   wire n_8728;
-   wire n_8729;
-   wire n_8730;
-   wire n_8731;
-   wire n_8732;
-   wire n_8733;
-   wire n_8734;
-   wire n_8735;
-   wire n_8736;
-   wire n_8737;
-   wire n_8738;
-   wire n_8739;
-   wire n_8740;
-   wire n_8741;
-   wire n_8742;
-   wire n_8743;
-   wire n_8745;
-   wire n_8746;
-   wire n_8747;
-   wire n_8748;
-   wire n_8749;
-   wire n_8750;
-   wire n_8751;
-   wire n_8752;
-   wire n_8753;
-   wire n_8754;
-   wire n_8755;
-   wire n_8756;
-   wire n_8757;
-   wire n_8758;
-   wire n_8759;
-   wire n_8760;
-   wire n_8761;
-   wire n_8762;
-   wire n_8763;
-   wire n_8764;
-   wire n_8765;
-   wire n_8766;
-   wire n_8767;
-   wire n_8768;
-   wire n_8769;
-   wire n_8770;
-   wire n_8771;
-   wire n_8772;
-   wire n_8773;
-   wire n_8774;
-   wire n_8775;
-   wire n_8776;
-   wire n_8777;
-   wire n_8778;
-   wire n_8779;
-   wire n_8780;
-   wire n_8781;
-   wire n_8782;
-   wire n_8783;
-   wire n_8784;
-   wire n_8785;
-   wire n_8786;
-   wire n_8787;
-   wire n_8788;
-   wire n_8789;
-   wire n_8790;
-   wire n_8791;
-   wire n_8792;
-   wire n_8793;
-   wire n_8794;
-   wire n_8795;
-   wire n_8796;
-   wire n_8797;
-   wire n_8798;
-   wire n_8799;
-   wire n_8800;
-   wire n_8801;
-   wire n_8802;
-   wire n_8803;
-   wire n_8804;
-   wire n_8805;
-   wire n_8806;
-   wire n_8807;
-   wire n_8808;
-   wire n_8809;
-   wire n_8810;
-   wire n_8811;
-   wire n_8812;
-   wire n_8813;
-   wire n_8814;
-   wire n_8815;
-   wire n_8816;
-   wire n_8817;
-   wire n_8818;
-   wire n_8819;
-   wire n_8820;
-   wire n_8822;
-   wire n_8823;
-   wire n_8824;
-   wire n_8825;
-   wire n_8826;
-   wire n_8827;
-   wire n_8828;
-   wire n_8829;
-   wire n_8830;
-   wire n_8831;
-   wire n_8832;
-   wire n_8833;
-   wire n_8834;
-   wire n_8835;
-   wire n_8836;
-   wire n_8837;
-   wire n_8838;
-   wire n_8839;
-   wire n_8840;
-   wire n_8841;
-   wire n_8842;
-   wire n_8843;
-   wire n_8844;
-   wire n_8845;
-   wire n_8846;
-   wire n_8847;
-   wire n_8848;
-   wire n_8849;
-   wire n_8850;
-   wire n_8851;
-   wire n_8852;
-   wire n_8853;
-   wire n_8854;
-   wire n_8855;
-   wire n_8856;
-   wire n_8857;
-   wire n_8858;
-   wire n_8859;
-   wire n_8860;
-   wire n_8861;
-   wire n_8862;
-   wire n_8863;
-   wire n_8864;
-   wire n_8865;
-   wire n_8866;
-   wire n_8867;
-   wire n_8868;
-   wire n_8869;
-   wire n_8870;
-   wire n_8871;
-   wire n_8872;
-   wire n_8873;
-   wire n_8874;
-   wire n_8875;
-   wire n_8876;
-   wire n_8877;
-   wire n_8878;
-   wire n_8879;
-   wire n_8880;
-   wire n_8881;
-   wire n_8882;
-   wire n_8883;
-   wire n_8884;
-   wire n_8885;
-   wire n_8886;
-   wire n_8887;
-   wire n_8888;
-   wire n_8889;
-   wire n_8890;
-   wire n_8891;
-   wire n_8892;
-   wire n_8893;
-   wire n_8894;
-   wire n_8895;
-   wire n_8896;
-   wire n_8897;
-   wire n_8898;
-   wire n_8899;
-   wire n_8900;
-   wire n_8901;
-   wire n_8902;
-   wire n_8903;
-   wire n_8904;
-   wire n_8905;
-   wire n_8906;
-   wire n_8907;
-   wire n_8908;
-   wire n_8909;
-   wire n_8910;
-   wire n_8911;
-   wire n_8912;
-   wire n_8913;
-   wire n_8914;
-   wire n_8915;
-   wire n_8916;
-   wire n_8917;
-   wire n_8918;
-   wire n_8919;
-   wire n_8920;
-   wire n_8921;
-   wire n_8922;
-   wire n_8923;
-   wire n_8924;
-   wire n_8925;
-   wire n_8926;
-   wire n_8927;
-   wire n_8928;
-   wire n_8929;
-   wire n_8930;
-   wire n_8931;
-   wire n_8932;
-   wire n_8933;
-   wire n_8934;
-   wire n_8935;
-   wire n_8936;
-   wire n_8937;
-   wire n_8938;
-   wire n_8939;
-   wire n_8940;
-   wire n_8941;
-   wire n_8942;
-   wire n_8943;
-   wire n_8944;
-   wire n_8945;
-   wire n_8946;
-   wire n_8947;
-   wire n_8948;
-   wire n_8949;
-   wire n_8950;
-   wire n_8951;
-   wire n_8952;
-   wire n_8953;
-   wire n_8954;
-   wire n_8955;
-   wire n_8956;
-   wire n_8957;
-   wire n_8958;
-   wire n_8960;
-   wire n_8961;
-   wire n_8962;
-   wire n_8963;
-   wire n_8964;
-   wire n_8965;
-   wire n_8966;
-   wire n_8967;
-   wire n_8968;
-   wire n_8969;
-   wire n_8970;
-   wire n_8971;
-   wire n_8972;
-   wire n_8973;
-   wire n_8974;
-   wire n_8975;
-   wire n_8976;
-   wire n_8977;
-   wire n_8978;
-   wire n_8979;
-   wire n_8980;
-   wire n_8981;
-   wire n_8982;
-   wire n_8983;
-   wire n_8984;
-   wire n_8985;
-   wire n_8986;
-   wire n_8987;
-   wire n_8988;
-   wire n_8989;
-   wire n_8990;
-   wire n_8991;
-   wire n_8992;
-   wire n_8993;
-   wire n_8994;
-   wire n_8995;
-   wire n_8996;
-   wire n_8997;
-   wire n_8998;
-   wire n_8999;
-   wire n_9000;
-   wire n_9001;
-   wire n_9002;
-   wire n_9003;
-   wire n_9004;
-   wire n_9005;
-   wire n_9006;
-   wire n_9007;
-   wire n_9008;
-   wire n_9009;
-   wire n_9010;
-   wire n_9011;
-   wire n_9012;
-   wire n_9013;
-   wire n_9014;
-   wire n_9015;
-   wire n_9016;
-   wire n_9017;
-   wire n_9018;
-   wire n_9019;
-   wire n_9020;
-   wire n_9021;
-   wire n_9022;
-   wire n_9023;
-   wire n_9024;
-   wire n_9025;
-   wire n_9026;
-   wire n_9027;
-   wire n_9028;
-   wire n_9029;
-   wire n_9030;
-   wire n_9031;
-   wire n_9032;
-   wire n_9033;
-   wire n_9034;
-   wire n_9035;
-   wire n_9036;
-   wire n_9037;
-   wire n_9038;
-   wire n_9039;
-   wire n_9040;
-   wire n_9041;
-   wire n_9042;
-   wire n_9043;
-   wire n_9044;
-   wire n_9045;
-   wire n_9046;
-   wire n_9047;
-   wire n_9048;
-   wire n_9049;
-   wire n_9050;
-   wire n_9051;
-   wire n_9052;
-   wire n_9053;
-   wire n_9054;
-   wire n_9055;
-   wire n_9056;
-   wire n_9057;
-   wire n_9058;
-   wire n_9059;
-   wire n_9060;
-   wire n_9061;
-   wire n_9062;
-   wire n_9063;
-   wire n_9064;
-   wire n_9065;
-   wire n_9066;
-   wire n_9067;
-   wire n_9068;
-   wire n_9069;
-   wire n_9070;
-   wire n_9071;
-   wire n_9072;
-   wire n_9073;
-   wire n_9074;
-   wire n_9075;
-   wire n_9076;
-   wire n_9077;
-   wire n_9078;
-   wire n_9079;
-   wire n_9080;
-   wire n_9081;
-   wire n_9082;
-   wire n_9083;
-   wire n_9084;
-   wire n_9085;
-   wire n_9086;
-   wire n_9087;
-   wire n_9088;
-   wire n_9089;
-   wire n_9090;
-   wire n_9091;
-   wire n_9092;
-   wire n_9093;
-   wire n_9094;
-   wire n_9095;
-   wire n_9096;
-   wire n_9097;
-   wire n_9098;
-   wire n_9099;
-   wire n_9100;
-   wire n_9101;
-   wire n_9102;
-   wire n_9103;
-   wire n_9104;
-   wire n_9105;
-   wire n_9106;
-   wire n_9107;
-   wire n_9108;
-   wire n_9109;
-   wire n_9110;
-   wire n_9111;
-   wire n_9112;
-   wire n_9113;
-   wire n_9114;
-   wire n_9115;
-   wire n_9116;
-   wire n_9117;
-   wire n_9118;
-   wire n_9119;
-   wire n_9120;
-   wire n_9121;
-   wire n_9122;
-   wire n_9123;
-   wire n_9124;
-   wire n_9125;
-   wire n_9126;
-   wire n_9127;
-   wire n_9128;
-   wire n_9129;
-   wire n_9130;
-   wire n_9131;
-   wire n_9132;
-   wire n_9133;
-   wire n_9134;
-   wire n_9135;
-   wire n_9136;
-   wire n_9137;
-   wire n_9138;
-   wire n_9139;
-   wire n_9140;
-   wire n_9141;
-   wire n_9142;
-   wire n_9143;
-   wire n_9144;
-   wire n_9145;
-   wire n_9146;
-   wire n_9147;
-   wire n_9148;
-   wire n_9149;
-   wire n_9150;
-   wire n_9151;
-   wire n_9152;
-   wire n_9153;
-   wire n_9154;
-   wire n_9155;
-   wire n_9156;
-   wire n_9157;
-   wire n_9158;
-   wire n_9159;
-   wire n_9160;
-   wire n_9161;
-   wire n_9162;
-   wire n_9163;
-   wire n_9164;
-   wire n_9165;
-   wire n_9166;
-   wire n_9167;
-   wire n_9168;
-   wire n_9169;
-   wire n_9170;
-   wire n_9171;
-   wire n_9172;
-   wire n_9173;
-   wire n_9174;
-   wire n_9175;
-   wire n_9176;
-   wire n_9177;
-   wire n_9178;
-   wire n_9179;
-   wire n_9180;
-   wire n_9181;
-   wire n_9182;
-   wire n_9183;
-   wire n_9184;
-   wire n_9185;
-   wire n_9186;
-   wire n_9187;
-   wire n_9188;
-   wire n_9189;
-   wire n_9190;
-   wire n_9191;
-   wire n_9192;
-   wire n_9193;
-   wire n_9194;
-   wire n_9195;
-   wire n_9196;
-   wire n_9197;
-   wire n_9198;
-   wire n_9199;
-   wire n_9200;
-   wire n_9201;
-   wire n_9202;
-   wire n_9203;
-   wire n_9204;
-   wire n_9205;
-   wire n_9206;
-   wire n_9207;
-   wire n_9208;
-   wire n_9209;
-   wire n_9210;
-   wire n_9211;
-   wire n_9212;
-   wire n_9213;
-   wire n_9214;
-   wire n_9215;
-   wire n_9216;
-   wire n_9217;
-   wire n_9218;
-   wire n_9219;
-   wire n_9220;
-   wire n_9221;
-   wire n_9222;
-   wire n_9223;
-   wire n_9224;
-   wire n_9225;
-   wire n_9226;
-   wire n_9227;
-   wire n_9228;
-   wire n_9229;
-   wire n_9230;
-   wire n_9231;
-   wire n_9232;
-   wire n_9233;
-   wire n_9234;
-   wire n_9235;
-   wire n_9236;
-   wire n_9237;
-   wire n_9238;
-   wire n_9239;
-   wire n_9240;
-   wire n_9242;
-   wire n_9243;
-   wire n_9244;
-   wire n_9245;
-   wire n_9246;
-   wire n_9247;
-   wire n_9248;
-   wire n_9249;
-   wire n_9250;
-   wire n_9251;
-   wire n_9252;
-   wire n_9253;
-   wire n_9254;
-   wire n_9255;
-   wire n_9256;
-   wire n_9257;
-   wire n_9258;
-   wire n_9259;
-   wire n_9260;
-   wire n_9261;
-   wire n_9262;
-   wire n_9263;
-   wire n_9264;
-   wire n_9265;
-   wire n_9266;
-   wire n_9267;
-   wire n_9268;
-   wire n_9269;
-   wire n_9270;
-   wire n_9271;
-   wire n_9272;
-   wire n_9273;
-   wire n_9274;
-   wire n_9275;
-   wire n_9276;
-   wire n_9277;
-   wire n_9278;
-   wire n_9279;
-   wire n_9280;
-   wire n_9281;
-   wire n_9282;
-   wire n_9283;
-   wire n_9284;
-   wire n_9285;
-   wire n_9286;
-   wire n_9287;
-   wire n_9288;
-   wire n_9289;
-   wire n_9290;
-   wire n_9291;
-   wire n_9292;
-   wire n_9293;
-   wire n_9294;
-   wire n_9295;
-   wire n_9296;
-   wire n_9297;
-   wire n_9298;
-   wire n_9299;
-   wire n_9300;
-   wire n_9301;
-   wire n_9302;
-   wire n_9303;
-   wire n_9304;
-   wire n_9305;
-   wire n_9306;
-   wire n_9307;
-   wire n_9308;
-   wire n_9309;
-   wire n_9310;
-   wire n_9311;
-   wire n_9312;
-   wire n_9313;
-   wire n_9314;
-   wire n_9315;
-   wire n_9316;
-   wire n_9317;
-   wire n_9318;
-   wire n_9319;
-   wire n_9320;
-   wire n_9321;
-   wire n_9322;
-   wire n_9323;
-   wire n_9324;
-   wire n_9325;
-   wire n_9326;
-   wire n_9327;
-   wire n_9328;
-   wire n_9329;
-   wire n_9330;
-   wire n_9331;
-   wire n_9332;
-   wire n_9333;
-   wire n_9334;
-   wire n_9335;
-   wire n_9336;
-   wire n_9337;
-   wire n_9338;
-   wire n_9339;
-   wire n_9340;
-   wire n_9341;
-   wire n_9342;
-   wire n_9343;
-   wire n_9344;
-   wire n_9345;
-   wire n_9346;
-   wire n_9347;
-   wire n_9348;
-   wire n_9349;
-   wire n_9350;
-   wire n_9351;
-   wire n_9352;
-   wire n_9353;
-   wire n_9354;
-   wire n_9355;
-   wire n_9356;
-   wire n_9357;
-   wire n_9358;
-   wire n_9359;
-   wire n_9360;
-   wire n_9361;
-   wire n_9362;
-   wire n_9363;
-   wire n_9364;
-   wire n_9365;
-   wire n_9366;
-   wire n_9367;
-   wire n_9368;
-   wire n_9369;
-   wire n_9370;
-   wire n_9371;
-   wire n_9372;
-   wire n_9373;
-   wire n_9374;
-   wire n_9375;
-   wire n_9376;
-   wire n_9377;
-   wire n_9378;
-   wire n_9379;
-   wire n_9380;
-   wire n_9381;
-   wire n_9382;
-   wire n_9383;
-   wire n_9384;
-   wire n_9385;
-   wire n_9386;
-   wire n_9387;
-   wire n_9388;
-   wire n_9389;
-   wire n_9390;
-   wire n_9391;
-   wire n_9392;
-   wire n_9393;
-   wire n_9394;
-   wire n_9395;
-   wire n_9396;
-   wire n_9397;
-   wire n_9398;
-   wire n_9399;
-   wire n_9400;
-   wire n_9401;
-   wire n_9402;
-   wire n_9403;
-   wire n_9404;
-   wire n_9405;
-   wire n_9406;
-   wire n_9407;
-   wire n_9408;
-   wire n_9409;
-   wire n_9410;
-   wire n_9411;
-   wire n_9412;
-   wire n_9413;
-   wire n_9414;
-   wire n_9415;
-   wire n_9416;
-   wire n_9417;
-   wire n_9418;
-   wire n_9419;
-   wire n_9420;
-   wire n_9421;
-   wire n_9422;
-   wire n_9423;
-   wire n_9424;
-   wire n_9425;
-   wire n_9426;
-   wire n_9427;
-   wire n_9428;
-   wire n_9429;
-   wire n_9430;
-   wire n_9431;
-   wire n_9432;
-   wire n_9433;
-   wire n_9434;
-   wire n_9435;
-   wire n_9436;
-   wire n_9437;
-   wire n_9438;
-   wire n_9439;
-   wire n_9440;
-   wire n_9441;
-   wire n_9442;
-   wire n_9443;
-   wire n_9444;
-   wire n_9445;
-   wire n_9446;
-   wire n_9447;
-   wire n_9448;
-   wire n_9449;
-   wire n_9450;
-   wire n_9451;
-   wire n_9452;
-   wire n_9453;
-   wire n_9454;
-   wire n_9455;
-   wire n_9456;
-   wire n_9457;
-   wire n_9458;
-   wire n_9459;
-   wire n_9460;
-   wire n_9461;
-   wire n_9462;
-   wire n_9463;
-   wire n_9464;
-   wire n_9465;
-   wire n_9466;
-   wire n_9467;
-   wire n_9468;
-   wire n_9469;
-   wire n_9470;
-   wire n_9471;
-   wire n_9473;
-   wire n_9474;
-   wire n_9475;
-   wire n_9476;
-   wire n_9477;
-   wire n_9478;
-   wire n_9479;
-   wire n_9480;
-   wire n_9481;
-   wire n_9482;
-   wire n_9483;
-   wire n_9484;
-   wire n_9485;
-   wire n_9486;
-   wire n_9487;
-   wire n_9488;
-   wire n_9489;
-   wire n_9490;
-   wire n_9491;
-   wire n_9492;
-   wire n_9493;
-   wire n_9494;
-   wire n_9495;
-   wire n_9496;
-   wire n_9497;
-   wire n_9498;
-   wire n_9499;
-   wire n_9500;
-   wire n_9501;
-   wire n_9502;
-   wire n_9503;
-   wire n_9504;
-   wire n_9505;
-   wire n_9506;
-   wire n_9507;
-   wire n_9508;
-   wire n_9509;
-   wire n_9510;
-   wire n_9511;
-   wire n_9512;
-   wire n_9513;
-   wire n_9514;
-   wire n_9515;
-   wire n_9516;
-   wire n_9517;
-   wire n_9518;
-   wire n_9519;
-   wire n_9520;
-   wire n_9521;
-   wire n_9522;
-   wire n_9523;
-   wire n_9524;
-   wire n_9525;
-   wire n_9526;
-   wire n_9527;
-   wire n_9528;
-   wire n_9529;
-   wire n_9530;
-   wire n_9531;
-   wire n_9532;
-   wire n_9533;
-   wire n_9534;
-   wire n_9535;
-   wire n_9536;
-   wire n_9537;
-   wire n_9538;
-   wire n_9539;
-   wire n_9540;
-   wire n_9541;
-   wire n_9542;
-   wire n_9543;
-   wire n_9544;
-   wire n_9545;
-   wire n_9546;
-   wire n_9547;
-   wire n_9548;
-   wire n_9549;
-   wire n_9550;
-   wire n_9551;
-   wire n_9552;
-   wire n_9553;
-   wire n_9554;
-   wire n_9555;
-   wire n_9556;
-   wire n_9557;
-   wire n_9558;
-   wire n_9559;
-   wire n_9560;
-   wire n_9561;
-   wire n_9562;
-   wire n_9563;
-   wire n_9564;
-   wire n_9565;
-   wire n_9566;
-   wire n_9567;
-   wire n_9568;
-   wire n_9569;
-   wire n_9570;
-   wire n_9571;
-   wire n_9572;
-   wire n_9573;
-   wire n_9574;
-   wire n_9575;
-   wire n_9576;
-   wire n_9577;
-   wire n_9578;
-   wire n_9579;
-   wire n_9580;
-   wire n_9581;
-   wire n_9582;
-   wire n_9583;
-   wire n_9584;
-   wire n_9585;
-   wire n_9586;
-   wire n_9587;
-   wire n_9588;
-   wire n_9589;
-   wire n_9590;
-   wire n_9591;
-   wire n_9592;
-   wire n_9593;
-   wire n_9594;
-   wire n_9595;
-   wire n_9596;
-   wire n_9597;
-   wire n_9598;
-   wire n_9599;
-   wire n_9600;
-   wire n_9601;
-   wire n_9602;
-   wire n_9603;
-   wire n_9604;
-   wire n_9605;
-   wire n_9606;
-   wire n_9607;
-   wire n_9608;
-   wire n_9609;
-   wire n_9610;
-   wire n_9611;
-   wire n_9612;
-   wire n_9613;
-   wire n_9614;
-   wire n_9615;
-   wire n_9616;
-   wire n_9617;
-   wire n_9618;
-   wire n_9619;
-   wire n_9620;
-   wire n_9621;
-   wire n_9622;
-   wire n_9623;
-   wire n_9624;
-   wire n_9625;
-   wire n_9626;
-   wire n_9627;
-   wire n_9628;
-   wire n_9629;
-   wire n_9630;
-   wire n_9631;
-   wire n_9632;
-   wire n_9633;
-   wire n_9634;
-   wire n_9635;
-   wire n_9636;
-   wire n_9637;
-   wire n_9638;
-   wire n_9639;
-   wire n_9640;
-   wire n_9641;
-   wire n_9642;
-   wire n_9643;
-   wire n_9644;
-   wire n_9645;
-   wire n_9646;
-   wire n_9647;
-   wire n_9648;
-   wire n_9649;
-   wire n_9650;
-   wire n_9651;
-   wire n_9652;
-   wire n_9653;
-   wire n_9654;
-   wire n_9655;
-   wire n_9656;
-   wire n_9657;
-   wire n_9658;
-   wire n_9659;
-   wire n_9661;
-   wire n_9662;
-   wire n_9663;
-   wire n_9664;
-   wire n_9665;
-   wire n_9666;
-   wire n_9667;
-   wire n_9668;
-   wire n_9669;
-   wire n_9670;
-   wire n_9671;
-   wire n_9672;
-   wire n_9673;
-   wire n_9674;
-   wire n_9675;
-   wire n_9676;
-   wire n_9677;
-   wire n_9678;
-   wire n_9679;
-   wire n_9680;
-   wire n_9681;
-   wire n_9682;
-   wire n_9683;
-   wire n_9684;
-   wire n_9685;
-   wire n_9686;
-   wire n_9687;
-   wire n_9688;
-   wire n_9689;
-   wire n_9690;
-   wire n_9691;
-   wire n_9692;
-   wire n_9693;
-   wire n_9694;
-   wire n_9695;
-   wire n_9696;
-   wire n_9697;
-   wire n_9698;
-   wire n_9699;
-   wire n_9700;
-   wire n_9701;
-   wire n_9702;
-   wire n_9703;
-   wire n_9704;
-   wire n_9705;
-   wire n_9706;
-   wire n_9707;
-   wire n_9708;
-   wire n_9709;
-   wire n_9710;
-   wire n_9711;
-   wire n_9712;
-   wire n_9713;
-   wire n_9714;
-   wire n_9715;
-   wire n_9716;
-   wire n_9717;
-   wire n_9718;
-   wire n_9719;
-   wire n_9720;
-   wire n_9721;
-   wire n_9722;
-   wire n_9723;
-   wire n_9724;
-   wire n_9725;
-   wire n_9726;
-   wire n_9727;
-   wire n_9728;
-   wire n_9729;
-   wire n_9730;
-   wire n_9731;
-   wire n_9732;
-   wire n_9733;
-   wire n_9734;
-   wire n_9735;
-   wire n_9736;
-   wire n_9737;
-   wire n_9738;
-   wire n_9739;
-   wire n_9740;
-   wire n_9741;
-   wire n_9742;
-   wire n_9743;
-   wire n_9744;
-   wire n_9745;
-   wire n_9746;
-   wire n_9747;
-   wire n_9748;
-   wire n_9749;
-   wire n_9750;
-   wire n_9751;
-   wire n_9752;
-   wire n_9753;
-   wire n_9754;
-   wire n_9755;
-   wire n_9756;
-   wire n_9757;
-   wire n_9758;
-   wire n_9759;
-   wire n_9760;
-   wire n_9761;
-   wire n_9762;
-   wire n_9763;
-   wire n_9764;
-   wire n_9765;
-   wire n_9766;
-   wire n_9767;
-   wire n_9768;
-   wire n_9769;
-   wire n_9770;
-   wire n_9771;
-   wire n_9772;
-   wire n_9773;
-   wire n_9774;
-   wire n_9775;
-   wire n_9776;
-   wire n_9777;
-   wire n_9778;
-   wire n_9779;
-   wire n_9780;
-   wire n_9781;
-   wire n_9782;
-   wire n_9783;
-   wire n_9784;
-   wire n_9785;
-   wire n_9786;
-   wire n_9787;
-   wire n_9788;
-   wire n_9789;
-   wire n_9790;
-   wire n_9791;
-   wire n_9792;
-   wire n_9793;
-   wire n_9794;
-   wire n_9795;
-   wire n_9796;
-   wire n_9797;
-   wire n_9798;
-   wire n_9799;
-   wire n_9800;
-   wire n_9801;
-   wire n_9802;
-   wire n_9803;
-   wire n_9804;
-   wire n_9806;
-   wire n_9807;
-   wire n_9808;
-   wire n_9809;
-   wire n_9810;
-   wire n_9811;
-   wire n_9812;
-   wire n_9813;
-   wire n_9814;
-   wire n_9815;
-   wire n_9816;
-   wire n_9817;
-   wire n_9818;
-   wire n_9819;
-   wire n_9820;
-   wire n_9821;
-   wire n_9822;
-   wire n_9823;
-   wire n_9824;
-   wire n_9825;
-   wire n_9826;
-   wire n_9827;
-   wire n_9828;
-   wire n_9829;
-   wire n_9830;
-   wire n_9831;
-   wire n_9832;
-   wire n_9833;
-   wire n_9834;
-   wire n_9835;
-   wire n_9836;
-   wire n_9837;
-   wire n_9838;
-   wire n_9839;
-   wire n_9840;
-   wire n_9841;
-   wire n_9842;
-   wire n_9843;
-   wire n_9844;
-   wire n_9845;
-   wire n_9846;
-   wire n_9847;
-   wire n_9848;
-   wire n_9849;
-   wire n_9850;
-   wire n_9851;
-   wire n_9852;
-   wire n_9853;
-   wire n_9854;
-   wire n_9855;
-   wire n_9856;
-   wire n_9857;
-   wire n_9858;
-   wire n_9859;
-   wire n_9860;
-   wire n_9861;
-   wire n_9862;
-   wire n_9863;
-   wire n_9864;
-   wire n_9865;
-   wire n_9866;
-   wire n_9867;
-   wire n_9868;
-   wire n_9869;
-   wire n_9870;
-   wire n_9871;
-   wire n_9872;
-   wire n_9873;
-   wire n_9874;
-   wire n_9875;
-   wire n_9876;
-   wire n_9877;
-   wire n_9878;
-   wire n_9879;
-   wire n_9880;
-   wire n_9881;
-   wire n_9882;
-   wire n_9883;
-   wire n_9884;
-   wire n_9885;
-   wire n_9886;
-   wire n_9887;
-   wire n_9888;
-   wire n_9889;
-   wire n_9890;
-   wire n_9891;
-   wire n_9892;
-   wire n_9893;
-   wire n_9894;
-   wire n_9895;
-   wire n_9896;
-   wire n_9897;
-   wire n_9898;
-   wire n_9899;
-   wire n_9900;
-   wire n_9901;
-   wire n_9902;
-   wire n_9903;
-   wire n_9904;
-   wire n_9905;
-   wire n_9906;
-   wire n_9907;
-   wire n_9908;
-   wire n_9909;
-   wire n_9910;
-   wire n_9911;
-   wire n_9912;
-   wire n_9913;
-   wire n_9914;
-   wire n_9915;
-   wire n_9916;
-   wire n_9917;
-   wire n_9918;
-   wire n_9919;
-   wire n_9920;
-   wire n_9921;
-   wire n_9922;
-   wire n_9923;
-   wire n_9924;
-   wire n_9925;
-   wire n_9926;
-   wire n_9927;
-   wire n_9928;
-   wire n_9929;
-   wire n_9930;
-   wire n_9931;
-   wire n_9932;
-   wire n_9933;
-   wire n_9934;
-   wire n_9935;
-   wire n_9936;
-   wire n_9937;
-   wire n_9938;
-   wire n_9939;
-   wire n_9940;
-   wire n_9941;
-   wire n_9942;
-   wire n_9943;
-   wire n_9944;
-   wire n_9945;
-   wire n_9946;
-   wire n_9947;
-   wire n_9948;
-   wire n_9949;
-   wire n_9950;
-   wire n_9951;
-   wire n_9952;
-   wire n_9953;
-   wire n_9954;
-   wire n_9955;
-   wire n_9956;
-   wire n_9957;
-   wire n_9958;
-   wire n_9959;
-   wire n_9960;
-   wire n_9961;
-   wire n_9962;
-   wire n_9963;
-   wire n_9964;
-   wire n_9965;
-   wire n_9966;
-   wire n_9967;
-   wire n_9968;
-   wire n_9969;
-   wire n_9970;
-   wire n_9971;
-   wire n_9972;
-   wire n_9973;
-   wire n_9974;
-   wire n_9975;
-   wire n_9976;
-   wire n_9977;
-   wire n_9978;
-   wire n_9979;
-   wire n_9980;
-   wire n_9981;
-   wire n_9982;
-   wire n_9983;
-   wire n_9984;
-   wire n_9985;
-   wire n_9986;
-   wire n_9987;
-   wire n_9988;
-   wire n_9989;
-   wire n_9990;
-   wire n_9991;
-   wire n_9992;
-   wire n_9993;
-   wire n_9994;
-   wire n_9995;
-   wire n_9996;
-   wire n_9997;
-   wire n_9998;
-   wire n_9999;
-   wire n_10000;
-   wire n_10001;
-   wire n_10002;
-   wire n_10003;
-   wire n_10004;
-   wire n_10005;
-   wire n_10006;
-   wire n_10007;
-   wire n_10008;
-   wire n_10009;
-   wire n_10010;
-   wire n_10011;
-   wire n_10012;
-   wire n_10013;
-   wire n_10014;
-   wire n_10015;
-   wire n_10016;
-   wire n_10017;
-   wire n_10018;
-   wire n_10019;
-   wire n_10020;
-   wire n_10021;
-   wire n_10022;
-   wire n_10023;
-   wire n_10024;
-   wire n_10025;
-   wire n_10026;
-   wire n_10027;
-   wire n_10028;
-   wire n_10029;
-   wire n_10030;
-   wire n_10031;
-   wire n_10032;
-   wire n_10033;
-   wire n_10034;
-   wire n_10035;
-   wire n_10036;
-   wire n_10037;
-   wire n_10038;
-   wire n_10039;
-   wire n_10040;
-   wire n_10041;
-   wire n_10042;
-   wire n_10043;
-   wire n_10044;
-   wire n_10045;
-   wire n_10046;
-   wire n_10047;
-   wire n_10048;
-   wire n_10049;
-   wire n_10050;
-   wire n_10051;
-   wire n_10052;
-   wire n_10053;
-   wire n_10054;
-   wire n_10055;
-   wire n_10056;
-   wire n_10057;
-   wire n_10058;
-   wire n_10059;
-   wire n_10060;
-   wire n_10061;
-   wire n_10062;
-   wire n_10063;
-   wire n_10064;
-   wire n_10065;
-   wire n_10066;
-   wire n_10067;
-   wire n_10068;
-   wire n_10069;
-   wire n_10070;
-   wire n_10071;
-   wire n_10072;
-   wire n_10073;
-   wire n_10074;
-   wire n_10075;
-   wire n_10076;
-   wire n_10077;
-   wire n_10078;
-   wire n_10079;
-   wire n_10080;
-   wire n_10081;
-   wire n_10082;
-   wire n_10083;
-   wire n_10084;
-   wire n_10085;
-   wire n_10086;
-   wire n_10087;
-   wire n_10088;
-   wire n_10089;
-   wire n_10090;
-   wire n_10091;
-   wire n_10092;
-   wire n_10093;
-   wire n_10094;
-   wire n_10095;
-   wire n_10096;
-   wire n_10097;
-   wire n_10098;
-   wire n_10099;
-   wire n_10100;
-   wire n_10101;
-   wire n_10102;
-   wire n_10103;
-   wire n_10104;
-   wire n_10105;
-   wire n_10106;
-   wire n_10107;
-   wire n_10108;
-   wire n_10109;
-   wire n_10110;
-   wire n_10111;
-   wire n_10112;
-   wire n_10113;
-   wire n_10114;
-   wire n_10115;
-   wire n_10116;
-   wire n_10117;
-   wire n_10118;
-   wire n_10119;
-   wire n_10120;
-   wire n_10121;
-   wire n_10122;
-   wire n_10123;
-   wire n_10124;
-   wire n_10125;
-   wire n_10126;
-   wire n_10127;
-   wire n_10128;
-   wire n_10129;
-   wire n_10130;
-   wire n_10131;
-   wire n_10132;
-   wire n_10133;
-   wire n_10134;
-   wire n_10135;
-   wire n_10136;
-   wire n_10137;
-   wire n_10138;
-   wire n_10139;
-   wire n_10140;
-   wire n_10141;
-   wire n_10142;
-   wire n_10143;
-   wire n_10144;
-   wire n_10145;
-   wire n_10146;
-   wire n_10147;
-   wire n_10148;
-   wire n_10149;
-   wire n_10150;
-   wire n_10151;
-   wire n_10152;
-   wire n_10153;
-   wire n_10154;
-   wire n_10155;
-   wire n_10156;
-   wire n_10157;
-   wire n_10158;
-   wire n_10159;
-   wire n_10160;
-   wire n_10161;
-   wire n_10162;
-   wire n_10163;
-   wire n_10164;
-   wire n_10165;
-   wire n_10166;
-   wire n_10167;
-   wire n_10168;
-   wire n_10169;
-   wire n_10170;
-   wire n_10171;
-   wire n_10172;
-   wire n_10173;
-   wire n_10174;
-   wire n_10175;
-   wire n_10176;
-   wire n_10177;
-   wire n_10178;
-   wire n_10179;
-   wire n_10180;
-   wire n_10181;
-   wire n_10182;
-   wire n_10183;
-   wire n_10184;
-   wire n_10185;
-   wire n_10186;
-   wire n_10187;
-   wire n_10188;
-   wire n_10189;
-   wire n_10190;
-   wire n_10191;
-   wire n_10192;
-   wire n_10193;
-   wire n_10194;
-   wire n_10195;
-   wire n_10196;
-   wire n_10198;
-   wire n_10199;
-   wire n_10200;
-   wire n_10201;
-   wire n_10202;
-   wire n_10203;
-   wire n_10204;
-   wire n_10205;
-   wire n_10206;
-   wire n_10207;
-   wire n_10208;
-   wire n_10209;
-   wire n_10210;
-   wire n_10211;
-   wire n_10212;
-   wire n_10213;
-   wire n_10214;
-   wire n_10215;
-   wire n_10216;
-   wire n_10217;
-   wire n_10218;
-   wire n_10219;
-   wire n_10220;
-   wire n_10221;
-   wire n_10222;
-   wire n_10223;
-   wire n_10224;
-   wire n_10225;
-   wire n_10226;
-   wire n_10227;
-   wire n_10228;
-   wire n_10229;
-   wire n_10230;
-   wire n_10231;
-   wire n_10232;
-   wire n_10233;
-   wire n_10234;
-   wire n_10235;
-   wire n_10236;
-   wire n_10237;
-   wire n_10238;
-   wire n_10239;
-   wire n_10240;
-   wire n_10241;
-   wire n_10242;
-   wire n_10243;
-   wire n_10244;
-   wire n_10245;
-   wire n_10246;
-   wire n_10247;
-   wire n_10248;
-   wire n_10249;
-   wire n_10250;
-   wire n_10251;
-   wire n_10252;
-   wire n_10253;
-   wire n_10254;
-   wire n_10255;
-   wire n_10256;
-   wire n_10257;
-   wire n_10258;
-   wire n_10259;
-   wire n_10260;
-   wire n_10261;
-   wire n_10262;
-   wire n_10263;
-   wire n_10264;
-   wire n_10265;
-   wire n_10266;
-   wire n_10267;
-   wire n_10268;
-   wire n_10269;
-   wire n_10270;
-   wire n_10271;
-   wire n_10272;
-   wire n_10273;
-   wire n_10274;
-   wire n_10275;
-   wire n_10276;
-   wire n_10277;
-   wire n_10278;
-   wire n_10279;
-   wire n_10280;
-   wire n_10281;
-   wire n_10282;
-   wire n_10283;
-   wire n_10284;
-   wire n_10285;
-   wire n_10286;
-   wire n_10287;
-   wire n_10288;
-   wire n_10289;
-   wire n_10290;
-   wire n_10291;
-   wire n_10292;
-   wire n_10293;
-   wire n_10294;
-   wire n_10295;
-   wire n_10296;
-   wire n_10297;
-   wire n_10298;
-   wire n_10299;
-   wire n_10300;
-   wire n_10301;
-   wire n_10302;
-   wire n_10303;
-   wire n_10304;
-   wire n_10305;
-   wire n_10306;
-   wire n_10307;
-   wire n_10308;
-   wire n_10309;
-   wire n_10310;
-   wire n_10311;
-   wire n_10312;
-   wire n_10313;
-   wire n_10314;
-   wire n_10315;
-   wire n_10316;
-   wire n_10317;
-   wire n_10318;
-   wire n_10319;
-   wire n_10320;
-   wire n_10321;
-   wire n_10322;
-   wire n_10323;
-   wire n_10324;
-   wire n_10325;
-   wire n_10326;
-   wire n_10327;
-   wire n_10328;
-   wire n_10329;
-   wire n_10330;
-   wire n_10331;
-   wire n_10332;
-   wire n_10333;
-   wire n_10334;
-   wire n_10335;
-   wire n_10336;
-   wire n_10337;
-   wire n_10338;
-   wire n_10339;
-   wire n_10340;
-   wire n_10341;
-   wire n_10342;
-   wire n_10343;
-   wire n_10344;
-   wire n_10345;
-   wire n_10346;
-   wire n_10347;
-   wire n_10348;
-   wire n_10349;
-   wire n_10350;
-   wire n_10351;
-   wire n_10352;
-   wire n_10353;
-   wire n_10354;
-   wire n_10355;
-   wire n_10356;
-   wire n_10357;
-   wire n_10358;
-   wire n_10359;
-   wire n_10360;
-   wire n_10361;
-   wire n_10362;
-   wire n_10363;
-   wire n_10364;
-   wire n_10365;
-   wire n_10366;
-   wire n_10367;
-   wire n_10368;
-   wire n_10369;
-   wire n_10370;
-   wire n_10371;
-   wire n_10372;
-   wire n_10373;
-   wire n_10374;
-   wire n_10375;
-   wire n_10376;
-   wire n_10377;
-   wire n_10378;
-   wire n_10379;
-   wire n_10380;
-   wire n_10381;
-   wire n_10382;
-   wire n_10383;
-   wire n_10384;
-   wire n_10385;
-   wire n_10386;
-   wire n_10388;
-   wire n_10389;
-   wire n_10390;
-   wire n_10391;
-   wire n_10392;
-   wire n_10393;
-   wire n_10394;
-   wire n_10395;
-   wire n_10396;
-   wire n_10397;
-   wire n_10398;
-   wire n_10399;
-   wire n_10400;
-   wire n_10401;
-   wire n_10402;
-   wire n_10403;
-   wire n_10404;
-   wire n_10405;
-   wire n_10406;
-   wire n_10407;
-   wire n_10408;
-   wire n_10409;
-   wire n_10410;
-   wire n_10411;
-   wire n_10412;
-   wire n_10413;
-   wire n_10414;
-   wire n_10415;
-   wire n_10416;
-   wire n_10417;
-   wire n_10418;
-   wire n_10419;
-   wire n_10420;
-   wire n_10421;
-   wire n_10422;
-   wire n_10423;
-   wire n_10424;
-   wire n_10425;
-   wire n_10426;
-   wire n_10427;
-   wire n_10428;
-   wire n_10429;
-   wire n_10430;
-   wire n_10431;
-   wire n_10432;
-   wire n_10433;
-   wire n_10434;
-   wire n_10435;
-   wire n_10436;
-   wire n_10437;
-   wire n_10438;
-   wire n_10439;
-   wire n_10440;
-   wire n_10441;
-   wire n_10442;
-   wire n_10443;
-   wire n_10444;
-   wire n_10445;
-   wire n_10446;
-   wire n_10447;
-   wire n_10448;
-   wire n_10449;
-   wire n_10450;
-   wire n_10451;
-   wire n_10452;
-   wire n_10453;
-   wire n_10454;
-   wire n_10455;
-   wire n_10456;
-   wire n_10457;
-   wire n_10458;
-   wire n_10459;
-   wire n_10460;
-   wire n_10461;
-   wire n_10462;
-   wire n_10463;
-   wire n_10464;
-   wire n_10465;
-   wire n_10466;
-   wire n_10467;
-   wire n_10468;
-   wire n_10469;
-   wire n_10470;
-   wire n_10471;
-   wire n_10472;
-   wire n_10473;
-   wire n_10474;
-   wire n_10475;
-   wire n_10476;
-   wire n_10477;
-   wire n_10478;
-   wire n_10479;
-   wire n_10480;
-   wire n_10481;
-   wire n_10482;
-   wire n_10483;
-   wire n_10484;
-   wire n_10485;
-   wire n_10486;
-   wire n_10487;
-   wire n_10488;
-   wire n_10489;
-   wire n_10490;
-   wire n_10491;
-   wire n_10492;
-   wire n_10493;
-   wire n_10494;
-   wire n_10495;
-   wire n_10496;
-   wire n_10497;
-   wire n_10498;
-   wire n_10499;
-   wire n_10500;
-   wire n_10501;
-   wire n_10502;
-   wire n_10503;
-   wire n_10504;
-   wire n_10505;
-   wire n_10506;
-   wire n_10507;
-   wire n_10508;
-   wire n_10509;
-   wire n_10510;
-   wire n_10511;
-   wire n_10512;
-   wire n_10513;
-   wire n_10514;
-   wire n_10515;
-   wire n_10516;
-   wire n_10517;
-   wire n_10518;
-   wire n_10519;
-   wire n_10520;
-   wire n_10521;
-   wire n_10522;
-   wire n_10523;
-   wire n_10524;
-   wire n_10525;
-   wire n_10526;
-   wire n_10527;
-   wire n_10528;
-   wire n_10529;
-   wire n_10530;
-   wire n_10531;
-   wire n_10532;
-   wire n_10533;
-   wire n_10534;
-   wire n_10535;
-   wire n_10536;
-   wire n_10537;
-   wire n_10538;
-   wire n_10539;
-   wire n_10540;
-   wire n_10541;
-   wire n_10542;
-   wire n_10543;
-   wire n_10544;
-   wire n_10545;
-   wire n_10546;
-   wire n_10547;
-   wire n_10548;
-   wire n_10549;
-   wire n_10550;
-   wire n_10551;
-   wire n_10552;
-   wire n_10553;
-   wire n_10554;
-   wire n_10555;
-   wire n_10556;
-   wire n_10557;
-   wire n_10558;
-   wire n_10559;
-   wire n_10560;
-   wire n_10561;
-   wire n_10562;
-   wire n_10563;
-   wire n_10564;
-   wire n_10565;
-   wire n_10566;
-   wire n_10567;
-   wire n_10568;
-   wire n_10569;
-   wire n_10570;
-   wire n_10571;
-   wire n_10572;
-   wire n_10573;
-   wire n_10574;
-   wire n_10575;
-   wire n_10576;
-   wire n_10577;
-   wire n_10578;
-   wire n_10579;
-   wire n_10580;
-   wire n_10581;
-   wire n_10582;
-   wire n_10583;
-   wire n_10584;
-   wire n_10585;
-   wire n_10586;
-   wire n_10587;
-   wire n_10588;
-   wire n_10589;
-   wire n_10590;
-   wire n_10591;
-   wire n_10592;
-   wire n_10593;
-   wire n_10594;
-   wire n_10595;
-   wire n_10596;
-   wire n_10597;
-   wire n_10598;
-   wire n_10599;
-   wire n_10601;
-   wire n_10602;
-   wire n_10603;
-   wire n_10604;
-   wire n_10605;
-   wire n_10606;
-   wire n_10607;
-   wire n_10608;
-   wire n_10609;
-   wire n_10610;
-   wire n_10611;
-   wire n_10612;
-   wire n_10613;
-   wire n_10614;
-   wire n_10615;
-   wire n_10616;
-   wire n_10617;
-   wire n_10618;
-   wire n_10619;
-   wire n_10620;
-   wire n_10621;
-   wire n_10622;
-   wire n_10623;
-   wire n_10624;
-   wire n_10625;
-   wire n_10626;
-   wire n_10627;
-   wire n_10628;
-   wire n_10629;
-   wire n_10630;
-   wire n_10631;
-   wire n_10632;
-   wire n_10633;
-   wire n_10634;
-   wire n_10635;
-   wire n_10636;
-   wire n_10637;
-   wire n_10638;
-   wire n_10639;
-   wire n_10640;
-   wire n_10641;
-   wire n_10642;
-   wire n_10643;
-   wire n_10644;
-   wire n_10645;
-   wire n_10646;
-   wire n_10647;
-   wire n_10648;
-   wire n_10649;
-   wire n_10650;
-   wire n_10651;
-   wire n_10652;
-   wire n_10653;
-   wire n_10654;
-   wire n_10655;
-   wire n_10656;
-   wire n_10657;
-   wire n_10658;
-   wire n_10659;
-   wire n_10660;
-   wire n_10661;
-   wire n_10662;
-   wire n_10663;
-   wire n_10664;
-   wire n_10665;
-   wire n_10666;
-   wire n_10667;
-   wire n_10668;
-   wire n_10669;
-   wire n_10670;
-   wire n_10671;
-   wire n_10672;
-   wire n_10673;
-   wire n_10674;
-   wire n_10675;
-   wire n_10676;
-   wire n_10677;
-   wire n_10678;
-   wire n_10679;
-   wire n_10680;
-   wire n_10681;
-   wire n_10682;
-   wire n_10683;
-   wire n_10684;
-   wire n_10685;
-   wire n_10686;
-   wire n_10687;
-   wire n_10688;
-   wire n_10689;
-   wire n_10690;
-   wire n_10691;
-   wire n_10692;
-   wire n_10693;
-   wire n_10694;
-   wire n_10695;
-   wire n_10696;
-   wire n_10697;
-   wire n_10698;
-   wire n_10699;
-   wire n_10700;
-   wire n_10701;
-   wire n_10702;
-   wire n_10703;
-   wire n_10704;
-   wire n_10705;
-   wire n_10706;
-   wire n_10707;
-   wire n_10708;
-   wire n_10709;
-   wire n_10710;
-   wire n_10711;
-   wire n_10712;
-   wire n_10713;
-   wire n_10714;
-   wire n_10715;
-   wire n_10716;
-   wire n_10717;
-   wire n_10718;
-   wire n_10719;
-   wire n_10720;
-   wire n_10721;
-   wire n_10722;
-   wire n_10723;
-   wire n_10724;
-   wire n_10725;
-   wire n_10726;
-   wire n_10727;
-   wire n_10728;
-   wire n_10729;
-   wire n_10730;
-   wire n_10731;
-   wire n_10732;
-   wire n_10733;
-   wire n_10734;
-   wire n_10735;
-   wire n_10736;
-   wire n_10737;
-   wire n_10738;
-   wire n_10739;
-   wire n_10740;
-   wire n_10741;
-   wire n_10742;
-   wire n_10743;
-   wire n_10744;
-   wire n_10745;
-   wire n_10746;
-   wire n_10747;
-   wire n_10748;
-   wire n_10749;
-   wire n_10750;
-   wire n_10751;
-   wire n_10752;
-   wire n_10753;
-   wire n_10754;
-   wire n_10755;
-   wire n_10756;
-   wire n_10757;
-   wire n_10758;
-   wire n_10759;
-   wire n_10760;
-   wire n_10761;
-   wire n_10762;
-   wire n_10763;
-   wire n_10764;
-   wire n_10765;
-   wire n_10766;
-   wire n_10767;
-   wire n_10770;
-   wire n_10772;
-   wire n_10773;
-   wire n_10774;
-   wire n_10775;
-   wire n_10776;
-   wire n_10777;
-   wire n_10778;
-   wire n_10779;
-   wire n_10780;
-   wire n_10781;
-   wire n_10782;
-   wire n_10783;
-   wire n_10784;
-   wire n_10785;
-   wire n_10786;
-   wire n_10787;
-   wire n_10788;
-   wire n_10789;
-   wire n_10790;
-   wire n_10791;
-   wire n_10792;
-   wire n_10794;
-   wire n_10795;
-   wire n_10796;
-   wire n_10797;
-   wire n_10798;
-   wire n_10799;
-   wire n_10800;
-   wire n_10801;
-   wire n_10802;
-   wire n_10803;
-   wire n_10805;
-   wire n_10806;
-   wire n_10807;
-   wire n_10808;
-   wire n_10809;
-   wire n_10810;
-   wire n_10811;
-   wire n_10812;
-   wire n_10813;
-   wire n_10814;
-   wire n_10815;
-   wire n_10816;
-   wire n_10817;
-   wire n_10818;
-   wire n_10819;
-   wire n_10820;
-   wire n_10821;
-   wire n_10822;
-   wire n_10823;
-   wire n_10824;
-   wire n_10825;
-   wire n_10826;
-   wire n_10827;
-   wire n_10828;
-   wire n_10829;
-   wire n_10830;
-   wire n_10831;
-   wire n_10832;
-   wire n_10833;
-   wire n_10834;
-   wire n_10835;
-   wire n_10836;
-   wire n_10837;
-   wire n_10838;
-   wire n_10839;
-   wire n_10840;
-   wire n_10841;
-   wire n_10842;
-   wire n_10843;
-   wire n_10844;
-   wire n_10845;
-   wire n_10846;
-   wire n_10847;
-   wire n_10848;
-   wire n_10849;
-   wire n_10850;
-   wire n_10851;
-   wire n_10852;
-   wire n_10853;
-   wire n_10854;
-   wire n_10855;
-   wire n_10856;
-   wire n_10857;
-   wire n_10858;
-   wire n_10859;
-   wire n_10860;
-   wire n_10862;
-   wire n_10863;
-   wire n_10864;
-   wire n_10865;
-   wire n_10866;
-   wire n_10867;
-   wire n_10868;
-   wire n_10869;
-   wire n_10870;
-   wire n_10871;
-   wire n_10872;
-   wire n_10873;
-   wire n_10874;
-   wire n_10875;
-   wire n_10876;
-   wire n_10877;
-   wire n_10878;
-   wire n_10879;
-   wire n_10880;
-   wire n_10881;
-   wire n_10882;
-   wire n_10883;
-   wire n_10884;
-   wire n_10885;
-   wire n_10886;
-   wire n_10887;
-   wire n_10888;
-   wire n_10889;
-   wire n_10890;
-   wire n_10891;
-   wire n_10892;
-   wire n_10893;
-   wire n_10894;
-   wire n_10895;
-   wire n_10896;
-   wire n_10897;
-   wire n_10898;
-   wire n_10899;
-   wire n_10900;
-   wire n_10901;
-   wire n_10902;
-   wire n_10903;
-   wire n_10904;
-   wire n_10905;
-   wire n_10906;
-   wire n_10907;
-   wire n_10908;
-   wire n_10909;
-   wire n_10910;
-   wire n_10911;
-   wire n_10912;
-   wire n_10914;
-   wire n_10915;
-   wire n_10916;
-   wire n_10917;
-   wire n_10918;
-   wire n_10919;
-   wire n_10920;
-   wire n_10921;
-   wire n_10922;
-   wire n_10923;
-   wire n_10924;
-   wire n_10925;
-   wire n_10927;
-   wire n_10928;
-   wire n_10929;
-   wire n_10930;
-   wire n_10931;
-   wire n_10932;
-   wire n_10933;
-   wire n_10934;
-   wire n_10935;
-   wire n_10936;
-   wire n_10937;
-   wire n_10938;
-   wire n_10939;
-   wire n_10940;
-   wire n_10941;
-   wire n_10942;
-   wire n_10943;
-   wire n_10944;
-   wire n_10945;
-   wire n_10946;
-   wire n_10947;
-   wire n_10948;
-   wire n_10949;
-   wire n_10950;
-   wire n_10951;
-   wire n_10952;
-   wire n_10953;
-   wire n_10954;
-   wire n_10955;
-   wire n_10956;
-   wire n_10957;
-   wire n_10958;
-   wire n_10959;
-   wire n_10960;
-   wire n_10961;
-   wire n_10962;
-   wire n_10963;
-   wire n_10964;
-   wire n_10965;
-   wire n_10966;
-   wire n_10967;
-   wire n_10968;
-   wire n_10969;
-   wire n_10970;
-   wire n_10971;
-   wire n_10973;
-   wire n_10974;
-   wire n_10975;
-   wire n_10976;
-   wire n_10977;
-   wire n_10978;
-   wire n_10979;
-   wire n_10980;
-   wire n_10981;
-   wire n_10982;
-   wire n_10983;
-   wire n_10984;
-   wire n_10985;
-   wire n_10986;
-   wire n_10987;
-   wire n_10988;
-   wire n_10989;
-   wire n_10990;
-   wire n_10991;
-   wire n_10992;
-   wire n_10993;
-   wire n_10994;
-   wire n_10995;
-   wire n_10996;
-   wire n_10997;
-   wire n_10998;
-   wire n_11000;
-   wire n_11001;
-   wire n_11002;
-   wire n_11004;
-   wire n_11005;
-   wire n_11008;
-   wire n_11009;
-   wire n_11010;
-   wire n_11011;
-   wire n_11012;
-   wire n_11013;
-   wire n_11014;
-   wire n_11015;
-   wire n_11016;
-   wire n_11017;
-   wire n_11018;
-   wire n_11019;
-   wire n_11020;
-   wire n_11021;
-   wire n_11022;
-   wire n_11023;
-   wire n_11024;
-   wire n_11026;
-   wire n_11027;
-   wire n_11028;
-   wire n_11029;
-   wire n_11030;
-   wire n_11031;
-   wire n_11032;
-   wire n_11033;
-   wire n_11034;
-   wire n_11035;
-   wire n_11036;
-   wire n_11037;
-   wire n_11038;
-   wire n_11039;
-   wire n_11040;
-   wire n_11041;
-   wire n_11042;
-   wire n_11043;
-   wire n_11044;
-   wire n_11045;
-   wire n_11046;
-   wire n_11047;
-   wire n_11048;
-   wire n_11049;
-   wire n_11050;
-   wire n_11051;
-   wire n_11052;
-   wire n_11053;
-   wire n_11054;
-   wire n_11055;
-   wire n_11056;
-   wire n_11057;
-   wire n_11058;
-   wire n_11060;
-   wire n_11061;
-   wire n_11062;
-   wire n_11063;
-   wire n_11064;
-   wire n_11065;
-   wire n_11066;
-   wire n_11068;
-   wire n_11069;
-   wire n_11071;
-   wire n_11072;
-   wire n_11073;
-   wire n_11074;
-   wire n_11075;
-   wire n_11076;
-   wire n_11077;
-   wire n_11078;
-   wire n_11079;
-   wire n_11080;
-   wire n_11081;
-   wire n_11083;
-   wire n_11084;
-   wire n_11085;
-   wire n_11086;
-   wire n_11087;
-   wire n_11088;
-   wire n_11089;
-   wire n_11090;
-   wire n_11093;
-   wire n_11094;
-   wire n_11095;
-   wire n_11097;
-   wire n_11098;
-   wire n_11099;
-   wire n_11100;
-   wire n_11101;
-   wire n_11102;
-   wire n_11103;
-   wire n_11104;
-   wire n_11105;
-   wire n_11106;
-   wire n_11107;
-   wire n_11108;
-   wire n_11109;
-   wire n_11110;
-   wire n_11111;
-   wire n_11112;
-   wire n_11113;
-   wire n_11114;
-   wire n_11115;
-   wire n_11116;
-   wire n_11117;
-   wire n_11118;
-   wire n_11119;
-   wire n_11120;
-   wire n_11121;
-   wire n_11122;
-   wire n_11123;
-   wire n_11124;
-   wire n_11125;
-   wire n_11126;
-   wire n_11127;
-   wire n_11128;
-   wire n_11129;
-   wire n_11130;
-   wire n_11131;
-   wire n_11132;
-   wire n_11133;
-   wire n_11134;
-   wire n_11135;
-   wire n_11136;
-   wire n_11137;
-   wire n_11138;
-   wire n_11139;
-   wire n_11140;
-   wire n_11141;
-   wire n_11142;
-   wire n_11143;
-   wire n_11144;
-   wire n_11145;
-   wire n_11146;
-   wire n_11156;
-   wire n_11158;
-   wire n_11159;
-   wire n_11160;
-   wire n_11161;
-   wire n_11162;
-   wire n_11163;
-   wire n_11165;
-   wire n_11166;
-   wire n_11167;
-   wire n_11168;
-   wire n_11170;
-   wire n_11172;
-   wire n_11173;
-   wire n_11174;
-   wire n_11175;
-   wire n_11176;
-   wire n_11178;
-   wire n_11181;
-   wire n_11183;
-   wire n_11184;
-   wire n_11187;
-   wire n_11188;
-   wire n_11189;
-   wire n_11190;
-   wire n_11191;
-   wire n_11192;
-   wire n_11193;
-   wire n_11195;
-   wire n_11196;
-   wire n_11197;
-   wire n_11198;
-   wire n_11199;
-   wire n_11200;
-   wire n_11201;
-   wire n_11202;
-   wire n_11203;
-   wire n_11204;
-   wire n_11205;
-   wire n_11207;
-   wire n_11209;
-   wire n_11210;
-   wire n_11211;
-   wire n_11212;
-   wire n_11214;
-   wire n_11215;
-   wire n_11220;
-   wire n_11221;
-   wire n_11224;
-   wire n_11225;
-   wire n_11226;
-   wire n_11227;
-   wire n_11228;
-   wire n_11229;
-   wire n_11230;
-   wire n_11231;
-   wire n_11232;
-   wire n_11233;
-   wire n_11234;
-   wire n_11235;
-   wire n_11237;
-   wire n_11239;
-   wire n_11240;
-   wire n_11241;
-   wire n_11242;
-   wire n_11243;
-   wire n_11244;
-   wire n_11245;
-   wire n_11246;
-   wire n_11247;
-   wire n_11249;
-   wire n_11250;
-   wire n_11275;
-   wire n_11276;
-   wire n_11277;
-   wire n_11278;
-   wire n_11280;
-   wire n_11281;
-   wire n_11282;
-   wire n_11283;
-   wire n_11284;
-   wire n_11285;
-   wire n_11286;
-   wire n_11287;
-   wire n_11288;
-   wire n_11289;
-   wire n_11290;
-   wire n_11291;
-   wire n_11292;
-   wire n_11293;
-   wire n_11294;
-   wire n_11295;
-   wire n_11296;
-   wire n_11297;
-   wire n_11298;
-   wire n_11299;
-   wire n_11300;
-   wire n_11301;
-   wire n_11302;
-   wire n_11303;
-   wire n_11304;
-   wire n_11305;
-   wire n_11306;
-   wire n_11307;
-   wire n_11309;
-   wire n_11310;
-   wire n_11311;
-   wire n_11312;
-   wire n_11313;
-   wire n_11314;
-   wire n_11315;
-   wire n_11318;
-   wire n_11319;
-   wire n_11324;
-   wire n_11328;
-   wire n_11329;
-   wire n_11330;
-   wire n_11331;
-   wire n_11332;
-   wire n_11355;
-   wire n_11357;
-   wire n_11358;
-   wire n_11360;
-   wire n_11361;
-   wire n_11362;
-   wire n_11363;
-   wire n_11364;
-   wire n_11365;
-   wire n_11366;
-   wire n_11367;
-   wire n_11368;
-   wire n_11370;
-   wire n_11371;
-   wire n_11373;
-   wire n_11374;
-   wire n_11375;
-   wire n_11379;
-   wire n_11380;
-   wire n_11382;
-   wire n_11385;
-   wire n_11390;
-   wire n_11391;
-   wire n_11392;
-   wire n_11393;
-   wire n_11396;
-   wire n_11397;
-   wire n_11398;
-   wire n_11399;
-   wire n_11400;
-   wire n_11401;
-   wire n_11402;
-   wire n_11403;
-   wire n_11405;
-   wire n_11406;
-   wire n_11407;
-   wire n_11408;
-   wire n_11410;
-   wire n_11412;
-   wire n_11436;
-   wire n_11437;
-   wire n_11438;
-   wire n_11439;
-   wire n_11444;
-   wire n_11446;
-   wire n_11447;
-   wire n_11448;
-   wire n_11449;
-   wire n_11450;
-   wire n_11451;
-   wire n_11452;
-   wire n_11453;
-   wire n_11454;
-   wire n_11455;
-   wire n_11456;
-   wire n_11457;
-   wire n_11458;
-   wire n_11459;
-   wire n_11460;
-   wire n_11461;
-   wire n_11462;
-   wire n_11463;
-   wire n_11464;
-   wire n_11465;
-   wire n_11466;
-   wire n_11467;
-   wire n_11468;
-   wire n_11469;
-   wire n_11470;
-   wire n_11471;
-   wire n_11472;
-   wire n_11473;
-   wire n_11474;
-   wire n_11475;
-   wire n_11476;
-   wire n_11477;
-   wire n_11478;
-   wire n_11479;
-   wire n_11480;
-   wire n_11481;
-   wire n_11482;
-   wire n_11483;
-   wire n_11484;
-   wire n_11485;
-   wire n_11486;
-   wire n_11487;
-   wire n_11488;
-   wire n_11489;
-   wire n_11490;
-   wire n_11491;
-   wire n_11492;
-   wire n_11493;
-   wire n_11494;
-   wire n_11495;
-   wire n_11496;
-   wire n_11497;
-   wire n_11499;
-   wire n_11501;
-   wire n_11502;
-   wire n_11503;
-   wire n_11504;
-   wire n_11505;
-   wire n_11506;
-   wire n_11510;
-   wire n_11511;
-   wire n_11512;
-   wire n_11513;
-   wire n_11514;
-   wire n_11515;
-   wire n_11516;
-   wire n_11517;
-   wire n_11518;
-   wire n_11519;
-   wire n_11521;
-   wire n_11522;
-   wire n_11524;
-   wire n_11526;
-   wire n_11528;
-   wire n_11529;
-   wire n_11530;
-   wire n_11531;
-   wire n_11532;
-   wire n_11533;
-   wire n_11534;
-   wire n_11535;
-   wire n_11536;
-   wire n_11537;
-   wire n_11539;
-   wire n_11540;
-   wire n_11541;
-   wire n_11543;
-   wire n_11544;
-   wire n_11545;
-   wire n_11546;
-   wire n_11547;
-   wire n_11548;
-   wire n_11549;
-   wire n_11550;
-   wire n_11551;
-   wire n_11552;
-   wire n_11553;
-   wire n_11554;
-   wire n_11567;
-   wire n_11569;
-   wire n_11570;
-   wire n_11571;
-   wire n_11572;
-   wire n_11573;
-   wire n_11574;
-   wire n_11575;
-   wire n_11576;
-   wire n_11577;
-   wire n_11578;
-   wire n_11579;
-   wire n_11580;
-   wire n_11581;
-   wire n_11582;
-   wire n_11583;
-   wire n_11585;
-   wire n_11586;
-   wire n_11588;
-   wire n_11590;
-   wire n_11602;
-   wire n_11603;
-   wire n_11604;
-   wire n_11605;
-   wire n_11607;
-   wire n_11610;
-   wire n_11612;
-   wire n_11613;
-   wire n_11630;
-   wire n_11633;
-   wire n_11638;
-   wire n_11639;
-   wire n_11642;
-   wire n_11643;
-   wire n_11644;
-   wire n_11645;
-   wire n_11646;
-   wire n_11647;
-   wire n_11648;
-   wire n_11649;
-   wire n_11650;
-   wire n_11651;
-   wire n_11652;
-   wire n_11653;
-   wire n_11654;
-   wire n_11655;
-   wire n_11656;
-   wire n_11657;
-   wire n_11658;
-   wire n_11659;
-   wire n_11660;
-   wire n_11661;
-   wire n_11662;
-   wire n_11663;
-   wire n_11664;
-   wire n_11665;
-   wire n_11666;
-   wire n_11668;
-   wire n_11669;
-   wire n_11670;
-   wire n_11671;
-   wire n_11672;
-   wire n_11673;
-   wire n_11674;
-   wire n_11675;
-   wire n_11676;
-   wire n_11677;
-   wire n_11678;
-   wire n_11679;
-   wire n_11680;
-   wire n_11681;
-   wire n_11682;
-   wire n_11683;
-   wire n_11684;
-   wire n_11686;
-   wire n_11687;
-   wire n_11688;
-   wire n_11689;
-   wire n_11690;
-   wire n_11691;
-   wire n_11693;
-   wire n_11694;
-   wire n_11695;
-   wire n_11696;
-   wire n_11697;
-   wire n_11698;
-   wire n_11699;
-   wire n_11700;
-   wire n_11701;
-   wire n_11702;
-   wire n_11703;
-   wire n_11704;
-   wire n_11705;
-   wire n_11706;
-   wire n_11707;
-   wire n_11708;
-   wire n_11709;
-   wire n_11710;
-   wire n_11711;
-   wire n_11712;
-   wire n_11713;
-   wire n_11714;
-   wire n_11715;
-   wire n_11716;
-   wire n_11717;
-   wire n_11718;
-   wire n_11719;
-   wire n_11720;
-   wire n_11721;
-   wire n_11722;
-   wire n_11723;
-   wire n_11724;
-   wire n_11725;
-   wire n_11726;
-   wire n_11727;
-   wire n_11728;
-   wire n_11729;
-   wire n_11730;
-   wire n_11731;
-   wire n_11732;
-   wire n_11733;
-   wire n_11734;
-   wire n_11735;
-   wire n_11736;
-   wire n_11737;
-   wire n_11738;
-   wire n_11739;
-   wire n_11740;
-   wire n_11741;
-   wire n_11742;
-   wire n_11743;
-   wire n_11744;
-   wire n_11745;
-   wire n_11746;
-   wire n_11747;
-   wire n_11748;
-   wire n_11749;
-   wire n_11750;
-   wire n_11751;
-   wire n_11752;
-   wire n_11753;
-   wire n_11754;
-   wire n_11755;
-   wire n_11756;
-   wire n_11757;
-   wire n_11758;
-   wire n_11759;
-   wire n_11760;
-   wire n_11761;
-   wire n_11762;
-   wire n_11763;
-   wire n_11764;
-   wire n_11765;
-   wire n_11766;
-   wire n_11767;
-   wire n_11768;
-   wire n_11769;
-   wire n_11770;
-   wire n_11771;
-   wire n_11772;
-   wire n_11773;
-   wire n_11774;
-   wire n_11775;
-   wire n_11801;
-   wire n_11803;
-   wire n_11804;
-   wire n_11805;
-   wire n_11806;
-   wire n_11807;
-   wire n_11808;
-   wire n_11809;
-   wire n_11810;
-   wire n_11811;
-   wire n_11812;
-   wire n_11813;
-   wire n_11814;
-   wire n_11815;
-   wire n_11816;
-   wire n_11817;
-   wire n_11818;
-   wire n_11819;
-   wire n_11820;
-   wire n_11821;
-   wire n_11822;
-   wire n_11823;
-   wire n_11824;
-   wire n_11825;
-   wire n_11826;
-   wire n_11827;
-   wire n_11828;
-   wire n_11829;
-   wire n_11830;
-   wire n_11831;
-   wire n_11832;
-   wire n_11833;
-   wire n_11834;
-   wire n_11835;
-   wire n_11836;
-   wire n_11837;
-   wire n_11838;
-   wire n_11839;
-   wire n_11840;
-   wire n_11841;
-   wire n_11842;
-   wire n_11843;
-   wire n_11846;
-   wire n_11847;
-   wire n_11848;
-   wire n_11850;
-   wire n_11853;
-   wire n_11854;
-   wire n_11855;
-   wire n_11856;
-   wire n_11857;
-   wire n_11858;
-   wire n_11859;
-   wire n_11860;
-   wire n_11861;
-   wire n_11862;
-   wire n_11863;
-   wire n_11864;
-   wire n_11865;
-   wire n_11866;
-   wire n_11867;
-   wire n_11869;
-   wire n_11870;
-   wire n_11871;
-   wire n_11872;
-   wire n_11873;
-   wire n_11874;
-   wire n_11875;
-   wire n_11876;
-   wire n_11877;
-   wire n_11878;
-   wire n_11879;
-   wire n_11881;
-   wire n_11882;
-   wire n_11883;
-   wire n_11884;
-   wire n_11885;
-   wire n_11886;
-   wire n_11887;
-   wire n_11888;
-   wire n_11889;
-   wire n_11890;
-   wire n_11891;
-   wire n_11892;
-   wire n_11893;
-   wire n_11894;
-   wire n_11895;
-   wire n_11896;
-   wire n_11897;
-   wire n_11899;
-   wire n_11900;
-   wire n_11901;
-   wire n_11902;
-   wire n_11904;
-   wire n_11905;
-   wire n_11907;
-   wire n_11923;
-   wire n_11931;
-   wire n_11933;
-   wire n_11934;
-   wire n_11935;
-   wire n_11936;
-   wire n_11937;
-   wire n_11938;
-   wire n_11939;
-   wire n_11940;
-   wire n_11941;
-   wire n_11942;
-   wire n_11943;
-   wire n_11944;
-   wire n_11945;
-   wire n_11946;
-   wire n_11947;
-   wire n_11948;
-   wire n_11949;
-   wire n_11950;
-   wire n_11951;
-   wire n_11952;
-   wire n_11953;
-   wire n_11954;
-   wire n_11956;
-   wire n_11957;
-   wire n_11958;
-   wire n_11960;
-   wire n_11961;
-   wire n_11962;
-   wire n_11963;
-   wire n_11964;
-   wire n_11965;
-   wire n_11967;
-   wire n_11968;
-   wire n_11969;
-   wire n_11970;
-   wire n_11972;
-   wire n_11973;
-   wire n_11974;
-   wire n_11975;
-   wire n_11976;
-   wire n_11977;
-   wire n_11978;
-   wire n_11979;
-   wire n_11980;
-   wire n_11981;
-   wire n_11982;
-   wire n_11983;
-   wire n_11984;
-   wire n_11985;
-   wire n_11986;
-   wire n_11987;
-   wire n_11988;
-   wire n_11989;
-   wire n_11990;
-   wire n_11991;
-   wire n_11992;
-   wire n_11993;
-   wire n_11994;
-   wire n_11995;
-   wire n_11996;
-   wire n_11997;
-   wire n_11998;
-   wire n_11999;
-   wire n_12000;
-   wire n_12001;
-   wire n_12002;
-   wire n_12003;
-   wire n_12004;
-   wire n_12005;
-   wire n_12006;
-   wire n_12007;
-   wire n_12008;
-   wire n_12009;
-   wire n_12010;
-   wire n_12011;
-   wire n_12012;
-   wire n_12013;
-   wire n_12014;
-   wire n_12015;
-   wire n_12016;
-   wire n_12017;
-   wire n_12018;
-   wire n_12019;
-   wire n_12020;
-   wire n_12021;
-   wire n_12022;
-   wire n_12023;
-   wire n_12024;
-   wire n_12025;
-   wire n_12026;
-   wire n_12027;
-   wire n_12028;
-   wire n_12029;
-   wire n_12030;
-   wire n_12031;
-   wire n_12032;
-   wire n_12033;
-   wire n_12034;
-   wire n_12035;
-   wire n_12036;
-   wire n_12037;
-   wire n_12038;
-   wire n_12039;
-   wire n_12040;
-   wire n_12041;
-   wire n_12042;
-   wire n_12043;
-   wire n_12044;
-   wire n_12045;
-   wire n_12046;
-   wire n_12047;
-   wire n_12048;
-   wire n_12049;
-   wire n_12050;
-   wire n_12051;
-   wire n_12052;
-   wire n_12053;
-   wire n_12054;
-   wire n_12055;
-   wire n_12056;
-   wire n_12057;
-   wire n_12058;
-   wire n_12059;
-   wire n_12060;
-   wire n_12061;
-   wire n_12062;
-   wire n_12063;
-   wire n_12064;
-   wire n_12065;
-   wire n_12066;
-   wire n_12067;
-   wire n_12068;
-   wire n_12069;
-   wire n_12070;
-   wire n_12071;
-   wire n_12072;
-   wire n_12073;
-   wire n_12074;
-   wire n_12075;
-   wire n_12076;
-   wire n_12077;
-   wire n_12078;
-   wire n_12079;
-   wire n_12080;
-   wire n_12081;
-   wire n_12082;
-   wire n_12083;
-   wire n_12084;
-   wire n_12085;
-   wire n_12086;
-   wire n_12087;
-   wire n_12088;
-   wire n_12089;
-   wire n_12090;
-   wire n_12091;
-   wire n_12092;
-   wire n_12093;
-   wire n_12094;
-   wire n_12095;
-   wire n_12096;
-   wire n_12097;
-   wire n_12098;
-   wire n_12099;
-   wire n_12100;
-   wire n_12101;
-   wire n_12102;
-   wire n_12103;
-   wire n_12105;
-   wire n_12106;
-   wire n_12107;
-   wire n_12108;
-   wire n_12109;
-   wire n_12110;
-   wire n_12111;
-   wire n_12112;
-   wire n_12113;
-   wire n_12114;
-   wire n_12115;
-   wire n_12116;
-   wire n_12117;
-   wire n_12118;
-   wire n_12119;
-   wire n_12120;
-   wire n_12121;
-   wire n_12122;
-   wire n_12123;
-   wire n_12124;
-   wire n_12125;
-   wire n_12126;
-   wire n_12127;
-   wire n_12128;
-   wire n_12130;
-   wire n_12131;
-   wire n_12132;
-   wire n_12133;
-   wire n_12134;
-   wire n_12135;
-   wire n_12136;
-   wire n_12137;
-   wire n_12138;
-   wire n_12139;
-   wire n_12140;
-   wire n_12141;
-   wire n_12142;
-   wire n_12143;
-   wire n_12144;
-   wire n_12145;
-   wire n_12146;
-   wire n_12147;
-   wire n_12148;
-   wire n_12149;
-   wire n_12150;
-   wire n_12151;
-   wire n_12153;
-   wire n_12154;
-   wire n_12155;
-   wire n_12156;
-   wire n_12157;
-   wire n_12158;
-   wire n_12159;
-   wire n_12160;
-   wire n_12161;
-   wire n_12162;
-   wire n_12163;
-   wire n_12164;
-   wire n_12165;
-   wire n_12166;
-   wire n_12167;
-   wire n_12168;
-   wire n_12169;
-   wire n_12194;
-   wire n_12196;
-   wire n_12197;
-   wire n_12198;
-   wire n_12199;
-   wire n_12200;
-   wire n_12201;
-   wire n_12202;
-   wire n_12203;
-   wire n_12204;
-   wire n_12205;
-   wire n_12206;
-   wire n_12207;
-   wire n_12208;
-   wire n_12209;
-   wire n_12210;
-   wire n_12211;
-   wire n_12212;
-   wire n_12213;
-   wire n_12214;
-   wire n_12215;
-   wire n_12216;
-   wire n_12217;
-   wire n_12218;
-   wire n_12219;
-   wire n_12220;
-   wire n_12221;
-   wire n_12222;
-   wire n_12223;
-   wire n_12224;
-   wire n_12225;
-   wire n_12226;
-   wire n_12227;
-   wire n_12228;
-   wire n_12229;
-   wire n_12230;
-   wire n_12232;
-   wire n_12233;
-   wire n_12235;
-   wire n_12236;
-   wire n_12237;
-   wire n_12238;
-   wire n_12239;
-   wire n_12240;
-   wire n_12243;
-   wire n_12247;
-   wire n_12248;
-   wire n_12249;
-   wire n_12250;
-   wire n_12251;
-   wire n_12252;
-   wire n_12253;
-   wire n_12254;
-   wire n_12255;
-   wire n_12256;
-   wire n_12257;
-   wire n_12258;
-   wire n_12259;
-   wire n_12260;
-   wire n_12261;
-   wire n_12262;
-   wire n_12263;
-   wire n_12264;
-   wire n_12265;
-   wire n_12267;
-   wire n_12269;
-   wire n_12270;
-   wire n_12271;
-   wire n_12272;
-   wire n_12273;
-   wire n_12274;
-   wire n_12275;
-   wire n_12276;
-   wire n_12277;
-   wire n_12279;
-   wire n_12280;
-   wire n_12282;
-   wire n_12283;
-   wire n_12284;
-   wire n_12285;
-   wire n_12287;
-   wire n_12290;
-   wire n_12292;
-   wire n_12294;
-   wire n_12295;
-   wire n_12296;
-   wire n_12297;
-   wire n_12298;
-   wire n_12299;
-   wire n_12300;
-   wire n_12301;
-   wire n_12302;
-   wire n_12303;
-   wire n_12304;
-   wire n_12305;
-   wire n_12306;
-   wire n_12307;
-   wire n_12308;
-   wire n_12309;
-   wire n_12310;
-   wire n_12311;
-   wire n_12312;
-   wire n_12313;
-   wire n_12314;
-   wire n_12315;
-   wire n_12316;
-   wire n_12317;
-   wire n_12318;
-   wire n_12319;
-   wire n_12320;
-   wire n_12321;
-   wire n_12322;
-   wire n_12323;
-   wire n_12324;
-   wire n_12325;
-   wire n_12326;
-   wire n_12327;
-   wire n_12328;
-   wire n_12329;
-   wire n_12330;
-   wire n_12331;
-   wire n_12332;
-   wire n_12333;
-   wire n_12334;
-   wire n_12335;
-   wire n_12336;
-   wire n_12337;
-   wire n_12338;
-   wire n_12339;
-   wire n_12340;
-   wire n_12341;
-   wire n_12342;
-   wire n_12343;
-   wire n_12344;
-   wire n_12345;
-   wire n_12346;
-   wire n_12347;
-   wire n_12348;
-   wire n_12349;
-   wire n_12350;
-   wire n_12351;
-   wire n_12352;
-   wire n_12353;
-   wire n_12354;
-   wire n_12355;
-   wire n_12356;
-   wire n_12357;
-   wire n_12358;
-   wire n_12359;
-   wire n_12360;
-   wire n_12361;
-   wire n_12362;
-   wire n_12363;
-   wire n_12364;
-   wire n_12365;
-   wire n_12366;
-   wire n_12367;
-   wire n_12368;
-   wire n_12369;
-   wire n_12370;
-   wire n_12371;
-   wire n_12372;
-   wire n_12373;
-   wire n_12374;
-   wire n_12375;
-   wire n_12376;
-   wire n_12377;
-   wire n_12378;
-   wire n_12379;
-   wire n_12380;
-   wire n_12381;
-   wire n_12382;
-   wire n_12383;
-   wire n_12384;
-   wire n_12385;
-   wire n_12386;
-   wire n_12387;
-   wire n_12388;
-   wire n_12389;
-   wire n_12390;
-   wire n_12391;
-   wire n_12392;
-   wire n_12393;
-   wire n_12394;
-   wire n_12395;
-   wire n_12396;
-   wire n_12397;
-   wire n_12398;
-   wire n_12399;
-   wire n_12400;
-   wire n_12401;
-   wire n_12402;
-   wire n_12403;
-   wire n_12404;
-   wire n_12405;
-   wire n_12406;
-   wire n_12407;
-   wire n_12408;
-   wire n_12409;
-   wire n_12410;
-   wire n_12411;
-   wire n_12412;
-   wire n_12413;
-   wire n_12414;
-   wire n_12415;
-   wire n_12416;
-   wire n_12417;
-   wire n_12418;
-   wire n_12419;
-   wire n_12420;
-   wire n_12421;
-   wire n_12422;
-   wire n_12423;
-   wire n_12424;
-   wire n_12425;
-   wire n_12426;
-   wire n_12427;
-   wire n_12428;
-   wire n_12429;
-   wire n_12430;
-   wire n_12431;
-   wire n_12432;
-   wire n_12433;
-   wire n_12434;
-   wire n_12435;
-   wire n_12436;
-   wire n_12437;
-   wire n_12438;
-   wire n_12439;
-   wire n_12440;
-   wire n_12441;
-   wire n_12442;
-   wire n_12443;
-   wire n_12444;
-   wire n_12445;
-   wire n_12446;
-   wire n_12447;
-   wire n_12448;
-   wire n_12449;
-   wire n_12450;
-   wire n_12451;
-   wire n_12452;
-   wire n_12453;
-   wire n_12454;
-   wire n_12455;
-   wire n_12456;
-   wire n_12457;
-   wire n_12458;
-   wire n_12459;
-   wire n_12460;
-   wire n_12461;
-   wire n_12462;
-   wire n_12463;
-   wire n_12464;
-   wire n_12465;
-   wire n_12466;
-   wire n_12467;
-   wire n_12468;
-   wire n_12469;
-   wire n_12470;
-   wire n_12471;
-   wire n_12472;
-   wire n_12473;
-   wire n_12474;
-   wire n_12475;
-   wire n_12477;
-   wire n_12479;
-   wire n_12480;
-   wire n_12481;
-   wire n_12482;
-   wire n_12483;
-   wire n_12484;
-   wire n_12485;
-   wire n_12486;
-   wire n_12487;
-   wire n_12488;
-   wire n_12489;
-   wire n_12490;
-   wire n_12491;
-   wire n_12492;
-   wire n_12493;
-   wire n_12494;
-   wire n_12495;
-   wire n_12496;
-   wire n_12497;
-   wire n_12498;
-   wire n_12499;
-   wire n_12500;
-   wire n_12501;
-   wire n_12502;
-   wire n_12503;
-   wire n_12504;
-   wire n_12505;
-   wire n_12506;
-   wire n_12507;
-   wire n_12508;
-   wire n_12509;
-   wire n_12510;
-   wire n_12511;
-   wire n_12512;
-   wire n_12513;
-   wire n_12514;
-   wire n_12515;
-   wire n_12516;
-   wire n_12517;
-   wire n_12518;
-   wire n_12519;
-   wire n_12520;
-   wire n_12521;
-   wire n_12522;
-   wire n_12523;
-   wire n_12524;
-   wire n_12525;
-   wire n_12526;
-   wire n_12527;
-   wire n_12528;
-   wire n_12529;
-   wire n_12530;
-   wire n_12531;
-   wire n_12532;
-   wire n_12533;
-   wire n_12534;
-   wire n_12535;
-   wire n_12536;
-   wire n_12537;
-   wire n_12538;
-   wire n_12539;
-   wire n_12540;
-   wire n_12541;
-   wire n_12542;
-   wire n_12543;
-   wire n_12544;
-   wire n_12545;
-   wire n_12546;
-   wire n_12547;
-   wire n_12548;
-   wire n_12549;
-   wire n_12550;
-   wire n_12551;
-   wire n_12552;
-   wire n_12553;
-   wire n_12554;
-   wire n_12555;
-   wire n_12556;
-   wire n_12557;
-   wire n_12558;
-   wire n_12559;
-   wire n_12560;
-   wire n_12561;
-   wire n_12562;
-   wire n_12563;
-   wire n_12564;
-   wire n_12565;
-   wire n_12566;
-   wire n_12567;
-   wire n_12568;
-   wire n_12569;
-   wire n_12570;
-   wire n_12571;
-   wire n_12572;
-   wire n_12573;
-   wire n_12574;
-   wire n_12575;
-   wire n_12576;
-   wire n_12577;
-   wire n_12578;
-   wire n_12579;
-   wire n_12580;
-   wire n_12581;
-   wire n_12582;
-   wire n_12583;
-   wire n_12584;
-   wire n_12585;
-   wire n_12586;
-   wire n_12587;
-   wire n_12588;
-   wire n_12589;
-   wire n_12590;
-   wire n_12591;
-   wire n_12594;
-   wire n_12595;
-   wire n_12597;
-   wire n_12598;
-   wire n_12600;
-   wire n_12601;
-   wire n_12602;
-   wire n_12603;
-   wire n_12604;
-   wire n_12605;
-   wire n_12606;
-   wire n_12607;
-   wire n_12608;
-   wire n_12609;
-   wire n_12610;
-   wire n_12611;
-   wire n_12612;
-   wire n_12613;
-   wire n_12614;
-   wire n_12615;
-   wire n_12616;
-   wire n_12617;
-   wire n_12618;
-   wire n_12619;
-   wire n_12620;
-   wire n_12621;
-   wire n_12622;
-   wire n_12623;
-   wire n_12624;
-   wire n_12625;
-   wire n_12626;
-   wire n_12627;
-   wire n_12628;
-   wire n_12629;
-   wire n_12630;
-   wire n_12631;
-   wire n_12632;
-   wire n_12633;
-   wire n_12634;
-   wire n_12635;
-   wire n_12636;
-   wire n_12637;
-   wire n_12638;
-   wire n_12639;
-   wire n_12640;
-   wire n_12641;
-   wire n_12642;
-   wire n_12643;
-   wire n_12644;
-   wire n_12645;
-   wire n_12646;
-   wire n_12647;
-   wire n_12648;
-   wire n_12649;
-   wire n_12650;
-   wire n_12651;
-   wire n_12652;
-   wire n_12653;
-   wire n_12654;
-   wire n_12655;
-   wire n_12656;
-   wire n_12657;
-   wire n_12658;
-   wire n_12659;
-   wire n_12660;
-   wire n_12661;
-   wire n_12662;
-   wire n_12663;
-   wire n_12664;
-   wire n_12665;
-   wire n_12666;
-   wire n_12667;
-   wire n_12668;
-   wire n_12669;
-   wire n_12670;
-   wire n_12671;
-   wire n_12672;
-   wire n_12673;
-   wire n_12674;
-   wire n_12675;
-   wire n_12676;
-   wire n_12677;
-   wire n_12678;
-   wire n_12679;
-   wire n_12680;
-   wire n_12681;
-   wire n_12682;
-   wire n_12683;
-   wire n_12684;
-   wire n_12685;
-   wire n_12686;
-   wire n_12687;
-   wire n_12688;
-   wire n_12689;
-   wire n_12690;
-   wire n_12691;
-   wire n_12692;
-   wire n_12693;
-   wire n_12694;
-   wire n_12695;
-   wire n_12696;
-   wire n_12697;
-   wire n_12698;
-   wire n_12699;
-   wire n_12700;
-   wire n_12701;
-   wire n_12702;
-   wire n_12703;
-   wire n_12704;
-   wire n_12705;
-   wire n_12706;
-   wire n_12707;
-   wire n_12708;
-   wire n_12709;
-   wire n_12710;
-   wire n_12711;
-   wire n_12712;
-   wire n_12713;
-   wire n_12714;
-   wire n_12715;
-   wire n_12716;
-   wire n_12717;
-   wire n_12718;
-   wire n_12719;
-   wire n_12720;
-   wire n_12721;
-   wire n_12722;
-   wire n_12723;
-   wire n_12724;
-   wire n_12725;
-   wire n_12726;
-   wire n_12729;
-   wire n_12730;
-   wire n_12731;
-   wire n_12735;
-   wire n_12736;
-   wire n_12737;
-   wire n_12738;
-   wire n_12739;
-   wire n_12742;
-   wire n_12743;
-   wire n_12744;
-   wire n_12745;
-   wire n_12746;
-   wire n_12747;
-   wire n_12748;
-   wire n_12749;
-   wire n_12750;
-   wire n_12751;
-   wire n_12752;
-   wire n_12753;
-   wire n_12754;
-   wire n_12755;
-   wire n_12756;
-   wire n_12757;
-   wire n_12758;
-   wire n_12759;
-   wire n_12760;
-   wire n_12761;
-   wire n_12762;
-   wire n_12763;
-   wire n_12764;
-   wire n_12765;
-   wire n_12766;
-   wire n_12767;
-   wire n_12768;
-   wire n_12769;
-   wire n_12770;
-   wire n_12771;
-   wire n_12772;
-   wire n_12773;
-   wire n_12774;
-   wire n_12775;
-   wire n_12776;
-   wire n_12777;
-   wire n_12778;
-   wire n_12779;
-   wire n_12780;
-   wire n_12781;
-   wire n_12782;
-   wire n_12783;
-   wire n_12784;
-   wire n_12785;
-   wire n_12786;
-   wire n_12787;
-   wire n_12788;
-   wire n_12789;
-   wire n_12790;
-   wire n_12791;
-   wire n_12792;
-   wire n_12793;
-   wire n_12794;
-   wire n_12795;
-   wire n_12796;
-   wire n_12797;
-   wire n_12798;
-   wire n_12799;
-   wire n_12800;
-   wire n_12801;
-   wire n_12802;
-   wire n_12803;
-   wire n_12804;
-   wire n_12805;
-   wire n_12806;
-   wire n_12807;
-   wire n_12808;
-   wire n_12809;
-   wire n_12810;
-   wire n_12811;
-   wire n_12812;
-   wire n_12813;
-   wire n_12814;
-   wire n_12815;
-   wire n_12816;
-   wire n_12817;
-   wire n_12818;
-   wire n_12819;
-   wire n_12820;
-   wire n_12821;
-   wire n_12822;
-   wire n_12823;
-   wire n_12824;
-   wire n_12825;
-   wire n_12826;
-   wire n_12827;
-   wire n_12828;
-   wire n_12829;
-   wire n_12830;
-   wire n_12831;
-   wire n_12832;
-   wire n_12833;
-   wire n_12834;
-   wire n_12835;
-   wire n_12836;
-   wire n_12837;
-   wire n_12838;
-   wire n_12839;
-   wire n_12840;
-   wire n_12841;
-   wire n_12842;
-   wire n_12843;
-   wire n_12844;
-   wire n_12845;
-   wire n_12846;
-   wire n_12847;
-   wire n_12848;
-   wire n_12849;
-   wire n_12850;
-   wire n_12851;
-   wire n_12852;
-   wire n_12853;
-   wire n_12854;
-   wire n_12855;
-   wire n_12856;
-   wire n_12857;
-   wire n_12858;
-   wire n_12859;
-   wire n_12860;
-   wire n_12861;
-   wire n_12862;
-   wire n_12863;
-   wire n_12864;
-   wire n_12865;
-   wire n_12866;
-   wire n_12867;
-   wire n_12868;
-   wire n_12869;
-   wire n_12870;
-   wire n_12871;
-   wire n_12872;
-   wire n_12873;
-   wire n_12874;
-   wire n_12875;
-   wire n_12876;
-   wire n_12877;
-   wire n_12878;
-   wire n_12879;
-   wire n_12880;
-   wire n_12881;
-   wire n_12882;
-   wire n_12883;
-   wire n_12884;
-   wire n_12885;
-   wire n_12886;
-   wire n_12887;
-   wire n_12888;
-   wire n_12889;
-   wire n_12890;
-   wire n_12891;
-   wire n_12892;
-   wire n_12893;
-   wire n_12894;
-   wire n_12895;
-   wire n_12896;
-   wire n_12897;
-   wire n_12898;
-   wire n_12899;
-   wire n_12900;
-   wire n_12901;
-   wire n_12902;
-   wire n_12903;
-   wire n_12904;
-   wire n_12905;
-   wire n_12906;
-   wire n_12907;
-   wire n_12908;
-   wire n_12909;
-   wire n_12910;
-   wire n_12911;
-   wire n_12912;
-   wire n_12913;
-   wire n_12914;
-   wire n_12915;
-   wire n_12916;
-   wire n_12917;
-   wire n_12918;
-   wire n_12919;
-   wire n_12920;
-   wire n_12921;
-   wire n_12922;
-   wire n_12923;
-   wire n_12924;
-   wire n_12925;
-   wire n_12926;
-   wire n_12927;
-   wire n_12928;
-   wire n_12929;
-   wire n_12930;
-   wire n_12931;
-   wire n_12932;
-   wire n_12933;
-   wire n_12934;
-   wire n_12935;
-   wire n_12936;
-   wire n_12937;
-   wire n_12938;
-   wire n_12939;
-   wire n_12940;
-   wire n_12941;
-   wire n_12942;
-   wire n_12943;
-   wire n_12944;
-   wire n_12945;
-   wire n_12946;
-   wire n_12947;
-   wire n_12948;
-   wire n_12949;
-   wire n_12950;
-   wire n_12951;
-   wire n_12952;
-   wire n_12953;
-   wire n_12954;
-   wire n_12955;
-   wire n_12956;
-   wire n_12959;
-   wire n_12960;
-   wire n_12961;
-   wire n_12962;
-   wire n_12963;
-   wire n_12964;
-   wire n_12965;
-   wire n_12966;
-   wire n_12967;
-   wire n_12968;
-   wire n_12969;
-   wire n_12970;
-   wire n_12971;
-   wire n_12972;
-   wire n_12973;
-   wire n_12974;
-   wire n_12975;
-   wire n_12976;
-   wire n_12977;
-   wire n_12978;
-   wire n_12985;
-   wire n_12986;
-   wire n_12987;
-   wire n_12988;
-   wire n_12989;
-   wire n_12990;
-   wire n_12991;
-   wire n_12992;
-   wire n_12993;
-   wire n_12994;
-   wire n_12995;
-   wire n_12996;
-   wire n_12997;
-   wire n_12998;
-   wire n_12999;
-   wire n_13000;
-   wire n_13001;
-   wire n_13002;
-   wire n_13006;
-   wire n_13007;
-   wire n_13008;
-   wire n_13009;
-   wire n_13010;
-   wire n_13011;
-   wire n_13012;
-   wire n_13013;
-   wire n_13014;
-   wire n_13015;
-   wire n_13016;
-   wire n_13017;
-   wire n_13018;
-   wire n_13019;
-   wire n_13020;
-   wire n_13021;
-   wire n_13022;
-   wire n_13023;
-   wire n_13024;
-   wire n_13025;
-   wire n_13026;
-   wire n_13027;
-   wire n_13028;
-   wire n_13029;
-   wire n_13030;
-   wire n_13031;
-   wire n_13032;
-   wire n_13033;
-   wire n_13034;
-   wire n_13035;
-   wire n_13036;
-   wire n_13037;
-   wire n_13038;
-   wire n_13039;
-   wire n_13040;
-   wire n_13041;
-   wire n_13042;
-   wire n_13043;
-   wire n_13044;
-   wire n_13045;
-   wire n_13046;
-   wire n_13047;
-   wire n_13048;
-   wire n_13050;
-   wire n_13051;
-   wire n_13052;
-   wire n_13053;
-   wire n_13054;
-   wire n_13055;
-   wire n_13056;
-   wire n_13060;
-   wire n_13061;
-   wire n_13062;
-   wire n_13063;
-   wire n_13064;
-   wire n_13065;
-   wire n_13066;
-   wire n_13067;
-   wire n_13068;
-   wire n_13069;
-   wire n_13070;
-   wire n_13071;
-   wire n_13072;
-   wire n_13073;
-   wire n_13074;
-   wire n_13075;
-   wire n_13076;
-   wire n_13077;
-   wire n_13078;
-   wire n_13079;
-   wire n_13080;
-   wire n_13081;
-   wire n_13082;
-   wire n_13083;
-   wire n_13084;
-   wire n_13085;
-   wire n_13086;
-   wire n_13087;
-   wire n_13088;
-   wire n_13089;
-   wire n_13090;
-   wire n_13091;
-   wire n_13092;
-   wire n_13093;
-   wire n_13094;
-   wire n_13095;
-   wire n_13096;
-   wire n_13097;
-   wire n_13098;
-   wire n_13099;
-   wire n_13100;
-   wire n_13101;
-   wire n_13102;
-   wire n_13103;
-   wire n_13104;
-   wire n_13105;
-   wire n_13106;
-   wire n_13107;
-   wire n_13108;
-   wire n_13109;
-   wire n_13110;
-   wire n_13111;
-   wire n_13112;
-   wire n_13113;
-   wire n_13114;
-   wire n_13115;
-   wire n_13116;
-   wire n_13117;
-   wire n_13118;
-   wire n_13119;
-   wire n_13120;
-   wire n_13121;
-   wire n_13122;
-   wire n_13123;
-   wire n_13124;
-   wire n_13125;
-   wire n_13126;
-   wire n_13127;
-   wire n_13128;
-   wire n_13129;
-   wire n_13130;
-   wire n_13131;
-   wire n_13132;
-   wire n_13133;
-   wire n_13134;
-   wire n_13135;
-   wire n_13136;
-   wire n_13137;
-   wire n_13138;
-   wire n_13139;
-   wire n_13140;
-   wire n_13141;
-   wire n_13142;
-   wire n_13143;
-   wire n_13144;
-   wire n_13145;
-   wire n_13146;
-   wire n_13147;
-   wire n_13148;
-   wire n_13149;
-   wire n_13150;
-   wire n_13151;
-   wire n_13152;
-   wire n_13153;
-   wire n_13154;
-   wire n_13155;
-   wire n_13156;
-   wire n_13157;
-   wire n_13158;
-   wire n_13159;
-   wire n_13160;
-   wire n_13191;
-   wire n_13192;
-   wire n_13193;
-   wire n_13194;
-   wire n_13195;
-   wire n_13196;
-   wire n_13197;
-   wire n_13198;
-   wire n_13199;
-   wire n_13200;
-   wire n_13201;
-   wire n_13202;
-   wire n_13204;
-   wire n_13206;
-   wire n_13207;
-   wire n_13208;
-   wire n_13209;
-   wire n_13210;
-   wire n_13211;
-   wire n_13212;
-   wire n_13214;
-   wire n_13215;
-   wire n_13216;
-   wire n_13217;
-   wire n_13218;
-   wire n_13219;
-   wire n_13220;
-   wire n_13221;
-   wire n_13222;
-   wire n_13223;
-   wire n_13224;
-   wire n_13226;
-   wire n_13227;
-   wire n_13228;
-   wire n_13229;
-   wire n_13230;
-   wire n_13231;
-   wire n_13232;
-   wire n_13233;
-   wire n_13234;
-   wire n_13235;
-   wire n_13236;
-   wire n_13237;
-   wire n_13238;
-   wire n_13239;
-   wire n_13240;
-   wire n_13241;
-   wire n_13243;
-   wire n_13244;
-   wire n_13245;
-   wire n_13246;
-   wire n_13247;
-   wire n_13248;
-   wire n_13249;
-   wire n_13250;
-   wire n_13252;
-   wire n_13253;
-   wire n_13254;
-   wire n_13255;
-   wire n_13257;
-   wire n_13259;
-   wire n_13260;
-   wire n_13261;
-   wire n_13262;
-   wire n_13263;
-   wire n_13264;
-   wire n_13265;
-   wire n_13266;
-   wire n_13267;
-   wire n_13268;
-   wire n_13269;
-   wire n_13270;
-   wire n_13271;
-   wire n_13272;
-   wire n_13273;
-   wire n_13274;
-   wire n_13275;
-   wire n_13276;
-   wire n_13277;
-   wire n_13278;
-   wire n_13282;
-   wire n_13283;
-   wire n_13285;
-   wire n_13286;
-   wire n_13287;
-   wire n_13288;
-   wire n_13289;
-   wire n_13290;
-   wire n_13291;
-   wire n_13293;
-   wire n_13295;
-   wire n_13296;
-   wire n_13297;
-   wire n_13298;
-   wire n_13299;
-   wire n_13300;
-   wire n_13301;
-   wire n_13302;
-   wire n_13303;
-   wire n_13304;
-   wire n_13305;
-   wire n_13306;
-   wire n_13307;
-   wire n_13308;
-   wire n_13309;
-   wire n_13310;
-   wire n_13311;
-   wire n_13312;
-   wire n_13313;
-   wire n_13314;
-   wire n_13315;
-   wire n_13316;
-   wire n_13317;
-   wire n_13318;
-   wire n_13319;
-   wire n_13320;
-   wire n_13321;
-   wire n_13322;
-   wire n_13323;
-   wire n_13324;
-   wire n_13325;
-   wire n_13326;
-   wire n_13327;
-   wire n_13328;
-   wire n_13329;
-   wire n_13330;
-   wire n_13331;
-   wire n_13332;
-   wire n_13333;
-   wire n_13339;
-   wire n_13340;
-   wire n_13341;
-   wire n_13342;
-   wire n_13343;
-   wire n_13345;
-   wire n_13346;
-   wire n_13347;
-   wire n_13348;
-   wire n_13349;
-   wire n_13350;
-   wire n_13351;
-   wire n_13352;
-   wire n_13353;
-   wire n_13354;
-   wire n_13355;
-   wire n_13356;
-   wire n_13357;
-   wire n_13358;
-   wire n_13359;
-   wire n_13360;
-   wire n_13361;
-   wire n_13362;
-   wire n_13363;
-   wire n_13364;
-   wire n_13365;
-   wire n_13366;
-   wire n_13367;
-   wire n_13368;
-   wire n_13369;
-   wire n_13370;
-   wire n_13371;
-   wire n_13372;
-   wire n_13373;
-   wire n_13374;
-   wire n_13375;
-   wire n_13376;
-   wire n_13377;
-   wire n_13378;
-   wire n_13379;
-   wire n_13380;
-   wire n_13381;
-   wire n_13382;
-   wire n_13383;
-   wire n_13384;
-   wire n_13385;
-   wire n_13386;
-   wire n_13387;
-   wire n_13388;
-   wire n_13389;
-   wire n_13390;
-   wire n_13391;
-   wire n_13392;
-   wire n_13393;
-   wire n_13394;
-   wire n_13395;
-   wire n_13396;
-   wire n_13397;
-   wire n_13398;
-   wire n_13399;
-   wire n_13400;
-   wire n_13401;
-   wire n_13402;
-   wire n_13403;
-   wire n_13404;
-   wire n_13405;
-   wire n_13406;
-   wire n_13407;
-   wire n_13408;
-   wire n_13409;
-   wire n_13410;
-   wire n_13411;
-   wire n_13412;
-   wire n_13413;
-   wire n_13414;
-   wire n_13415;
-   wire n_13416;
-   wire n_13417;
-   wire n_13418;
-   wire n_13419;
-   wire n_13420;
-   wire n_13421;
-   wire n_13422;
-   wire n_13423;
-   wire n_13424;
-   wire n_13425;
-   wire n_13426;
-   wire n_13427;
-   wire n_13428;
-   wire n_13429;
-   wire n_13430;
-   wire n_13431;
-   wire n_13432;
-   wire n_13433;
-   wire n_13434;
-   wire n_13435;
-   wire n_13436;
-   wire n_13437;
-   wire n_13438;
-   wire n_13439;
-   wire n_13440;
-   wire n_13441;
-   wire n_13442;
-   wire n_13443;
-   wire n_13444;
-   wire n_13445;
-   wire n_13446;
-   wire n_13447;
-   wire n_13448;
-   wire n_13449;
-   wire n_13450;
-   wire n_13451;
-   wire n_13452;
-   wire n_13453;
-   wire n_13454;
-   wire n_13455;
-   wire n_13456;
-   wire n_13457;
-   wire n_13458;
-   wire n_13459;
-   wire n_13460;
-   wire n_13461;
-   wire n_13462;
-   wire n_13463;
-   wire n_13464;
-   wire n_13465;
-   wire n_13466;
-   wire n_13467;
-   wire n_13468;
-   wire n_13469;
-   wire n_13470;
-   wire n_13471;
-   wire n_13472;
-   wire n_13473;
-   wire n_13474;
-   wire n_13475;
-   wire n_13476;
-   wire n_13477;
-   wire n_13478;
-   wire n_13479;
-   wire n_13480;
-   wire n_13481;
-   wire n_13482;
-   wire n_13483;
-   wire n_13484;
-   wire n_13485;
-   wire n_13486;
-   wire n_13487;
-   wire n_13488;
-   wire n_13489;
-   wire n_13490;
-   wire n_13491;
-   wire n_13492;
-   wire n_13493;
-   wire n_13494;
-   wire n_13495;
-   wire n_13496;
-   wire n_13497;
-   wire n_13498;
-   wire n_13499;
-   wire n_13500;
-   wire n_13501;
-   wire n_13502;
-   wire n_13503;
-   wire n_13504;
-   wire n_13505;
-   wire n_13506;
-   wire n_13507;
-   wire n_13508;
-   wire n_13509;
-   wire n_13510;
-   wire n_13511;
-   wire n_13512;
-   wire n_13513;
-   wire n_13514;
-   wire n_13515;
-   wire n_13516;
-   wire n_13517;
-   wire n_13518;
-   wire n_13519;
-   wire n_13520;
-   wire n_13521;
-   wire n_13522;
-   wire n_13523;
-   wire n_13524;
-   wire n_13525;
-   wire n_13526;
-   wire n_13527;
-   wire n_13528;
-   wire n_13529;
-   wire n_13530;
-   wire n_13531;
-   wire n_13532;
-   wire n_13533;
-   wire n_13534;
-   wire n_13536;
-   wire n_13537;
-   wire n_13538;
-   wire n_13539;
-   wire n_13540;
-   wire n_13541;
-   wire n_13542;
-   wire n_13543;
-   wire n_13544;
-   wire n_13545;
-   wire n_13546;
-   wire n_13547;
-   wire n_13548;
-   wire n_13549;
-   wire n_13550;
-   wire n_13551;
-   wire n_13552;
-   wire n_13553;
-   wire n_13554;
-   wire n_13555;
-   wire n_13556;
-   wire n_13557;
-   wire n_13558;
-   wire n_13559;
-   wire n_13560;
-   wire n_13561;
-   wire n_13562;
-   wire n_13563;
-   wire n_13564;
-   wire n_13565;
-   wire n_13566;
-   wire n_13568;
-   wire n_13569;
-   wire n_13570;
-   wire n_13571;
-   wire n_13572;
-   wire n_13573;
-   wire n_13574;
-   wire n_13575;
-   wire n_13576;
-   wire n_13577;
-   wire n_13578;
-   wire n_13579;
-   wire n_13580;
-   wire n_13581;
-   wire n_13582;
-   wire n_13583;
-   wire n_13584;
-   wire n_13585;
-   wire n_13586;
-   wire n_13587;
-   wire n_13588;
-   wire n_13589;
-   wire n_13590;
-   wire n_13591;
-   wire n_13592;
-   wire n_13593;
-   wire n_13594;
-   wire n_13595;
-   wire n_13596;
-   wire n_13597;
-   wire n_13598;
-   wire n_13599;
-   wire n_13600;
-   wire n_13601;
-   wire n_13602;
-   wire n_13603;
-   wire n_13604;
-   wire n_13605;
-   wire n_13606;
-   wire n_13607;
-   wire n_13608;
-   wire n_13609;
-   wire n_13610;
-   wire n_13611;
-   wire n_13612;
-   wire n_13613;
-   wire n_13614;
-   wire n_13615;
-   wire n_13616;
-   wire n_13617;
-   wire n_13618;
-   wire n_13619;
-   wire n_13620;
-   wire n_13621;
-   wire n_13622;
-   wire n_13623;
-   wire n_13624;
-   wire n_13625;
-   wire n_13626;
-   wire n_13627;
-   wire n_13628;
-   wire n_13629;
-   wire n_13630;
-   wire n_13631;
-   wire n_13632;
-   wire n_13633;
-   wire n_13634;
-   wire n_13635;
-   wire n_13636;
-   wire n_13637;
-   wire n_13638;
-   wire n_13639;
-   wire n_13640;
-   wire n_13641;
-   wire n_13642;
-   wire n_13643;
-   wire n_13644;
-   wire n_13645;
-   wire n_13646;
-   wire n_13647;
-   wire n_13648;
-   wire n_13649;
-   wire n_13650;
-   wire n_13651;
-   wire n_13652;
-   wire n_13653;
-   wire n_13654;
-   wire n_13655;
-   wire n_13656;
-   wire n_13657;
-   wire n_13658;
-   wire n_13659;
-   wire n_13660;
-   wire n_13661;
-   wire n_13662;
-   wire n_13663;
-   wire n_13664;
-   wire n_13665;
-   wire n_13666;
-   wire n_13667;
-   wire n_13668;
-   wire n_13669;
-   wire n_13670;
-   wire n_13671;
-   wire n_13672;
-   wire n_13673;
-   wire n_13674;
-   wire n_13675;
-   wire n_13676;
-   wire n_13677;
-   wire n_13678;
-   wire n_13679;
-   wire n_13680;
-   wire n_13681;
-   wire n_13682;
-   wire n_13683;
-   wire n_13684;
-   wire n_13685;
-   wire n_13686;
-   wire n_13687;
-   wire n_13688;
-   wire n_13689;
-   wire n_13690;
-   wire n_13691;
-   wire n_13692;
-   wire n_13693;
-   wire n_13694;
-   wire n_13695;
-   wire n_13696;
-   wire n_13697;
-   wire n_13698;
-   wire n_13699;
-   wire n_13700;
-   wire n_13701;
-   wire n_13702;
-   wire n_13703;
-   wire n_13705;
-   wire n_13706;
-   wire n_13707;
-   wire n_13708;
-   wire n_13709;
-   wire n_13710;
-   wire n_13711;
-   wire n_13712;
-   wire n_13713;
-   wire n_13714;
-   wire n_13715;
-   wire n_13716;
-   wire n_13717;
-   wire n_13718;
-   wire n_13719;
-   wire n_13720;
-   wire n_13721;
-   wire n_13722;
-   wire n_13723;
-   wire n_13724;
-   wire n_13725;
-   wire n_13726;
-   wire n_13727;
-   wire n_13728;
-   wire n_13729;
-   wire n_13730;
-   wire n_13731;
-   wire n_13732;
-   wire n_13733;
-   wire n_13734;
-   wire n_13735;
-   wire n_13736;
-   wire n_13737;
-   wire n_13738;
-   wire n_13739;
-   wire n_13740;
-   wire n_13741;
-   wire n_13742;
-   wire n_13743;
-   wire n_13744;
-   wire n_13745;
-   wire n_13746;
-   wire n_13747;
-   wire n_13748;
-   wire n_13749;
-   wire n_13750;
-   wire n_13751;
-   wire n_15685;
-   wire n_15686;
-   wire n_15688;
-   wire n_15689;
-   wire n_15690;
-   wire n_15691;
-   wire n_15692;
-   wire n_15693;
-   wire n_15694;
-   wire n_15695;
-   wire n_15696;
-   wire n_15697;
-   wire n_15698;
-   wire n_15699;
-   wire n_15700;
-   wire n_15701;
-   wire n_15702;
-   wire n_15703;
-   wire n_15704;
-   wire n_15705;
-   wire n_15706;
-   wire n_15707;
-   wire n_15708;
-   wire n_15709;
-   wire n_15710;
-   wire n_15711;
-   wire n_15712;
-   wire n_15713;
-   wire n_15714;
-   wire n_15715;
-   wire n_15716;
-   wire n_15717;
-   wire n_15718;
-   wire n_15719;
-   wire n_15726;
-   wire n_15727;
-   wire n_15728;
-   wire n_15729;
-   wire n_15731;
-   wire n_15732;
-   wire n_15733;
-   wire n_15734;
-   wire n_15735;
-   wire n_15736;
-   wire n_15737;
-   wire n_15739;
-   wire n_15740;
-   wire n_15741;
-   wire n_15742;
-   wire n_15743;
-   wire n_15744;
-   wire n_15745;
-   wire n_15746;
-   wire n_15747;
-   wire n_15748;
-   wire n_15749;
-   wire n_15750;
-   wire n_15751;
-   wire n_15752;
-   wire n_15753;
-   wire n_15754;
-   wire n_15755;
-   wire n_15756;
-   wire n_15757;
-   wire n_15758;
-   wire n_15759;
-   wire n_15760;
-   wire n_15761;
-   wire n_15762;
-   wire n_15763;
-   wire n_15764;
-   wire n_15765;
-   wire n_15766;
-   wire n_15767;
-   wire n_15768;
-   wire n_15769;
-   wire n_15770;
-   wire n_15771;
-   wire n_15772;
-   wire n_15773;
-   wire n_15774;
-   wire n_15775;
-   wire n_15776;
-   wire n_15777;
-   wire n_15778;
-   wire n_15779;
-   wire n_15780;
-   wire n_15781;
-   wire n_15782;
-   wire n_15783;
-   wire n_15784;
-   wire n_15785;
-   wire n_15786;
-   wire n_15787;
-   wire n_15788;
-   wire n_15789;
-   wire n_15790;
-   wire n_15791;
-   wire n_15792;
-   wire n_15793;
-   wire n_15794;
-   wire n_15795;
-   wire n_15796;
-   wire n_15797;
-   wire n_15798;
-   wire n_15799;
-   wire n_15800;
-   wire n_15802;
-   wire n_15803;
-   wire n_15804;
-   wire n_15805;
-   wire n_15806;
-   wire n_15807;
-   wire n_15808;
-   wire n_15809;
-   wire n_15810;
-   wire n_15811;
-   wire n_15812;
-   wire n_15813;
-   wire n_15814;
-   wire n_15815;
-   wire n_15816;
-   wire n_15817;
-   wire n_15818;
-   wire n_15819;
-   wire n_15820;
-   wire n_15821;
-   wire n_15822;
-   wire n_15823;
-   wire n_15824;
-   wire n_15825;
-   wire n_15826;
-   wire n_15827;
-   wire n_15828;
-   wire n_15829;
-   wire n_15830;
-   wire n_15831;
-   wire n_15832;
-   wire n_15833;
-   wire n_15834;
-   wire n_15835;
-   wire n_15837;
-   wire n_15839;
-   wire n_15840;
-   wire n_15841;
-   wire n_15843;
-   wire n_15844;
-   wire n_15845;
-   wire n_15846;
-   wire n_15847;
-   wire n_15848;
-   wire n_15849;
-   wire n_15850;
-   wire n_16021;
-   wire n_16022;
-   wire n_16023;
-   wire n_16024;
-   wire n_17517;
-   wire n_17646;
-   wire n_17647;
-   wire n_17649;
-   wire n_17951;
-   wire n_17952;
-   wire n_17953;
-   wire n_17954;
-   wire n_17955;
-   wire n_17956;
-   wire n_17957;
-   wire n_17958;
-   wire n_17959;
-   wire n_17960;
-   wire n_17961;
-   wire n_17962;
-   wire n_17963;
-   wire n_17964;
-   wire n_17965;
-   wire n_17966;
-   wire n_17967;
-   wire n_17968;
-   wire n_17969;
-   wire n_17970;
-   wire n_17971;
-   wire n_17972;
-   wire n_17973;
-   wire n_17974;
-   wire n_17975;
-   wire n_17976;
-   wire n_17977;
-   wire n_17978;
-   wire n_17979;
-   wire n_17980;
-   wire n_17981;
-   wire n_17982;
-   wire n_17983;
-   wire n_17984;
-   wire n_17985;
-   wire n_17986;
-   wire n_17987;
-   wire n_17988;
-   wire n_17989;
-   wire n_17990;
-   wire n_17991;
-   wire n_17992;
-   wire n_17993;
-   wire n_17994;
-   wire n_17995;
-   wire n_17996;
-   wire n_17997;
-   wire n_17998;
-   wire n_17999;
-   wire n_18000;
-   wire n_18001;
-   wire n_18002;
-   wire n_18003;
-   wire n_18004;
-   wire n_18005;
-   wire prog_rst_ni;
-   wire reset_manager_rst_q;
-   wire rx_dv_i;
-   wire system_rst_ni;
-   wire \tcam_to_xbar[a_ready] ;
-   wire u_dccm_csb1;
-   wire u_dccm_csb2;
-   wire u_dccm_csb3;
-   wire u_dccm_csb4;
-   wire u_iccm_csb1;
-   wire u_iccm_csb2;
-   wire u_iccm_csb3;
-   wire u_iccm_csb4;
-   wire u_iccm_n_17;
-   wire u_iccm_n_187;
-   wire u_iccm_n_190;
-   wire u_iccm_n_193;
-   wire u_tcam_n_26;
-   wire u_tcam_n_27;
-   wire u_tcam_rvalid_o;
-   wire u_tcam_u_tcam_adapter_u_rspfifo_n_125;
-   wire u_tcam_u_tcam_adapter_u_rspfifo_n_628;
-   wire u_tcam_u_tcam_adapter_u_rspfifo_n_629;
-   wire u_tcam_u_tcam_adapter_u_rspfifo_n_638;
-   wire u_tcam_u_tcam_adapter_u_sramreqfifo_n_69;
-   wire u_top_data_we;
-   wire u_top_u_core_clk;
-   wire u_top_u_core_core_busy_q;
-   wire u_top_u_core_core_clock_gate_i_en_latch;
-   wire \u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ;
-   wire \u_top_u_core_cs_registers_i_dcsr_q[stepie] ;
-   wire u_top_u_core_csr_access;
-   wire u_top_u_core_csr_mstatus_mie;
-   wire u_top_u_core_csr_mstatus_tw;
-   wire u_top_u_core_csr_restore_mret_id;
-   wire u_top_u_core_csr_save_cause;
-   wire u_top_u_core_csr_save_id;
-   wire u_top_u_core_csr_save_if;
-   wire u_top_u_core_ctrl_busy;
-   wire u_top_u_core_debug_csr_save;
-   wire u_top_u_core_debug_ebreakm;
-   wire u_top_u_core_debug_ebreaku;
-   wire u_top_u_core_debug_mode;
-   wire u_top_u_core_debug_single_step;
-   wire u_top_u_core_div_sel_ex;
-   wire u_top_u_core_ex_block_i_add_98_45_n_1;
-   wire u_top_u_core_ex_block_i_add_98_45_n_2;
-   wire u_top_u_core_ex_block_i_add_98_45_n_4;
-   wire u_top_u_core_ex_block_i_add_98_45_n_6;
-   wire u_top_u_core_ex_block_i_add_98_45_n_8;
-   wire u_top_u_core_ex_block_i_add_98_45_n_10;
-   wire u_top_u_core_ex_block_i_add_98_45_n_12;
-   wire u_top_u_core_ex_block_i_add_98_45_n_14;
-   wire u_top_u_core_ex_block_i_add_98_45_n_16;
-   wire u_top_u_core_ex_block_i_add_98_45_n_18;
-   wire u_top_u_core_ex_block_i_add_98_45_n_20;
-   wire u_top_u_core_ex_block_i_add_98_45_n_22;
-   wire u_top_u_core_ex_block_i_add_98_45_n_24;
-   wire u_top_u_core_ex_block_i_add_98_45_n_26;
-   wire u_top_u_core_ex_block_i_add_98_45_n_28;
-   wire u_top_u_core_ex_block_i_add_98_45_n_30;
-   wire u_top_u_core_ex_block_i_add_98_45_n_32;
-   wire u_top_u_core_ex_block_i_add_98_45_n_34;
-   wire u_top_u_core_ex_block_i_add_98_45_n_36;
-   wire u_top_u_core_ex_block_i_add_98_45_n_38;
-   wire u_top_u_core_ex_block_i_add_98_45_n_40;
-   wire u_top_u_core_ex_block_i_add_98_45_n_42;
-   wire u_top_u_core_ex_block_i_add_98_45_n_44;
-   wire u_top_u_core_ex_block_i_add_98_45_n_46;
-   wire u_top_u_core_ex_block_i_add_98_45_n_48;
-   wire u_top_u_core_ex_block_i_add_98_45_n_50;
-   wire u_top_u_core_ex_block_i_add_98_45_n_52;
-   wire u_top_u_core_ex_block_i_add_98_45_n_54;
-   wire u_top_u_core_ex_block_i_add_98_45_n_56;
-   wire u_top_u_core_ex_block_i_add_98_45_n_58;
-   wire u_top_u_core_ex_block_i_add_98_45_n_61;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_0;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_1;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_2;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_3;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_4;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_5;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_6;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_7;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_8;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_9;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_10;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_11;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_12;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_13;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_14;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_15;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_16;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_17;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_18;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_19;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_20;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_21;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_22;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_23;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_24;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_25;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_26;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_27;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_28;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_29;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_30;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_31;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_32;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_33;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_34;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_35;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_36;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_37;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_38;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_39;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_40;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_41;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_42;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_43;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_44;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_45;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_46;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_47;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_48;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_49;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_50;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_52;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_53;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_54;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_55;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_56;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_57;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_58;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_59;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_60;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_61;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_62;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_63;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_64;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_65;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_66;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_67;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_68;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_69;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_70;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_71;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_72;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_73;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_75;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_76;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_77;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_78;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_79;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_80;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_81;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_82;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_83;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_84;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_85;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_86;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_87;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_88;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_89;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_90;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_91;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_92;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_93;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_94;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_95;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_96;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_97;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_98;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_99;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_100;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_101;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_102;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_103;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_104;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_105;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_106;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_107;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_108;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_109;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_110;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_111;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_112;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_114;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_115;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_116;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_117;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_118;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_119;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_120;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_121;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_123;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_124;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_125;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_127;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_128;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_130;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_131;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_133;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_134;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_136;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_137;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_139;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_140;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_141;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_143;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_144;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_145;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_146;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_149;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_150;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_154;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_156;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_157;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_159;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_160;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_162;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_163;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_164;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_166;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_167;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_168;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_169;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_170;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_171;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_172;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_178;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_179;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_180;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_181;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_182;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_184;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_185;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_186;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_187;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_193;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_194;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_195;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_196;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_198;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_199;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_200;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_203;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_204;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_206;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_207;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_208;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_211;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_212;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_214;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_215;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_216;
-   wire u_top_u_core_ex_block_i_alu_i_add_86_53_n_285;
-   wire \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ;
-   wire u_top_u_core_fetch_enable_q;
-   wire u_top_u_core_id_in_ready;
-   wire u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec;
-   wire u_top_u_core_id_stage_i_branch_in_dec;
-   wire u_top_u_core_id_stage_i_branch_set;
-   wire u_top_u_core_id_stage_i_controller_run;
-   wire u_top_u_core_id_stage_i_csr_pipe_flush;
-   wire u_top_u_core_id_stage_i_div_en_dec;
-   wire u_top_u_core_id_stage_i_dret_insn_dec;
-   wire u_top_u_core_id_stage_i_ebrk_insn;
-   wire u_top_u_core_id_stage_i_ecall_insn_dec;
-   wire u_top_u_core_id_stage_i_flush_id;
-   wire u_top_u_core_id_stage_i_id_fsm_q;
-   wire u_top_u_core_id_stage_i_illegal_insn_dec;
-   wire u_top_u_core_id_stage_i_imm_a_mux_sel;
-   wire u_top_u_core_id_stage_i_jump_in_dec;
-   wire u_top_u_core_id_stage_i_jump_set;
-   wire u_top_u_core_id_stage_i_jump_set_dec;
-   wire u_top_u_core_id_stage_i_lsu_req_dec;
-   wire u_top_u_core_id_stage_i_mret_insn_dec;
-   wire u_top_u_core_id_stage_i_mult_en_dec;
-   wire u_top_u_core_id_stage_i_n_932;
-   wire u_top_u_core_id_stage_i_n_1058;
-   wire u_top_u_core_id_stage_i_n_1065;
-   wire u_top_u_core_id_stage_i_n_1227;
-   wire u_top_u_core_id_stage_i_n_1229;
-   wire u_top_u_core_id_stage_i_rf_ren_a;
-   wire u_top_u_core_id_stage_i_rf_ren_b;
-   wire u_top_u_core_id_stage_i_rf_we_dec;
-   wire u_top_u_core_id_stage_i_stall_id;
-   wire u_top_u_core_id_stage_i_stall_wb;
-   wire u_top_u_core_id_stage_i_wfi_insn_dec;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_2 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_6 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_8 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_10 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_12 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_14 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_16 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_18 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_20 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_22 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_24 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_26 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_28 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_30 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_32 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_34 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_36 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_38 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_40 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_42 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_44 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_46 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_48 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_50 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_52 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_54 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_56 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_58 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_60 ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_addr_incr_two ;
-   wire \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ;
-   wire u_top_u_core_illegal_c_insn_id;
-   wire u_top_u_core_illegal_csr_insn_id;
-   wire u_top_u_core_instr_first_cycle_id;
-   wire u_top_u_core_instr_is_compressed_id;
-   wire u_top_u_core_instr_req_int;
-   wire u_top_u_core_instr_valid_clear;
-   wire u_top_u_core_instr_valid_id;
-   wire \u_top_u_core_irqs[irq_external] ;
-   wire u_top_u_core_load_store_unit_i_data_sign_ext_q;
-   wire u_top_u_core_load_store_unit_i_data_we_q;
-   wire u_top_u_core_load_store_unit_i_handle_misaligned_q;
-   wire u_top_u_core_load_store_unit_i_n_937;
-   wire u_top_u_core_load_store_unit_i_n_938;
-   wire u_top_u_core_load_store_unit_i_n_939;
-   wire u_top_u_core_load_store_unit_i_split_misaligned_access;
-   wire u_top_u_core_lsu_sign_ext;
-   wire u_top_u_core_mult_sel_ex;
-   wire u_top_u_core_pc_set;
-   wire u_top_u_core_ready_wb;
-   wire u_top_u_core_trigger_match;
-   wire \u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ;
-   wire \u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ;
-   wire \u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ;
-   wire u_uart_u_uart_core_n_9;
-   wire u_uart_u_uart_core_n_69;
-   wire u_uart_u_uart_core_n_140;
-   wire u_uart_u_uart_core_n_196;
-   wire u_uart_u_uart_core_read_fifo_buffer_empty;
-   wire u_uart_u_uart_core_read_fifo_buffer_full_217;
-   wire u_uart_u_uart_core_rx_clr;
-   wire u_uart_u_uart_core_rx_done;
-   wire u_uart_u_uart_core_rx_en;
-   wire u_uart_u_uart_core_rx_fifo_clr;
-   wire u_uart_u_uart_core_rx_fifo_rst;
-   wire u_uart_u_uart_core_rx_sbit;
-   wire u_uart_u_uart_core_rx_status;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_0;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_1;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_2;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_3;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_4;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_5;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_6;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_7;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_8;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_9;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_10;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_11;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_12;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_13;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_14;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_15;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_16;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_17;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_18;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_19;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_20;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_21;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_22;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_23;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_24;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_25;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_26;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_27;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_28;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_29;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_30;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_31;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_32;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_33;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_34;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_35;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_36;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_37;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_38;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_39;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_40;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_41;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_42;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_43;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_44;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_45;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_46;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_47;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_48;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_49;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_50;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_51;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_52;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_53;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_54;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_55;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_56;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_57;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_58;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_59;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_60;
-   wire u_uart_u_uart_core_rx_time_gte_47_24_n_61;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_0;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_2;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_4;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_6;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_8;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_10;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_12;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_14;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_16;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_18;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_20;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_22;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_24;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_26;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_28;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_30;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_32;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_34;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_36;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_38;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_40;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_42;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_44;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_46;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_48;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_50;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_52;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_54;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_57;
-   wire u_uart_u_uart_core_rx_time_inc_add_40_24_n_58;
-   wire u_uart_u_uart_core_rx_time_n_217;
-   wire u_uart_u_uart_core_rx_time_n_576;
-   wire u_uart_u_uart_core_rx_time_n_577;
-   wire u_uart_u_uart_core_rx_time_n_578;
-   wire u_uart_u_uart_core_rx_time_n_579;
-   wire u_uart_u_uart_core_rx_time_n_580;
-   wire u_uart_u_uart_core_rx_time_n_581;
-   wire u_uart_u_uart_core_rx_time_n_582;
-   wire u_uart_u_uart_core_rx_time_n_583;
-   wire u_uart_u_uart_core_rx_time_n_584;
-   wire u_uart_u_uart_core_rx_time_n_585;
-   wire u_uart_u_uart_core_rx_time_n_586;
-   wire u_uart_u_uart_core_rx_time_n_587;
-   wire u_uart_u_uart_core_rx_time_n_588;
-   wire u_uart_u_uart_core_rx_time_n_589;
-   wire u_uart_u_uart_core_rx_time_n_590;
-   wire u_uart_u_uart_core_rx_time_n_591;
-   wire u_uart_u_uart_core_rx_time_n_592;
-   wire u_uart_u_uart_core_rx_time_n_593;
-   wire u_uart_u_uart_core_rx_time_n_594;
-   wire u_uart_u_uart_core_rx_time_n_595;
-   wire u_uart_u_uart_core_rx_time_n_596;
-   wire u_uart_u_uart_core_rx_time_n_597;
-   wire u_uart_u_uart_core_rx_time_n_598;
-   wire u_uart_u_uart_core_rx_time_n_599;
-   wire u_uart_u_uart_core_rx_time_n_600;
-   wire u_uart_u_uart_core_rx_time_n_601;
-   wire u_uart_u_uart_core_rx_time_n_602;
-   wire u_uart_u_uart_core_rx_time_n_603;
-   wire u_uart_u_uart_core_rx_time_n_604;
-   wire u_uart_u_uart_core_rx_time_n_605;
-   wire u_uart_u_uart_core_rx_time_n_606;
-   wire u_uart_u_uart_core_tx_done;
-   wire u_uart_u_uart_core_tx_en;
-   wire u_uart_u_uart_core_tx_en_sel;
-   wire u_uart_u_uart_core_tx_fifo_clear;
-   wire u_uart_u_uart_core_tx_fifo_init;
-   wire u_uart_u_uart_core_tx_fifo_re;
-   wire u_uart_u_uart_core_tx_fifo_reset;
-   wire u_uart_u_uart_core_tx_fifo_we;
-   wire u_uart_we;
-   wire \uart_to_xbar[d_valid] ;
-   wire \xbar_to_dccm[a_valid] ;
-   wire \xbar_to_lsu[d_valid] ;
-   wire \xbar_to_tcam[a_valid] ;
-   wire UNCONNECTED_HIER_Z4;
-   wire UNCONNECTED_HIER_Z3;
-   wire UNCONNECTED_HIER_Z2;
-   wire UNCONNECTED_HIER_Z1;
-   wire UNCONNECTED_HIER_Z0;
-   wire UNCONNECTED_HIER_Z;
-   wire UNCONNECTED283;
-   wire UNCONNECTED282;
-   wire UNCONNECTED281;
-   wire UNCONNECTED280;
-   wire UNCONNECTED279;
-   wire UNCONNECTED278;
-   wire UNCONNECTED277;
-   wire UNCONNECTED276;
-   wire UNCONNECTED275;
-   wire UNCONNECTED274;
-   wire UNCONNECTED273;
-   wire UNCONNECTED272;
-   wire UNCONNECTED271;
-   wire UNCONNECTED270;
-   wire UNCONNECTED269;
-   wire UNCONNECTED268;
-   wire UNCONNECTED267;
-   wire UNCONNECTED266;
-   wire UNCONNECTED265;
-   wire UNCONNECTED264;
-   wire UNCONNECTED263;
-   wire UNCONNECTED262;
-   wire UNCONNECTED261;
-   wire UNCONNECTED260;
-   wire UNCONNECTED259;
-   wire UNCONNECTED258;
-   wire UNCONNECTED287;
-   wire UNCONNECTED286;
-   wire UNCONNECTED_HIER_Z115;
-   wire UNCONNECTED_HIER_Z114;
-   wire UNCONNECTED_HIER_Z113;
-   wire UNCONNECTED_HIER_Z112;
-   wire UNCONNECTED_HIER_Z111;
-   wire UNCONNECTED_HIER_Z110;
-   wire UNCONNECTED_HIER_Z109;
-   wire UNCONNECTED_HIER_Z108;
-   wire UNCONNECTED_HIER_Z107;
-   wire UNCONNECTED_HIER_Z106;
-   wire UNCONNECTED_HIER_Z105;
-   wire UNCONNECTED_HIER_Z104;
-   wire UNCONNECTED_HIER_Z103;
-   wire UNCONNECTED_HIER_Z102;
-   wire UNCONNECTED_HIER_Z101;
-   wire UNCONNECTED_HIER_Z100;
-   wire UNCONNECTED_HIER_Z99;
-   wire UNCONNECTED_HIER_Z130;
-   wire UNCONNECTED_HIER_Z129;
-   wire UNCONNECTED_HIER_Z128;
-   wire UNCONNECTED_HIER_Z127;
-   wire UNCONNECTED_HIER_Z126;
-   wire UNCONNECTED_HIER_Z125;
-   wire UNCONNECTED_HIER_Z124;
-   wire UNCONNECTED_HIER_Z123;
-   wire UNCONNECTED_HIER_Z122;
-   wire UNCONNECTED_HIER_Z121;
-   wire UNCONNECTED_HIER_Z120;
-   wire UNCONNECTED_HIER_Z119;
-   wire UNCONNECTED_HIER_Z118;
-   wire UNCONNECTED_HIER_Z117;
-   wire UNCONNECTED_HIER_Z116;
-   wire UNCONNECTED292;
-   wire UNCONNECTED502;
-
-   // Module instantiations
-   sky130_fd_sc_hd__buf_1 FE_PHC3994_u_top_u_core_csr_mepc_15 (
-	.A(FE_PHN3987_u_top_u_core_csr_mepc_15),
-	.X(FE_PHN3994_u_top_u_core_csr_mepc_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PHC3993_u_top_u_core_csr_mepc_31 (
-	.A(u_top_u_core_csr_mepc[31]),
-	.X(FE_PHN3993_u_top_u_core_csr_mepc_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PHC3992_u_top_u_core_pc_id_28 (
-	.A(u_top_u_core_pc_id[28]),
-	.X(FE_PHN3992_u_top_u_core_pc_id_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PHC3991_u_top_u_core_pc_id_15 (
-	.A(u_top_u_core_pc_id[15]),
-	.X(FE_PHN3991_u_top_u_core_pc_id_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PHC3990_u_top_u_core_pc_id_14 (
-	.A(u_top_u_core_pc_id[14]),
-	.X(FE_PHN3990_u_top_u_core_pc_id_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PHC3989_u_top_u_core_pc_id_29 (
-	.A(u_top_u_core_pc_id[29]),
-	.X(FE_PHN3989_u_top_u_core_pc_id_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PHC3988_u_top_u_core_csr_mepc_30 (
-	.A(u_top_u_core_csr_mepc[30]),
-	.X(FE_PHN3988_u_top_u_core_csr_mepc_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_1 FE_PHC3987_u_top_u_core_csr_mepc_15 (
-	.A(u_top_u_core_csr_mepc[15]),
-	.X(FE_PHN3987_u_top_u_core_csr_mepc_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_1 FE_PHC3986_u_top_u_core_csr_mepc_29 (
-	.A(u_top_u_core_csr_mepc[29]),
-	.X(FE_PHN3986_u_top_u_core_csr_mepc_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_USKC3985_CTS_87 (
-	.A(CTS_87),
-	.X(FE_USKN3985_CTS_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_USKC3984_CTS_5 (
-	.A(CTS_5),
-	.X(FE_USKN3984_CTS_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_USKC3983_CTS_87 (
-	.A(FE_USKN3983_CTS_87),
-	.X(FE_USKN3974_CTS_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_USKC3982_CTS_10 (
-	.A(FE_USKN3982_CTS_10),
-	.X(FE_USKN3968_CTS_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_USKC3981_CTS_10 (
-	.A(FE_USKN3981_CTS_10),
-	.X(FE_USKN3969_CTS_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_USKC3980_CTS_10 (
-	.A(CTS_10),
-	.X(FE_USKN3980_CTS_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC3979_u_top_u_core_ex_block_i_alu_i_add_86_53_n_179 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_179),
-	.X(FE_PSN3979_u_top_u_core_ex_block_i_alu_i_add_86_53_n_179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3978_CTS_95 (
-	.A(FE_USKN3978_CTS_95),
-	.X(FE_USKN3957_CTS_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3977_CTS_99 (
-	.A(FE_USKN3977_CTS_99),
-	.X(CTS_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3976_CTS_15 (
-	.A(FE_USKN3976_CTS_15),
-	.X(FE_USKN3861_CTS_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3975_CTS_15 (
-	.A(FE_USKN3975_CTS_15),
-	.X(FE_USKN3862_CTS_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3974_CTS_15 (
-	.A(FE_USKN3974_CTS_15),
-	.X(FE_USKN3863_CTS_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3973_CTS_10 (
-	.A(FE_USKN3973_CTS_10),
-	.X(FE_USKN3864_CTS_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_USKC3972_CTS_10 (
-	.A(FE_USKN3972_CTS_10),
-	.X(FE_USKN3953_CTS_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3971_CTS_10 (
-	.A(FE_USKN3971_CTS_10),
-	.X(FE_USKN3955_CTS_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3970_CTS_95 (
-	.A(FE_USKN3970_CTS_95),
-	.X(FE_USKN3958_CTS_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3969_CTS_10 (
-	.A(FE_USKN3969_CTS_10),
-	.X(FE_USKN3952_CTS_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_USKC3968_CTS_10 (
-	.A(FE_USKN3968_CTS_10),
-	.X(FE_USKN3956_CTS_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_PSBC3835_n_10979 (
-	.A(n_10979),
-	.Y(FE_PSBN11071_n_10979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_PSBC3834_n_10979 (
-	.A(FE_PSBN11071_n_10979),
-	.Y(FE_PSBN11070_n_10979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSBC3833_n_11062 (
-	.A(n_11062),
-	.X(FE_PSBN11069_n_11062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PDC3832_n (
-	.A(FE_PDN11063_n),
-	.Y(FE_PDN11068_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_8 FE_PDC3831_n (
-	.A(FE_PDN11068_n),
-	.Y(FE_PDN11067_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3953_FE_OFN647_instr_wdata_10 (
-	.A(FE_PDN3948_FE_OFN647_instr_wdata_10),
-	.X(FE_PDN3953_FE_OFN647_instr_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3830_FE_OFN647_instr_wdata_10 (
-	.A(FE_PDN3953_FE_OFN647_instr_wdata_10),
-	.X(FE_PDN11066_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3952_FE_OFN769_u_iccm_rdata2_3 (
-	.A(FE_OFN769_u_iccm_rdata2_3),
-	.X(FE_PDN3952_FE_OFN769_u_iccm_rdata2_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3829_FE_OFN660_instr_wdata_8 (
-	.A(FE_PDN17133_FE_OFN660_instr_wdata_8),
-	.X(FE_PDN11065_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3951_FE_OFN16539_FE_OFN16242_n (
-	.A(FE_OFN16539_FE_OFN16242_n),
-	.X(FE_PDN3951_FE_OFN16539_FE_OFN16242_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3950_FE_OFN17097_n (
-	.A(FE_PDN3940_FE_OFN17097_n),
-	.X(FE_PDN3950_FE_OFN17097_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3949_FE_OFN16966_FE_OFN16579_FE_OFN16228_n (
-	.A(FE_PDN3941_FE_OFN16966_FE_OFN16579_FE_OFN16228_n),
-	.X(FE_PDN3949_FE_OFN16966_FE_OFN16579_FE_OFN16228_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PDC3828_logic_0_41_net (
-	.A(FE_PDN3936_logic_0_41_net),
-	.Y(FE_PDN11064_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_PDC3827_logic_0_41_net (
-	.A(FE_PDN11064_n),
-	.Y(FE_PDN11063_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_103 (
-	.DIODE(FE_OFN16937_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_102 (
-	.DIODE(FE_PDN3924_FE_OFN789_u_iccm_rdata3_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3975_CTS_94 (
-	.A(FE_USKN3975_CTS_94),
-	.X(FE_USKN3921_CTS_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_USKC3974_CTS_87 (
-	.A(FE_USKN3974_CTS_87),
-	.X(FE_USKN3960_CTS_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3973_CTS_92 (
-	.A(FE_USKN3973_CTS_92),
-	.X(FE_USKN3915_CTS_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3972_CTS_92 (
-	.A(FE_USKN3972_CTS_92),
-	.X(FE_USKN3917_CTS_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3971_CTS_85 (
-	.A(FE_USKN3971_CTS_85),
-	.X(CTS_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3970_CTS_85 (
-	.A(FE_USKN3970_CTS_85),
-	.X(FE_USKN3902_CTS_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3969_CTS_78 (
-	.A(FE_USKN3969_CTS_78),
-	.X(FE_USKN3882_CTS_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3968_CTS_78 (
-	.A(FE_USKN3968_CTS_78),
-	.X(FE_USKN3904_CTS_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC3967_n_15731 (
-	.A(n_15731),
-	.X(FE_PSN3967_n_15731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC3966_u_top_u_core_instr_valid_id (
-	.A(u_top_u_core_instr_valid_id),
-	.X(FE_PSN3966_u_top_u_core_instr_valid_id), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC3965_n_15686 (
-	.A(n_15686),
-	.X(FE_PSN3965_n_15686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC3964_u_top_u_core_alu_operand_b_ex_2 (
-	.A(u_top_u_core_alu_operand_b_ex[2]),
-	.X(FE_PSN3964_u_top_u_core_alu_operand_b_ex_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC3963_n_11923 (
-	.A(n_11923),
-	.X(FE_PSN3963_n_11923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_USKC3961_u_top_u_core_clk (
-	.A(FE_USKN3961_u_top_u_core_clk),
-	.X(u_top_u_core_clk), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3960_CTS_87 (
-	.A(FE_USKN3960_CTS_87),
-	.X(FE_USKN3914_CTS_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3958_CTS_95 (
-	.A(FE_USKN3958_CTS_95),
-	.X(CTS_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3957_CTS_95 (
-	.A(FE_USKN3957_CTS_95),
-	.X(FE_USKN3954_CTS_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_USKC3956_CTS_10 (
-	.A(FE_USKN3956_CTS_10),
-	.X(FE_USKN3981_CTS_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_USKC3955_CTS_10 (
-	.A(FE_USKN3955_CTS_10),
-	.X(FE_USKN3973_CTS_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3954_CTS_95 (
-	.A(FE_USKN3954_CTS_95),
-	.X(FE_USKN3970_CTS_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_USKC3953_CTS_10 (
-	.A(FE_USKN3953_CTS_10),
-	.X(FE_USKN3971_CTS_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_USKC3952_CTS_10 (
-	.A(CTS_10),
-	.X(FE_USKN3982_CTS_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC3951_lsu_to_xbar_a_address_31 (
-	.A(\lsu_to_xbar[a_address] [31]),
-	.X(FE_PSN3951_lsu_to_xbar_a_address_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC3950_FE_OFN803_u_iccm_rdata3_1 (
-	.A(FE_OFN803_u_iccm_rdata3_1),
-	.X(FE_PSN3950_FE_OFN803_u_iccm_rdata3_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC3949_FE_PDN3937_FE_OFN771_u_iccm_rdata2_1 (
-	.A(FE_PDN3937_FE_OFN771_u_iccm_rdata2_1),
-	.X(FE_PSN3949_FE_PDN3937_FE_OFN771_u_iccm_rdata2_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3948_FE_OFN647_instr_wdata_10 (
-	.A(FE_PDN17138_FE_OFN647_instr_wdata_10),
-	.X(FE_PDN3948_FE_OFN647_instr_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3947_FE_OFN766_u_iccm_rdata2_6 (
-	.A(FE_PDN17142_FE_OFN766_u_iccm_rdata2_6),
-	.X(FE_PDN3947_FE_OFN766_u_iccm_rdata2_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3946_FE_OFN789_u_iccm_rdata3_15 (
-	.A(FE_OFN789_u_iccm_rdata3_15),
-	.X(FE_PDN3946_FE_OFN789_u_iccm_rdata3_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC3945_FE_OFN756_u_iccm_rdata2_16 (
-	.A(FE_OFN756_u_iccm_rdata2_16),
-	.X(FE_PDN3945_FE_OFN756_u_iccm_rdata2_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3944_FE_OFN647_instr_wdata_10 (
-	.A(FE_PDN11066_n),
-	.X(FE_PDN3944_FE_OFN647_instr_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3943_FE_OFN16980_FE_OFN16847_FE_OFN16602_FE_OFN16405_FE_OFN16115_FE_OFN1708_n (
-	.A(FE_OFN16980_FE_OFN16847_FE_OFN16602_FE_OFN16405_FE_OFN16115_FE_OFN1708_n),
-	.X(FE_PDN3943_FE_OFN16980_FE_OFN16847_FE_OFN16602_FE_OFN16405_FE_OFN16115_FE_OFN1708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3942_FE_OFN17056_FE_OFN16916_FE_OFN16580_FE_OFN16155_FE_OFN1741_n (
-	.A(FE_PDN3797_FE_OFN17056_FE_OFN16916_FE_OFN16580_FE_OFN16155_FE_OFN1741_n),
-	.X(FE_PDN3942_FE_OFN17056_FE_OFN16916_FE_OFN16580_FE_OFN16155_FE_OFN1741_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3941_FE_OFN16966_FE_OFN16579_FE_OFN16228_n (
-	.A(FE_OFN16966_FE_OFN16579_FE_OFN16228_n),
-	.X(FE_PDN3941_FE_OFN16966_FE_OFN16579_FE_OFN16228_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3940_FE_OFN17097_n (
-	.A(FE_OFN17097_n),
-	.X(FE_PDN3940_FE_OFN17097_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3939_FE_OFN16937_n (
-	.A(FE_OFN16937_n),
-	.X(FE_PDN3939_FE_OFN16937_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_8 FE_PDC3823_FE_OFN766_u_iccm_rdata2_6 (
-	.A(FE_OFN766_u_iccm_rdata2_6),
-	.Y(FE_PDN17142_FE_OFN766_u_iccm_rdata2_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3822_FE_OFN766_u_iccm_rdata2_6 (
-	.A(FE_PDN3947_FE_OFN766_u_iccm_rdata2_6),
-	.X(FE_PDN17141_FE_OFN766_u_iccm_rdata2_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_PDC3821_FE_OFN766_u_iccm_rdata2_6 (
-	.A(FE_PDN17141_FE_OFN766_u_iccm_rdata2_6),
-	.Y(FE_PDN17140_FE_OFN766_u_iccm_rdata2_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3938_FE_OFN732_u_iccm_rdata1_8 (
-	.A(FE_OFN732_u_iccm_rdata1_8),
-	.X(FE_PDN3938_FE_OFN732_u_iccm_rdata1_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC3937_FE_OFN771_u_iccm_rdata2_1 (
-	.A(FE_OFN771_u_iccm_rdata2_1),
-	.X(FE_PDN3937_FE_OFN771_u_iccm_rdata2_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC3936_logic_0_41_net (
-	.A(logic_0_41_net),
-	.X(FE_PDN3936_logic_0_41_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3935_FE_OFN238_data_wdata_30 (
-	.A(FE_OFN238_data_wdata_30),
-	.X(FE_PDN3935_FE_OFN238_data_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3934_FE_OFN0_system_rst_ni (
-	.A(FE_OFN0_system_rst_ni),
-	.X(FE_PDN3934_FE_OFN0_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3933_FE_OFN729_u_iccm_rdata1_11 (
-	.A(FE_OFN729_u_iccm_rdata1_11),
-	.X(FE_PDN3933_FE_OFN729_u_iccm_rdata1_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC3932_FE_OFN526_instr_wdata_29 (
-	.A(FE_OFN526_instr_wdata_29),
-	.X(FE_PDN3932_FE_OFN526_instr_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3931_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo_under_rst (
-	.A(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
-	.X(FE_PDN3931_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo_under_rst), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3930_FE_OFN581_instr_wdata_20 (
-	.A(FE_OFN581_instr_wdata_20),
-	.X(FE_PDN3930_FE_OFN581_instr_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3929_n_2092 (
-	.A(n_2092),
-	.X(FE_PDN3929_n_2092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3928_FE_OFN756_u_iccm_rdata2_16 (
-	.A(FE_PDN3945_FE_OFN756_u_iccm_rdata2_16),
-	.X(FE_PDN3928_FE_OFN756_u_iccm_rdata2_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3820_FE_OFN629_instr_wdata_13 (
-	.A(FE_OFN629_instr_wdata_13),
-	.X(FE_PDN17139_FE_OFN629_instr_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3927_FE_OFN791_u_iccm_rdata3_13 (
-	.A(FE_OFN791_u_iccm_rdata3_13),
-	.X(FE_PDN3927_FE_OFN791_u_iccm_rdata3_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3926_FE_OFN744_u_iccm_rdata2_28 (
-	.A(FE_OFN744_u_iccm_rdata2_28),
-	.X(FE_PDN3926_FE_OFN744_u_iccm_rdata2_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_8 FE_PDC3819_FE_OFN647_instr_wdata_10 (
-	.A(FE_OFN647_instr_wdata_10),
-	.Y(FE_PDN17138_FE_OFN647_instr_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3818_FE_OFN647_instr_wdata_10 (
-	.A(FE_PDN3944_FE_OFN647_instr_wdata_10),
-	.X(FE_PDN17137_FE_OFN647_instr_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_PDC3817_FE_OFN647_instr_wdata_10 (
-	.A(FE_PDN17137_FE_OFN647_instr_wdata_10),
-	.Y(FE_PDN17136_FE_OFN647_instr_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3816_FE_OFN647_instr_wdata_10 (
-	.A(FE_PDN17136_FE_OFN647_instr_wdata_10),
-	.X(FE_PDN17135_FE_OFN647_instr_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PDC3815_FE_OFN660_instr_wdata_8 (
-	.A(FE_OFN660_instr_wdata_8),
-	.Y(FE_PDN17134_FE_OFN660_instr_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_PDC3814_FE_OFN660_instr_wdata_8 (
-	.A(FE_PDN17134_FE_OFN660_instr_wdata_8),
-	.Y(FE_PDN17133_FE_OFN660_instr_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3813_FE_OFN660_instr_wdata_8 (
-	.A(FE_PDN11065_n),
-	.X(FE_PDN17132_FE_OFN660_instr_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3925_FE_OFN602_instr_wdata_17 (
-	.A(FE_OFN602_instr_wdata_17),
-	.X(FE_PDN3925_FE_OFN602_instr_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC3924_FE_OFN789_u_iccm_rdata3_15 (
-	.A(FE_PDN3946_FE_OFN789_u_iccm_rdata3_15),
-	.X(FE_PDN3924_FE_OFN789_u_iccm_rdata3_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3812_FE_OFN799_u_iccm_rdata3_5 (
-	.A(FE_OFN799_u_iccm_rdata3_5),
-	.X(FE_PDN17131_FE_OFN799_u_iccm_rdata3_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3811_FE_OFN799_u_iccm_rdata3_5 (
-	.A(FE_PDN17131_FE_OFN799_u_iccm_rdata3_5),
-	.X(FE_PDN17130_FE_OFN799_u_iccm_rdata3_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_101 (
-	.DIODE(FE_PDN3932_FE_OFN526_instr_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_100 (
-	.DIODE(FE_OFN296_data_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_99 (
-	.DIODE(FE_OFN800_u_iccm_rdata3_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_97 (
-	.DIODE(FE_OFN442_u_dccm_rdata1_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3921_CTS_94 (
-	.A(FE_USKN3921_CTS_94),
-	.X(CTS_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3920_CTS_94 (
-	.A(FE_USKN3920_CTS_94),
-	.X(FE_USKN3908_CTS_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3919_CTS_94 (
-	.A(FE_USKN3919_CTS_94),
-	.X(FE_USKN3916_CTS_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3917_CTS_92 (
-	.A(FE_USKN3917_CTS_92),
-	.X(CTS_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3916_CTS_94 (
-	.A(FE_USKN3916_CTS_94),
-	.X(FE_USKN3975_CTS_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3915_CTS_92 (
-	.A(FE_USKN3915_CTS_92),
-	.X(FE_USKN3868_CTS_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3914_CTS_87 (
-	.A(FE_USKN3914_CTS_87),
-	.X(CTS_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3909_CTS_92 (
-	.A(FE_USKN3909_CTS_92),
-	.X(FE_USKN3972_CTS_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3908_CTS_94 (
-	.A(FE_USKN3908_CTS_94),
-	.X(FE_USKN3919_CTS_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3907_CTS_92 (
-	.A(FE_USKN3907_CTS_92),
-	.X(FE_USKN3973_CTS_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3906_CTS_63 (
-	.A(FE_USKN3906_CTS_63),
-	.X(CTS_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3905_CTS_77 (
-	.A(FE_USKN3905_CTS_77),
-	.X(CTS_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3904_CTS_78 (
-	.A(FE_USKN3904_CTS_78),
-	.X(CTS_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3903_CTS_84 (
-	.A(FE_USKN3903_CTS_84),
-	.X(CTS_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3902_CTS_85 (
-	.A(FE_USKN3902_CTS_85),
-	.X(FE_USKN3971_CTS_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3901_CTS_46 (
-	.A(FE_USKN3901_CTS_46),
-	.X(CTS_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3900_CTS_51 (
-	.A(FE_USKN3900_CTS_51),
-	.X(CTS_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3899_CTS_57 (
-	.A(FE_USKN3899_CTS_57),
-	.X(CTS_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3898_CTS_70 (
-	.A(FE_USKN3898_CTS_70),
-	.X(CTS_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3897_CTS_59 (
-	.A(FE_USKN3897_CTS_59),
-	.X(CTS_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3896_CTS_73 (
-	.A(FE_USKN3896_CTS_73),
-	.X(CTS_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3895_CTS_64 (
-	.A(FE_USKN3895_CTS_64),
-	.X(CTS_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3894_CTS_75 (
-	.A(FE_USKN3894_CTS_75),
-	.X(CTS_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3893_CTS_67 (
-	.A(FE_USKN3893_CTS_67),
-	.X(CTS_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3892_CTS_58 (
-	.A(FE_USKN3892_CTS_58),
-	.X(CTS_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3891_CTS_72 (
-	.A(FE_USKN3891_CTS_72),
-	.X(CTS_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3890_CTS_71 (
-	.A(FE_USKN3890_CTS_71),
-	.X(CTS_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3889_CTS_65 (
-	.A(FE_USKN3889_CTS_65),
-	.X(CTS_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3888_CTS_68 (
-	.A(FE_USKN3888_CTS_68),
-	.X(CTS_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3887_CTS_66 (
-	.A(FE_USKN3887_CTS_66),
-	.X(CTS_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3886_CTS_62 (
-	.A(FE_USKN3886_CTS_62),
-	.X(CTS_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3885_CTS_61 (
-	.A(FE_USKN3885_CTS_61),
-	.X(CTS_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3884_CTS_63 (
-	.A(FE_USKN3884_CTS_63),
-	.X(FE_USKN3906_CTS_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3883_CTS_77 (
-	.A(FE_USKN3883_CTS_77),
-	.X(FE_USKN3905_CTS_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3882_CTS_78 (
-	.A(FE_USKN3882_CTS_78),
-	.X(FE_USKN3968_CTS_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PSC3881_u_top_u_core_alu_operand_b_ex_15 (
-	.A(u_top_u_core_alu_operand_b_ex[15]),
-	.X(FE_PSN3881_u_top_u_core_alu_operand_b_ex_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC3880_FE_OFN16059_n_15701 (
-	.A(FE_OFN16059_n_15701),
-	.X(FE_PSN3880_FE_OFN16059_n_15701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC3879_u_top_u_core_instr_rdata_id_15 (
-	.A(u_top_u_core_instr_rdata_id[15]),
-	.X(FE_PSN3879_u_top_u_core_instr_rdata_id_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC3878_n_11278 (
-	.A(n_11278),
-	.X(FE_PSN3878_n_11278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC3877_n_13702 (
-	.A(n_13702),
-	.X(FE_PSN3877_n_13702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC3876_n_13304 (
-	.A(n_13304),
-	.X(FE_PSN3876_n_13304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC3875_n_13303 (
-	.A(n_13303),
-	.X(FE_PSN3875_n_13303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC3874_FE_OFN1859_u_top_u_core_rf_waddr_wb_4 (
-	.A(FE_OFN1859_u_top_u_core_rf_waddr_wb_4),
-	.X(FE_PSN3874_FE_OFN1859_u_top_u_core_rf_waddr_wb_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC3873_FE_OFN15988_n_15690 (
-	.A(FE_OFN15988_n_15690),
-	.X(FE_PSN3873_FE_OFN15988_n_15690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC3872_u_top_u_core_rf_waddr_wb_3 (
-	.A(u_top_u_core_rf_waddr_wb[3]),
-	.X(FE_PSN3872_u_top_u_core_rf_waddr_wb_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC3871_FE_OFN813_u_tcam_rdata_1 (
-	.A(FE_OFN813_u_tcam_rdata_1),
-	.X(FE_PSN3871_FE_OFN813_u_tcam_rdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC3870_FE_OFN811_u_tcam_rdata_2 (
-	.A(FE_OFN811_u_tcam_rdata_2),
-	.X(FE_PSN3870_FE_OFN811_u_tcam_rdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3868_CTS_92 (
-	.A(FE_USKN3868_CTS_92),
-	.X(FE_USKN3909_CTS_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_USKC3864_CTS_10 (
-	.A(CTS_10),
-	.X(FE_USKN3972_CTS_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3863_CTS_15 (
-	.A(FE_USKN3863_CTS_15),
-	.X(CTS_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3862_CTS_15 (
-	.A(FE_USKN3862_CTS_15),
-	.X(FE_USKN3844_CTS_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3861_CTS_15 (
-	.A(FE_USKN3861_CTS_15),
-	.X(FE_USKN3857_CTS_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3860_CTS_16 (
-	.A(FE_USKN3860_CTS_16),
-	.X(FE_USKN3856_CTS_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3859_CTS_91 (
-	.A(FE_USKN3859_CTS_91),
-	.X(CTS_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3857_CTS_15 (
-	.A(FE_USKN3857_CTS_15),
-	.X(FE_USKN3974_CTS_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3856_CTS_16 (
-	.A(FE_USKN3856_CTS_16),
-	.X(CTS_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3855_CTS_80 (
-	.A(FE_USKN3855_CTS_80),
-	.X(CTS_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_USKC3854_u_top_u_core_clk (
-	.A(FE_USKN3854_u_top_u_core_clk),
-	.X(FE_USKN3961_u_top_u_core_clk), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3853_CTS_79 (
-	.A(FE_USKN3853_CTS_79),
-	.X(CTS_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3852_CTS_5 (
-	.A(FE_USKN3852_CTS_5),
-	.X(CTS_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3851_CTS_1 (
-	.A(FE_USKN3851_CTS_1),
-	.X(CTS_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3850_CTS_91 (
-	.A(FE_USKN3850_CTS_91),
-	.X(FE_USKN3859_CTS_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3849_CTS_80 (
-	.A(FE_USKN3849_CTS_80),
-	.X(FE_USKN3855_CTS_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3848_CTS_79 (
-	.A(FE_USKN3848_CTS_79),
-	.X(FE_USKN3853_CTS_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3847_CTS_18 (
-	.A(FE_USKN3847_CTS_18),
-	.X(CTS_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3846_CTS_27 (
-	.A(FE_USKN3846_CTS_27),
-	.X(CTS_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_USKC3845_u_top_u_core_clk (
-	.A(FE_USKN3845_u_top_u_core_clk),
-	.X(FE_USKN3854_u_top_u_core_clk), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC3844_CTS_15 (
-	.A(FE_USKN3844_CTS_15),
-	.X(FE_USKN3976_CTS_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC3843_CTS_16 (
-	.A(FE_USKN3843_CTS_16),
-	.X(FE_USKN3860_CTS_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC3842_u_top_u_core_if_stage_i_gen_prefetch_buffer_prefetch_buffer_i_fifo_i_add_145_48_n_1 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1 ),
-	.X(FE_PSN3842_u_top_u_core_if_stage_i_gen_prefetch_buffer_prefetch_buffer_i_fifo_i_add_145_48_n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC3841_u_top_u_core_if_stage_i_gen_prefetch_buffer_prefetch_buffer_i_fifo_i_add_145_48_n_1 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1 ),
-	.X(FE_PSN3841_u_top_u_core_if_stage_i_gen_prefetch_buffer_prefetch_buffer_i_fifo_i_add_145_48_n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC3840_FE_PDN17122_n (
-	.A(FE_PDN17122_n),
-	.X(FE_PSN3840_FE_PDN17122_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC3839_FE_PDN3830_FE_OFN803_u_iccm_rdata3_1 (
-	.A(FE_PDN3830_FE_OFN803_u_iccm_rdata3_1),
-	.X(FE_PSN3839_FE_PDN3830_FE_OFN803_u_iccm_rdata3_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC3838_n_10745 (
-	.A(n_10745),
-	.X(FE_PSN3838_n_10745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PSC3837_n_11064 (
-	.A(n_11064),
-	.X(FE_PSN3837_n_11064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC3836_FE_OCPN16328_n_11062 (
-	.A(FE_PSBN11069_n_11062),
-	.X(FE_PSN3836_FE_OCPN16328_n_11062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PSC3835_u_top_u_core_if_stage_i_gen_prefetch_buffer_prefetch_buffer_i_fifo_i_addr_incr_two (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_addr_incr_two ),
-	.X(FE_PSN3835_u_top_u_core_if_stage_i_gen_prefetch_buffer_prefetch_buffer_i_fifo_i_addr_incr_two), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3834_FE_OFN787_u_iccm_rdata3_17 (
-	.A(FE_OFN787_u_iccm_rdata3_17),
-	.X(FE_PDN3834_FE_OFN787_u_iccm_rdata3_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3833_FE_OFN794_u_iccm_rdata3_10 (
-	.A(FE_PDN3828_FE_OFN794_u_iccm_rdata3_10),
-	.X(FE_PDN3833_FE_OFN794_u_iccm_rdata3_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3832_FE_OFN506_u_dccm_rdata3_7 (
-	.A(FE_PDN3651_FE_OFN506_u_dccm_rdata3_7),
-	.X(FE_PDN3832_FE_OFN506_u_dccm_rdata3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3831_FE_OFN500_u_dccm_rdata3_13 (
-	.A(FE_PDN3655_FE_OFN500_u_dccm_rdata3_13),
-	.X(FE_PDN3831_FE_OFN500_u_dccm_rdata3_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3830_FE_OFN803_u_iccm_rdata3_1 (
-	.A(FE_PDN3666_FE_OFN803_u_iccm_rdata3_1),
-	.X(FE_PDN3830_FE_OFN803_u_iccm_rdata3_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3829_FE_OFN797_u_iccm_rdata3_7 (
-	.A(FE_PDN3663_FE_OFN797_u_iccm_rdata3_7),
-	.X(FE_PDN3829_FE_OFN797_u_iccm_rdata3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3805_FE_OFN794_u_iccm_rdata3_10 (
-	.A(FE_PDN3661_FE_OFN794_u_iccm_rdata3_10),
-	.X(FE_PDN17124_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_PDC3804_FE_OFN787_u_iccm_rdata3_17 (
-	.A(FE_PDN3657_FE_OFN787_u_iccm_rdata3_17),
-	.Y(FE_PDN17123_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_PDC3803_FE_OFN787_u_iccm_rdata3_17 (
-	.A(FE_PDN17123_n),
-	.Y(FE_PDN17122_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3828_FE_OFN794_u_iccm_rdata3_10 (
-	.A(FE_OFN794_u_iccm_rdata3_10),
-	.X(FE_PDN3828_FE_OFN794_u_iccm_rdata3_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3827_FE_OFN350_data_wdata_11 (
-	.A(FE_PDN17111_FE_OFN350_data_wdata_11),
-	.X(FE_PDN3827_FE_OFN350_data_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3826_FE_OFN500_u_dccm_rdata3_13 (
-	.A(FE_OFN500_u_dccm_rdata3_13),
-	.X(FE_PDN3826_FE_OFN500_u_dccm_rdata3_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3825_FE_OFN492_u_dccm_rdata3_21 (
-	.A(FE_OFN492_u_dccm_rdata3_21),
-	.X(FE_PDN3825_FE_OFN492_u_dccm_rdata3_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3824_FE_OFN510_u_dccm_rdata3_3 (
-	.A(FE_OFN510_u_dccm_rdata3_3),
-	.X(FE_PDN3824_FE_OFN510_u_dccm_rdata3_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3823_FE_OFN506_u_dccm_rdata3_7 (
-	.A(FE_OFN506_u_dccm_rdata3_7),
-	.X(FE_PDN3823_FE_OFN506_u_dccm_rdata3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3822_FE_OFN504_u_dccm_rdata3_9 (
-	.A(FE_OFN504_u_dccm_rdata3_9),
-	.X(FE_PDN3822_FE_OFN504_u_dccm_rdata3_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3821_FE_OFN798_u_iccm_rdata3_6 (
-	.A(FE_PDN3667_FE_OFN798_u_iccm_rdata3_6),
-	.X(FE_PDN3821_FE_OFN798_u_iccm_rdata3_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3820_FE_OFN230_n_0 (
-	.A(FE_OFN230_n_0),
-	.X(FE_PDN3820_FE_OFN230_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3819_FE_OFN508_u_dccm_rdata3_5 (
-	.A(FE_OFN508_u_dccm_rdata3_5),
-	.X(FE_PDN3819_FE_OFN508_u_dccm_rdata3_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3818_FE_OFN802_u_iccm_rdata3_2 (
-	.A(FE_PDN3664_FE_OFN802_u_iccm_rdata3_2),
-	.X(FE_PDN3818_FE_OFN802_u_iccm_rdata3_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3817_FE_OFN801_u_iccm_rdata3_3 (
-	.A(FE_PDN3665_FE_OFN801_u_iccm_rdata3_3),
-	.X(FE_PDN3817_FE_OFN801_u_iccm_rdata3_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3816_FE_OFN796_u_iccm_rdata3_8 (
-	.A(FE_PDN3656_FE_OFN796_u_iccm_rdata3_8),
-	.X(FE_PDN3816_FE_OFN796_u_iccm_rdata3_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_8 FE_PDC3815_FE_OFN773_u_iccm_rdata3_31 (
-	.A(FE_PDN17105_FE_OFN773_u_iccm_rdata3_31),
-	.X(FE_PDN3815_FE_OFN773_u_iccm_rdata3_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3814_FE_OFN560_instr_wdata_24 (
-	.A(FE_OFN560_instr_wdata_24),
-	.X(FE_PDN3814_FE_OFN560_instr_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3813_FE_OFN701_instr_wdata_2 (
-	.A(FE_OFN701_instr_wdata_2),
-	.X(FE_PDN3813_FE_OFN701_instr_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3812_FE_OFN410_data_wdata_2 (
-	.A(FE_OFN410_data_wdata_2),
-	.X(FE_PDN3812_FE_OFN410_data_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3811_FE_OFN712_instr_wdata_0 (
-	.A(FE_OFN712_instr_wdata_0),
-	.X(FE_PDN3811_FE_OFN712_instr_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3810_FE_OFN16093_FE_OFN890_instr_wmask_0 (
-	.A(FE_OFN16093_FE_OFN890_instr_wmask_0),
-	.X(FE_PDN3810_FE_OFN16093_FE_OFN890_instr_wmask_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3809_FE_OFN292_data_wdata_21 (
-	.A(FE_OFN292_data_wdata_21),
-	.X(FE_PDN3809_FE_OFN292_data_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3808_FE_OFN416_data_wdata_1 (
-	.A(FE_OFN416_data_wdata_1),
-	.X(FE_PDN3808_FE_OFN416_data_wdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3802_FE_OFN687_instr_wdata_4 (
-	.A(FE_OFN687_instr_wdata_4),
-	.X(FE_PDN17121_FE_OFN687_instr_wdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3807_FE_OFN16095_FE_OFN889_instr_wmask_0 (
-	.A(FE_OFN16095_FE_OFN889_instr_wmask_0),
-	.X(FE_PDN3807_FE_OFN16095_FE_OFN889_instr_wmask_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3806_n_2083 (
-	.A(n_2083),
-	.X(FE_PDN3806_n_2083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3804_FE_OFN710_instr_wdata_0 (
-	.A(FE_OFN710_instr_wdata_0),
-	.X(FE_PDN3804_FE_OFN710_instr_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_PDC3801_FE_OFN231_n_0 (
-	.A(FE_OFN231_n_0),
-	.Y(FE_PDN17120_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_PDC3800_FE_OFN231_n_0 (
-	.A(FE_PDN17120_FE_OFN231_n_0),
-	.Y(FE_PDN17119_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3799_FE_OFN231_n_0 (
-	.A(FE_PDN17119_FE_OFN231_n_0),
-	.X(FE_PDN17118_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3800_u_dccm_csb4 (
-	.A(u_dccm_csb4),
-	.X(FE_PDN3800_u_dccm_csb4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3799_FE_OFN17094_n (
-	.A(FE_OFN17094_n),
-	.X(FE_PDN3799_FE_OFN17094_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3798_FE_OFN17078_n (
-	.A(FE_OFN17078_n),
-	.X(FE_PDN3798_FE_OFN17078_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3797_FE_OFN17056_FE_OFN16916_FE_OFN16580_FE_OFN16155_FE_OFN1741_n (
-	.A(FE_OFN17056_FE_OFN16916_FE_OFN16580_FE_OFN16155_FE_OFN1741_n),
-	.X(FE_PDN3797_FE_OFN17056_FE_OFN16916_FE_OFN16580_FE_OFN16155_FE_OFN1741_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3795_FE_OFN16673_FE_OFN16527_FE_OFN16173_FE_OFN1667_n (
-	.A(FE_OFN16673_FE_OFN16527_FE_OFN16173_FE_OFN1667_n),
-	.X(FE_PDN3795_FE_OFN16673_FE_OFN16527_FE_OFN16173_FE_OFN1667_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3794_FE_OFN16395_FE_OFN16102_FE_OFN871_data_wmask_2 (
-	.A(FE_OFN16395_FE_OFN16102_FE_OFN871_data_wmask_2),
-	.X(FE_PDN3794_FE_OFN16395_FE_OFN16102_FE_OFN871_data_wmask_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3793_logic_0_11_net (
-	.A(logic_0_11_net),
-	.X(FE_PDN3793_logic_0_11_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3790_FE_OFN16969_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n (
-	.A(FE_OFN16969_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n),
-	.X(FE_PDN3790_FE_OFN16969_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3789_FE_OFN16974_FE_OFN16844_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n (
-	.A(FE_OFN16974_FE_OFN16844_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n),
-	.X(FE_PDN3789_FE_OFN16974_FE_OFN16844_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3788_n_8446 (
-	.A(n_8446),
-	.X(FE_PDN3788_n_8446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3787_u_iccm_addr3_7 (
-	.A(u_iccm_addr3[7]),
-	.X(FE_PDN3787_u_iccm_addr3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3786_FE_OFN296_data_wdata_20 (
-	.A(FE_OFN296_data_wdata_20),
-	.X(FE_PDN3786_FE_OFN296_data_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3785_logic_0_14_net (
-	.A(logic_0_14_net),
-	.X(FE_PDN3785_logic_0_14_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3784_FE_OFN241_data_wdata_30 (
-	.A(FE_OFN241_data_wdata_30),
-	.X(FE_PDN3784_FE_OFN241_data_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3783_FE_OFN592_instr_wdata_19 (
-	.A(FE_OFN592_instr_wdata_19),
-	.X(FE_PDN3783_FE_OFN592_instr_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3782_FE_OFN558_instr_wdata_24 (
-	.A(FE_OFN558_instr_wdata_24),
-	.X(FE_PDN3782_FE_OFN558_instr_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3781_FE_OFN698_instr_wdata_2 (
-	.A(FE_OFN698_instr_wdata_2),
-	.X(FE_PDN3781_FE_OFN698_instr_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3780_FE_OFN609_instr_wdata_16 (
-	.A(FE_OFN609_instr_wdata_16),
-	.X(FE_PDN3780_FE_OFN609_instr_wdata_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3779_FE_OFN442_u_dccm_rdata1_7 (
-	.A(FE_OFN442_u_dccm_rdata1_7),
-	.X(FE_PDN3779_FE_OFN442_u_dccm_rdata1_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3778_FE_OFN878_data_wmask_1 (
-	.A(FE_OFN878_data_wmask_1),
-	.X(FE_PDN3778_FE_OFN878_data_wmask_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3775_logic_0_59_net (
-	.A(logic_0_59_net),
-	.X(FE_PDN3775_logic_0_59_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3774_FE_OFN246_data_wdata_29 (
-	.A(FE_OFN246_data_wdata_29),
-	.X(FE_PDN3774_FE_OFN246_data_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3772_FE_OFN305_data_wdata_19 (
-	.A(FE_OFN305_data_wdata_19),
-	.X(FE_PDN3772_FE_OFN305_data_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3770_FE_OFN740_u_iccm_rdata1_0 (
-	.A(FE_OFN740_u_iccm_rdata1_0),
-	.X(FE_PDN3770_FE_OFN740_u_iccm_rdata1_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3769_FE_OFN678_instr_wdata_5 (
-	.A(FE_OFN678_instr_wdata_5),
-	.X(FE_PDN3769_FE_OFN678_instr_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3768_FE_OFN16098_FE_OFN1738_n (
-	.A(FE_OFN16098_FE_OFN1738_n),
-	.X(FE_PDN3768_FE_OFN16098_FE_OFN1738_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3767_FE_OFN617_instr_wdata_15 (
-	.A(FE_OFN617_instr_wdata_15),
-	.X(FE_PDN3767_FE_OFN617_instr_wdata_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3766_FE_OFN863_data_wmask_3 (
-	.A(FE_OFN863_data_wmask_3),
-	.X(FE_PDN3766_FE_OFN863_data_wmask_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3765_FE_OFN638_instr_wdata_12 (
-	.A(FE_OFN638_instr_wdata_12),
-	.X(FE_PDN3765_FE_OFN638_instr_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3764_FE_OFN659_instr_wdata_8 (
-	.A(FE_OFN659_instr_wdata_8),
-	.X(FE_PDN3764_FE_OFN659_instr_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3763_FE_OFN548_instr_wdata_26 (
-	.A(FE_OFN548_instr_wdata_26),
-	.X(FE_PDN3763_FE_OFN548_instr_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3762_FE_OFN586_instr_wdata_20 (
-	.A(FE_OFN586_instr_wdata_20),
-	.X(FE_PDN3762_FE_OFN586_instr_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3761_FE_OFN278_data_wdata_23 (
-	.A(FE_OFN278_data_wdata_23),
-	.X(FE_PDN3761_FE_OFN278_data_wdata_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3760_FE_OFN563_instr_wdata_23 (
-	.A(FE_OFN563_instr_wdata_23),
-	.X(FE_PDN3760_FE_OFN563_instr_wdata_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3758_FE_OFN269_data_wdata_25 (
-	.A(FE_OFN269_data_wdata_25),
-	.X(FE_PDN3758_FE_OFN269_data_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3757_FE_OFN739_u_iccm_rdata1_1 (
-	.A(FE_OFN739_u_iccm_rdata1_1),
-	.X(FE_PDN3757_FE_OFN739_u_iccm_rdata1_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3756_FE_OFN390_data_wdata_5 (
-	.A(FE_OFN390_data_wdata_5),
-	.X(FE_PDN3756_FE_OFN390_data_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3755_FE_OFN709_instr_wdata_0 (
-	.A(FE_OFN709_instr_wdata_0),
-	.X(FE_PDN3755_FE_OFN709_instr_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3754_FE_OFN286_data_wdata_22 (
-	.A(FE_OFN286_data_wdata_22),
-	.X(FE_PDN3754_FE_OFN286_data_wdata_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3753_FE_OFN450_u_dccm_rdata2_31 (
-	.A(FE_OFN450_u_dccm_rdata2_31),
-	.X(FE_PDN3753_FE_OFN450_u_dccm_rdata2_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3752_FE_OFN233_data_wdata_31 (
-	.A(FE_OFN233_data_wdata_31),
-	.X(FE_PDN3752_FE_OFN233_data_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3751_FE_OFN690_instr_wdata_3 (
-	.A(FE_OFN690_instr_wdata_3),
-	.X(FE_PDN3751_FE_OFN690_instr_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3749_FE_OFN408_data_wdata_2 (
-	.A(FE_OFN408_data_wdata_2),
-	.X(FE_PDN3749_FE_OFN408_data_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3748_FE_OFN422_data_wdata_0 (
-	.A(FE_OFN422_data_wdata_0),
-	.X(FE_PDN3748_FE_OFN422_data_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3747_FE_OFN764_u_iccm_rdata2_8 (
-	.A(FE_OFN764_u_iccm_rdata2_8),
-	.X(FE_PDN3747_FE_OFN764_u_iccm_rdata2_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3746_n_17990 (
-	.A(n_17990),
-	.X(FE_PDN3746_n_17990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3745_FE_OFN479_u_dccm_rdata2_2 (
-	.A(FE_OFN479_u_dccm_rdata2_2),
-	.X(FE_PDN3745_FE_OFN479_u_dccm_rdata2_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3744_FE_OFN656_instr_wdata_9 (
-	.A(FE_OFN656_instr_wdata_9),
-	.X(FE_PDN3744_FE_OFN656_instr_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3743_FE_OFN697_instr_wdata_2 (
-	.A(FE_OFN697_instr_wdata_2),
-	.X(FE_PDN3743_FE_OFN697_instr_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3742_FE_OFN784_u_iccm_rdata3_20 (
-	.A(FE_OFN784_u_iccm_rdata3_20),
-	.X(FE_PDN3742_FE_OFN784_u_iccm_rdata3_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3741_FE_OFN383_data_wdata_6 (
-	.A(FE_OFN383_data_wdata_6),
-	.X(FE_PDN3741_FE_OFN383_data_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3740_FE_OFN483_u_dccm_rdata3_30 (
-	.A(FE_OFN483_u_dccm_rdata3_30),
-	.X(FE_PDN3740_FE_OFN483_u_dccm_rdata3_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3739_FE_OFN454_u_dccm_rdata2_27 (
-	.A(FE_OFN454_u_dccm_rdata2_27),
-	.X(FE_PDN3739_FE_OFN454_u_dccm_rdata2_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3738_FE_OFN769_u_iccm_rdata2_3 (
-	.A(FE_PDN3952_FE_OFN769_u_iccm_rdata2_3),
-	.X(FE_PDN3738_FE_OFN769_u_iccm_rdata2_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3737_n_2074 (
-	.A(n_2074),
-	.X(FE_PDN3737_n_2074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3735_FE_OFN762_u_iccm_rdata2_10 (
-	.A(FE_OFN762_u_iccm_rdata2_10),
-	.X(FE_PDN3735_FE_OFN762_u_iccm_rdata2_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3734_FE_OFN744_u_iccm_rdata2_28 (
-	.A(FE_PDN3926_FE_OFN744_u_iccm_rdata2_28),
-	.X(FE_PDN3734_FE_OFN744_u_iccm_rdata2_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3733_FE_OFN736_u_iccm_rdata1_4 (
-	.A(FE_OFN736_u_iccm_rdata1_4),
-	.X(FE_PDN3733_FE_OFN736_u_iccm_rdata1_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3732_logic_0_47_net (
-	.A(logic_0_47_net),
-	.X(FE_PDN3732_logic_0_47_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3731_FE_OFN451_u_dccm_rdata2_30 (
-	.A(FE_OFN451_u_dccm_rdata2_30),
-	.X(FE_PDN3731_FE_OFN451_u_dccm_rdata2_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3730_FE_OFN766_u_iccm_rdata2_6 (
-	.A(FE_PDN17140_FE_OFN766_u_iccm_rdata2_6),
-	.X(FE_PDN3730_FE_OFN766_u_iccm_rdata2_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3729_FE_OFN370_data_wdata_8 (
-	.A(FE_OFN370_data_wdata_8),
-	.X(FE_PDN3729_FE_OFN370_data_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3728_FE_OFN761_u_iccm_rdata2_11 (
-	.A(FE_OFN761_u_iccm_rdata2_11),
-	.X(FE_PDN3728_FE_OFN761_u_iccm_rdata2_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3726_FE_OFN763_u_iccm_rdata2_9 (
-	.A(FE_OFN763_u_iccm_rdata2_9),
-	.X(FE_PDN3726_FE_OFN763_u_iccm_rdata2_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3725_FE_OFN726_u_iccm_rdata1_14 (
-	.A(FE_OFN726_u_iccm_rdata1_14),
-	.X(FE_PDN3725_FE_OFN726_u_iccm_rdata1_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3724_FE_OFN452_u_dccm_rdata2_29 (
-	.A(FE_OFN452_u_dccm_rdata2_29),
-	.X(FE_PDN3724_FE_OFN452_u_dccm_rdata2_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3723_FE_OFN676_instr_wdata_6 (
-	.A(FE_OFN676_instr_wdata_6),
-	.X(FE_PDN3723_FE_OFN676_instr_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3722_FE_OFN770_u_iccm_rdata2_2 (
-	.A(FE_OFN770_u_iccm_rdata2_2),
-	.X(FE_PDN3722_FE_OFN770_u_iccm_rdata2_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3721_FE_OFN728_u_iccm_rdata1_12 (
-	.A(FE_OFN728_u_iccm_rdata1_12),
-	.X(FE_PDN3721_FE_OFN728_u_iccm_rdata1_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3720_FE_OFN768_u_iccm_rdata2_4 (
-	.A(FE_OFN768_u_iccm_rdata2_4),
-	.X(FE_PDN3720_FE_OFN768_u_iccm_rdata2_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3719_FE_OFN654_instr_wdata_9 (
-	.A(FE_OFN654_instr_wdata_9),
-	.X(FE_PDN3719_FE_OFN654_instr_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3718_FE_OFN732_u_iccm_rdata1_8 (
-	.A(FE_PDN3938_FE_OFN732_u_iccm_rdata1_8),
-	.X(FE_PDN3718_FE_OFN732_u_iccm_rdata1_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3717_FE_OFN731_u_iccm_rdata1_9 (
-	.A(FE_OFN731_u_iccm_rdata1_9),
-	.X(FE_PDN3717_FE_OFN731_u_iccm_rdata1_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3716_FE_OFN403_data_wdata_3 (
-	.A(FE_OFN403_data_wdata_3),
-	.X(FE_PDN3716_FE_OFN403_data_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3715_FE_OFN392_data_wdata_4 (
-	.A(FE_OFN392_data_wdata_4),
-	.X(FE_PDN3715_FE_OFN392_data_wdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3714_FE_OFN429_u_dccm_rdata1_20 (
-	.A(FE_OFN429_u_dccm_rdata1_20),
-	.X(FE_PDN3714_FE_OFN429_u_dccm_rdata1_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3713_FE_OFN603_instr_wdata_17 (
-	.A(FE_OFN603_instr_wdata_17),
-	.X(FE_PDN3713_FE_OFN603_instr_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3712_FE_OFN375_data_wdata_7 (
-	.A(FE_OFN375_data_wdata_7),
-	.X(FE_PDN3712_FE_OFN375_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3711_FE_OFN488_u_dccm_rdata3_25 (
-	.A(FE_OFN488_u_dccm_rdata3_25),
-	.X(FE_PDN3711_FE_OFN488_u_dccm_rdata3_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3710_FE_OFN323_data_wdata_16 (
-	.A(FE_OFN323_data_wdata_16),
-	.X(FE_PDN3710_FE_OFN323_data_wdata_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3709_FE_OFN767_u_iccm_rdata2_5 (
-	.A(FE_OFN767_u_iccm_rdata2_5),
-	.X(FE_PDN3709_FE_OFN767_u_iccm_rdata2_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3708_FE_OFN734_u_iccm_rdata1_6 (
-	.A(FE_OFN734_u_iccm_rdata1_6),
-	.X(FE_PDN3708_FE_OFN734_u_iccm_rdata1_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3707_FE_OFN786_u_iccm_rdata3_18 (
-	.A(FE_OFN786_u_iccm_rdata3_18),
-	.X(FE_PDN3707_FE_OFN786_u_iccm_rdata3_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3706_FE_OFN426_u_dccm_rdata1_23 (
-	.A(FE_OFN426_u_dccm_rdata1_23),
-	.X(FE_PDN3706_FE_OFN426_u_dccm_rdata1_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3704_FE_OFN440_u_dccm_rdata1_9 (
-	.A(FE_OFN440_u_dccm_rdata1_9),
-	.X(FE_PDN3704_FE_OFN440_u_dccm_rdata1_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3703_FE_OFN725_u_iccm_rdata1_15 (
-	.A(FE_OFN725_u_iccm_rdata1_15),
-	.X(FE_PDN3703_FE_OFN725_u_iccm_rdata1_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC3798_FE_OFN771_u_iccm_rdata2_1 (
-	.A(FE_PSN3949_FE_PDN3937_FE_OFN771_u_iccm_rdata2_1),
-	.X(FE_PDN17117_FE_OFN771_u_iccm_rdata2_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3701_FE_OFN443_u_dccm_rdata1_6 (
-	.A(FE_OFN443_u_dccm_rdata1_6),
-	.X(FE_PDN3701_FE_OFN443_u_dccm_rdata1_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3700_FE_OFN396_data_wdata_4 (
-	.A(FE_OFN396_data_wdata_4),
-	.X(FE_PDN3700_FE_OFN396_data_wdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3699_FE_OFN364_data_wdata_9 (
-	.A(FE_OFN364_data_wdata_9),
-	.X(FE_PDN3699_FE_OFN364_data_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3698_FE_OFN750_u_iccm_rdata2_22 (
-	.A(FE_OFN750_u_iccm_rdata2_22),
-	.X(FE_PDN3698_FE_OFN750_u_iccm_rdata2_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3697_FE_OFN772_u_iccm_rdata2_0 (
-	.A(FE_OFN772_u_iccm_rdata2_0),
-	.X(FE_PDN3697_FE_OFN772_u_iccm_rdata2_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3696_FE_OFN432_u_dccm_rdata1_17 (
-	.A(FE_OFN432_u_dccm_rdata1_17),
-	.X(FE_PDN3696_FE_OFN432_u_dccm_rdata1_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3695_FE_OFN723_u_iccm_rdata1_17 (
-	.A(FE_OFN723_u_iccm_rdata1_17),
-	.X(FE_PDN3695_FE_OFN723_u_iccm_rdata1_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3694_FE_OFN437_u_dccm_rdata1_12 (
-	.A(FE_OFN437_u_dccm_rdata1_12),
-	.X(FE_PDN3694_FE_OFN437_u_dccm_rdata1_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3693_FE_OFN633_instr_wdata_13 (
-	.A(FE_OFN633_instr_wdata_13),
-	.X(FE_PDN3693_FE_OFN633_instr_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3692_FE_OFN313_data_wdata_17 (
-	.A(FE_OFN313_data_wdata_17),
-	.X(FE_PDN3692_FE_OFN313_data_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3691_FE_OFN724_u_iccm_rdata1_16 (
-	.A(FE_OFN724_u_iccm_rdata1_16),
-	.X(FE_PDN3691_FE_OFN724_u_iccm_rdata1_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3690_FE_OFN800_u_iccm_rdata3_4 (
-	.A(FE_OFN800_u_iccm_rdata3_4),
-	.X(FE_PDN3690_FE_OFN800_u_iccm_rdata3_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3689_FE_OFN398_data_wdata_3 (
-	.A(FE_OFN398_data_wdata_3),
-	.X(FE_PDN3689_FE_OFN398_data_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3688_FE_OFN428_u_dccm_rdata1_21 (
-	.A(FE_OFN428_u_dccm_rdata1_21),
-	.X(FE_PDN3688_FE_OFN428_u_dccm_rdata1_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3687_FE_OFN436_u_dccm_rdata1_13 (
-	.A(FE_OFN436_u_dccm_rdata1_13),
-	.X(FE_PDN3687_FE_OFN436_u_dccm_rdata1_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3686_FE_OFN430_u_dccm_rdata1_19 (
-	.A(FE_OFN430_u_dccm_rdata1_19),
-	.X(FE_PDN3686_FE_OFN430_u_dccm_rdata1_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3685_FE_OFN623_instr_wdata_14 (
-	.A(FE_OFN623_instr_wdata_14),
-	.X(FE_PDN3685_FE_OFN623_instr_wdata_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3684_FE_OFN466_u_dccm_rdata2_15 (
-	.A(FE_OFN466_u_dccm_rdata2_15),
-	.X(FE_PDN3684_FE_OFN466_u_dccm_rdata2_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3683_FE_OFN791_u_iccm_rdata3_13 (
-	.A(FE_PDN3927_FE_OFN791_u_iccm_rdata3_13),
-	.X(FE_PDN3683_FE_OFN791_u_iccm_rdata3_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3682_FE_OFN439_u_dccm_rdata1_10 (
-	.A(FE_OFN439_u_dccm_rdata1_10),
-	.X(FE_PDN3682_FE_OFN439_u_dccm_rdata1_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3681_FE_OFN468_u_dccm_rdata2_13 (
-	.A(FE_OFN468_u_dccm_rdata2_13),
-	.X(FE_PDN3681_FE_OFN468_u_dccm_rdata2_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3680_FE_OFN753_u_iccm_rdata2_19 (
-	.A(FE_OFN753_u_iccm_rdata2_19),
-	.X(FE_PDN3680_FE_OFN753_u_iccm_rdata2_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3679_FE_OFN684_instr_wdata_4 (
-	.A(FE_OFN684_instr_wdata_4),
-	.X(FE_PDN3679_FE_OFN684_instr_wdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3678_FE_OFN554_instr_wdata_25 (
-	.A(FE_OFN554_instr_wdata_25),
-	.X(FE_PDN3678_FE_OFN554_instr_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3677_FE_OFN988_n_4934 (
-	.A(FE_OFN988_n_4934),
-	.X(FE_PDN3677_FE_OFN988_n_4934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3797_logic_0_27_net (
-	.A(logic_0_27_net),
-	.X(FE_PDN17116_logic_0_27_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3796_FE_OFN574_instr_wdata_21 (
-	.A(FE_OFN574_instr_wdata_21),
-	.X(FE_PDN17115_FE_OFN574_instr_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3795_FE_OFN574_instr_wdata_21 (
-	.A(FE_OFN574_instr_wdata_21),
-	.X(FE_PDN17114_FE_OFN574_instr_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3676_FE_OFN459_u_dccm_rdata2_22 (
-	.A(FE_OFN459_u_dccm_rdata2_22),
-	.X(FE_PDN3676_FE_OFN459_u_dccm_rdata2_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC3675_FE_OFN291_data_wdata_21 (
-	.A(FE_OFN291_data_wdata_21),
-	.X(FE_PDN3675_FE_OFN291_data_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3674_FE_OFN759_u_iccm_rdata2_13 (
-	.A(FE_OFN759_u_iccm_rdata2_13),
-	.X(FE_PDN3674_FE_OFN759_u_iccm_rdata2_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3673_n_2100 (
-	.A(n_2100),
-	.X(FE_PDN3673_n_2100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3794_logic_0_24_net (
-	.A(logic_0_24_net),
-	.X(FE_PDN17113_logic_0_24_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3672_FE_OFN904_data_we (
-	.A(FE_OFN904_data_we),
-	.X(FE_PDN3672_FE_OFN904_data_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3669_FE_OFN413_data_wdata_1 (
-	.A(FE_OFN413_data_wdata_1),
-	.X(FE_PDN3669_FE_OFN413_data_wdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3668_FE_OFN16094_FE_OFN889_instr_wmask_0 (
-	.A(FE_OFN16094_FE_OFN889_instr_wmask_0),
-	.X(FE_PDN3668_FE_OFN16094_FE_OFN889_instr_wmask_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC3667_FE_OFN798_u_iccm_rdata3_6 (
-	.A(FE_OFN798_u_iccm_rdata3_6),
-	.X(FE_PDN3667_FE_OFN798_u_iccm_rdata3_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC3666_FE_OFN803_u_iccm_rdata3_1 (
-	.A(FE_PSN3950_FE_OFN803_u_iccm_rdata3_1),
-	.X(FE_PDN3666_FE_OFN803_u_iccm_rdata3_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC3665_FE_OFN801_u_iccm_rdata3_3 (
-	.A(FE_OFN801_u_iccm_rdata3_3),
-	.X(FE_PDN3665_FE_OFN801_u_iccm_rdata3_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3664_FE_OFN802_u_iccm_rdata3_2 (
-	.A(FE_OFN802_u_iccm_rdata3_2),
-	.X(FE_PDN3664_FE_OFN802_u_iccm_rdata3_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC3663_FE_OFN797_u_iccm_rdata3_7 (
-	.A(FE_OFN797_u_iccm_rdata3_7),
-	.X(FE_PDN3663_FE_OFN797_u_iccm_rdata3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC3662_FE_OFN777_u_iccm_rdata3_27 (
-	.A(FE_OFN777_u_iccm_rdata3_27),
-	.X(FE_PDN3662_FE_OFN777_u_iccm_rdata3_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3661_FE_OFN794_u_iccm_rdata3_10 (
-	.A(FE_PDN3833_FE_OFN794_u_iccm_rdata3_10),
-	.X(FE_PDN3661_FE_OFN794_u_iccm_rdata3_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3660_FE_OFN510_u_dccm_rdata3_3 (
-	.A(FE_PDN3824_FE_OFN510_u_dccm_rdata3_3),
-	.X(FE_PDN3660_FE_OFN510_u_dccm_rdata3_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_PDC3793_FE_OFN350_data_wdata_11 (
-	.A(FE_OFN350_data_wdata_11),
-	.Y(FE_PDN17112_FE_OFN350_data_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_PDC3792_FE_OFN350_data_wdata_11 (
-	.A(FE_PDN17112_FE_OFN350_data_wdata_11),
-	.Y(FE_PDN17111_FE_OFN350_data_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3791_FE_OFN350_data_wdata_11 (
-	.A(FE_PDN17111_FE_OFN350_data_wdata_11),
-	.X(FE_PDN17110_FE_OFN350_data_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3790_FE_OFN350_data_wdata_11 (
-	.A(FE_PDN3827_FE_OFN350_data_wdata_11),
-	.X(FE_PDN17109_FE_OFN350_data_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3659_FE_OFN641_instr_wdata_11 (
-	.A(FE_OFN641_instr_wdata_11),
-	.X(FE_PDN3659_FE_OFN641_instr_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC3658_FE_OFN504_u_dccm_rdata3_9 (
-	.A(FE_PDN3822_FE_OFN504_u_dccm_rdata3_9),
-	.X(FE_PDN3658_FE_OFN504_u_dccm_rdata3_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC3657_FE_OFN787_u_iccm_rdata3_17 (
-	.A(FE_PDN3834_FE_OFN787_u_iccm_rdata3_17),
-	.X(FE_PDN3657_FE_OFN787_u_iccm_rdata3_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC3656_FE_OFN796_u_iccm_rdata3_8 (
-	.A(FE_OFN796_u_iccm_rdata3_8),
-	.X(FE_PDN3656_FE_OFN796_u_iccm_rdata3_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3655_FE_OFN500_u_dccm_rdata3_13 (
-	.A(FE_PDN3826_FE_OFN500_u_dccm_rdata3_13),
-	.X(FE_PDN3655_FE_OFN500_u_dccm_rdata3_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC3654_FE_OFN230_n_0 (
-	.A(FE_PDN3820_FE_OFN230_n_0),
-	.X(FE_PDN3654_FE_OFN230_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC3653_FE_OFN492_u_dccm_rdata3_21 (
-	.A(FE_PDN3825_FE_OFN492_u_dccm_rdata3_21),
-	.X(FE_PDN3653_FE_OFN492_u_dccm_rdata3_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3652_FE_OFN508_u_dccm_rdata3_5 (
-	.A(FE_PDN3819_FE_OFN508_u_dccm_rdata3_5),
-	.X(FE_PDN3652_FE_OFN508_u_dccm_rdata3_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3789_FE_OFN490_u_dccm_rdata3_23 (
-	.A(FE_OFN490_u_dccm_rdata3_23),
-	.X(FE_PDN17108_FE_OFN490_u_dccm_rdata3_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3788_FE_OFN490_u_dccm_rdata3_23 (
-	.A(FE_PDN17108_FE_OFN490_u_dccm_rdata3_23),
-	.X(FE_PDN17107_FE_OFN490_u_dccm_rdata3_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_PDC3787_FE_OFN773_u_iccm_rdata3_31 (
-	.A(FE_OFN773_u_iccm_rdata3_31),
-	.Y(FE_PDN17106_FE_OFN773_u_iccm_rdata3_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_PDC3786_FE_OFN773_u_iccm_rdata3_31 (
-	.A(FE_PDN17106_FE_OFN773_u_iccm_rdata3_31),
-	.Y(FE_PDN17105_FE_OFN773_u_iccm_rdata3_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3785_FE_OFN773_u_iccm_rdata3_31 (
-	.A(FE_PDN3815_FE_OFN773_u_iccm_rdata3_31),
-	.X(FE_PDN17104_FE_OFN773_u_iccm_rdata3_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC3651_FE_OFN506_u_dccm_rdata3_7 (
-	.A(FE_PDN3823_FE_OFN506_u_dccm_rdata3_7),
-	.X(FE_PDN3651_FE_OFN506_u_dccm_rdata3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_PDC3784_FE_OFN497_u_dccm_rdata3_16 (
-	.A(FE_OFN497_u_dccm_rdata3_16),
-	.Y(FE_PDN17103_FE_OFN497_u_dccm_rdata3_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3783_FE_OFN497_u_dccm_rdata3_16 (
-	.A(FE_PDN17103_FE_OFN497_u_dccm_rdata3_16),
-	.X(FE_PDN17102_FE_OFN497_u_dccm_rdata3_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_PDC3782_FE_OFN497_u_dccm_rdata3_16 (
-	.A(FE_PDN17102_FE_OFN497_u_dccm_rdata3_16),
-	.Y(FE_PDN17101_FE_OFN497_u_dccm_rdata3_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3781_FE_OFN780_u_iccm_rdata3_24 (
-	.A(FE_OFN780_u_iccm_rdata3_24),
-	.X(FE_PDN17100_FE_OFN780_u_iccm_rdata3_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3780_FE_OFN780_u_iccm_rdata3_24 (
-	.A(FE_PDN17100_FE_OFN780_u_iccm_rdata3_24),
-	.X(FE_PDN17099_FE_OFN780_u_iccm_rdata3_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC3648_FE_OFN704_instr_wdata_1 (
-	.A(FE_OFN704_instr_wdata_1),
-	.X(FE_PDN3648_FE_OFN704_instr_wdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC3647_FE_OFN526_instr_wdata_29 (
-	.A(FE_PDN3932_FE_OFN526_instr_wdata_29),
-	.X(FE_PDN3647_FE_OFN526_instr_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_96 (
-	.DIODE(u_top_u_core_instr_rdata_id[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_95 (
-	.DIODE(FE_PDN3647_FE_OFN526_instr_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_94 (
-	.DIODE(instr_addr[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_93 (
-	.DIODE(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_92 (
-	.DIODE(FE_OFN635_instr_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_91 (
-	.DIODE(\xbar_to_dccm[a_data] [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_89 (
-	.DIODE(uart_rx), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_88 (
-	.DIODE(uart_rx), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_87 (
-	.DIODE(FE_OFN673_instr_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_86 (
-	.DIODE(FE_OFN16840_FE_OFN16685_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_85 (
-	.DIODE(FE_OFN16967_FE_OFN16579_FE_OFN16228_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_84 (
-	.DIODE(FE_OFN509_u_dccm_rdata3_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_83 (
-	.DIODE(FE_OFN16720_n_1346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_82 (
-	.DIODE(FE_OFN507_u_dccm_rdata3_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_81 (
-	.DIODE(FE_OFN511_u_dccm_rdata3_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_80 (
-	.DIODE(FE_OFN243_data_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_79 (
-	.DIODE(FE_OFN790_u_iccm_rdata3_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_78 (
-	.DIODE(FE_OFN882_data_wmask_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_77 (
-	.DIODE(FE_OFN795_u_iccm_rdata3_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_76 (
-	.DIODE(FE_OFN793_u_iccm_rdata3_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_75 (
-	.DIODE(FE_OFN774_u_iccm_rdata3_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_74 (
-	.DIODE(FE_OFN503_u_dccm_rdata3_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_73 (
-	.DIODE(FE_OFN498_u_dccm_rdata3_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_72 (
-	.DIODE(FE_OFN779_u_iccm_rdata3_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_71 (
-	.DIODE(logic_0_49_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_70 (
-	.DIODE(FE_OFN775_u_iccm_rdata3_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_69 (
-	.DIODE(FE_OFN499_u_dccm_rdata3_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_68 (
-	.DIODE(FE_OFN502_u_dccm_rdata3_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_67 (
-	.DIODE(FE_OFN501_u_dccm_rdata3_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_66 (
-	.DIODE(FE_OFN867_data_wmask_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_65 (
-	.DIODE(FE_OFN491_u_dccm_rdata3_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_62 (
-	.DIODE(FE_PDN3939_FE_OFN16937_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_61 (
-	.DIODE(FE_OFN1584_n_8281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_59 (
-	.DIODE(FE_OFN765_u_iccm_rdata2_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_57 (
-	.DIODE(n_9401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_56 (
-	.DIODE(FE_OFN458_u_dccm_rdata2_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_55 (
-	.DIODE(FE_OFN743_u_iccm_rdata2_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_54 (
-	.DIODE(FE_OFN462_u_dccm_rdata2_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_53 (
-	.DIODE(FE_OFN465_u_dccm_rdata2_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_52 (
-	.DIODE(n_8442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_51 (
-	.DIODE(n_8448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_50 (
-	.DIODE(FE_OFN362_data_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_49 (
-	.DIODE(FE_OFN467_u_dccm_rdata2_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_48 (
-	.DIODE(FE_OFN485_u_dccm_rdata3_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_47 (
-	.DIODE(FE_OFN388_data_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_46 (
-	.DIODE(FE_OFN484_u_dccm_rdata3_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_45 (
-	.DIODE(FE_OFN401_data_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_44 (
-	.DIODE(n_8443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_43 (
-	.DIODE(FE_OFN358_data_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_42 (
-	.DIODE(FE_OFN347_data_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_41 (
-	.DIODE(FE_OFN448_u_dccm_rdata1_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_40 (
-	.DIODE(FE_OFN326_data_wdata_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_39 (
-	.DIODE(FE_OFN355_data_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_38 (
-	.DIODE(FE_OFN449_u_dccm_rdata1_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_37 (
-	.DIODE(FE_OFN446_u_dccm_rdata1_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_36 (
-	.DIODE(FE_OFN716_u_iccm_rdata1_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_35 (
-	.DIODE(n_17961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_34 (
-	.DIODE(FE_OFN476_u_dccm_rdata2_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_33 (
-	.DIODE(FE_OFN309_data_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_32 (
-	.DIODE(FE_OFN438_u_dccm_rdata1_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_30 (
-	.DIODE(FE_OFN455_u_dccm_rdata2_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_27 (
-	.DIODE(FE_OFN453_u_dccm_rdata2_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_23 (
-	.DIODE(u_dccm_rdata2[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_22 (
-	.DIODE(u_dccm_rdata2[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_21 (
-	.DIODE(u_dccm_rdata2[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_20 (
-	.DIODE(u_dccm_rdata2[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_19 (
-	.DIODE(u_dccm_rdata2[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_18 (
-	.DIODE(u_dccm_rdata2[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_17 (
-	.DIODE(u_dccm_rdata2[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_16 (
-	.DIODE(u_iccm_rdata2[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_15 (
-	.DIODE(u_dccm_rdata4[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_14 (
-	.DIODE(u_dccm_rdata2[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_13 (
-	.DIODE(u_iccm_rdata2[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_12 (
-	.DIODE(u_dccm_rdata2[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_11 (
-	.DIODE(u_dccm_rdata2[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_10 (
-	.DIODE(u_dccm_rdata2[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_9 (
-	.DIODE(u_iccm_rdata2[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_8 (
-	.DIODE(u_iccm_rdata2[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_7 (
-	.DIODE(u_dccm_rdata4[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_6 (
-	.DIODE(u_dccm_rdata2[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_5 (
-	.DIODE(u_dccm_rdata2[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_4 (
-	.DIODE(u_iccm_rdata2[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_3 (
-	.DIODE(u_iccm_rdata2[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_2 (
-	.DIODE(u_dccm_rdata2[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_1 (
-	.DIODE(u_dccm_rdata2[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3779_n (
-	.A(FE_OFN17080_n),
-	.X(FE_OFN17098_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3778_n (
-	.A(FE_OFN17096_n),
-	.X(FE_OFN17097_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC3777_n (
-	.A(FE_OFN17095_n),
-	.X(FE_OFN17096_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3776_n (
-	.A(FE_OFN17094_n),
-	.X(FE_OFN17095_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3775_n (
-	.A(FE_OFN17070_n),
-	.X(FE_OFN17094_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3774_FE_OFN16962_n (
-	.A(FE_OFN17058_FE_OFN16962_n),
-	.X(FE_OFN17093_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3773_n (
-	.A(FE_OFN17068_n),
-	.X(FE_OFN17092_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3772_FE_OFN16942_n (
-	.A(FE_OFN16981_FE_OFN16942_n),
-	.X(FE_OFN17091_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3771_FE_OFN16904_FE_OFN16609_FE_OFN16464_FE_OFN16118_FE_OFN1673_n (
-	.A(FE_OFN16985_FE_OFN16904_FE_OFN16609_FE_OFN16464_FE_OFN16118_FE_OFN1673_n),
-	.X(FE_OFN17090_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3770_FE_OFN16694_n (
-	.A(FE_OFN16973_FE_OFN16694_n),
-	.X(FE_OFN17089_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3769_FE_OFN16963_n (
-	.A(FE_OFN17019_FE_OFN16963_n),
-	.X(FE_OFN17088_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3768_FE_OFN16960_n (
-	.A(FE_OFN16984_FE_OFN16960_n),
-	.X(FE_OFN17087_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3767_FE_OFN16947_n (
-	.A(FE_OFN16994_FE_OFN16947_n),
-	.X(FE_OFN17086_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3766_FE_OFN16871_FE_OFN16705_n (
-	.A(FE_OFN17048_FE_OFN16871_FE_OFN16705_n),
-	.X(FE_OFN17085_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3765_FE_OFN16955_n (
-	.A(FE_OFN17039_FE_OFN16955_n),
-	.X(FE_OFN17084_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3764_FE_OFN16953_n (
-	.A(FE_OFN16986_FE_OFN16953_n),
-	.X(FE_OFN17083_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3763_FE_OFN16952_n (
-	.A(FE_OFN16979_FE_OFN16952_n),
-	.X(FE_OFN17082_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3762_FE_OFN16863_FE_OFN16699_n (
-	.A(FE_OFN17044_FE_OFN16863_FE_OFN16699_n),
-	.X(FE_OFN17081_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC3761_FE_OFN16718_n (
-	.A(FE_OFN17079_n),
-	.X(FE_OFN17080_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3760_FE_OFN16718_n (
-	.A(FE_OFN17078_n),
-	.X(FE_OFN17079_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3759_FE_OFN16718_n (
-	.A(FE_OFN16978_FE_OFN16718_n),
-	.X(FE_OFN17078_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3758_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n (
-	.A(FE_OFN16972_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n),
-	.X(FE_OFN17077_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3757_n (
-	.A(FE_OFN16957_n),
-	.X(FE_OFN17076_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3756_n (
-	.A(FE_OFN16944_n),
-	.X(FE_OFN17075_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3755_data_wdata_30 (
-	.A(FE_OFN239_data_wdata_30),
-	.X(FE_OFN17074_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3754_data_wdata_25 (
-	.A(FE_OFN267_data_wdata_25),
-	.X(FE_OFN17073_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3753_n (
-	.A(FE_OFN16945_n),
-	.X(FE_OFN17072_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3752_data_wdata_25 (
-	.A(FE_OFN268_data_wdata_25),
-	.X(FE_OFN17071_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3751_n (
-	.A(FE_OFN17069_n),
-	.X(FE_OFN17070_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3750_n (
-	.A(FE_OFN17068_n),
-	.X(FE_OFN17069_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3749_n (
-	.A(FE_OFN16719_n),
-	.X(FE_OFN17068_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3747_FE_OFN577_instr_wdata_21 (
-	.A(FE_OFN577_instr_wdata_21),
-	.X(FE_OFN17066_FE_OFN577_instr_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3746_n_9231 (
-	.A(n_9231),
-	.X(FE_OFN17065_n_9231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3745_instr_wdata_3 (
-	.A(instr_wdata[3]),
-	.X(FE_OFN17064_instr_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3744_data_wdata_29 (
-	.A(data_wdata[29]),
-	.X(FE_OFN17063_data_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3743_FE_OFN16874_FE_OFN16669_FE_OFN16434_FE_OFN16183_FE_OFN1721_n (
-	.A(FE_OFN16874_FE_OFN16669_FE_OFN16434_FE_OFN16183_FE_OFN1721_n),
-	.X(FE_OFN17062_FE_OFN16874_FE_OFN16669_FE_OFN16434_FE_OFN16183_FE_OFN1721_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3742_FE_OFN614_instr_wdata_16 (
-	.A(FE_OFN614_instr_wdata_16),
-	.X(FE_OFN17061_FE_OFN614_instr_wdata_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3741_FE_OFN16928_FE_OFN16671_FE_OFN16536_FE_OFN16158_FE_OFN1661_n (
-	.A(FE_OFN16928_FE_OFN16671_FE_OFN16536_FE_OFN16158_FE_OFN1661_n),
-	.X(FE_OFN17060_FE_OFN16928_FE_OFN16671_FE_OFN16536_FE_OFN16158_FE_OFN1661_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3740_FE_OFN543_instr_wdata_27 (
-	.A(FE_OFN543_instr_wdata_27),
-	.X(FE_OFN17059_FE_OFN543_instr_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3739_FE_OFN16962_n (
-	.A(FE_OFN16962_n),
-	.X(FE_OFN17058_FE_OFN16962_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3738_data_wdata_30 (
-	.A(data_wdata[30]),
-	.X(FE_OFN17057_data_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3737_FE_OFN16916_FE_OFN16580_FE_OFN16155_FE_OFN1741_n (
-	.A(FE_OFN16916_FE_OFN16580_FE_OFN16155_FE_OFN1741_n),
-	.X(FE_OFN17056_FE_OFN16916_FE_OFN16580_FE_OFN16155_FE_OFN1741_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3736_FE_OFN16964_n (
-	.A(FE_OFN16964_n),
-	.X(FE_OFN17055_FE_OFN16964_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3735_data_wdata_25 (
-	.A(data_wdata[25]),
-	.X(FE_OFN17054_data_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3734_data_wdata_0 (
-	.A(data_wdata[0]),
-	.X(FE_OFN17053_data_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3733_FE_OFN16923_FE_OFN16653_FE_OFN16470_FE_OFN16133_FE_OFN1699_n (
-	.A(FE_OFN16923_FE_OFN16653_FE_OFN16470_FE_OFN16133_FE_OFN1699_n),
-	.X(FE_OFN17052_FE_OFN16923_FE_OFN16653_FE_OFN16470_FE_OFN16133_FE_OFN1699_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3732_FE_OFN591_instr_wdata_19 (
-	.A(FE_OFN591_instr_wdata_19),
-	.X(FE_OFN17051_FE_OFN591_instr_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3731_FE_OFN16921_FE_OFN16630_FE_OFN16478_FE_OFN16135_FE_OFN1697_n (
-	.A(FE_OFN16921_FE_OFN16630_FE_OFN16478_FE_OFN16135_FE_OFN1697_n),
-	.X(FE_OFN17050_FE_OFN16921_FE_OFN16630_FE_OFN16478_FE_OFN16135_FE_OFN1697_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3730_FE_OFN16859_FE_OFN16661_FE_OFN16550_FE_OFN16477_FE_OFN16139_FE_OFN1698_n (
-	.A(FE_OFN16859_FE_OFN16661_FE_OFN16550_FE_OFN16477_FE_OFN16139_FE_OFN1698_n),
-	.X(FE_OFN17049_FE_OFN16859_FE_OFN16661_FE_OFN16550_FE_OFN16477_FE_OFN16139_FE_OFN1698_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC3729_FE_OFN16871_FE_OFN16705_n (
-	.A(FE_OFN16871_FE_OFN16705_n),
-	.X(FE_OFN17048_FE_OFN16871_FE_OFN16705_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3728_FE_OFN16918_FE_OFN16636_FE_OFN16468_FE_OFN16142_FE_OFN1696_n (
-	.A(FE_OFN16918_FE_OFN16636_FE_OFN16468_FE_OFN16142_FE_OFN1696_n),
-	.X(FE_OFN17047_FE_OFN16918_FE_OFN16636_FE_OFN16468_FE_OFN16142_FE_OFN1696_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3727_n_9384 (
-	.A(n_9384),
-	.X(FE_OFN17046_n_9384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3726_FE_OFN16954_n (
-	.A(FE_OFN16954_n),
-	.X(FE_OFN17045_FE_OFN16954_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC3725_FE_OFN16863_FE_OFN16699_n (
-	.A(FE_OFN16863_FE_OFN16699_n),
-	.X(FE_OFN17044_FE_OFN16863_FE_OFN16699_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3724_FE_OFN16915_FE_OFN16634_FE_OFN16463_FE_OFN16136_FE_OFN1675_n (
-	.A(FE_OFN16915_FE_OFN16634_FE_OFN16463_FE_OFN16136_FE_OFN1675_n),
-	.X(FE_OFN17043_FE_OFN16915_FE_OFN16634_FE_OFN16463_FE_OFN16136_FE_OFN1675_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3723_n_10339 (
-	.A(n_10339),
-	.X(FE_OFN17042_n_10339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3722_FE_OFN16908_FE_OFN16658_FE_OFN16471_FE_OFN16151_FE_OFN1742_n (
-	.A(FE_OFN16908_FE_OFN16658_FE_OFN16471_FE_OFN16151_FE_OFN1742_n),
-	.X(FE_OFN17041_FE_OFN16908_FE_OFN16658_FE_OFN16471_FE_OFN16151_FE_OFN1742_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3721_FE_OFN16910_FE_OFN16603_FE_OFN16458_FE_OFN16143_FE_OFN1683_n (
-	.A(FE_OFN16910_FE_OFN16603_FE_OFN16458_FE_OFN16143_FE_OFN1683_n),
-	.X(FE_OFN17040_FE_OFN16910_FE_OFN16603_FE_OFN16458_FE_OFN16143_FE_OFN1683_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC3720_FE_OFN16955_n (
-	.A(FE_OFN16955_n),
-	.X(FE_OFN17039_FE_OFN16955_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3719_data_wdata_28 (
-	.A(data_wdata[28]),
-	.X(FE_OFN17038_data_wdata_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3718_FE_OFN16912_FE_OFN16601_FE_OFN16465_FE_OFN16129_FE_OFN1677_n (
-	.A(FE_OFN16912_FE_OFN16601_FE_OFN16465_FE_OFN16129_FE_OFN1677_n),
-	.X(FE_OFN17037_FE_OFN16912_FE_OFN16601_FE_OFN16465_FE_OFN16129_FE_OFN1677_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3717_FE_OFN16951_n (
-	.A(FE_OFN16951_n),
-	.X(FE_OFN17036_FE_OFN16951_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3716_FE_OFN567_instr_wdata_23 (
-	.A(FE_OFN567_instr_wdata_23),
-	.X(FE_OFN17035_FE_OFN567_instr_wdata_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3715_FE_OFN16909_FE_OFN16638_FE_OFN16462_FE_OFN16137_FE_OFN1674_n (
-	.A(FE_OFN16909_FE_OFN16638_FE_OFN16462_FE_OFN16137_FE_OFN1674_n),
-	.X(FE_OFN17034_FE_OFN16909_FE_OFN16638_FE_OFN16462_FE_OFN16137_FE_OFN1674_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3714_FE_OFN16854_FE_OFN16713_n (
-	.A(FE_OFN16854_FE_OFN16713_n),
-	.X(FE_OFN17033_FE_OFN16854_FE_OFN16713_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3713_FE_OFN16950_n (
-	.A(FE_OFN16950_n),
-	.X(FE_OFN17032_FE_OFN16950_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3712_FE_OFN593_instr_wdata_19 (
-	.A(FE_OFN593_instr_wdata_19),
-	.X(FE_OFN17031_FE_OFN593_instr_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3711_FE_OFN16905_FE_OFN16626_FE_OFN16533_FE_OFN16197_FE_OFN1686_n (
-	.A(FE_OFN16905_FE_OFN16626_FE_OFN16533_FE_OFN16197_FE_OFN1686_n),
-	.X(FE_OFN17030_FE_OFN16905_FE_OFN16626_FE_OFN16533_FE_OFN16197_FE_OFN1686_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3710_FE_OFN16903_FE_OFN16622_FE_OFN16531_FE_OFN16180_FE_OFN1685_n (
-	.A(FE_OFN16903_FE_OFN16622_FE_OFN16531_FE_OFN16180_FE_OFN1685_n),
-	.X(FE_OFN17029_FE_OFN16903_FE_OFN16622_FE_OFN16531_FE_OFN16180_FE_OFN1685_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3709_data_wdata_6 (
-	.A(data_wdata[6]),
-	.X(FE_OFN17028_data_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3708_FE_OFN16898_FE_OFN16621_FE_OFN16448_FE_OFN16177_FE_OFN1713_n (
-	.A(FE_OFN16898_FE_OFN16621_FE_OFN16448_FE_OFN16177_FE_OFN1713_n),
-	.X(FE_OFN17027_FE_OFN16898_FE_OFN16621_FE_OFN16448_FE_OFN16177_FE_OFN1713_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3707_FE_OFN16901_FE_OFN16623_FE_OFN16530_FE_OFN16192_FE_OFN1687_n (
-	.A(FE_OFN16901_FE_OFN16623_FE_OFN16530_FE_OFN16192_FE_OFN1687_n),
-	.X(FE_OFN17026_FE_OFN16901_FE_OFN16623_FE_OFN16530_FE_OFN16192_FE_OFN1687_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3706_FE_OFN16896_FE_OFN16620_FE_OFN16443_FE_OFN16154_FE_OFN1688_n (
-	.A(FE_OFN16896_FE_OFN16620_FE_OFN16443_FE_OFN16154_FE_OFN1688_n),
-	.X(FE_OFN17025_FE_OFN16896_FE_OFN16620_FE_OFN16443_FE_OFN16154_FE_OFN1688_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3705_FE_OFN16902_FE_OFN16625_FE_OFN16529_FE_OFN16181_FE_OFN1662_n (
-	.A(FE_OFN16902_FE_OFN16625_FE_OFN16529_FE_OFN16181_FE_OFN1662_n),
-	.X(FE_OFN17024_FE_OFN16902_FE_OFN16625_FE_OFN16529_FE_OFN16181_FE_OFN1662_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3704_FE_OFN16892_FE_OFN16666_FE_OFN16432_FE_OFN16186_FE_OFN1720_n (
-	.A(FE_OFN16892_FE_OFN16666_FE_OFN16432_FE_OFN16186_FE_OFN1720_n),
-	.X(FE_OFN17023_FE_OFN16892_FE_OFN16666_FE_OFN16432_FE_OFN16186_FE_OFN1720_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3703_FE_OFN16895_FE_OFN16627_FE_OFN16554_FE_OFN16444_FE_OFN16152_FE_OFN1690_n (
-	.A(FE_OFN16895_FE_OFN16627_FE_OFN16554_FE_OFN16444_FE_OFN16152_FE_OFN1690_n),
-	.X(FE_OFN17022_FE_OFN16895_FE_OFN16627_FE_OFN16554_FE_OFN16444_FE_OFN16152_FE_OFN1690_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3702_FE_OFN16893_FE_OFN16618_FE_OFN16446_FE_OFN16171_FE_OFN1689_n (
-	.A(FE_OFN16893_FE_OFN16618_FE_OFN16446_FE_OFN16171_FE_OFN1689_n),
-	.X(FE_OFN17021_FE_OFN16893_FE_OFN16618_FE_OFN16446_FE_OFN16171_FE_OFN1689_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3701_FE_OFN16894_FE_OFN16606_FE_OFN16445_FE_OFN16145_FE_OFN1664_n (
-	.A(FE_OFN16894_FE_OFN16606_FE_OFN16445_FE_OFN16145_FE_OFN1664_n),
-	.X(FE_OFN17020_FE_OFN16894_FE_OFN16606_FE_OFN16445_FE_OFN16145_FE_OFN1664_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC3700_FE_OFN16963_n (
-	.A(FE_OFN16963_n),
-	.X(FE_OFN17019_FE_OFN16963_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3699_FE_OFN16886_FE_OFN16675_FE_OFN16203_FE_OFN1712_n (
-	.A(FE_OFN16886_FE_OFN16675_FE_OFN16203_FE_OFN1712_n),
-	.X(FE_OFN17018_FE_OFN16886_FE_OFN16675_FE_OFN16203_FE_OFN1712_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3698_FE_OFN16889_FE_OFN16674_FE_OFN16441_FE_OFN16179_FE_OFN1711_n (
-	.A(FE_OFN16889_FE_OFN16674_FE_OFN16441_FE_OFN16179_FE_OFN1711_n),
-	.X(FE_OFN17017_FE_OFN16889_FE_OFN16674_FE_OFN16441_FE_OFN16179_FE_OFN1711_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3697_FE_OFN16890_FE_OFN16733_FE_OFN16628_FE_OFN16436_FE_OFN16156_FE_OFN1692_n (
-	.A(FE_OFN16890_FE_OFN16733_FE_OFN16628_FE_OFN16436_FE_OFN16156_FE_OFN1692_n),
-	.X(FE_OFN17016_FE_OFN16890_FE_OFN16733_FE_OFN16628_FE_OFN16436_FE_OFN16156_FE_OFN1692_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3696_FE_OFN16891_FE_OFN16607_FE_OFN16431_FE_OFN16200_FE_OFN1719_n (
-	.A(FE_OFN16891_FE_OFN16607_FE_OFN16431_FE_OFN16200_FE_OFN1719_n),
-	.X(FE_OFN17015_FE_OFN16891_FE_OFN16607_FE_OFN16431_FE_OFN16200_FE_OFN1719_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3695_FE_OFN16879_FE_OFN16611_FE_OFN16430_FE_OFN16169_FE_OFN1715_n (
-	.A(FE_OFN16879_FE_OFN16611_FE_OFN16430_FE_OFN16169_FE_OFN1715_n),
-	.X(FE_OFN17014_FE_OFN16879_FE_OFN16611_FE_OFN16430_FE_OFN16169_FE_OFN1715_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3694_FE_OFN16883_FE_OFN16629_FE_OFN16555_FE_OFN16428_FE_OFN16147_FE_OFN1691_n (
-	.A(FE_OFN16883_FE_OFN16629_FE_OFN16555_FE_OFN16428_FE_OFN16147_FE_OFN1691_n),
-	.X(FE_OFN17013_FE_OFN16883_FE_OFN16629_FE_OFN16555_FE_OFN16428_FE_OFN16147_FE_OFN1691_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3693_FE_OFN16884_FE_OFN16617_FE_OFN16558_FE_OFN16427_FE_OFN16251_n (
-	.A(FE_OFN16884_FE_OFN16617_FE_OFN16558_FE_OFN16427_FE_OFN16251_n),
-	.X(FE_OFN17012_FE_OFN16884_FE_OFN16617_FE_OFN16558_FE_OFN16427_FE_OFN16251_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3692_FE_OFN16887_FE_OFN16616_FE_OFN16447_FE_OFN16205_FE_OFN1710_n (
-	.A(FE_OFN16887_FE_OFN16616_FE_OFN16447_FE_OFN16205_FE_OFN1710_n),
-	.X(FE_OFN17011_FE_OFN16887_FE_OFN16616_FE_OFN16447_FE_OFN16205_FE_OFN1710_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3691_FE_OFN16888_FE_OFN16610_FE_OFN16435_FE_OFN16149_FE_OFN1665_n (
-	.A(FE_OFN16888_FE_OFN16610_FE_OFN16435_FE_OFN16149_FE_OFN1665_n),
-	.X(FE_OFN17010_FE_OFN16888_FE_OFN16610_FE_OFN16435_FE_OFN16149_FE_OFN1665_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3690_FE_OFN16885_FE_OFN16613_FE_OFN16442_FE_OFN16178_FE_OFN1718_n (
-	.A(FE_OFN16885_FE_OFN16613_FE_OFN16442_FE_OFN16178_FE_OFN1718_n),
-	.X(FE_OFN17009_FE_OFN16885_FE_OFN16613_FE_OFN16442_FE_OFN16178_FE_OFN1718_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3689_FE_OFN16880_FE_OFN16735_FE_OFN16619_FE_OFN16451_FE_OFN16229_n (
-	.A(FE_OFN16880_FE_OFN16735_FE_OFN16619_FE_OFN16451_FE_OFN16229_n),
-	.X(FE_OFN17008_FE_OFN16880_FE_OFN16735_FE_OFN16619_FE_OFN16451_FE_OFN16229_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3688_FE_OFN16881_FE_OFN16608_FE_OFN16437_FE_OFN16146_FE_OFN1666_n (
-	.A(FE_OFN16881_FE_OFN16608_FE_OFN16437_FE_OFN16146_FE_OFN1666_n),
-	.X(FE_OFN17007_FE_OFN16881_FE_OFN16608_FE_OFN16437_FE_OFN16146_FE_OFN1666_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3687_FE_OFN16876_FE_OFN16614_FE_OFN16553_FE_OFN16433_FE_OFN16253_n (
-	.A(FE_OFN16876_FE_OFN16614_FE_OFN16553_FE_OFN16433_FE_OFN16253_n),
-	.X(FE_OFN17006_FE_OFN16876_FE_OFN16614_FE_OFN16553_FE_OFN16433_FE_OFN16253_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3686_FE_OFN16877_FE_OFN16730_FE_OFN16605_FE_OFN16543_FE_OFN16429_FE_OFN16247_n (
-	.A(FE_OFN16877_FE_OFN16730_FE_OFN16605_FE_OFN16543_FE_OFN16429_FE_OFN16247_n),
-	.X(FE_OFN17005_FE_OFN16877_FE_OFN16730_FE_OFN16605_FE_OFN16543_FE_OFN16429_FE_OFN16247_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3685_FE_OFN16878_FE_OFN16704_n (
-	.A(FE_OFN16878_FE_OFN16704_n),
-	.X(FE_OFN17004_FE_OFN16878_FE_OFN16704_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3684_FE_OFN16875_FE_OFN16615_FE_OFN16541_FE_OFN16438_FE_OFN16144_FE_OFN1663_n (
-	.A(FE_OFN16875_FE_OFN16615_FE_OFN16541_FE_OFN16438_FE_OFN16144_FE_OFN1663_n),
-	.X(FE_OFN17003_FE_OFN16875_FE_OFN16615_FE_OFN16541_FE_OFN16438_FE_OFN16144_FE_OFN1663_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3683_xbar_to_lsu_d_valid (
-	.A(\xbar_to_lsu[d_valid] ),
-	.X(FE_OFN17002_xbar_to_lsu_d_valid), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3682_FE_OFN16873_FE_OFN16604_FE_OFN16556_FE_OFN16425_FE_OFN16165_FE_OFN1723_n (
-	.A(FE_OFN16873_FE_OFN16604_FE_OFN16556_FE_OFN16425_FE_OFN16165_FE_OFN1723_n),
-	.X(FE_OFN17001_FE_OFN16873_FE_OFN16604_FE_OFN16556_FE_OFN16425_FE_OFN16165_FE_OFN1723_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3681_FE_OFN16860_FE_OFN16710_n (
-	.A(FE_OFN16860_FE_OFN16710_n),
-	.X(FE_OFN17000_FE_OFN16860_FE_OFN16710_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3680_FE_OFN16866_FE_OFN16727_FE_OFN16697_n (
-	.A(FE_OFN16866_FE_OFN16727_FE_OFN16697_n),
-	.X(FE_OFN16999_FE_OFN16866_FE_OFN16727_FE_OFN16697_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3679_FE_OFN16870_FE_OFN16598_FE_OFN16509_n (
-	.A(FE_OFN16870_FE_OFN16598_FE_OFN16509_n),
-	.X(FE_OFN16998_FE_OFN16870_FE_OFN16598_FE_OFN16509_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3678_FE_OFN16869_FE_OFN16599_FE_OFN16508_n (
-	.A(FE_OFN16869_FE_OFN16599_FE_OFN16508_n),
-	.X(FE_OFN16997_FE_OFN16869_FE_OFN16599_FE_OFN16508_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3677_FE_OFN16867_FE_OFN16706_n (
-	.A(FE_OFN16867_FE_OFN16706_n),
-	.X(FE_OFN16996_FE_OFN16867_FE_OFN16706_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3676_FE_OFN16868_FE_OFN16597_FE_OFN16412_FE_OFN16119_FE_OFN1670_n (
-	.A(FE_OFN16868_FE_OFN16597_FE_OFN16412_FE_OFN16119_FE_OFN1670_n),
-	.X(FE_OFN16995_FE_OFN16868_FE_OFN16597_FE_OFN16412_FE_OFN16119_FE_OFN1670_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC3675_FE_OFN16947_n (
-	.A(FE_OFN16947_n),
-	.X(FE_OFN16994_FE_OFN16947_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3674_FE_OFN16864_FE_OFN16600_FE_OFN16455_FE_OFN16195_FE_OFN1672_n (
-	.A(FE_OFN16864_FE_OFN16600_FE_OFN16455_FE_OFN16195_FE_OFN1672_n),
-	.X(FE_OFN16993_FE_OFN16864_FE_OFN16600_FE_OFN16455_FE_OFN16195_FE_OFN1672_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3673_FE_OFN16865_FE_OFN16596_FE_OFN16454_FE_OFN16198_FE_OFN1671_n (
-	.A(FE_OFN16865_FE_OFN16596_FE_OFN16454_FE_OFN16198_FE_OFN1671_n),
-	.X(FE_OFN16992_FE_OFN16865_FE_OFN16596_FE_OFN16454_FE_OFN16198_FE_OFN1671_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3672_FE_OFN16862_FE_OFN16708_n (
-	.A(FE_OFN16862_FE_OFN16708_n),
-	.X(FE_OFN16991_FE_OFN16862_FE_OFN16708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3671_FE_OFN16958_n (
-	.A(FE_OFN16958_n),
-	.X(FE_OFN16990_FE_OFN16958_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3670_FE_OFN16855_FE_OFN16592_FE_OFN16476_FE_OFN16132_FE_OFN1694_n (
-	.A(FE_OFN16855_FE_OFN16592_FE_OFN16476_FE_OFN16132_FE_OFN1694_n),
-	.X(FE_OFN16989_FE_OFN16855_FE_OFN16592_FE_OFN16476_FE_OFN16132_FE_OFN1694_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3669_FE_OFN16919_FE_OFN16589_FE_OFN16411_FE_OFN16123_FE_OFN1705_n (
-	.A(FE_OFN16919_FE_OFN16589_FE_OFN16411_FE_OFN16123_FE_OFN1705_n),
-	.X(FE_OFN16988_FE_OFN16919_FE_OFN16589_FE_OFN16411_FE_OFN16123_FE_OFN1705_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3668_FE_OFN16853_FE_OFN16612_FE_OFN16410_FE_OFN16124_FE_OFN1704_n (
-	.A(FE_OFN16853_FE_OFN16612_FE_OFN16410_FE_OFN16124_FE_OFN1704_n),
-	.X(FE_OFN16987_FE_OFN16853_FE_OFN16612_FE_OFN16410_FE_OFN16124_FE_OFN1704_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3667_FE_OFN16953_n (
-	.A(FE_OFN16953_n),
-	.X(FE_OFN16986_FE_OFN16953_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3666_FE_OFN16904_FE_OFN16609_FE_OFN16464_FE_OFN16118_FE_OFN1673_n (
-	.A(FE_OFN16904_FE_OFN16609_FE_OFN16464_FE_OFN16118_FE_OFN1673_n),
-	.X(FE_OFN16985_FE_OFN16904_FE_OFN16609_FE_OFN16464_FE_OFN16118_FE_OFN1673_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC3665_FE_OFN16960_n (
-	.A(FE_OFN16960_n),
-	.X(FE_OFN16984_FE_OFN16960_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3664_FE_OFN16851_FE_OFN16652_FE_OFN16408_FE_OFN16121_FE_OFN1703_n (
-	.A(FE_OFN16851_FE_OFN16652_FE_OFN16408_FE_OFN16121_FE_OFN1703_n),
-	.X(FE_OFN16983_FE_OFN16851_FE_OFN16652_FE_OFN16408_FE_OFN16121_FE_OFN1703_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3663_FE_OFN16846_FE_OFN16640_FE_OFN16520_n (
-	.A(FE_OFN16846_FE_OFN16640_FE_OFN16520_n),
-	.X(FE_OFN16982_FE_OFN16846_FE_OFN16640_FE_OFN16520_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC3662_FE_OFN16942_n (
-	.A(FE_OFN16942_n),
-	.X(FE_OFN16981_FE_OFN16942_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3661_FE_OFN16847_FE_OFN16602_FE_OFN16405_FE_OFN16115_FE_OFN1708_n (
-	.A(FE_OFN16847_FE_OFN16602_FE_OFN16405_FE_OFN16115_FE_OFN1708_n),
-	.X(FE_OFN16980_FE_OFN16847_FE_OFN16602_FE_OFN16405_FE_OFN16115_FE_OFN1708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3660_FE_OFN16952_n (
-	.A(FE_OFN16952_n),
-	.X(FE_OFN16979_FE_OFN16952_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3659_FE_OFN16718_n (
-	.A(FE_OFN16718_n),
-	.X(FE_OFN16978_FE_OFN16718_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3658_FE_OFN16941_n (
-	.A(FE_OFN16941_n),
-	.X(FE_OFN16977_FE_OFN16941_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3657_FE_OFN16857_FE_OFN16703_n (
-	.A(FE_OFN16857_FE_OFN16703_n),
-	.X(FE_OFN16976_FE_OFN16857_FE_OFN16703_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3656_FE_OFN16844_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n (
-	.A(FE_OFN16844_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n),
-	.X(FE_OFN16975_FE_OFN16844_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC3655_FE_OFN16844_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n (
-	.A(FE_OFN16844_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n),
-	.X(FE_OFN16974_FE_OFN16844_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC3654_FE_OFN16694_n (
-	.A(FE_OFN16694_n),
-	.X(FE_OFN16973_FE_OFN16694_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3653_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n (
-	.A(FE_OFN16971_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n),
-	.Y(FE_OFN16972_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3652_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n (
-	.A(FE_PDN3790_FE_OFN16969_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n),
-	.X(FE_OFN16971_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_OFC3651_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n (
-	.A(FE_OFN16968_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n),
-	.Y(FE_OFN16970_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC3650_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n (
-	.A(FE_OFN16968_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n),
-	.X(FE_OFN16969_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_OFC3649_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n (
-	.A(FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n),
-	.Y(FE_OFN16968_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC3648_FE_OFN16579_FE_OFN16228_n (
-	.A(FE_OFN16966_FE_OFN16579_FE_OFN16228_n),
-	.X(FE_OFN16967_FE_OFN16579_FE_OFN16228_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3647_FE_OFN16579_FE_OFN16228_n (
-	.A(FE_OFN16579_FE_OFN16228_n),
-	.X(FE_OFN16966_FE_OFN16579_FE_OFN16228_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3646_n (
-	.A(FE_OFN16948_n),
-	.X(FE_OFN16965_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_2 FE_RC_427_0 (
-	.A1(n_13193),
-	.A2(n_3409),
-	.B1(n_598),
-	.B2(n_13194),
-	.C1(n_1228),
-	.Y(n_5859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3645_n (
-	.A(FE_OFN16938_n),
-	.X(FE_OFN16964_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3644_n (
-	.A(FE_OFN16841_FE_OFN16685_n),
-	.X(FE_OFN16963_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3643_FE_OFN16635_FE_OFN16469_FE_OFN16128_FE_OFN1695_n (
-	.A(u_dccm_addr2[2]),
-	.X(FE_OFN16962_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3641_FE_OFN16714_n (
-	.A(u_iccm_addr2[7]),
-	.X(FE_OFN16960_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3639_FE_OFN16707_n (
-	.A(u_dccm_addr2[0]),
-	.X(FE_OFN16958_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3638_FE_OFN16703_n (
-	.A(FE_OFN16857_FE_OFN16703_n),
-	.X(FE_OFN16957_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3636_FE_OFN16712_n (
-	.A(u_dccm_addr2[7]),
-	.X(FE_OFN16955_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3635_FE_OFN16726_FE_OFN16501_n (
-	.A(FE_OFN16849_FE_OFN16726_FE_OFN16501_n),
-	.X(FE_OFN16954_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3634_FE_OFN16702_n (
-	.A(u_dccm_addr3[1]),
-	.X(FE_OFN16953_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3633_FE_OFN16700_n (
-	.A(u_dccm_addr3[0]),
-	.X(FE_OFN16952_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3632_n (
-	.A(FE_OFN16936_n),
-	.X(FE_OFN16951_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3631_FE_OFN16685_n (
-	.A(FE_OFN871_data_wmask_2),
-	.X(FE_OFN16950_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3629_FE_OFN16690_n (
-	.A(FE_OFN16947_n),
-	.X(FE_OFN16948_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3628_FE_OFN16690_n (
-	.A(FE_PDN3807_FE_OFN16095_FE_OFN889_instr_wmask_0),
-	.X(FE_OFN16947_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_8 FE_OFC3626_n (
-	.A(FE_OFN16581_FE_OFN16155_FE_OFN1741_n),
-	.X(FE_OFN16945_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3625_n (
-	.A(FE_OFN16687_n),
-	.X(FE_OFN16944_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3624_data_wdata_30 (
-	.A(FE_OFN240_data_wdata_30),
-	.X(FE_OFN16943_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3623_n (
-	.A(FE_PDN3766_FE_OFN863_data_wmask_3),
-	.X(FE_OFN16942_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3622_n (
-	.A(FE_OFN863_data_wmask_3),
-	.X(FE_OFN16941_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC3619_n (
-	.A(FE_PDN3939_FE_OFN16937_n),
-	.Y(FE_OFN16938_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3618_n (
-	.A(FE_OFN16936_n),
-	.Y(FE_OFN16937_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3617_n (
-	.A(FE_OFN863_data_wmask_3),
-	.X(FE_OFN16936_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3615_n_9397 (
-	.A(n_9397),
-	.X(FE_OFN16934_n_9397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3611_n_10843 (
-	.A(n_10843),
-	.X(FE_OFN16930_n_10843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3610_FE_OFN16667_FE_OFN16548_FE_OFN16522_n (
-	.A(FE_PDN3668_FE_OFN16094_FE_OFN889_instr_wmask_0),
-	.X(FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3609_FE_OFN16671_FE_OFN16536_FE_OFN16158_FE_OFN1661_n (
-	.A(u_iccm_addr1[0]),
-	.X(FE_OFN16928_FE_OFN16671_FE_OFN16536_FE_OFN16158_FE_OFN1661_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3604_FE_OFN16653_FE_OFN16470_FE_OFN16133_FE_OFN1699_n (
-	.A(u_dccm_addr2[6]),
-	.X(FE_OFN16923_FE_OFN16653_FE_OFN16470_FE_OFN16133_FE_OFN1699_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3602_FE_OFN16630_FE_OFN16478_FE_OFN16135_FE_OFN1697_n (
-	.A(u_dccm_addr2[4]),
-	.X(FE_OFN16921_FE_OFN16630_FE_OFN16478_FE_OFN16135_FE_OFN1697_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3600_FE_OFN16589_FE_OFN16411_FE_OFN16123_FE_OFN1705_n (
-	.A(u_dccm_addr3[4]),
-	.X(FE_OFN16919_FE_OFN16589_FE_OFN16411_FE_OFN16123_FE_OFN1705_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3599_FE_OFN16636_FE_OFN16468_FE_OFN16142_FE_OFN1696_n (
-	.A(u_dccm_addr2[3]),
-	.X(FE_OFN16918_FE_OFN16636_FE_OFN16468_FE_OFN16142_FE_OFN1696_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3597_FE_OFN16580_FE_OFN16155_FE_OFN1741_n (
-	.A(data_wmask[0]),
-	.X(FE_OFN16916_FE_OFN16580_FE_OFN16155_FE_OFN1741_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3596_FE_OFN16634_FE_OFN16463_FE_OFN16136_FE_OFN1675_n (
-	.A(FE_OFN1287_u_iccm_addr2_6),
-	.X(FE_OFN16915_FE_OFN16634_FE_OFN16463_FE_OFN16136_FE_OFN1675_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3593_FE_OFN16601_FE_OFN16465_FE_OFN16129_FE_OFN1677_n (
-	.A(FE_OFN1283_u_iccm_addr3_0),
-	.X(FE_OFN16912_FE_OFN16601_FE_OFN16465_FE_OFN16129_FE_OFN1677_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3591_FE_OFN16603_FE_OFN16458_FE_OFN16143_FE_OFN1683_n (
-	.A(FE_OFN1273_u_iccm_addr3_6),
-	.X(FE_OFN16910_FE_OFN16603_FE_OFN16458_FE_OFN16143_FE_OFN1683_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3590_FE_OFN16638_FE_OFN16462_FE_OFN16137_FE_OFN1674_n (
-	.A(FE_OFN1289_u_iccm_addr2_5),
-	.X(FE_OFN16909_FE_OFN16638_FE_OFN16462_FE_OFN16137_FE_OFN1674_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3589_FE_OFN16658_FE_OFN16471_FE_OFN16151_FE_OFN1742_n (
-	.A(FE_OFN877_data_wmask_1),
-	.X(FE_OFN16908_FE_OFN16658_FE_OFN16471_FE_OFN16151_FE_OFN1742_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3587_data_wdata_5 (
-	.A(data_wdata[5]),
-	.X(FE_OFN16906_data_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3586_FE_OFN16626_FE_OFN16533_FE_OFN16197_FE_OFN1686_n (
-	.A(u_iccm_addr4[1]),
-	.X(FE_OFN16905_FE_OFN16626_FE_OFN16533_FE_OFN16197_FE_OFN1686_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3585_FE_OFN16609_FE_OFN16464_FE_OFN16118_FE_OFN1673_n (
-	.A(u_iccm_addr2[4]),
-	.X(FE_OFN16904_FE_OFN16609_FE_OFN16464_FE_OFN16118_FE_OFN1673_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3584_FE_OFN16622_FE_OFN16531_FE_OFN16180_FE_OFN1685_n (
-	.A(u_iccm_addr4[0]),
-	.X(FE_OFN16903_FE_OFN16622_FE_OFN16531_FE_OFN16180_FE_OFN1685_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3583_FE_OFN16625_FE_OFN16529_FE_OFN16181_FE_OFN1662_n (
-	.A(u_iccm_addr1[1]),
-	.X(FE_OFN16902_FE_OFN16625_FE_OFN16529_FE_OFN16181_FE_OFN1662_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3582_FE_OFN16623_FE_OFN16530_FE_OFN16192_FE_OFN1687_n (
-	.A(u_iccm_addr4[2]),
-	.X(FE_OFN16901_FE_OFN16623_FE_OFN16530_FE_OFN16192_FE_OFN1687_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3579_FE_OFN16621_FE_OFN16448_FE_OFN16177_FE_OFN1713_n (
-	.A(u_dccm_addr1[4]),
-	.X(FE_OFN16898_FE_OFN16621_FE_OFN16448_FE_OFN16177_FE_OFN1713_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3578_FE_OFN612_instr_wdata_16 (
-	.A(FE_OFN613_instr_wdata_16),
-	.X(FE_OFN16897_FE_OFN612_instr_wdata_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3577_FE_OFN16620_FE_OFN16443_FE_OFN16154_FE_OFN1688_n (
-	.A(u_iccm_addr4[3]),
-	.X(FE_OFN16896_FE_OFN16620_FE_OFN16443_FE_OFN16154_FE_OFN1688_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3576_FE_OFN16627_FE_OFN16554_FE_OFN16444_FE_OFN16152_FE_OFN1690_n (
-	.A(u_iccm_addr4[5]),
-	.X(FE_OFN16895_FE_OFN16627_FE_OFN16554_FE_OFN16444_FE_OFN16152_FE_OFN1690_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3575_FE_OFN16606_FE_OFN16445_FE_OFN16145_FE_OFN1664_n (
-	.A(u_iccm_addr1[3]),
-	.X(FE_OFN16894_FE_OFN16606_FE_OFN16445_FE_OFN16145_FE_OFN1664_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3574_FE_OFN16618_FE_OFN16446_FE_OFN16171_FE_OFN1689_n (
-	.A(u_iccm_addr4[4]),
-	.X(FE_OFN16893_FE_OFN16618_FE_OFN16446_FE_OFN16171_FE_OFN1689_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3573_FE_OFN16666_FE_OFN16432_FE_OFN16186_FE_OFN1720_n (
-	.A(u_dccm_addr4[3]),
-	.X(FE_OFN16892_FE_OFN16666_FE_OFN16432_FE_OFN16186_FE_OFN1720_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3572_FE_OFN16607_FE_OFN16431_FE_OFN16200_FE_OFN1719_n (
-	.A(u_dccm_addr4[2]),
-	.X(FE_OFN16891_FE_OFN16607_FE_OFN16431_FE_OFN16200_FE_OFN1719_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3571_FE_OFN16733_FE_OFN16628_FE_OFN16436_FE_OFN16156_FE_OFN1692_n (
-	.A(u_iccm_addr4[7]),
-	.X(FE_OFN16890_FE_OFN16733_FE_OFN16628_FE_OFN16436_FE_OFN16156_FE_OFN1692_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3570_FE_OFN16674_FE_OFN16441_FE_OFN16179_FE_OFN1711_n (
-	.A(u_dccm_addr1[2]),
-	.X(FE_OFN16889_FE_OFN16674_FE_OFN16441_FE_OFN16179_FE_OFN1711_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3569_FE_OFN16610_FE_OFN16435_FE_OFN16149_FE_OFN1665_n (
-	.A(u_iccm_addr1[4]),
-	.X(FE_OFN16888_FE_OFN16610_FE_OFN16435_FE_OFN16149_FE_OFN1665_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3568_FE_OFN16616_FE_OFN16447_FE_OFN16205_FE_OFN1710_n (
-	.A(u_dccm_addr1[1]),
-	.X(FE_OFN16887_FE_OFN16616_FE_OFN16447_FE_OFN16205_FE_OFN1710_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3567_FE_OFN16675_FE_OFN16203_FE_OFN1712_n (
-	.A(u_dccm_addr1[3]),
-	.X(FE_OFN16886_FE_OFN16675_FE_OFN16203_FE_OFN1712_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3566_FE_OFN16613_FE_OFN16442_FE_OFN16178_FE_OFN1718_n (
-	.A(u_dccm_addr4[1]),
-	.X(FE_OFN16885_FE_OFN16613_FE_OFN16442_FE_OFN16178_FE_OFN1718_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3565_FE_OFN16617_FE_OFN16558_FE_OFN16427_FE_OFN16251_n (
-	.A(u_dccm_addr4[5]),
-	.X(FE_OFN16884_FE_OFN16617_FE_OFN16558_FE_OFN16427_FE_OFN16251_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3564_FE_OFN16629_FE_OFN16555_FE_OFN16428_FE_OFN16147_FE_OFN1691_n (
-	.A(u_iccm_addr4[6]),
-	.X(FE_OFN16883_FE_OFN16629_FE_OFN16555_FE_OFN16428_FE_OFN16147_FE_OFN1691_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3562_FE_OFN16608_FE_OFN16437_FE_OFN16146_FE_OFN1666_n (
-	.A(u_iccm_addr1[5]),
-	.X(FE_OFN16881_FE_OFN16608_FE_OFN16437_FE_OFN16146_FE_OFN1666_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3561_FE_OFN16735_FE_OFN16619_FE_OFN16451_FE_OFN16229_n (
-	.A(u_iccm_addr1[7]),
-	.X(FE_OFN16880_FE_OFN16735_FE_OFN16619_FE_OFN16451_FE_OFN16229_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3560_FE_OFN16611_FE_OFN16430_FE_OFN16169_FE_OFN1715_n (
-	.A(u_dccm_addr1[6]),
-	.X(FE_OFN16879_FE_OFN16611_FE_OFN16430_FE_OFN16169_FE_OFN1715_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3559_FE_OFN16704_n (
-	.A(u_dccm_addr1[5]),
-	.X(FE_OFN16878_FE_OFN16704_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3558_FE_OFN16730_FE_OFN16605_FE_OFN16543_FE_OFN16429_FE_OFN16247_n (
-	.A(u_dccm_addr4[0]),
-	.X(FE_OFN16877_FE_OFN16730_FE_OFN16605_FE_OFN16543_FE_OFN16429_FE_OFN16247_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3557_FE_OFN16614_FE_OFN16553_FE_OFN16433_FE_OFN16253_n (
-	.A(u_dccm_addr1[0]),
-	.X(FE_OFN16876_FE_OFN16614_FE_OFN16553_FE_OFN16433_FE_OFN16253_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3556_FE_OFN16615_FE_OFN16541_FE_OFN16438_FE_OFN16144_FE_OFN1663_n (
-	.A(u_iccm_addr1[2]),
-	.X(FE_OFN16875_FE_OFN16615_FE_OFN16541_FE_OFN16438_FE_OFN16144_FE_OFN1663_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3555_FE_OFN16669_FE_OFN16434_FE_OFN16183_FE_OFN1721_n (
-	.A(u_dccm_addr4[4]),
-	.X(FE_OFN16874_FE_OFN16669_FE_OFN16434_FE_OFN16183_FE_OFN1721_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3554_FE_OFN16604_FE_OFN16556_FE_OFN16425_FE_OFN16165_FE_OFN1723_n (
-	.A(u_dccm_addr4[6]),
-	.X(FE_OFN16873_FE_OFN16604_FE_OFN16556_FE_OFN16425_FE_OFN16165_FE_OFN1723_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3552_FE_OFN16705_n (
-	.A(u_dccm_addr1[7]),
-	.X(FE_OFN16871_FE_OFN16705_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3551_FE_OFN16598_FE_OFN16509_n (
-	.A(FE_OFN1277_u_iccm_addr3_4),
-	.X(FE_OFN16870_FE_OFN16598_FE_OFN16509_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3550_FE_OFN16599_FE_OFN16508_n (
-	.A(u_iccm_addr3[3]),
-	.X(FE_OFN16869_FE_OFN16599_FE_OFN16508_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3549_FE_OFN16597_FE_OFN16412_FE_OFN16119_FE_OFN1670_n (
-	.A(u_iccm_addr2[1]),
-	.X(FE_OFN16868_FE_OFN16597_FE_OFN16412_FE_OFN16119_FE_OFN1670_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3548_FE_OFN16706_n (
-	.A(u_iccm_addr3[2]),
-	.X(FE_OFN16867_FE_OFN16706_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3547_FE_OFN16727_FE_OFN16697_n (
-	.A(u_dccm_addr4[7]),
-	.X(FE_OFN16866_FE_OFN16727_FE_OFN16697_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3546_FE_OFN16596_FE_OFN16454_FE_OFN16198_FE_OFN1671_n (
-	.A(u_iccm_addr2[2]),
-	.X(FE_OFN16865_FE_OFN16596_FE_OFN16454_FE_OFN16198_FE_OFN1671_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3545_FE_OFN16600_FE_OFN16455_FE_OFN16195_FE_OFN1672_n (
-	.A(u_iccm_addr2[3]),
-	.X(FE_OFN16864_FE_OFN16600_FE_OFN16455_FE_OFN16195_FE_OFN1672_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_8 FE_OFC3544_FE_OFN16699_n (
-	.A(FE_OFN16094_FE_OFN889_instr_wmask_0),
-	.X(FE_OFN16863_FE_OFN16699_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3543_FE_OFN16708_n (
-	.A(FE_PDN3768_FE_OFN16098_FE_OFN1738_n),
-	.X(FE_OFN16862_FE_OFN16708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_8 FE_OFC3541_FE_OFN16710_n (
-	.A(FE_OFN16094_FE_OFN889_instr_wmask_0),
-	.X(FE_OFN16860_FE_OFN16710_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3540_FE_OFN16661_FE_OFN16550_FE_OFN16477_FE_OFN16139_FE_OFN1698_n (
-	.A(u_dccm_addr2[5]),
-	.X(FE_OFN16859_FE_OFN16661_FE_OFN16550_FE_OFN16477_FE_OFN16139_FE_OFN1698_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3538_FE_OFN16703_n (
-	.A(FE_OFN16703_n),
-	.X(FE_OFN16857_FE_OFN16703_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3536_FE_OFN16592_FE_OFN16476_FE_OFN16132_FE_OFN1694_n (
-	.A(u_dccm_addr2[1]),
-	.X(FE_OFN16855_FE_OFN16592_FE_OFN16476_FE_OFN16132_FE_OFN1694_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3535_FE_OFN16713_n (
-	.A(FE_PDN3787_u_iccm_addr3_7),
-	.X(FE_OFN16854_FE_OFN16713_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3534_FE_OFN16612_FE_OFN16410_FE_OFN16124_FE_OFN1704_n (
-	.A(u_dccm_addr3[3]),
-	.X(FE_OFN16853_FE_OFN16612_FE_OFN16410_FE_OFN16124_FE_OFN1704_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3533_data_csb (
-	.A(data_csb),
-	.X(FE_OFN16852_data_csb), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3532_FE_OFN16652_FE_OFN16408_FE_OFN16121_FE_OFN1703_n (
-	.A(u_dccm_addr3[2]),
-	.X(FE_OFN16851_FE_OFN16652_FE_OFN16408_FE_OFN16121_FE_OFN1703_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3530_FE_OFN16726_FE_OFN16501_n (
-	.A(FE_OFN16094_FE_OFN889_instr_wmask_0),
-	.X(FE_OFN16849_FE_OFN16726_FE_OFN16501_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3528_FE_OFN16602_FE_OFN16405_FE_OFN16115_FE_OFN1708_n (
-	.A(FE_OFN1242_u_dccm_addr3_7),
-	.X(FE_OFN16847_FE_OFN16602_FE_OFN16405_FE_OFN16115_FE_OFN1708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3527_FE_OFN16640_FE_OFN16520_n (
-	.A(FE_PDN3668_FE_OFN16094_FE_OFN889_instr_wmask_0),
-	.X(FE_OFN16846_FE_OFN16640_FE_OFN16520_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3525_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n (
-	.A(data_wmask[1]),
-	.X(FE_OFN16844_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC3522_FE_OFN16685_n (
-	.A(FE_OFN16840_FE_OFN16685_n),
-	.Y(FE_OFN16841_FE_OFN16685_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3521_FE_OFN16685_n (
-	.A(FE_OFN871_data_wmask_2),
-	.Y(FE_OFN16840_FE_OFN16685_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OCPC3518_n_11905 (
-	.A(n_11905),
-	.X(FE_OCPN16837_n_11905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OCPC3517_n_11905 (
-	.A(n_11905),
-	.X(FE_OCPN16836_n_11905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_426_0 (
-	.A(FE_RN_38_0),
-	.B(FE_RN_37_0),
-	.Y(FE_RN_251_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 FE_RC_425_0 (
-	.A1(n_10798),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [5]),
-	.B1(FE_RN_251_0),
-	.Y(n_10915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC3516_u_top_u_core_multdiv_operand_b_ex_0 (
-	.A(u_top_u_core_multdiv_operand_b_ex[0]),
-	.X(FE_OCPN16835_u_top_u_core_multdiv_operand_b_ex_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OCPC3514_n_15717 (
-	.A(n_15717),
-	.X(FE_OCPN16833_n_15717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OCPC3513_n_15699 (
-	.A(n_15699),
-	.X(FE_OCPN16832_n_15699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_424_0 (
-	.A(FE_OFN1880_n_15831),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
-	.Y(FE_RN_248_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_423_0 (
-	.A(FE_OCPN16370_FE_OFN1879_n_15826),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
-	.Y(FE_RN_249_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_422_0 (
-	.A(FE_RN_250_0),
-	.Y(n_11651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_421_0 (
-	.A(FE_RN_249_0),
-	.B(FE_RN_248_0),
-	.Y(FE_RN_250_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC3512_n_13300 (
-	.A(n_13300),
-	.X(FE_OCPN16831_n_13300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_420_0 (
-	.A1(FE_OFN16011_n_11639),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
-	.B1(FE_OCPN16379_FE_OFN16018_n_15726),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
-	.X(FE_RN_247_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_419_0 (
-	.A(FE_RN_247_0),
-	.B(n_12510),
-	.Y(n_12816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 FE_RC_418_0 (
-	.A(FE_RN_226_0),
-	.B_N(n_2143),
-	.Y(FE_RN_246_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_417_0 (
-	.A(n_9452),
-	.B(FE_RN_246_0),
-	.Y(n_9949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_416_0 (
-	.A(FE_RN_65_0),
-	.Y(FE_RN_245_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_4 FE_RC_415_0 (
-	.A1(n_5862),
-	.A2(FE_OFN15924_n_13199),
-	.B1_N(FE_RN_245_0),
-	.Y(n_8294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 FE_RC_414_0 (
-	.A(n_11651),
-	.B(n_11671),
-	.C(n_11672),
-	.D(n_11673),
-	.Y(n_12493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_413_0 (
-	.A(n_11850),
-	.Y(FE_RN_243_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_1 FE_RC_412_0 (
-	.A_N(FE_RN_243_0),
-	.B(n_12106),
-	.X(FE_RN_244_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 FE_RC_411_0 (
-	.A(n_12481),
-	.B(FE_RN_244_0),
-	.C(n_12153),
-	.Y(n_12851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 FE_RC_410_0 (
-	.A1(n_11366),
-	.A2(FE_OFN1784_n_11130),
-	.B1(FE_RN_21_0),
-	.Y(n_11132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 FE_RC_409_0 (
-	.A1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [7]),
-	.B1(n_10798),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [7]),
-	.Y(n_10912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_408_0 (
-	.A(FE_RN_242_0),
-	.Y(n_15833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 FE_RC_407_0 (
-	.A_N(FE_OFN1863_n_11276),
-	.B(FE_RN_7),
-	.C(n_11364),
-	.Y(FE_RN_242_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OCPC3511_n_15713 (
-	.A(FE_OCPN16828_n_15713),
-	.Y(FE_OCPN16830_n_15713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OCPC3510_n_15713 (
-	.A(FE_OCPN16828_n_15713),
-	.Y(FE_OCPN16829_n_15713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OCPC3509_n_15713 (
-	.A(n_15713),
-	.Y(FE_OCPN16828_n_15713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC3508_FE_OFN1880_n_15831 (
-	.A(FE_OFN1880_n_15831),
-	.X(FE_OCPN16827_FE_OFN1880_n_15831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC3507_FE_OFN1880_n_15831 (
-	.A(FE_OFN1880_n_15831),
-	.X(FE_OCPN16826_FE_OFN1880_n_15831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC3506_FE_OFN1880_n_15831 (
-	.A(FE_OFN1880_n_15831),
-	.X(FE_OCPN16825_FE_OFN1880_n_15831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_406_0 (
-	.A(FE_RN_34_0),
-	.Y(FE_RN_241_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_4 FE_RC_405_0 (
-	.A1(n_13637),
-	.A2(FE_OFN1033_n_11410),
-	.B1(FE_RN_241_0),
-	.Y(n_10762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_404_0 (
-	.A(n_13732),
-	.B(n_12196),
-	.Y(FE_RN_240_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_2 FE_RC_403_0 (
-	.A1(FE_OFN15841_n_10772),
-	.A2(n_11518),
-	.B1_N(FE_RN_240_0),
-	.Y(n_13602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_402_0 (
-	.A(FE_OFN1815_u_top_u_core_alu_operator_ex_2),
-	.Y(FE_RN_237_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_401_0 (
-	.A(n_11132),
-	.Y(FE_RN_238_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_400_0 (
-	.A(FE_RN_238_0),
-	.B(FE_RN_237_0),
-	.Y(FE_RN_239_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_399_0 (
-	.A(FE_RN_41_0),
-	.B(FE_RN_239_0),
-	.Y(n_11137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 FE_RC_398_0 (
-	.A1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [6]),
-	.B1(n_10798),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [6]),
-	.Y(n_10914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 FE_RC_394_0 (
-	.A(FE_OFN5763_lsu_to_xbar_a_address__31),
-	.B(FE_OFN824_lsu_to_xbar_a_address__30),
-	.C(n_10823),
-	.Y(n_10925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC3505_n_13637 (
-	.A(n_13637),
-	.X(FE_OCPN16824_n_13637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_2 FE_RC_393_0 (
-	.A1(n_11139),
-	.A2(FE_RN_223_0),
-	.B1(n_11137),
-	.B2(FE_RN_172_0),
-	.Y(n_11142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC3496_n_13401 (
-	.A(n_13401),
-	.X(FE_OCPN3496_n_13401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_OCPC3494_n_13197 (
-	.A(n_13197),
-	.X(FE_OCPN3494_n_13197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 FE_RC_391_0 (
-	.A_N(n_310),
-	.B(n_11391),
-	.Y(FE_RN_231_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_388_0 (
-	.A(FE_RN_104_0),
-	.B(FE_OFN1805_n_12506),
-	.Y(FE_RN_234_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 FE_RC_387_0 (
-	.A(n_13121),
-	.B(FE_RN_234_0),
-	.C(FE_RN_231_0),
-	.Y(n_13606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OCPC3504_n_15834 (
-	.A(n_15834),
-	.X(FE_OCPN16823_n_15834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OCPC3503_n_11638 (
-	.A(FE_OCPN16820_n_11638),
-	.Y(FE_OCPN16822_n_11638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OCPC3502_n_11638 (
-	.A(FE_OCPN16820_n_11638),
-	.Y(FE_OCPN16821_n_11638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OCPC3501_n_11638 (
-	.A(n_11638),
-	.Y(FE_OCPN16820_n_11638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OCPC3500_n_11638 (
-	.A(n_11638),
-	.X(FE_OCPN16819_n_11638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OCPC3499_n_11145 (
-	.A(n_11145),
-	.X(FE_OCPN16818_n_11145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_385_0 (
-	.A(n_11524),
-	.B(FE_OFN817_u_top_u_core_instr_rdata_id_25),
-	.Y(FE_RN_228_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_384_0 (
-	.A(FE_OFN15971_n_13639),
-	.B(FE_OFN1033_n_11410),
-	.Y(FE_RN_229_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_383_0 (
-	.A(FE_RN_229_0),
-	.B(FE_RN_228_0),
-	.Y(u_top_u_core_alu_operand_b_ex[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 FE_RC_381_0 (
-	.A(n_11197),
-	.B(n_11203),
-	.C(n_11240),
-	.D(n_11209),
-	.Y(n_11379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OCPC3498_n_15833 (
-	.A(n_15833),
-	.X(FE_OCPN16817_n_15833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_2 FE_RC_379_0 (
-	.A1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [4]),
-	.B1(n_10798),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [4]),
-	.Y(n_10909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 FE_RC_378_0 (
-	.A(n_13269),
-	.B(n_13268),
-	.X(FE_RN_227_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 FE_RC_377_0 (
-	.A(FE_RN_227_0),
-	.B(FE_OFN1784_n_11130),
-	.Y(n_11131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 FE_RC_376_0 (
-	.A1(n_15731),
-	.A2(n_11215),
-	.B1(n_15840),
-	.Y(n_11397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC3497_u_top_u_core_instr_rdata_id_17 (
-	.A(u_top_u_core_instr_rdata_id[17]),
-	.X(FE_OCPN16816_u_top_u_core_instr_rdata_id_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_374_0 (
-	.A(n_7940),
-	.B(n_6378),
-	.Y(FE_RN_226_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OCPC3495_n_10959 (
-	.A(n_10959),
-	.X(FE_OCPN16814_n_10959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_2 FE_RC_371_0 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_120),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_179),
-	.B1_N(FE_RN_7_0),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_RC_366_0 (
-	.A(FE_OCPN16567_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
-	.Y(FE_RN_224_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_365_0 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_88),
-	.Y(FE_RN_225_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_4 FE_RC_364_0 (
-	.A1(FE_RN_225_0),
-	.A2(FE_OCPN16567_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_88),
-	.B2(FE_RN_224_0),
-	.Y(\lsu_to_xbar[a_address] [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_363_0 (
-	.A(FE_RN_172_0),
-	.Y(FE_RN_223_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC3494_lsu_to_xbar_a_address__30 (
-	.A(\lsu_to_xbar[a_address] [30]),
-	.X(FE_OCPN16813_lsu_to_xbar_a_address__30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3493_n_15710 (
-	.A(n_15710),
-	.X(FE_OFN16812_n_15710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3492_n_15710 (
-	.A(n_15710),
-	.X(FE_OFN16811_n_15710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3491_n_15710 (
-	.A(n_15710),
-	.X(FE_OFN13732_n_15710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3490_FE_RN_6_0 (
-	.A(n_15829),
-	.X(FE_OFN16810_FE_RN_6_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3489_FE_RN_6_0 (
-	.A(n_15829),
-	.X(FE_OFN16777_n_15829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC3488_FE_RN_6_0 (
-	.A(FE_RN_6_0),
-	.Y(n_15829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3487_n_15688 (
-	.A(n_15688),
-	.X(FE_OFN15973_n_15688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3486_FE_RN_196_0 (
-	.A(n_310),
-	.X(FE_OFN925_n_310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC3485_FE_RN_196_0 (
-	.A(n_13703),
-	.Y(n_310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC3484_FE_RN_196_0 (
-	.A(FE_RN_196_0),
-	.Y(n_13703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3482_n_11638 (
-	.A(FE_OFN16039_n_11638),
-	.X(FE_OFN16808_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3481_n_11638 (
-	.A(FE_OFN16039_n_11638),
-	.X(FE_OFN16807_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3480_u_top_u_core_instr_rdata_id_29 (
-	.A(u_top_u_core_instr_rdata_id[29]),
-	.X(FE_OFN16806_u_top_u_core_instr_rdata_id_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3479_n_11923 (
-	.A(n_11923),
-	.X(FE_OFN16805_n_11923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3478_n_11613 (
-	.A(FE_OFN16006_n_11613),
-	.X(FE_OFN16804_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3477_n_13140 (
-	.A(n_13140),
-	.Y(n_13687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3476_n_13194 (
-	.A(n_13194),
-	.Y(FE_RN_160_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3475_n_15694 (
-	.A(n_15694),
-	.X(FE_OFN16062_n_15694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3474_n_15694 (
-	.A(n_15694),
-	.X(FE_OFN1047_n_15694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC3472_FE_RN_109_0 (
-	.A(FE_RN_109_0),
-	.Y(n_13722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3471_n_13141 (
-	.A(n_13141),
-	.Y(n_13673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3469_n_13153 (
-	.A(n_13153),
-	.Y(n_13681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3466_n_13647 (
-	.A(n_13647),
-	.X(FE_OFN1540_n_13647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3465_n_11553 (
-	.A(FE_OFN1037_n_11553),
-	.X(FE_OFN16802_n_11553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3464_n_11553 (
-	.A(n_11553),
-	.X(FE_OFN16801_n_11553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3463_n_11553 (
-	.A(n_11553),
-	.X(FE_OFN1037_n_11553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_OFC3462_FE_OCPN16374_n_15702 (
-	.A(FE_OCPN16374_n_15702),
-	.Y(FE_OCPN16375_n_15702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3461_n_13653 (
-	.A(n_13653),
-	.X(FE_OFN16800_n_13653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC3458_n_13208 (
-	.A(n_13208),
-	.Y(FE_RN_181_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3457_n_13147 (
-	.A(n_13147),
-	.Y(n_13677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3456_n_15833 (
-	.A(n_15833),
-	.X(FE_OFN16049_n_15833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3455_n_15833 (
-	.A(n_15833),
-	.X(FE_OFN16047_n_15833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3454_u_top_u_core_instr_rdata_id_28 (
-	.A(u_top_u_core_instr_rdata_id[28]),
-	.X(FE_OFN16798_u_top_u_core_instr_rdata_id_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3453_n_11639 (
-	.A(FE_OFN16011_n_11639),
-	.X(FE_OFN16797_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC3452_FE_RN_123_0 (
-	.A(FE_RN_123_0),
-	.Y(n_3410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3451_n_15833 (
-	.A(FE_OFN16773_n_15833),
-	.Y(FE_RN_75_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3450_n_13701 (
-	.A(FE_OFN1791_n_271),
-	.X(FE_OFN16796_n_13701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC3449_n_13701 (
-	.A(n_13701),
-	.Y(FE_OFN1791_n_271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3448_n_13654 (
-	.A(n_13654),
-	.X(FE_OFN15827_n_13654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC3447_n_5861 (
-	.A(n_5861),
-	.Y(n_5862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC3446_FE_RN_25_0 (
-	.A(FE_RN_25_0),
-	.Y(FE_RN_98_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_OFC3444_FE_OCPN16294_n_11907 (
-	.A(FE_OCPN16294_n_11907),
-	.Y(FE_OCPN16296_n_11907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3443_u_top_u_core_instr_rdata_id_14 (
-	.A(FE_OFN821_u_top_u_core_instr_rdata_id_14),
-	.X(FE_OFN16795_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3442_n_13700 (
-	.A(n_13700),
-	.X(FE_OFN16794_n_13700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3441_n_15710 (
-	.A(n_15710),
-	.X(FE_OFN16793_n_15710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3440_lsu_to_xbar_a_address__22 (
-	.A(\lsu_to_xbar[a_address] [22]),
-	.X(FE_OFN16792_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3439_lsu_to_xbar_a_address__22 (
-	.A(\lsu_to_xbar[a_address] [22]),
-	.X(FE_OFN16791_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3438_n_13142 (
-	.A(n_13142),
-	.Y(n_13674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3437_n_13134 (
-	.A(n_13134),
-	.Y(n_13684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3436_n_11212 (
-	.A(n_11212),
-	.Y(FE_OFN16790_n_11212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3434_n_11551 (
-	.A(FE_OFN1035_n_11551),
-	.X(FE_OFN16789_n_11551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3433_n_11551 (
-	.A(n_11551),
-	.X(FE_OFN1035_n_11551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC3432_n_297 (
-	.A(FE_OFN923_n_297),
-	.Y(n_13708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3431_n_297 (
-	.A(n_297),
-	.X(FE_OFN923_n_297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3427_xbar_to_dccm_a_address__5 (
-	.A(FE_OFN849_xbar_to_dccm_a_address__5),
-	.Y(FE_OFN16787_xbar_to_dccm_a_address__5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3423_xbar_to_dccm_a_address__5 (
-	.A(\xbar_to_dccm[a_address] [5]),
-	.Y(FE_OFN849_xbar_to_dccm_a_address__5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3422_n_13139 (
-	.A(n_13139),
-	.Y(n_13686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3421_n_15688 (
-	.A(n_15688),
-	.X(FE_OFN16084_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3420_n_15688 (
-	.A(n_15688),
-	.X(FE_OFN16083_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3418_ifu_to_xbar_a_address__6 (
-	.A(\ifu_to_xbar[a_address] [6]),
-	.X(FE_OFN1874_ifu_to_xbar_a_address__6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3417_ifu_to_xbar_a_address__3 (
-	.A(\ifu_to_xbar[a_address] [3]),
-	.X(FE_OFN897_ifu_to_xbar_a_address__3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3416_ifu_to_xbar_a_address__7 (
-	.A(\ifu_to_xbar[a_address] [7]),
-	.X(FE_OFN894_ifu_to_xbar_a_address__7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3415_n_13146 (
-	.A(n_13146),
-	.Y(n_13676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3414_n_15698 (
-	.A(n_15698),
-	.X(FE_OCPN16306_n_15698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3413_n_15698 (
-	.A(n_15698),
-	.X(FE_OFN16054_n_15698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3412_FE_RN_84_0 (
-	.A(FE_RN_84_0),
-	.Y(n_12946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3411_n_10922 (
-	.A(n_10922),
-	.Y(n_10923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3409_n_750 (
-	.A(n_750),
-	.X(FE_OFN927_n_750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3408_n_295 (
-	.A(n_295),
-	.X(FE_OFN921_n_295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3407_ifu_to_xbar_a_address__2 (
-	.A(\ifu_to_xbar[a_address] [2]),
-	.X(FE_OFN898_ifu_to_xbar_a_address__2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3406_n_15827 (
-	.A(n_15827),
-	.X(FE_OCPN16323_n_15827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3405_n_15827 (
-	.A(n_15827),
-	.X(FE_OFN16749_n_15827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3404_n_15825 (
-	.A(n_15825),
-	.Y(FE_RN_204_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3403_n_15825 (
-	.A(n_15825),
-	.X(FE_OFN16023_n_15825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC3402_n_285 (
-	.A(FE_OFN920_n_285),
-	.Y(FE_OFN16783_n_285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3400_n_285 (
-	.A(n_285),
-	.X(FE_OFN920_n_285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3398_n_11554 (
-	.A(n_11554),
-	.X(FE_OCPN16311_n_11554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3397_n_11554 (
-	.A(n_11554),
-	.X(FE_OCPN16312_n_11554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3396_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_169),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3395_FE_RN_81_0 (
-	.A(FE_RN_81_0),
-	.Y(n_13056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3394_FE_RN_81_0 (
-	.A(FE_RN_81_0),
-	.Y(FE_RN_56_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC3392_n_15826 (
-	.A(FE_OCPN16372_FE_OFN1879_n_15826),
-	.Y(FE_OCPN16370_FE_OFN1879_n_15826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC3391_n_15826 (
-	.A(FE_OCPN16372_FE_OFN1879_n_15826),
-	.Y(FE_OFN1055_n_15826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC3390_n_15826 (
-	.A(n_15826),
-	.Y(FE_OCPN16372_FE_OFN1879_n_15826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3389_n_11552 (
-	.A(FE_OFN15954_n_11552),
-	.X(FE_OFN1036_n_11552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3388_n_11552 (
-	.A(n_11552),
-	.X(FE_OFN15954_n_11552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC3387_n_766 (
-	.A(FE_OFN928_n_766),
-	.Y(n_13702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3386_n_766 (
-	.A(n_766),
-	.X(FE_OFN928_n_766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3385_n_15726 (
-	.A(n_15726),
-	.X(FE_OFN16018_n_15726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3383_n_10978 (
-	.A(n_10978),
-	.X(FE_OFN16780_n_10978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3381_n_15825 (
-	.A(n_15825),
-	.X(FE_OFN16778_n_15825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3378_u_top_u_core_instr_rdata_id_13 (
-	.A(FE_OFN16035_u_top_u_core_instr_rdata_id_13),
-	.X(FE_OFN16775_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3376_n_15833 (
-	.A(n_15833),
-	.X(FE_OFN16773_n_15833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3373_n_11633 (
-	.A(FE_OFN16007_n_11633),
-	.X(FE_OFN16770_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3372_lsu_to_xbar_a_address__16 (
-	.A(\lsu_to_xbar[a_address] [16]),
-	.X(FE_OFN16769_lsu_to_xbar_a_address__16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3371_u_top_u_core_instr_rdata_id_27 (
-	.A(u_top_u_core_instr_rdata_id[27]),
-	.X(FE_OFN16768_u_top_u_core_instr_rdata_id_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3368_n_13639 (
-	.A(FE_OFN15971_n_13639),
-	.X(FE_OFN16765_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3367_n_13640 (
-	.A(n_13640),
-	.X(FE_OFN16764_n_13640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3366_u_top_u_core_instr_rdata_id_19 (
-	.A(u_top_u_core_instr_rdata_id[19]),
-	.X(FE_OFN16763_u_top_u_core_instr_rdata_id_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3363_n_13307 (
-	.A(n_13307),
-	.X(FE_OFN16760_n_13307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3362_n_15830 (
-	.A(n_15830),
-	.X(FE_OFN16759_n_15830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3360_lsu_to_xbar_a_address__30 (
-	.A(FE_OFN824_lsu_to_xbar_a_address__30),
-	.X(FE_OFN16757_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3358_lsu_to_xbar_a_address__19 (
-	.A(\lsu_to_xbar[a_address] [19]),
-	.X(FE_OFN16755_lsu_to_xbar_a_address__19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3357_n_13641 (
-	.A(n_13641),
-	.X(FE_OFN16754_n_13641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3355_dccm_adapter_data_weD (
-	.A(dccm_adapter_data_weD),
-	.X(FE_OFN16752_dccm_adapter_data_weD), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3354_n_9951 (
-	.A(n_9951),
-	.X(FE_OFN16751_n_9951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3350_n_13135 (
-	.A(n_13135),
-	.Y(n_13692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3349_n_11501 (
-	.A(FE_OFN16056_n),
-	.X(FE_OFN15868_n_11501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3348_n_11501 (
-	.A(FE_OFN16056_n),
-	.Y(FE_RN_66_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3347_n_11501 (
-	.A(n_11501),
-	.X(FE_OFN16056_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3345_n_12959 (
-	.A(n_13645),
-	.Y(FE_OFN16750_n_12959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3343_n_12959 (
-	.A(n_12959),
-	.Y(n_13645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3336_n_13136 (
-	.A(n_13136),
-	.Y(n_13693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3335_n_13642 (
-	.A(n_13642),
-	.X(FE_OFN15987_n_13642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3334_n_15716 (
-	.A(n_15716),
-	.X(FE_OFN16748_n_15716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3333_n_15716 (
-	.A(n_15716),
-	.X(FE_OFN16033_n_15716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3332_n_15716 (
-	.A(n_15716),
-	.X(FE_OFN1049_n_15716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3331_n_15839 (
-	.A(FE_OFN1058_n_15839),
-	.X(FE_OFN15858_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3330_n_15839 (
-	.A(FE_OFN1058_n_15839),
-	.Y(n_11380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3329_n_15839 (
-	.A(n_15839),
-	.X(FE_OFN1058_n_15839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3326_n_15715 (
-	.A(n_15715),
-	.X(FE_OFN16013_n_15715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3325_n_15715 (
-	.A(n_15715),
-	.X(FE_OCPN16389_n_15715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3324_n_13655 (
-	.A(n_13655),
-	.X(FE_OFN15842_n_13655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3321_lsu_to_xbar_a_address__21 (
-	.A(\lsu_to_xbar[a_address] [21]),
-	.X(FE_OFN16746_lsu_to_xbar_a_address__21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3320_lsu_to_xbar_a_address__21 (
-	.A(\lsu_to_xbar[a_address] [21]),
-	.X(FE_OFN833_lsu_to_xbar_a_address__21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3319_n_11610 (
-	.A(n_11610),
-	.X(FE_OCPN16360_n_11610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3318_n_11610 (
-	.A(n_11610),
-	.X(FE_OFN16008_n_11610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3316_n_13712 (
-	.A(n_13712),
-	.X(FE_OFN1886_n_13712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3315_n_303 (
-	.A(n_13709),
-	.Y(FE_OFN924_n_303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3314_n_303 (
-	.A(n_303),
-	.X(n_13709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3312_n_11355 (
-	.A(n_11355),
-	.X(FE_OFN15902_n_11355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3311_n_13656 (
-	.A(FE_OFN1544_n_13656),
-	.X(FE_OFN16745_n_13656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3310_n_13656 (
-	.A(n_13656),
-	.X(FE_OFN1544_n_13656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3308_u_top_u_core_instr_rdata_id_25 (
-	.A(u_top_u_core_instr_rdata_id[25]),
-	.X(FE_OFN817_u_top_u_core_instr_rdata_id_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3307_lsu_to_xbar_a_address__17 (
-	.A(\lsu_to_xbar[a_address] [17]),
-	.X(FE_OFN837_lsu_to_xbar_a_address__17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3306_n_11904 (
-	.A(n_11904),
-	.X(FE_OFN16045_n_11904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3305_n_11904 (
-	.A(n_11904),
-	.X(FE_OFN16046_n_11904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3304_n_13130 (
-	.A(n_13130),
-	.Y(n_13691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3303_n_11277 (
-	.A(n_11277),
-	.Y(FE_RN_63_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3299_n_13714 (
-	.A(n_13714),
-	.X(FE_OFN1547_n_13714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3298_u_top_u_core_instr_rdata_id_16 (
-	.A(u_top_u_core_instr_rdata_id[16]),
-	.X(FE_OCPN16314_u_top_u_core_instr_rdata_id_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3297_n_11633 (
-	.A(n_11633),
-	.X(FE_OCPN16317_n_11633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3296_n_11633 (
-	.A(n_11633),
-	.X(FE_OFN16007_n_11633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3295_u_top_u_core_instr_rdata_id_12 (
-	.A(u_top_u_core_instr_rdata_id[12]),
-	.X(FE_OFN16744_u_top_u_core_instr_rdata_id_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3291_n_13720 (
-	.A(n_13720),
-	.X(FE_OFN1552_n_13720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3289_n_13659 (
-	.A(n_13659),
-	.X(FE_OFN1376_n_13659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3288_n_13155 (
-	.A(n_13155),
-	.Y(n_13694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3287_n_11639 (
-	.A(n_11639),
-	.X(FE_OFN16011_n_11639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3286_n_11639 (
-	.A(n_11639),
-	.X(FE_OCPN16282_n_11639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3285_n_10322 (
-	.A(n_10322),
-	.X(FE_OFN1514_n_10322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3284_n_13648 (
-	.A(n_13648),
-	.X(FE_OFN1373_n_13648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3283_n_13651 (
-	.A(n_13651),
-	.X(FE_OFN15862_n_13651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3282_u_top_u_core_instr_rdata_id_30 (
-	.A(u_top_u_core_instr_rdata_id[30]),
-	.X(FE_OFN16743_u_top_u_core_instr_rdata_id_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3281_u_top_u_core_instr_rdata_id_30 (
-	.A(u_top_u_core_instr_rdata_id[30]),
-	.Y(FE_OFN816_u_top_u_core_instr_rdata_id_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3280_n_13721 (
-	.A(n_13721),
-	.X(FE_OFN1553_n_13721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3279_n_11931 (
-	.A(n_11931),
-	.X(FE_OFN16742_n_11931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3278_n_11931 (
-	.A(n_11931),
-	.X(FE_OCPN16331_n_11931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3277_n_11931 (
-	.A(n_11931),
-	.X(FE_OFN16063_n_11931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3275_n_10316 (
-	.A(n_10316),
-	.X(FE_OFN1508_n_10316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3273_n_15717 (
-	.A(n_15717),
-	.X(FE_OFN16019_n_15717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3272_n_15717 (
-	.A(n_15717),
-	.X(FE_OCPN16362_n_15717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3270_lsu_to_xbar_a_address__28 (
-	.A(\lsu_to_xbar[a_address] [28]),
-	.X(FE_OFN826_lsu_to_xbar_a_address__28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3269_n_10508 (
-	.A(n_10508),
-	.X(FE_OFN15963_n_10508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3268_n_13717 (
-	.A(n_13717),
-	.X(FE_OFN1550_n_13717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3267_n_13713 (
-	.A(n_13713),
-	.X(FE_OFN1546_n_13713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3266_n_13646 (
-	.A(n_13646),
-	.X(FE_OFN15855_n_13646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3265_n_15713 (
-	.A(FE_OCPN16829_n_15713),
-	.X(FE_OCPN16353_FE_OFN15994_n_15713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3264_n_15713 (
-	.A(FE_OCPN16830_n_15713),
-	.X(FE_OFN15994_n_15713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3263_n_10385 (
-	.A(n_10385),
-	.X(FE_OFN1529_n_10385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3262_n_10315 (
-	.A(n_10315),
-	.X(FE_OFN1507_n_10315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3261_n_10311 (
-	.A(n_10311),
-	.X(FE_OFN1503_n_10311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3260_n_10309 (
-	.A(n_10309),
-	.X(FE_OFN1501_n_10309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3259_n_10386 (
-	.A(n_10386),
-	.X(FE_OFN1530_n_10386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3258_u_tcam_rdata_5 (
-	.A(u_tcam_rdata[5]),
-	.X(FE_OFN806_u_tcam_rdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3257_n_10308 (
-	.A(n_10308),
-	.X(FE_OFN1500_n_10308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3256_n_10307 (
-	.A(n_10307),
-	.X(FE_OFN1499_n_10307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3255_n_10310 (
-	.A(n_10310),
-	.X(FE_OFN1502_n_10310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3254_n_10321 (
-	.A(n_10321),
-	.X(FE_OFN1513_n_10321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3253_n_13719 (
-	.A(n_13719),
-	.X(FE_OFN1551_n_13719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3252_n_15693 (
-	.A(n_15693),
-	.X(FE_OFN16068_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3251_n_15693 (
-	.A(n_15693),
-	.X(FE_OFN16066_n_15693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3250_n_13715 (
-	.A(n_13715),
-	.X(FE_OFN1548_n_13715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3249_n_10507 (
-	.A(n_10507),
-	.X(FE_OFN5764_n_10507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3248_n_10317 (
-	.A(n_10317),
-	.X(FE_OFN1509_n_10317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3247_n_10306 (
-	.A(n_10306),
-	.X(FE_OFN1498_n_10306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3245_n_10324 (
-	.A(n_10324),
-	.X(FE_OFN1516_n_10324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3244_n_13193 (
-	.A(n_13193),
-	.Y(FE_OCPN16262_n_13193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3243_n_13193 (
-	.A(n_13193),
-	.Y(n_10836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3242_n_10325 (
-	.A(n_10325),
-	.X(FE_OFN1517_n_10325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3241_lsu_to_xbar_a_address__29 (
-	.A(\lsu_to_xbar[a_address] [29]),
-	.X(FE_OCPN16573_FE_OFN825_lsu_to_xbar_a_address__29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3237_FE_OFN1669_n (
-	.A(FE_OFN1296_u_iccm_addr2_0),
-	.X(FE_OFN16737_FE_OFN1669_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3224_n_9408 (
-	.A(n_9408),
-	.X(FE_OFN16724_n_9408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3221_n_9398 (
-	.A(n_9398),
-	.X(FE_OFN16721_n_9398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC3220_n_1346 (
-	.A(n_1346),
-	.X(FE_OFN16720_n_1346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00475 (
-	.A(CTS_98),
-	.X(CTS_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00473 (
-	.A(CTS_98),
-	.X(CTS_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00471 (
-	.A(CTS_98),
-	.X(FE_USKN3978_CTS_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00469 (
-	.A(CTS_98),
-	.X(FE_USKN3920_CTS_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00467 (
-	.A(CTS_98),
-	.X(CTS_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00465 (
-	.A(CTS_98),
-	.X(FE_USKN3907_CTS_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00851 (
-	.A(CTS_99),
-	.X(CTS_98), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_buf_00882 (
-	.A(CTS_100),
-	.X(FE_USKN3977_CTS_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 CTS_csf_buf_00895 (
-	.A(CTS_89),
-	.X(CTS_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_csf_buf_00894 (
-	.A(CTS_89),
-	.X(CTS_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00463 (
-	.A(CTS_89),
-	.X(FE_USKN3983_CTS_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00457 (
-	.A(CTS_89),
-	.X(CTS_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00859 (
-	.A(CTS_91),
-	.X(CTS_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00780 (
-	.A(CTS_85),
-	.X(FE_USKN3903_CTS_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00776 (
-	.A(CTS_85),
-	.X(CTS_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00766 (
-	.A(CTS_85),
-	.X(CTS_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00724 (
-	.A(CTS_85),
-	.X(CTS_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00857 (
-	.A(CTS_91),
-	.X(FE_USKN3970_CTS_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00778 (
-	.A(CTS_80),
-	.X(FE_USKN3848_CTS_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00855 (
-	.A(CTS_91),
-	.X(FE_USKN3849_CTS_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_buf_00881 (
-	.A(CTS_100),
-	.X(FE_USKN3850_CTS_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00774 (
-	.A(CTS_77),
-	.X(CTS_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00772 (
-	.A(CTS_77),
-	.X(FE_USKN3894_CTS_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00770 (
-	.A(CTS_77),
-	.X(CTS_74), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00768 (
-	.A(CTS_77),
-	.X(FE_USKN3896_CTS_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00764 (
-	.A(CTS_77),
-	.X(FE_USKN3891_CTS_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00760 (
-	.A(CTS_77),
-	.X(FE_USKN3890_CTS_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00758 (
-	.A(CTS_77),
-	.X(FE_USKN3898_CTS_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00756 (
-	.A(CTS_77),
-	.X(CTS_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00734 (
-	.A(CTS_77),
-	.X(FE_USKN3888_CTS_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00714 (
-	.A(CTS_77),
-	.X(FE_USKN3893_CTS_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00712 (
-	.A(CTS_77),
-	.X(FE_USKN3887_CTS_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00704 (
-	.A(CTS_77),
-	.X(FE_USKN3889_CTS_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00692 (
-	.A(CTS_77),
-	.X(FE_USKN3895_CTS_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00853 (
-	.A(CTS_78),
-	.X(FE_USKN3883_CTS_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00762 (
-	.A(CTS_63),
-	.X(FE_USKN3886_CTS_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00750 (
-	.A(CTS_63),
-	.X(FE_USKN3885_CTS_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00744 (
-	.A(CTS_63),
-	.X(CTS_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00740 (
-	.A(CTS_63),
-	.X(FE_USKN3897_CTS_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00730 (
-	.A(CTS_63),
-	.X(FE_USKN3892_CTS_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00726 (
-	.A(CTS_63),
-	.X(FE_USKN3899_CTS_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00722 (
-	.A(CTS_63),
-	.X(CTS_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00710 (
-	.A(CTS_63),
-	.X(CTS_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00702 (
-	.A(CTS_63),
-	.X(CTS_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00449 (
-	.A(CTS_63),
-	.X(CTS_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00435 (
-	.A(CTS_63),
-	.X(CTS_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00849 (
-	.A(CTS_78),
-	.X(FE_USKN3884_CTS_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00752 (
-	.A(CTS_51),
-	.X(CTS_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00748 (
-	.A(CTS_51),
-	.X(CTS_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00746 (
-	.A(CTS_51),
-	.X(CTS_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00742 (
-	.A(CTS_51),
-	.X(CTS_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00738 (
-	.A(CTS_51),
-	.X(FE_USKN3901_CTS_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00736 (
-	.A(CTS_51),
-	.X(CTS_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00728 (
-	.A(CTS_51),
-	.X(CTS_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00720 (
-	.A(CTS_51),
-	.X(CTS_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00716 (
-	.A(CTS_51),
-	.X(CTS_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00706 (
-	.A(CTS_51),
-	.X(CTS_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00694 (
-	.A(CTS_51),
-	.X(CTS_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00847 (
-	.A(CTS_78),
-	.X(FE_USKN3900_CTS_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00754 (
-	.A(CTS_39),
-	.X(CTS_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00732 (
-	.A(CTS_39),
-	.X(CTS_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00718 (
-	.A(CTS_39),
-	.X(CTS_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00708 (
-	.A(CTS_39),
-	.X(CTS_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00700 (
-	.A(CTS_39),
-	.X(CTS_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00698 (
-	.A(CTS_39),
-	.X(CTS_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00696 (
-	.A(CTS_39),
-	.X(CTS_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00690 (
-	.A(CTS_39),
-	.X(CTS_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00447 (
-	.A(CTS_39),
-	.X(CTS_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00441 (
-	.A(CTS_39),
-	.X(CTS_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00439 (
-	.A(CTS_39),
-	.X(CTS_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00843 (
-	.A(CTS_78),
-	.X(CTS_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_buf_00880 (
-	.A(CTS_100),
-	.X(FE_USKN3969_CTS_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccd_buf_00892 (
-	.A(clk_i),
-	.X(CTS_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00443 (
-	.A(CTS_25),
-	.X(CTS_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00423 (
-	.A(CTS_25),
-	.X(CTS_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00841 (
-	.A(CTS_26),
-	.X(CTS_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00445 (
-	.A(CTS_22),
-	.X(CTS_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00437 (
-	.A(CTS_22),
-	.X(CTS_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00425 (
-	.A(CTS_22),
-	.X(CTS_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00837 (
-	.A(CTS_26),
-	.X(CTS_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_buf_00879 (
-	.A(CTS_27),
-	.X(CTS_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00071 (
-	.A(CTS_1),
-	.X(CTS_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00069 (
-	.A(CTS_1),
-	.X(FE_USKN3852_CTS_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00061 (
-	.A(CTS_1),
-	.X(CTS_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00059 (
-	.A(CTS_1),
-	.X(CTS_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00057 (
-	.A(CTS_1),
-	.X(CTS_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00055 (
-	.A(CTS_1),
-	.X(CTS_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00091 (
-	.A(CTS_18),
-	.X(FE_USKN3851_CTS_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00077 (
-	.A(CTS_16),
-	.X(FE_USKN3975_CTS_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00075 (
-	.A(CTS_16),
-	.X(CTS_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00073 (
-	.A(CTS_16),
-	.X(CTS_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00067 (
-	.A(CTS_16),
-	.X(CTS_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00065 (
-	.A(CTS_16),
-	.X(CTS_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00063 (
-	.A(CTS_16),
-	.X(CTS_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00053 (
-	.A(CTS_16),
-	.X(CTS_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00051 (
-	.A(CTS_16),
-	.X(CTS_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00049 (
-	.A(CTS_16),
-	.X(CTS_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00088 (
-	.A(CTS_18),
-	.X(FE_USKN3843_CTS_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_buf_00096 (
-	.A(u_top_u_core_clk),
-	.X(FE_USKN3847_CTS_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_buf_00888 (
-	.A(clk_i),
-	.X(FE_USKN3846_CTS_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_361_0 (
-	.A(n_10894),
-	.B(n_10893),
-	.Y(FE_RN_222_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 FE_RC_360_0 (
-	.A(FE_RN_222_0),
-	.B(FE_OFN1045_n_13600),
-	.X(n_10981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3219_n (
-	.A(FE_OFN16694_n),
-	.X(FE_OFN16719_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_8 FE_OFC3218_n (
-	.A(FE_PDN3807_FE_OFN16095_FE_OFN889_instr_wmask_0),
-	.X(FE_OFN16718_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3203_FE_OFN16538_FE_OFN16521_n (
-	.A(FE_PDN3668_FE_OFN16094_FE_OFN889_instr_wmask_0),
-	.X(FE_OFN16703_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3201_FE_OFN16505_n (
-	.A(FE_OFN16505_n),
-	.X(FE_OFN16701_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3194_FE_OFN16419_FE_OFN16241_n (
-	.A(FE_PDN3810_FE_OFN16093_FE_OFN890_instr_wmask_0),
-	.X(FE_OFN16694_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3187_FE_OFN16515_n (
-	.A(FE_OFN16967_FE_OFN16579_FE_OFN16228_n),
-	.X(FE_OFN16687_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3182_n_9388 (
-	.A(n_9388),
-	.X(FE_OFN16682_n_9388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3180_FE_OFN517_instr_wdata_31 (
-	.A(FE_OFN516_instr_wdata_31),
-	.X(FE_OFN16680_FE_OFN517_instr_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3179_n_9407 (
-	.A(n_9407),
-	.X(FE_OFN16679_n_9407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3177_n_9406 (
-	.A(n_9406),
-	.X(FE_OFN16677_n_9406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3173_FE_OFN16527_FE_OFN16173_FE_OFN1667_n (
-	.A(u_iccm_addr1[6]),
-	.X(FE_OFN16673_FE_OFN16527_FE_OFN16173_FE_OFN1667_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3172_FE_OFN516_instr_wdata_31 (
-	.A(FE_OFN516_instr_wdata_31),
-	.X(FE_OFN16672_FE_OFN516_instr_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3148_FE_OFN16416_FE_OFN16131_FE_OFN1707_n (
-	.A(FE_OFN16416_FE_OFN16131_FE_OFN1707_n),
-	.X(FE_OFN16648_FE_OFN16416_FE_OFN16131_FE_OFN1707_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3146_FE_OFN578_instr_wdata_21 (
-	.A(FE_OFN579_instr_wdata_21),
-	.X(FE_OFN16646_FE_OFN578_instr_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3095_FE_OFN599_instr_wdata_18 (
-	.A(FE_OFN599_instr_wdata_18),
-	.X(FE_OFN16595_FE_OFN599_instr_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3081_FE_OFN16155_FE_OFN1741_n (
-	.A(FE_OFN17056_FE_OFN16916_FE_OFN16580_FE_OFN16155_FE_OFN1741_n),
-	.X(FE_OFN16581_FE_OFN16155_FE_OFN1741_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3079_FE_OFN16228_n (
-	.A(FE_PDN3794_FE_OFN16395_FE_OFN16102_FE_OFN871_data_wmask_2),
-	.X(FE_OFN16579_FE_OFN16228_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_RC_359_0 (
-	.A(FE_RN_221_0),
-	.Y(n_15727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_358_0 (
-	.A(n_15850),
-	.B(n_11214),
-	.Y(FE_RN_221_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4bb_1 FE_RC_357_0 (
-	.A(n_13262),
-	.B(n_11078),
-	.C_N(FE_OFN1870_u_top_u_core_instr_rdata_id_20),
-	.D_N(n_13700),
-	.Y(n_17649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 FE_RC_11_0_dup (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_120),
-	.A2(FE_PSN3979_u_top_u_core_ex_block_i_alu_i_add_86_53_n_179),
-	.B1_N(FE_RN_7_0),
-	.Y(FE_RN_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 FE_RC_356_0 (
-	.A(n_11945),
-	.B(n_15820),
-	.C(n_11941),
-	.D(n_12084),
-	.Y(n_12768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_8 FE_RC_353_0 (
-	.A(FE_RN_63_0),
-	.B(FE_RN_69_0),
-	.Y(n_11904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_352_0 (
-	.A(FE_OFN15954_n_11552),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
-	.Y(FE_RN_218_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_2 FE_RC_351_0 (
-	.A1(FE_OFN1035_n_11551),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
-	.B1_N(FE_RN_218_0),
-	.Y(n_11674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_350_0 (
-	.A1(FE_OFN1534_n_11331),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [8]),
-	.B1(n_11380),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [7]),
-	.X(FE_RN_217_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 FE_RC_349_0 (
-	.A1(n_13306),
-	.A2(FE_OFN1366_n_10772),
-	.B1(FE_RN_217_0),
-	.Y(n_13144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_348_0 (
-	.A(FE_OCPN16819_n_11638),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
-	.Y(FE_RN_214_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_347_0 (
-	.A(FE_OCPN16317_n_11633),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
-	.Y(FE_RN_215_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_346_0 (
-	.A(FE_RN_215_0),
-	.B(FE_RN_214_0),
-	.Y(FE_RN_216_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 FE_RC_345_0 (
-	.A(FE_RN_216_0),
-	.B(n_11992),
-	.Y(n_15814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_344_0 (
-	.A(FE_RN_130_0),
-	.Y(FE_RN_213_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 FE_RC_343_0 (
-	.A1(u_top_u_core_alu_operator_ex[3]),
-	.A2(n_11365),
-	.B1(FE_RN_213_0),
-	.Y(n_11510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_342_0 (
-	.A(FE_OCPN16565_u_top_u_core_instr_rdata_id_15),
-	.B(n_11283),
-	.Y(FE_RN_211_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_341_0 (
-	.A(FE_RN_212_0),
-	.Y(n_15845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_340_0 (
-	.A(n_15732),
-	.B(FE_RN_211_0),
-	.Y(FE_RN_212_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_339_0 (
-	.A1(FE_OFN16079_n),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [25]),
-	.B1(FE_OFN16069_n_11380),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [24]),
-	.X(FE_RN_210_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 FE_RC_338_0 (
-	.A1(n_13323),
-	.A2(FE_OFN16080_n),
-	.B1(FE_RN_210_0),
-	.Y(n_13135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_337_0 (
-	.A(n_15829),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
-	.Y(FE_RN_207_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_336_0 (
-	.A(FE_OCPN16264_n_15834),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
-	.Y(FE_RN_208_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_335_0 (
-	.A(FE_RN_208_0),
-	.B(FE_RN_207_0),
-	.Y(FE_RN_209_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 FE_RC_334_0 (
-	.A1(n_15830),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
-	.B1(FE_RN_209_0),
-	.Y(n_12110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 FE_RC_333_0 (
-	.A(n_1679),
-	.B(n_2115),
-	.X(FE_RN_206_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_332_0 (
-	.A(n_8657),
-	.B(FE_RN_206_0),
-	.Y(n_9123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 FE_RC_331_0 (
-	.A1(n_679),
-	.A2(FE_OFN1784_n_11130),
-	.B1(u_top_u_core_alu_operator_ex[2]),
-	.B2(n_11133),
-	.Y(n_11136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_329_0 (
-	.A(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
-	.Y(FE_RN_205_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_2 FE_RC_328_0 (
-	.A1_N(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
-	.A2_N(n_15829),
-	.B1(FE_RN_205_0),
-	.B2(FE_RN_204_0),
-	.Y(n_11675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_327_0 (
-	.A1(FE_OFN16079_n),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [16]),
-	.B1(FE_OFN16069_n_11380),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [15]),
-	.X(FE_RN_203_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 FE_RC_326_0 (
-	.A1(n_13314),
-	.A2(FE_OFN16080_n),
-	.B1(FE_RN_203_0),
-	.Y(n_13133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_325_0 (
-	.A(n_11144),
-	.B(n_11283),
-	.Y(FE_RN_201_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_324_0 (
-	.A(FE_RN_8),
-	.Y(FE_RN_202_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 FE_RC_323_0 (
-	.A(FE_RN_202_0),
-	.B_N(FE_RN_201_0),
-	.Y(n_15844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_4 FE_RC_322_0 (
-	.A1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_addr_incr_two ),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1 ),
-	.B1_N(u_top_u_core_pc_if[2]),
-	.Y(n_17647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 FE_RC_321_0 (
-	.A(n_11200),
-	.B(n_11239),
-	.C(n_11232),
-	.D(n_11241),
-	.Y(n_11368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_320_0 (
-	.A(FE_RN_12_0),
-	.Y(FE_RN_200_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_4 FE_RC_319_0 (
-	.A(n_13090),
-	.B(n_13060),
-	.C(FE_RN_200_0),
-	.Y(n_13604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_318_0 (
-	.A1(FE_OFN16079_n),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [18]),
-	.B1(FE_OFN16069_n_11380),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [17]),
-	.X(FE_RN_199_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 FE_RC_317_0 (
-	.A1(n_13316),
-	.A2(FE_OFN16080_n),
-	.B1(FE_RN_199_0),
-	.Y(n_13137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_316_0 (
-	.A(n_11144),
-	.B(n_11225),
-	.Y(FE_RN_197_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_315_0 (
-	.A(FE_RN_198_0),
-	.Y(n_15848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_314_0 (
-	.A(n_15732),
-	.B(FE_RN_197_0),
-	.Y(FE_RN_198_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_313_0 (
-	.A(n_12913),
-	.Y(FE_RN_194_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_312_0 (
-	.A(n_12864),
-	.B(n_12950),
-	.Y(FE_RN_195_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_310_0 (
-	.A(FE_RN_195_0),
-	.B(FE_RN_194_0),
-	.Y(FE_RN_196_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_308_0 (
-	.A1(FE_OFN16079_n),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [21]),
-	.B1(FE_OFN16069_n_11380),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [20]),
-	.X(FE_RN_193_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 FE_RC_307_0 (
-	.A1(n_13319),
-	.A2(FE_OFN16080_n),
-	.B1(FE_RN_193_0),
-	.Y(n_13145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_306_0 (
-	.A1(FE_OFN16079_n),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [22]),
-	.B1(FE_OFN16069_n_11380),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [21]),
-	.X(FE_RN_192_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 FE_RC_305_0 (
-	.A1(n_13320),
-	.A2(FE_OFN16080_n),
-	.B1(FE_RN_192_0),
-	.Y(n_13149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_304_0 (
-	.A(n_13293),
-	.B(main_swith_host_lsu_dev_select_outstanding[0]),
-	.Y(FE_RN_191_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 FE_RC_303_0 (
-	.A1(main_swith_host_lsu_dev_select_outstanding[0]),
-	.A2(n_13293),
-	.B1(FE_RN_191_0),
-	.Y(n_10961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 FE_RC_302_0 (
-	.A(\lsu_to_xbar[a_address] [29]),
-	.B(\lsu_to_xbar[a_address] [30]),
-	.Y(FE_RN_190_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_4 FE_RC_301_0 (
-	.A_N(\lsu_to_xbar[a_address] [28]),
-	.B(FE_RN_190_0),
-	.Y(n_11103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 FE_RC_300_0 (
-	.A_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_90),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_171),
-	.Y(FE_RN_188_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_299_0 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_90),
-	.Y(FE_RN_189_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 FE_RC_298_0 (
-	.A1(FE_RN_189_0),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_171),
-	.B1(FE_RN_188_0),
-	.Y(\lsu_to_xbar[a_address] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_297_0 (
-	.A(FE_OFN16049_n_15833),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
-	.Y(FE_RN_185_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_296_0 (
-	.A(FE_OCPN16312_n_11554),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
-	.Y(FE_RN_186_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_295_0 (
-	.A(FE_RN_186_0),
-	.B(FE_RN_185_0),
-	.Y(FE_RN_187_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_294_0 (
-	.A(FE_RN_187_0),
-	.B(n_11679),
-	.Y(n_12153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 FE_RC_293_0 (
-	.A(n_11249),
-	.B(n_11199),
-	.C(n_11198),
-	.D(n_11235),
-	.Y(n_11371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_292_0 (
-	.A(n_12051),
-	.Y(FE_RN_182_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_1 FE_RC_291_0 (
-	.A_N(FE_RN_182_0),
-	.B(n_12514),
-	.X(FE_RN_183_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_290_0 (
-	.A(n_12801),
-	.B(n_12846),
-	.Y(FE_RN_184_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_4 FE_RC_289_0 (
-	.A_N(FE_RN_184_0),
-	.B(FE_RN_183_0),
-	.Y(n_13653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC3074_n_11215 (
-	.A(n_11215),
-	.X(FE_OCPN16574_n_11215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OCPC3072_instr_addr_8 (
-	.A(instr_addr[8]),
-	.X(FE_OCPN16572_instr_addr_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_287_0 (
-	.A(\xbar_to_dccm[a_address] [4]),
-	.Y(FE_RN_180_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_4 FE_RC_285_0 (
-	.A(FE_RN_181_0),
-	.B(FE_RN_180_0),
-	.C(FE_OFN849_xbar_to_dccm_a_address__5),
-	.Y(n_13209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_284_0 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_103),
-	.Y(FE_RN_178_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 FE_RC_283_0 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_34),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_38),
-	.C(FE_RN_178_0),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OCPC3070_instr_addr_9 (
-	.A(instr_addr[9]),
-	.X(FE_OCPN16570_instr_addr_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OCPC3069_FE_RN_8 (
-	.A(FE_RN_8),
-	.X(FE_OCPN16569_FE_RN_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 FE_RC_282_0 (
-	.A1(n_11181),
-	.A2(FE_RN_5),
-	.B1(n_11140),
-	.Y(n_11141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_281_0 (
-	.A(FE_RN_176_0),
-	.Y(FE_RN_177_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 FE_RC_280_0 (
-	.A(FE_RN_177_0),
-	.B(n_12717),
-	.Y(u_top_u_core_multdiv_operand_b_ex[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_4 FE_RC_278_0 (
-	.A1(n_10934),
-	.A2(n_10907),
-	.B1(n_10961),
-	.B2(n_10960),
-	.Y(n_10983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 FE_RC_277_0 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_81),
-	.A2(FE_RN_10),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_211),
-	.Y(\lsu_to_xbar[a_address] [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_276_0 (
-	.A(n_12813),
-	.B(FE_RN_51_0),
-	.Y(FE_RN_176_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_274_0 (
-	.A(n_15700),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
-	.Y(FE_RN_173_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_273_0 (
-	.A(n_11907),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
-	.Y(FE_RN_174_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_272_0 (
-	.A(FE_RN_174_0),
-	.B(FE_RN_173_0),
-	.Y(FE_RN_175_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_271_0 (
-	.A(FE_RN_175_0),
-	.B(n_12235),
-	.Y(n_15807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_270_0 (
-	.A(n_11181),
-	.Y(FE_RN_172_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_264_0 (
-	.A(FE_RN_6),
-	.B(FE_RN_169_0),
-	.Y(FE_RN_168_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_263_0 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_95),
-	.Y(FE_RN_169_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 FE_RC_262_0 (
-	.A1(FE_RN_169_0),
-	.A2(FE_RN_6),
-	.B1(FE_RN_168_0),
-	.Y(\xbar_to_dccm[a_address] [7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 FE_RC_261_0 (
-	.A(n_11406),
-	.B(n_17646),
-	.C(n_11307),
-	.Y(n_13192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OCPC3067_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
-	.X(FE_OCPN16567_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 FE_RC_260_0 (
-	.A(n_11193),
-	.B(n_11504),
-	.X(FE_RN_166_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_4 FE_RC_259_0 (
-	.A1(FE_OFN16056_n),
-	.A2(n_13709),
-	.B1(FE_RN_166_0),
-	.C1(n_11536),
-	.Y(n_13308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 FE_RC_258_0 (
-	.A1(u_top_u_core_alu_operator_ex[0]),
-	.A2(n_11142),
-	.B1(n_11143),
-	.Y(n_13401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OCPC3065_u_top_u_core_instr_rdata_id_15 (
-	.A(u_top_u_core_instr_rdata_id[15]),
-	.X(FE_OCPN16565_u_top_u_core_instr_rdata_id_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3061_n_3385 (
-	.A(n_3385),
-	.X(FE_OFN16561_n_3385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3059_n_3386 (
-	.A(n_3386),
-	.X(FE_OFN16559_n_3386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3051_FE_OFN1678_n (
-	.A(FE_OFN1282_u_iccm_addr3_1),
-	.X(FE_OFN16551_FE_OFN1678_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3047_n_10948 (
-	.A(n_10948),
-	.X(FE_OFN16547_n_10948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3046_n_10952 (
-	.A(n_10952),
-	.X(FE_OFN16546_n_10952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3039_FE_OFN16242_n (
-	.A(FE_OFN885_data_wmask_0),
-	.X(FE_OFN16539_FE_OFN16242_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3034_FE_OFN16191_FE_OFN1734_n (
-	.A(FE_OFN915_logic_0_48_net),
-	.X(FE_OFN16534_FE_OFN16191_FE_OFN1734_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3026_n_9227 (
-	.A(n_9227),
-	.X(FE_OFN16526_n_9227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3005_FE_OFN16250_n (
-	.A(u_dccm_addr3[5]),
-	.X(FE_OFN16505_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2956_data_we (
-	.A(data_we),
-	.X(FE_OFN16456_data_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2949_FE_OFN244_data_wdata_29 (
-	.A(FE_OFN245_data_wdata_29),
-	.X(FE_OFN16449_FE_OFN244_data_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2923_FE_OFN585_instr_wdata_20 (
-	.A(FE_OFN585_instr_wdata_20),
-	.X(FE_OFN16423_FE_OFN585_instr_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2916_FE_OFN16131_FE_OFN1707_n (
-	.A(u_dccm_addr3[6]),
-	.X(FE_OFN16416_FE_OFN16131_FE_OFN1707_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2895_FE_OFN16102_FE_OFN871_data_wmask_2 (
-	.A(FE_OFN16394_FE_OFN16102_FE_OFN871_data_wmask_2),
-	.Y(FE_OFN16395_FE_OFN16102_FE_OFN871_data_wmask_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2894_FE_OFN16102_FE_OFN871_data_wmask_2 (
-	.A(FE_OFN871_data_wmask_2),
-	.Y(FE_OFN16394_FE_OFN16102_FE_OFN871_data_wmask_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_257_0 (
-	.A(n_11109),
-	.B(n_11102),
-	.Y(FE_RN_165_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_4 FE_RC_256_0 (
-	.A1(FE_OFN15939_n_13403),
-	.A2(FE_RN_1),
-	.B1_N(FE_RN_165_0),
-	.Y(n_11116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC2287_n_13199_dup (
-	.A(n_13199),
-	.Y(FE_RN_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 FE_RC_255_0 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_98),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_97),
-	.X(FE_RN_164_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_254_0 (
-	.A(FE_RN_164_0),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_139),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2560_dup (
-	.A(n_13669),
-	.B(n_13604),
-	.X(FE_RN_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC2884_n_11612 (
-	.A(n_11612),
-	.X(FE_OCPN16384_n_11612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2456_dup (
-	.A(FE_RN_3),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_61),
-	.Y(FE_RN_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC2881_FE_OFN16018_n_15726 (
-	.A(FE_OCPN16379_FE_OFN16018_n_15726),
-	.X(FE_OCPN16381_FE_OFN16018_n_15726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OCPC2879_FE_OFN16018_n_15726 (
-	.A(n_15726),
-	.X(FE_OCPN16379_FE_OFN16018_n_15726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC2877_n_15702 (
-	.A(FE_OCPN16375_n_15702),
-	.X(FE_OCPN16377_n_15702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OCPC2874_n_15702 (
-	.A(n_15702),
-	.Y(FE_OCPN16374_n_15702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC2850_n_15701 (
-	.A(n_15701),
-	.X(FE_OCPN16350_n_15701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OCPC2849_n_15701 (
-	.A(n_15701),
-	.X(FE_OCPN16349_n_15701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC2848_n_15701 (
-	.A(n_15701),
-	.X(FE_OCPN16348_n_15701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC2844_n_11633 (
-	.A(FE_OFN16007_n_11633),
-	.X(FE_OCPN16344_n_11633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC2841_n_13306 (
-	.A(n_13306),
-	.X(FE_OCPN16341_n_13306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC2840_n_15830 (
-	.A(n_15830),
-	.X(FE_OCPN16340_n_15830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC2839_n_15830 (
-	.A(n_15830),
-	.X(FE_OCPN16339_n_15830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OCPC2838_FE_OFN1034_n_11550 (
-	.A(FE_OFN1034_n_11550),
-	.X(FE_OCPN16338_FE_OFN1034_n_11550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC2835_n_13299 (
-	.A(n_13299),
-	.X(FE_OCPN16335_n_13299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC2824_n_13301 (
-	.A(n_13301),
-	.X(FE_OCPN16324_n_13301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC2818_n_15702 (
-	.A(FE_OCPN16375_n_15702),
-	.X(FE_OCPN16318_n_15702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_253_0 (
-	.A(n_549),
-	.Y(FE_RN_159_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_251_0 (
-	.A(FE_RN_160_0),
-	.B(FE_RN_159_0),
-	.Y(FE_RN_161_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_4 FE_RC_249_0 (
-	.A_N(n_3410),
-	.B(n_10836),
-	.Y(FE_RN_163_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_4 FE_RC_248_0 (
-	.A(FE_RN_163_0),
-	.B(FE_RN_161_0),
-	.C(n_1212),
-	.Y(n_5861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OCPC2794_n_11907 (
-	.A(n_11907),
-	.Y(FE_OCPN16294_n_11907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 FE_RC_247_0 (
-	.A(n_11146),
-	.B(n_11145),
-	.C(n_11172),
-	.Y(FE_RN_131_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OCPC2792_n_15727 (
-	.A(n_15727),
-	.X(FE_OCPN16292_n_15727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC2787_n_13302 (
-	.A(n_13302),
-	.X(FE_OCPN16287_n_13302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC2786_u_top_u_core_alu_operand_b_ex_2 (
-	.A(FE_PSN3964_u_top_u_core_alu_operand_b_ex_2),
-	.X(FE_OCPN16286_u_top_u_core_alu_operand_b_ex_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OCPC2778_n_15690 (
-	.A(n_15690),
-	.X(FE_OCPN16278_n_15690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OCPC2773_FE_OFN15989_n_15734 (
-	.A(FE_OFN15989_n_15734),
-	.X(FE_OCPN16273_FE_OFN15989_n_15734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 FE_RC_244_0 (
-	.A1(n_13298),
-	.A2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
-	.B1(FE_OFN1043_n_13297),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
-	.Y(FE_RN_158_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_4 FE_RC_243_0 (
-	.A1(n_10981),
-	.A2(FE_OFN1042_n_13296),
-	.B1_N(FE_RN_158_0),
-	.Y(n_11063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2454_dup (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_154),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_24),
-	.Y(FE_RN_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2400_dup (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_208),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_31),
-	.Y(FE_RN_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 FE_RC_242_0 (
-	.A1(n_13298),
-	.A2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
-	.B1(FE_OFN1043_n_13297),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
-	.Y(FE_RN_157_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_4 FE_RC_241_0 (
-	.A1(FE_OFN16780_n_10978),
-	.A2(FE_OFN1042_n_13296),
-	.B1_N(FE_RN_157_0),
-	.Y(n_11064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_4 g401806_dup (
-	.A_N(n_13192),
-	.B(n_11396),
-	.Y(FE_RN_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2400_n_15734_dup (
-	.A(FE_OFN15976_n_15734),
-	.Y(FE_RN_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2471_dup (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_137),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_15),
-	.Y(FE_RN_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g209411_dup (
-	.A(n_11120),
-	.B(n_11131),
-	.C(n_11135),
-	.Y(FE_RN_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2412_dup (
-	.A(FE_RN_16),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_0),
-	.Y(FE_RN_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2458_dup (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_150),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_58),
-	.Y(FE_RN_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2464_dup (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_143),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_117),
-	.C(u_top_u_core_ex_block_i_alu_i_add_86_53_n_21),
-	.Y(FE_RN_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 FE_RC_240_0 (
-	.A(n_12617),
-	.B(n_12316),
-	.X(FE_RN_156_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 FE_RC_239_0 (
-	.A(n_12832),
-	.B(FE_RN_156_0),
-	.C(n_12618),
-	.Y(n_12961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_238_0 (
-	.A1(FE_OFN1534_n_11331),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [6]),
-	.B1(n_11380),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [5]),
-	.X(FE_RN_155_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 FE_RC_237_0 (
-	.A1(n_13304),
-	.A2(FE_OFN1366_n_10772),
-	.B1(FE_RN_155_0),
-	.Y(n_13141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_236_0 (
-	.A(n_11332),
-	.Y(FE_RN_153_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 FE_RC_235_0 (
-	.A_N(u_top_u_core_multdiv_operator_ex[0]),
-	.B(FE_RN_153_0),
-	.Y(FE_RN_154_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_234_0 (
-	.A(n_15736),
-	.B(FE_RN_154_0),
-	.Y(n_11475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_233_0 (
-	.A(n_11503),
-	.B(u_top_u_core_lsu_addr_last[1]),
-	.Y(FE_RN_150_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_232_0 (
-	.A(n_15835),
-	.B(FE_OFN13709_u_top_u_core_instr_rdata_id_16),
-	.Y(FE_RN_151_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_231_0 (
-	.A(FE_RN_151_0),
-	.B(FE_RN_150_0),
-	.Y(FE_RN_152_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 FE_RC_230_0 (
-	.A1(n_11505),
-	.A2(u_top_u_core_pc_id[1]),
-	.B1(FE_RN_152_0),
-	.Y(n_15824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OCPC2768_FE_OFN16050_u_top_u_core_instr_rdata_id_21 (
-	.A(u_top_u_core_instr_rdata_id[21]),
-	.X(FE_OCPN16268_FE_OFN16050_u_top_u_core_instr_rdata_id_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2393_dup (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_82),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_214),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_216),
-	.Y(FE_RN_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_229_0 (
-	.A(n_11360),
-	.Y(FE_RN_148_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_228_0 (
-	.A(n_15729),
-	.Y(FE_RN_149_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_8 FE_RC_227_0 (
-	.A(FE_RN_148_0),
-	.B(FE_RN_149_0),
-	.Y(n_11639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_226_0 (
-	.A(n_11541),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
-	.Y(FE_RN_145_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_225_0 (
-	.A(FE_OFN926_n_721),
-	.B(n_11391),
-	.Y(FE_RN_146_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_224_0 (
-	.A(FE_RN_146_0),
-	.B(FE_RN_145_0),
-	.Y(FE_RN_147_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 FE_RC_223_0 (
-	.A(FE_RN_147_0),
-	.B(n_13012),
-	.Y(n_13122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 FE_RC_222_0 (
-	.A(n_12736),
-	.B(n_12739),
-	.C(n_12738),
-	.D(n_12737),
-	.Y(n_12947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_221_0 (
-	.A(FE_OFN16028_n_15696),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
-	.Y(FE_RN_142_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_220_0 (
-	.A(FE_OFN15974_n_15704),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
-	.Y(FE_RN_143_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_219_0 (
-	.A(FE_RN_143_0),
-	.B(FE_RN_142_0),
-	.Y(FE_RN_144_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_218_0 (
-	.A(FE_RN_144_0),
-	.B(n_12306),
-	.Y(n_12749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_214_0 (
-	.A(FE_OFN16046_n_11904),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
-	.Y(FE_RN_136_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_213_0 (
-	.A(FE_OFN16028_n_15696),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
-	.Y(FE_RN_137_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_212_0 (
-	.A(FE_OFN16012_n_15707),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
-	.Y(FE_RN_138_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_211_0 (
-	.A(FE_RN_139_0),
-	.Y(n_12628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 FE_RC_210_0 (
-	.A(FE_RN_138_0),
-	.B(FE_RN_136_0),
-	.C(FE_RN_137_0),
-	.Y(FE_RN_139_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_208_0 (
-	.A(n_15844),
-	.Y(FE_RN_135_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 FE_RC_207_0 (
-	.A(FE_RN_63_0),
-	.B(FE_RN_135_0),
-	.Y(n_11931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_206_0 (
-	.A(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
-	.Y(FE_RN_132_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 FE_RC_205_0 (
-	.A(FE_RN_132_0),
-	.B_N(FE_OCPN16296_n_11907),
-	.Y(FE_RN_133_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 FE_RC_204_0 (
-	.A1(n_15703),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
-	.C1(FE_RN_133_0),
-	.Y(n_15809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_RC_203_0 (
-	.A(FE_RN_131_0),
-	.Y(n_15731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_201_0 (
-	.A(u_top_u_core_alu_operator_ex[2]),
-	.Y(FE_RN_130_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_199_0 (
-	.A(n_11183),
-	.B(u_top_u_core_instr_rdata_id[20]),
-	.Y(FE_RN_128_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_198_0 (
-	.A(FE_OFN15922_u_top_u_core_rf_waddr_wb_0),
-	.B(n_11145),
-	.Y(FE_RN_129_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_197_0 (
-	.A(FE_RN_129_0),
-	.B(FE_RN_128_0),
-	.Y(n_15840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_196_0 (
-	.A1(n_11639),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
-	.B1(FE_OCPN16379_FE_OFN16018_n_15726),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
-	.X(FE_RN_127_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_195_0 (
-	.A(FE_RN_127_0),
-	.B(n_12507),
-	.Y(n_12814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_193_0 (
-	.A(u_top_u_core_alu_operand_b_ex[2]),
-	.Y(FE_RN_125_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_192_0 (
-	.A(FE_RN_125_0),
-	.B(FE_OFN1811_n_12196),
-	.Y(FE_RN_126_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 FE_RC_191_0 (
-	.A(FE_RN_126_0),
-	.B(n_13120),
-	.C(n_13072),
-	.Y(n_13605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC2765_u_top_u_core_instr_rdata_id_22 (
-	.A(u_top_u_core_instr_rdata_id[22]),
-	.X(FE_OCPN16265_u_top_u_core_instr_rdata_id_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_190_0 (
-	.A(n_15685),
-	.B(u_top_u_core_csr_mtvec[11]),
-	.Y(FE_RN_120_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_189_0 (
-	.A(n_1364),
-	.Y(FE_RN_121_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_188_0 (
-	.A(n_13546),
-	.B(n_13341),
-	.Y(FE_RN_122_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 FE_RC_186_0 (
-	.A(FE_RN_122_0),
-	.B(FE_RN_121_0),
-	.C(FE_RN_120_0),
-	.Y(FE_RN_123_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_184_0 (
-	.A(n_12745),
-	.B(n_12747),
-	.Y(FE_RN_117_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_183_0 (
-	.A(n_12746),
-	.B(n_15809),
-	.Y(FE_RN_118_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_182_0 (
-	.A(FE_RN_119_0),
-	.Y(n_12949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_181_0 (
-	.A(FE_RN_117_0),
-	.B(FE_RN_118_0),
-	.Y(FE_RN_119_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_175_0 (
-	.A(n_11211),
-	.Y(FE_RN_111_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_174_0 (
-	.A(n_15719),
-	.Y(FE_RN_112_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_8 FE_RC_173_0 (
-	.A(FE_RN_111_0),
-	.B(FE_RN_112_0),
-	.Y(n_15707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_172_0 (
-	.A(u_top_u_core_alu_operator_ex[4]),
-	.Y(FE_RN_110_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 FE_RC_171_0 (
-	.A(n_11115),
-	.B(FE_RN_110_0),
-	.C(u_top_u_core_alu_operator_ex[5]),
-	.Y(n_11119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OCPC2764_n_15834 (
-	.A(n_15834),
-	.X(FE_OCPN16264_n_15834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 FE_RC_169_0 (
-	.A(n_12932),
-	.B(n_12880),
-	.C(n_12973),
-	.Y(FE_RN_109_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_168_0 (
-	.A(n_10762),
-	.Y(FE_RN_104_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_167_0 (
-	.A(FE_OFN1811_n_12196),
-	.Y(FE_RN_105_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_166_0 (
-	.A(n_11541),
-	.Y(FE_RN_106_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_165_0 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
-	.Y(FE_RN_107_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 FE_RC_164_0 (
-	.A1(FE_RN_107_0),
-	.A2(FE_RN_106_0),
-	.B1(FE_RN_105_0),
-	.B2(FE_RN_104_0),
-	.Y(FE_RN_108_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 FE_RC_163_0 (
-	.A(n_13011),
-	.B(FE_RN_108_0),
-	.Y(n_13121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 FE_RC_162_0 (
-	.A(\lsu_to_xbar[a_address] [15]),
-	.B(\lsu_to_xbar[a_address] [14]),
-	.X(FE_RN_103_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 FE_RC_161_0 (
-	.A(n_11104),
-	.B(FE_RN_103_0),
-	.C(n_11112),
-	.Y(n_11121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OCPC2761_n_13635 (
-	.A(n_13635),
-	.X(FE_OCPN16261_n_13635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_160_0 (
-	.A(FE_OFN1037_n_11553),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
-	.Y(FE_RN_100_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_159_0 (
-	.A(FE_OCPN16817_n_15833),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
-	.Y(FE_RN_101_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_158_0 (
-	.A(FE_RN_101_0),
-	.B(FE_RN_100_0),
-	.Y(FE_RN_102_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_157_0 (
-	.A(FE_RN_102_0),
-	.B(n_11675),
-	.Y(n_15820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_154_0 (
-	.A(FE_RN_25_0),
-	.B(FE_OFN1805_n_12506),
-	.Y(FE_RN_99_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_153_0 (
-	.A(n_13126),
-	.B(FE_RN_99_0),
-	.Y(n_13607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_152_0 (
-	.A(n_12865),
-	.Y(FE_RN_95_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 FE_RC_151_0 (
-	.A(n_12914),
-	.B(n_12976),
-	.Y(FE_RN_96_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 FE_RC_150_0 (
-	.A(FE_RN_96_0),
-	.B(FE_RN_95_0),
-	.Y(n_13718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 FE_RC_148_0 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [8]),
-	.X(FE_RN_94_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 FE_RC_147_0 (
-	.A1(FE_RN_160_0),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [8]),
-	.B1(FE_RN_94_0),
-	.Y(n_10900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_146_0 (
-	.A(n_10757),
-	.B(iccm_ctrl_addr_o[0]),
-	.Y(FE_RN_92_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_145_0 (
-	.A(FE_OFN898_ifu_to_xbar_a_address__2),
-	.B(FE_RN_15),
-	.Y(FE_RN_93_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_144_0 (
-	.A(FE_RN_93_0),
-	.B(FE_RN_92_0),
-	.Y(n_10988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_143_0 (
-	.A(FE_RN_77_0),
-	.Y(FE_RN_91_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_4 FE_RC_142_0 (
-	.A1(FE_OFN15924_n_13199),
-	.A2(n_5860),
-	.B1_N(FE_RN_91_0),
-	.Y(n_8293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 FE_RC_141_0 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [2]),
-	.X(FE_RN_90_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 FE_RC_140_0 (
-	.A1(n_10798),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [2]),
-	.B1(FE_RN_90_0),
-	.Y(n_10920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_139_0 (
-	.A(n_10757),
-	.B(iccm_ctrl_addr_o[3]),
-	.Y(FE_RN_88_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_138_0 (
-	.A(FE_OFN895_ifu_to_xbar_a_address__5),
-	.B(FE_RN_15),
-	.Y(FE_RN_89_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_137_0 (
-	.A(FE_RN_89_0),
-	.B(FE_RN_88_0),
-	.Y(n_10990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_135_0 (
-	.A(n_10757),
-	.B(iccm_ctrl_addr_o[7]),
-	.Y(FE_RN_86_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_134_0 (
-	.A(\ifu_to_xbar[a_address] [9]),
-	.B(FE_RN_15),
-	.Y(FE_RN_87_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_133_0 (
-	.A(FE_RN_87_0),
-	.B(FE_RN_86_0),
-	.Y(n_10996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_132_0 (
-	.A1(FE_OFN1534_n_11331),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [5]),
-	.B1(n_11380),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [4]),
-	.X(FE_RN_85_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 FE_RC_131_0 (
-	.A1(n_13303),
-	.A2(FE_OFN15841_n_10772),
-	.B1(FE_RN_85_0),
-	.Y(n_13159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_130_0 (
-	.A(n_15804),
-	.B(n_15805),
-	.Y(FE_RN_82_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_129_0 (
-	.A(n_12735),
-	.B(n_15806),
-	.Y(FE_RN_83_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 FE_RC_127_0 (
-	.A(FE_RN_82_0),
-	.B(FE_RN_83_0),
-	.Y(FE_RN_84_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_126_0 (
-	.A(n_11816),
-	.Y(FE_RN_79_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_125_0 (
-	.A(u_top_u_core_multdiv_operand_b_ex[0]),
-	.B(FE_OFN1033_n_11410),
-	.Y(FE_RN_80_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_123_0 (
-	.A(FE_RN_80_0),
-	.B(FE_RN_79_0),
-	.Y(FE_RN_81_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 FE_RC_122_0 (
-	.A1(FE_OFN1534_n_11331),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [2]),
-	.B1(n_11380),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [1]),
-	.Y(FE_RN_78_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 FE_RC_121_0 (
-	.A1(n_13300),
-	.A2(FE_OFN15841_n_10772),
-	.B1_N(FE_RN_78_0),
-	.Y(n_13123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 FE_RC_120_0 (
-	.A(n_1037),
-	.B(prog_rst_ni),
-	.X(FE_RN_77_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_118_0 (
-	.A(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
-	.Y(FE_RN_74_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_116_0 (
-	.A(FE_RN_74_0),
-	.B(FE_RN_75_0),
-	.Y(FE_RN_76_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 FE_RC_115_0 (
-	.A1(n_11554),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
-	.B1(FE_OCPN16823_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
-	.C1(FE_RN_76_0),
-	.Y(n_12105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_114_0 (
-	.A(n_10757),
-	.B(iccm_ctrl_addr_o[1]),
-	.Y(FE_RN_72_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_113_0 (
-	.A(FE_OFN897_ifu_to_xbar_a_address__3),
-	.B(FE_RN_15),
-	.Y(FE_RN_73_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_112_0 (
-	.A(FE_RN_73_0),
-	.B(FE_RN_72_0),
-	.Y(n_10989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_111_0 (
-	.A(FE_OCPN16565_u_top_u_core_instr_rdata_id_15),
-	.B(n_11225),
-	.Y(FE_RN_70_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_110_0 (
-	.A(FE_RN_71_0),
-	.Y(n_15847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_109_0 (
-	.A(FE_RN_8),
-	.B(FE_RN_70_0),
-	.Y(FE_RN_71_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_107_0 (
-	.A(n_15848),
-	.Y(FE_RN_69_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 FE_RC_106_0 (
-	.A(FE_OFN16790_n_11212),
-	.B(FE_RN_69_0),
-	.Y(n_15698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 FE_RC_104_0 (
-	.A_N(n_288),
-	.B(FE_RN_66_0),
-	.Y(FE_RN_67_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_103_0 (
-	.A(n_11607),
-	.B(FE_RN_67_0),
-	.Y(n_13299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 FE_RC_102_0 (
-	.A(n_1034),
-	.B(prog_rst_ni),
-	.X(FE_RN_65_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_99_0 (
-	.A(n_15849),
-	.Y(FE_RN_64_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_8 FE_RC_98_0 (
-	.A(FE_RN_63_0),
-	.B(FE_RN_64_0),
-	.Y(n_11905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_97_0 (
-	.A(FE_RN_20_0),
-	.Y(FE_RN_60_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_96_0 (
-	.A(n_13056),
-	.B(FE_OFN1811_n_12196),
-	.Y(FE_RN_61_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_95_0 (
-	.A(FE_RN_61_0),
-	.B(FE_RN_60_0),
-	.Y(FE_RN_62_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_94_0 (
-	.A(FE_RN_62_0),
-	.B(n_13063),
-	.Y(n_13156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_93_0 (
-	.A(n_10757),
-	.B(iccm_ctrl_addr_o[5]),
-	.Y(FE_RN_58_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_92_0 (
-	.A(FE_OFN894_ifu_to_xbar_a_address__7),
-	.B(FE_RN_15),
-	.Y(FE_RN_59_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_91_0 (
-	.A(FE_RN_59_0),
-	.B(FE_RN_58_0),
-	.Y(n_10986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_88_0 (
-	.A(FE_RN_81_0),
-	.B(FE_OFN1805_n_12506),
-	.Y(FE_RN_57_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_87_0 (
-	.A(n_13156),
-	.B(FE_RN_57_0),
-	.Y(n_13603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_86_0 (
-	.A(n_11541),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
-	.Y(FE_RN_52_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_85_0 (
-	.A(n_13701),
-	.B(n_11391),
-	.Y(FE_RN_53_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_84_0 (
-	.A(FE_RN_53_0),
-	.B(FE_RN_52_0),
-	.Y(FE_RN_54_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 FE_RC_83_0 (
-	.A(FE_RN_54_0),
-	.B(n_12978),
-	.Y(n_13060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_82_0 (
-	.A(n_15813),
-	.Y(FE_RN_50_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 FE_RC_81_0 (
-	.A(FE_RN_50_0),
-	.B_N(n_15814),
-	.Y(FE_RN_51_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_79_0 (
-	.A(n_11604),
-	.B(u_top_u_core_alu_operator_ex[0]),
-	.Y(FE_RN_48_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_78_0 (
-	.A(n_11821),
-	.Y(FE_RN_49_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 FE_RC_77_0 (
-	.A(FE_RN_49_0),
-	.B(FE_RN_48_0),
-	.Y(n_12196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_76_0 (
-	.A(n_10757),
-	.B(iccm_ctrl_addr_o[6]),
-	.Y(FE_RN_46_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_75_0 (
-	.A(\ifu_to_xbar[a_address] [8]),
-	.B(FE_RN_15),
-	.Y(FE_RN_47_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_74_0 (
-	.A(FE_RN_47_0),
-	.B(FE_RN_46_0),
-	.Y(n_10995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_72_0 (
-	.A(n_10757),
-	.B(iccm_ctrl_addr_o[2]),
-	.Y(FE_RN_44_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_71_0 (
-	.A(FE_OFN896_ifu_to_xbar_a_address__4),
-	.B(FE_RN_15),
-	.Y(FE_RN_45_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_70_0 (
-	.A(FE_RN_45_0),
-	.B(FE_RN_44_0),
-	.Y(n_10991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 FE_RC_68_0 (
-	.A1(n_10953),
-	.A2(n_13193),
-	.B1(n_10915),
-	.Y(\ifu_to_xbar[a_address] [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_67_0 (
-	.A(n_10757),
-	.B(iccm_ctrl_addr_o[4]),
-	.Y(FE_RN_42_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_66_0 (
-	.A(FE_OFN1874_ifu_to_xbar_a_address__6),
-	.B(FE_RN_15),
-	.Y(FE_RN_43_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_65_0 (
-	.A(FE_RN_43_0),
-	.B(FE_RN_42_0),
-	.Y(n_10987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_63_0 (
-	.A(n_11133),
-	.Y(FE_RN_40_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_62_0 (
-	.A(FE_RN_40_0),
-	.B(FE_OFN1815_u_top_u_core_alu_operator_ex_2),
-	.Y(FE_RN_41_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_60_0 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.Y(FE_RN_37_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_59_0 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [5]),
-	.Y(FE_RN_38_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 FE_RC_56_0 (
-	.A1(FE_OFN1534_n_11331),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [1]),
-	.B1(n_11380),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [0]),
-	.Y(FE_RN_36_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_2 FE_RC_55_0 (
-	.A1(n_13299),
-	.A2(FE_OFN15841_n_10772),
-	.B1_N(FE_RN_36_0),
-	.Y(n_13119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 FE_RC_54_0 (
-	.A(n_11590),
-	.B(u_top_u_core_instr_rdata_id[8]),
-	.X(FE_RN_35_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_4 FE_RC_53_0 (
-	.A1(FE_OCPN16261_n_13635),
-	.A2(FE_OFN1033_n_11410),
-	.B1(FE_RN_35_0),
-	.Y(n_12985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 FE_RC_52_0 (
-	.A1(n_11590),
-	.A2(u_top_u_core_instr_rdata_id[10]),
-	.B1(n_11588),
-	.B2(FE_OFN818_u_top_u_core_instr_rdata_id_23),
-	.Y(FE_RN_34_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_50_0 (
-	.A(FE_OFN1534_n_11331),
-	.B(\u_top_u_core_imd_val_q_ex[0] [4]),
-	.Y(FE_RN_31_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_49_0 (
-	.A(n_11380),
-	.B(\u_top_u_core_imd_val_q_ex[0] [3]),
-	.Y(FE_RN_32_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_48_0 (
-	.A(FE_RN_32_0),
-	.B(FE_RN_31_0),
-	.Y(FE_RN_33_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 FE_RC_47_0 (
-	.A1(n_13302),
-	.A2(FE_OFN15841_n_10772),
-	.B1(FE_RN_33_0),
-	.Y(n_13157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_46_0 (
-	.A(n_11146),
-	.Y(FE_RN_27_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_45_0 (
-	.A(FE_OFN1830_n_15739),
-	.Y(FE_RN_28_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_44_0 (
-	.A(FE_RN_28_0),
-	.B(FE_RN_27_0),
-	.Y(FE_RN_29_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 FE_RC_43_0 (
-	.A(n_11605),
-	.B(FE_RN_29_0),
-	.X(FE_RN_30_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_4 FE_RC_42_0 (
-	.A(n_12996),
-	.B(FE_RN_30_0),
-	.C(n_11630),
-	.Y(u_top_u_core_alu_operand_b_ex[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_41_0 (
-	.A1(FE_OFN1534_n_11331),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [3]),
-	.B1(n_11380),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [2]),
-	.X(FE_RN_26_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 FE_RC_40_0 (
-	.A1(n_13301),
-	.A2(FE_OFN15841_n_10772),
-	.B1(FE_RN_26_0),
-	.Y(n_13158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_39_0 (
-	.A(n_11590),
-	.B(u_top_u_core_instr_rdata_id[11]),
-	.Y(FE_RN_22_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_38_0 (
-	.A(n_11588),
-	.B(FE_OFN1872_u_top_u_core_instr_rdata_id_24),
-	.Y(FE_RN_23_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_37_0 (
-	.A(n_13638),
-	.B(FE_OFN1033_n_11410),
-	.Y(FE_RN_24_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 FE_RC_35_0 (
-	.A(FE_RN_24_0),
-	.B(FE_RN_23_0),
-	.C(FE_RN_22_0),
-	.Y(FE_RN_25_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_34_0 (
-	.A(FE_OFN1784_n_11130),
-	.B(n_11366),
-	.Y(FE_RN_21_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_32_0 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
-	.Y(FE_RN_19_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_1 FE_RC_31_0 (
-	.A_N(FE_RN_19_0),
-	.B(n_11541),
-	.X(FE_RN_20_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_29_0 (
-	.A(n_11173),
-	.B(u_top_u_core_rf_waddr_wb[1]),
-	.Y(FE_RN_16_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_28_0 (
-	.A(n_11183),
-	.B(u_top_u_core_instr_rdata_id[15]),
-	.Y(FE_RN_17_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_27_0 (
-	.A(FE_RN_17_0),
-	.B(FE_RN_16_0),
-	.Y(FE_RN_18_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 FE_RC_26_0 (
-	.A1(u_top_u_core_instr_rdata_id[19]),
-	.A2(FE_OFN15937_u_top_u_core_rf_waddr_wb_4),
-	.B1(FE_RN_18_0),
-	.Y(n_15837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_25_0 (
-	.A(n_10821),
-	.Y(FE_RN_15_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_4 FE_RC_24_0 (
-	.A1(FE_OFN16930_n_10843),
-	.A2(u_top_u_core_pc_set),
-	.B1_N(FE_RN_15_0),
-	.Y(n_13197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_23_0 (
-	.A(FE_OFN1863_n_11276),
-	.Y(FE_RN_13_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_RC_22_0 (
-	.A(FE_RN_14_0),
-	.Y(n_15828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 FE_RC_21_0 (
-	.A(FE_RN_7),
-	.B(n_11360),
-	.C(FE_RN_13_0),
-	.Y(FE_RN_14_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OCPC2759_FE_OFN15956_u_top_u_core_pc_set (
-	.A(u_top_u_core_pc_set),
-	.X(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_19_0 (
-	.A(n_12196),
-	.B(n_15686),
-	.Y(FE_RN_12_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_17_0 (
-	.A(n_11107),
-	.B(n_11105),
-	.Y(FE_RN_8_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_16_0 (
-	.A(\lsu_to_xbar[a_address] [27]),
-	.Y(FE_RN_9_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_15_0 (
-	.A(n_11106),
-	.B(FE_RN_9_0),
-	.Y(FE_RN_10_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_14_0 (
-	.A(FE_RN_11_0),
-	.Y(n_11122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 FE_RC_13_0 (
-	.A(FE_RN_8_0),
-	.B(FE_RN_10_0),
-	.Y(FE_RN_11_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_12_0 (
-	.A(n_13695),
-	.B(n_13630),
-	.Y(FE_RN_7_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 FE_RC_9_0 (
-	.A(FE_RN_7),
-	.B(n_11361),
-	.C(n_11275),
-	.Y(FE_RN_6_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 FE_RC_8_0 (
-	.A_N(n_13210),
-	.B(u_top_u_core_id_stage_i_branch_in_dec),
-	.Y(FE_RN_3_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_7_0 (
-	.A(u_top_u_core_id_stage_i_id_fsm_q),
-	.Y(FE_RN_4_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_6_0 (
-	.A(n_13401),
-	.B(FE_RN_4_0),
-	.Y(FE_RN_5_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_5_0 (
-	.A(FE_RN_3_0),
-	.B(FE_RN_5_0),
-	.Y(u_top_u_core_id_stage_i_branch_set), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_4_0 (
-	.A(\lsu_to_xbar[a_address] [24]),
-	.B(\lsu_to_xbar[a_address] [20]),
-	.Y(FE_RN_0_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_3_0 (
-	.A(\lsu_to_xbar[a_address] [26]),
-	.B(\lsu_to_xbar[a_address] [22]),
-	.Y(FE_RN_1_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_2_0 (
-	.A(FE_RN_2_0),
-	.Y(n_11105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_1_0 (
-	.A(FE_RN_1_0),
-	.B(FE_RN_0_0),
-	.Y(FE_RN_2_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2730_n (
-	.A(FE_OFN876_data_wmask_1),
-	.X(FE_OFN16230_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2719_n_10954 (
-	.A(n_10954),
-	.X(FE_OFN16219_n_10954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2717_n_4527 (
-	.A(n_4527),
-	.X(FE_OFN16217_n_4527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2709_FE_OFN1682_n (
-	.A(FE_OFN1276_u_iccm_addr3_5),
-	.X(FE_OFN16209_FE_OFN1682_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2694_n_3381 (
-	.A(n_3381),
-	.X(FE_OFN16194_n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2685_FE_OFN1733_n (
-	.A(FE_OFN917_logic_0_49_net),
-	.X(FE_OFN16185_FE_OFN1733_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2675_n_10951 (
-	.A(n_10951),
-	.X(FE_OFN16175_n_10951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2674_n_10955 (
-	.A(n_10955),
-	.X(FE_OFN16174_n_10955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2661_n_10634 (
-	.A(n_10634),
-	.X(FE_OFN16161_n_10634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2659_n_10950 (
-	.A(n_10950),
-	.X(FE_OFN16159_n_10950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2653_n_3499 (
-	.A(n_3499),
-	.X(FE_OFN16153_n_3499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2650_FE_OFN245_data_wdata_29 (
-	.A(FE_OFN245_data_wdata_29),
-	.X(FE_OFN16150_FE_OFN245_data_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2641_n_1722 (
-	.A(n_1722),
-	.X(FE_OFN16141_n_1722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2640_instr_wdata_24 (
-	.A(instr_wdata[24]),
-	.X(FE_OFN16140_instr_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2634_n_10258 (
-	.A(n_10258),
-	.X(FE_OFN16134_n_10258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2625_n_10337 (
-	.A(n_10337),
-	.X(FE_OFN16125_n_10337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2620_n_16023 (
-	.A(n_16023),
-	.X(FE_OFN16120_n_16023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2610_instr_wdata_13 (
-	.A(instr_wdata[13]),
-	.X(FE_OFN16110_instr_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC2609_instr_wdata_10 (
-	.A(instr_wdata[10]),
-	.X(FE_OFN16109_instr_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2608_instr_wdata_14 (
-	.A(instr_wdata[14]),
-	.X(FE_OFN16108_instr_wdata_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC2606_instr_wdata_11 (
-	.A(instr_wdata[11]),
-	.X(FE_OFN16106_instr_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC2605_instr_wdata_12 (
-	.A(instr_wdata[12]),
-	.X(FE_OFN16105_instr_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC2604_instr_wdata_26 (
-	.A(instr_wdata[26]),
-	.X(FE_OFN16104_instr_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2598_FE_OFN1738_n (
-	.A(FE_OFN16097_FE_OFN1738_n),
-	.Y(FE_OFN16098_FE_OFN1738_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2597_FE_OFN1738_n (
-	.A(FE_OFN885_data_wmask_0),
-	.Y(FE_OFN16097_FE_OFN1738_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC2595_FE_OFN889_instr_wmask_0 (
-	.A(FE_OFN16094_FE_OFN889_instr_wmask_0),
-	.X(FE_OFN16095_FE_OFN889_instr_wmask_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2594_FE_OFN889_instr_wmask_0 (
-	.A(instr_wmask[0]),
-	.X(FE_OFN16094_FE_OFN889_instr_wmask_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2593_FE_OFN890_instr_wmask_0 (
-	.A(FE_PDN3668_FE_OFN16094_FE_OFN889_instr_wmask_0),
-	.X(FE_OFN16093_FE_OFN890_instr_wmask_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2587_n_15728 (
-	.A(n_15728),
-	.X(FE_OFN1051_n_15728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2586_n_15696 (
-	.A(n_15696),
-	.X(FE_OFN16028_n_15696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2579_n_15712 (
-	.A(n_15712),
-	.X(FE_OFN16087_n_15712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2574_u_top_u_core_instr_rdata_id_26 (
-	.A(u_top_u_core_instr_rdata_id[26]),
-	.Y(FE_OFN1850_u_top_u_core_instr_rdata_id_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2573_n_15692 (
-	.A(n_15692),
-	.X(FE_OFN16085_n_15692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2571_u_top_u_core_instr_rdata_id_14 (
-	.A(u_top_u_core_instr_rdata_id[14]),
-	.Y(FE_OFN16003_u_top_u_core_instr_rdata_id_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2570_u_top_u_core_instr_rdata_id_14 (
-	.A(u_top_u_core_instr_rdata_id[14]),
-	.X(FE_OFN821_u_top_u_core_instr_rdata_id_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2565_n_10772 (
-	.A(FE_OFN1366_n_10772),
-	.X(FE_OFN16080_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2564_n_11331 (
-	.A(FE_OFN1534_n_11331),
-	.X(FE_OFN16079_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2563_n_11585 (
-	.A(n_11586),
-	.Y(FE_OFN16078_n_11585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2562_n_11585 (
-	.A(n_11585),
-	.Y(n_11586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2555_n_11410 (
-	.A(FE_OFN1033_n_11410),
-	.X(FE_OFN16077_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2554_n_11410 (
-	.A(FE_OFN1033_n_11410),
-	.Y(n_11412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2551_n_15700 (
-	.A(n_15700),
-	.X(FE_OFN16076_n_15700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2550_n_15700 (
-	.A(n_15700),
-	.X(FE_OFN15962_n_15700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2549_n_15700 (
-	.A(n_15700),
-	.X(FE_OFN16061_n_15700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC2548_n_11541 (
-	.A(n_11541),
-	.X(FE_OFN16075_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2545_n_13657 (
-	.A(n_13657),
-	.X(FE_OFN16072_n_13657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2542_n_11380 (
-	.A(n_11380),
-	.X(FE_OFN16069_n_11380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2540_n_15703 (
-	.A(n_15703),
-	.X(FE_OFN16067_n_15703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2533_n_11085 (
-	.A(n_11085),
-	.Y(n_13265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2532_n_13151 (
-	.A(n_13151),
-	.Y(n_13680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2530_n_15699 (
-	.A(n_15699),
-	.X(FE_OFN15957_n_15699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2527_n_13133 (
-	.A(n_13133),
-	.Y(n_13683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2520_n_15701 (
-	.A(n_15701),
-	.X(FE_OFN16059_n_15701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2519_n_15701 (
-	.A(n_15701),
-	.X(FE_OFN16058_n_15701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2513_n_15695 (
-	.A(n_15695),
-	.X(FE_OFN16052_n_15695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2512_n_11145 (
-	.A(n_11145),
-	.X(FE_OFN16051_n_11145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2504_n_11358 (
-	.A(n_11357),
-	.Y(FE_OFN16044_n_11358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2502_n_11358 (
-	.A(n_11358),
-	.Y(n_11357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2500_n_13138 (
-	.A(n_13138),
-	.Y(n_13698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2498_n_15714 (
-	.A(FE_OFN1379_n_15714),
-	.Y(FE_OFN16042_n_15714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2497_n_15714 (
-	.A(FE_OFN1379_n_15714),
-	.Y(FE_OFN16041_n_15714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2496_n_15714 (
-	.A(n_15714),
-	.Y(FE_OFN1379_n_15714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2495_u_top_u_core_id_stage_i_bt_a_mux_sel_1 (
-	.A(n_11078),
-	.Y(FE_OFN15914_n_11078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2494_u_top_u_core_id_stage_i_bt_a_mux_sel_1 (
-	.A(u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
-	.X(n_11078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2492_n_15708 (
-	.A(n_15708),
-	.X(FE_OFN15981_n_15708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2491_n_15704 (
-	.A(n_15704),
-	.X(FE_OFN15974_n_15704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2490_n_11437 (
-	.A(n_11437),
-	.Y(n_11436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2488_u_top_u_core_instr_rdata_id_4 (
-	.A(FE_OFN1865_u_top_u_core_instr_rdata_id_4),
-	.Y(FE_OFN16040_u_top_u_core_instr_rdata_id_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2486_u_top_u_core_instr_rdata_id_4 (
-	.A(u_top_u_core_instr_rdata_id[4]),
-	.Y(FE_OFN1865_u_top_u_core_instr_rdata_id_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2485_n_11638 (
-	.A(FE_OCPN16821_n_11638),
-	.X(FE_OFN16039_n_11638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2484_n_11638 (
-	.A(FE_OCPN16819_n_11638),
-	.X(FE_OFN16005_n_11638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2482_n_11905 (
-	.A(n_11905),
-	.X(FE_OFN16026_n_11905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2481_n_11613 (
-	.A(n_11613),
-	.X(FE_OFN16037_n_11613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2480_n_11613 (
-	.A(n_11613),
-	.X(FE_OFN16006_n_11613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2478_u_top_u_core_instr_rdata_id_13 (
-	.A(FE_OFN1814_u_top_u_core_instr_rdata_id_13),
-	.Y(FE_OFN16035_u_top_u_core_instr_rdata_id_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2477_u_top_u_core_instr_rdata_id_13 (
-	.A(u_top_u_core_instr_rdata_id[13]),
-	.Y(FE_OFN1814_u_top_u_core_instr_rdata_id_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2475_n_15718 (
-	.A(n_15718),
-	.X(FE_OFN1050_n_15718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2474_n_15695 (
-	.A(FE_OFN16052_n_15695),
-	.X(FE_OFN16034_n_15695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2473_n_15695 (
-	.A(n_15695),
-	.X(FE_OFN16009_n_15695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2468_u_top_u_core_instr_rdata_id_5 (
-	.A(FE_OFN16031_n),
-	.Y(FE_OFN16032_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2467_u_top_u_core_instr_rdata_id_5 (
-	.A(u_top_u_core_instr_rdata_id[5]),
-	.Y(FE_OFN16031_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2465_n_11642 (
-	.A(FE_OFN16015_n_11642),
-	.X(FE_OFN16016_n_11642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2462_n_11642 (
-	.A(n_11642),
-	.X(FE_OFN16015_n_11642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2459_n_15830 (
-	.A(n_15830),
-	.X(FE_OFN16027_n_15830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2456_n_11612 (
-	.A(n_11612),
-	.X(FE_OFN16024_n_11612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2454_n_15706 (
-	.A(n_15706),
-	.X(FE_OFN16022_n_15706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2453_n_15706 (
-	.A(n_15706),
-	.X(FE_OFN16021_n_15706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2452_n_11902 (
-	.A(n_11902),
-	.X(FE_OFN16020_n_11902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2444_n_15707 (
-	.A(n_15707),
-	.X(FE_OFN16012_n_15707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2435_n_11923 (
-	.A(n_11923),
-	.X(FE_OFN15905_n_11923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC2432_u_top_u_core_rf_waddr_wb_4 (
-	.A(u_top_u_core_rf_waddr_wb[4]),
-	.Y(FE_OFN15937_u_top_u_core_rf_waddr_wb_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2425_u_top_u_core_instr_rdata_id_14 (
-	.A(FE_OFN16003_u_top_u_core_instr_rdata_id_14),
-	.Y(FE_OFN15998_u_top_u_core_instr_rdata_id_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2422_n_11390 (
-	.A(n_11390),
-	.X(FE_OFN15997_n_11390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2421_n_11390 (
-	.A(n_11390),
-	.X(FE_OFN15980_n_11390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2420_n_15691 (
-	.A(n_15691),
-	.X(FE_OFN15996_n_15691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2419_n_15691 (
-	.A(n_15691),
-	.X(FE_OFN15969_n_15691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2413_n_11550 (
-	.A(n_11550),
-	.X(FE_OFN1034_n_11550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC2408_n_11000 (
-	.A(n_11000),
-	.Y(\xbar_to_tcam[a_valid] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2407_n_15689 (
-	.A(n_15689),
-	.X(FE_OFN15846_n_15689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2406_n_12506 (
-	.A(FE_OFN1805_n_12506),
-	.X(n_12505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC2405_n_12506 (
-	.A(n_12506),
-	.Y(FE_OFN1805_n_12506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2404_n_15734 (
-	.A(FE_OCPN16273_FE_OFN15989_n_15734),
-	.Y(FE_OFN15991_n_15734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2403_n_15734 (
-	.A(FE_OCPN16273_FE_OFN15989_n_15734),
-	.Y(FE_OFN15990_n_15734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2402_n_15734 (
-	.A(FE_OFN15976_n_15734),
-	.Y(FE_OFN15989_n_15734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2401_n_15734 (
-	.A(FE_OFN15976_n_15734),
-	.Y(n_11519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC2399_n_15734 (
-	.A(n_15734),
-	.Y(FE_OFN15976_n_15734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2398_n_15690 (
-	.A(n_15690),
-	.X(FE_OFN15988_n_15690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2396_u_top_u_core_alu_operand_b_ex_10 (
-	.A(u_top_u_core_alu_operand_b_ex[10]),
-	.X(FE_OFN15986_u_top_u_core_alu_operand_b_ex_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2395_u_top_u_core_alu_operand_b_ex_6 (
-	.A(u_top_u_core_alu_operand_b_ex[6]),
-	.X(FE_OFN15985_u_top_u_core_alu_operand_b_ex_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2389_n_15705 (
-	.A(n_15705),
-	.X(FE_OFN15979_n_15705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2388_n_12195 (
-	.A(n_12196),
-	.X(FE_OFN15978_n_12195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2381_n_13639 (
-	.A(n_13639),
-	.X(FE_OFN15971_n_13639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2380_u_top_u_core_alu_operand_b_ex_5 (
-	.A(u_top_u_core_alu_operand_b_ex[5]),
-	.X(FE_OFN15970_u_top_u_core_alu_operand_b_ex_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2378_u_top_u_core_alu_operand_b_ex_7 (
-	.A(u_top_u_core_alu_operand_b_ex[7]),
-	.X(FE_OFN15968_u_top_u_core_alu_operand_b_ex_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2377_n_15711 (
-	.A(n_15711),
-	.X(FE_OFN15967_n_15711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2376_lsu_to_xbar_a_address__18 (
-	.A(\lsu_to_xbar[a_address] [18]),
-	.X(FE_OFN15966_lsu_to_xbar_a_address__18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2375_n_13636 (
-	.A(n_13636),
-	.X(FE_OFN15965_n_13636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2374_n_10509 (
-	.A(n_10509),
-	.X(FE_OFN15964_n_10509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2371_n_15697 (
-	.A(n_15697),
-	.X(FE_OFN15961_n_15697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2370_n_13638 (
-	.A(n_13638),
-	.X(FE_OFN15960_n_13638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2369_n_10506 (
-	.A(n_10506),
-	.X(FE_OFN15959_n_10506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2368_n_10504 (
-	.A(n_10504),
-	.X(FE_OFN15958_n_10504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2365_lsu_to_xbar_a_address__17 (
-	.A(\lsu_to_xbar[a_address] [17]),
-	.X(FE_OFN15955_lsu_to_xbar_a_address__17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2359_n_13150 (
-	.A(n_13150),
-	.Y(n_13679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2350_n_11437 (
-	.A(n_11437),
-	.X(FE_OFN15946_n_11437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2347_u_top_u_core_alu_operator_ex_1 (
-	.A(u_top_u_core_alu_operator_ex[1]),
-	.X(n_11181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2345_n_12506 (
-	.A(FE_OFN1805_n_12506),
-	.Y(FE_OFN15943_n_12506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2338_n_11061 (
-	.A(n_11061),
-	.X(FE_OFN1371_n_11061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2336_n_13403 (
-	.A(n_11102),
-	.Y(FE_OFN15939_n_13403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2335_n_13403 (
-	.A(n_13403),
-	.Y(n_11102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2334_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_170),
-	.Y(FE_OFN1783_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2327_n_13152 (
-	.A(n_13152),
-	.Y(n_13690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2326_u_top_u_core_div_sel_ex (
-	.A(n_197),
-	.Y(FE_OFN1808_n_198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2325_u_top_u_core_div_sel_ex (
-	.A(FE_OFN1807_n_198),
-	.Y(n_197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2324_u_top_u_core_div_sel_ex (
-	.A(u_top_u_core_div_sel_ex),
-	.Y(FE_OFN1807_n_198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2320_u_top_u_core_id_stage_i_bt_b_mux_sel_1 (
-	.A(u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
-	.Y(FE_OFN15934_u_top_u_core_id_stage_i_bt_b_mux_sel_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2318_n_288 (
-	.A(n_288),
-	.Y(n_13700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2311_n_11438 (
-	.A(n_11439),
-	.Y(FE_OFN15932_n_11438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2308_n_11438 (
-	.A(n_11438),
-	.Y(n_11439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2305_n_766 (
-	.A(FE_PSN3877_n_13702),
-	.Y(FE_OFN15929_n_766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2302_n_5859 (
-	.A(n_5859),
-	.Y(n_5860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2299_u_iccm_n_193 (
-	.A(FE_OFN15860_u_iccm_n_193),
-	.Y(FE_OFN1812_u_iccm_n_193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2298_u_iccm_n_193 (
-	.A(u_iccm_n_193),
-	.Y(FE_OFN15860_u_iccm_n_193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2297_n_13158 (
-	.A(n_13158),
-	.Y(n_13670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2296_n_15742 (
-	.A(n_15742),
-	.Y(n_11444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2292_n_11503 (
-	.A(n_11503),
-	.X(FE_OFN15925_n_11503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2291_n_11503 (
-	.A(n_11503),
-	.Y(n_11502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC2289_n_13199 (
-	.A(FE_RN_15),
-	.Y(FE_OFN15924_n_13199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2286_u_top_u_core_rf_waddr_wb_0 (
-	.A(n_11183),
-	.Y(FE_OFN15922_u_top_u_core_rf_waddr_wb_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2284_u_top_u_core_rf_waddr_wb_0 (
-	.A(u_top_u_core_rf_waddr_wb[0]),
-	.Y(n_11183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2283_n_15732 (
-	.A(n_11583),
-	.X(FE_OFN15920_n_15732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2282_n_15732 (
-	.A(FE_OCPN16569_FE_RN_8),
-	.Y(n_11583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2281_n_11505 (
-	.A(n_11505),
-	.X(FE_OFN15919_n_11505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2280_n_11505 (
-	.A(n_11505),
-	.Y(n_11504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2278_u_tcam_rdata_2 (
-	.A(u_tcam_rdata[2]),
-	.X(FE_OFN811_u_tcam_rdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2277_n_15709 (
-	.A(n_15709),
-	.X(FE_OFN15918_n_15709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2230_n_10965 (
-	.A(n_10965),
-	.X(FE_OFN15871_n_10965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2220_u_top_u_core_alu_operand_b_ex_11 (
-	.A(u_top_u_core_alu_operand_b_ex[11]),
-	.X(FE_OFN15861_u_top_u_core_alu_operand_b_ex_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2213_u_top_u_core_alu_operand_b_ex_8 (
-	.A(u_top_u_core_alu_operand_b_ex[8]),
-	.X(FE_OFN15854_u_top_u_core_alu_operand_b_ex_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2207_n_12196 (
-	.A(FE_OFN1811_n_12196),
-	.X(FE_OFN15848_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2204_n_13642 (
-	.A(FE_OFN15987_n_13642),
-	.X(FE_OFN15845_n_13642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2200_n_10772 (
-	.A(n_10772),
-	.X(FE_OFN15841_n_10772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2197_n_13650 (
-	.A(n_13650),
-	.X(FE_OFN15838_n_13650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2192_n_13635 (
-	.A(FE_OCPN16261_n_13635),
-	.X(FE_OFN15833_n_13635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2190_u_top_u_core_instr_rdata_id_18 (
-	.A(u_top_u_core_instr_rdata_id[18]),
-	.X(FE_OFN15831_u_top_u_core_instr_rdata_id_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2182_n_10980 (
-	.A(n_10980),
-	.X(FE_OFN13730_n_10980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2180_n_8201 (
-	.A(FE_OFN1792_n_8201),
-	.X(FE_OFN13728_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2178_n_10312 (
-	.A(n_10312),
-	.X(FE_OFN13726_n_10312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2177_n_15686 (
-	.A(FE_PSN3965_n_15686),
-	.X(FE_OFN13725_n_15686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2172_u_top_u_core_instr_rdata_id_16 (
-	.A(FE_OCPN16314_u_top_u_core_instr_rdata_id_16),
-	.X(FE_OFN13709_u_top_u_core_instr_rdata_id_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2171_n_5758 (
-	.A(FE_OFN1782_n_5758),
-	.X(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2170_n_10318 (
-	.A(n_10318),
-	.X(FE_OFN5765_n_10318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2168_lsu_to_xbar_a_address__31 (
-	.A(FE_PSN3951_lsu_to_xbar_a_address_31),
-	.X(FE_OFN5763_lsu_to_xbar_a_address__31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2167_iccm_adapter_inst_mem_wmask_int_0__30 (
-	.A(\iccm_adapter_inst_mem_wmask_int[0] [30]),
-	.X(FE_OFN5762_iccm_adapter_inst_mem_wmask_int_0__30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2164_n_5758 (
-	.A(FE_OFN1781_n_5758),
-	.X(FE_OFN5759_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2160_n_13310 (
-	.A(n_13310),
-	.X(FE_OFN1887_n_13310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2158_lsu_to_xbar_a_address__29 (
-	.A(\lsu_to_xbar[a_address] [29]),
-	.X(FE_OFN1885_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2153_n_15831 (
-	.A(n_15831),
-	.X(FE_OFN1880_n_15831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2149_n_15832 (
-	.A(n_15832),
-	.X(FE_OFN1876_n_15832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2146_u_top_u_core_pc_set (
-	.A(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.X(FE_OFN1873_u_top_u_core_pc_set), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2145_u_top_u_core_instr_rdata_id_24 (
-	.A(u_top_u_core_instr_rdata_id[24]),
-	.X(FE_OFN1872_u_top_u_core_instr_rdata_id_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2143_u_top_u_core_instr_rdata_id_20 (
-	.A(u_top_u_core_instr_rdata_id[20]),
-	.X(FE_OFN1870_u_top_u_core_instr_rdata_id_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2142_n_10795 (
-	.A(FE_OFN1868_n_10795),
-	.X(FE_OFN1869_n_10795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2141_n_10795 (
-	.A(u_iccm_n_190),
-	.Y(FE_OFN1868_n_10795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2140_n_10795 (
-	.A(n_10795),
-	.X(u_iccm_n_190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2133_n_11276 (
-	.A(n_11275),
-	.Y(FE_OFN1863_n_11276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2132_n_11276 (
-	.A(n_11276),
-	.Y(n_11275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2131_n_10832 (
-	.A(FE_OFN1861_n_10832),
-	.X(FE_OFN1862_n_10832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2130_n_10832 (
-	.A(u_iccm_n_187),
-	.Y(FE_OFN1861_n_10832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2129_n_10832 (
-	.A(n_10832),
-	.X(u_iccm_n_187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2126_u_top_u_core_rf_waddr_wb_4 (
-	.A(FE_OFN15937_u_top_u_core_rf_waddr_wb_4),
-	.Y(FE_OFN1859_u_top_u_core_rf_waddr_wb_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2124_xbar_to_dccm_a_address__11 (
-	.A(\xbar_to_dccm[a_address] [11]),
-	.X(FE_OFN1858_xbar_to_dccm_a_address__11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2122_n_11392 (
-	.A(n_11391),
-	.Y(FE_OFN1857_n_11392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2121_n_11392 (
-	.A(n_11392),
-	.Y(n_11391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2118_n_8218 (
-	.A(n_8217),
-	.Y(FE_OFN1854_n_8218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2117_n_8218 (
-	.A(n_8218),
-	.Y(n_8217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2114_n_11521 (
-	.A(n_11521),
-	.Y(n_11522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2113_u_iccm_rdata3_0 (
-	.A(u_iccm_rdata3[0]),
-	.X(FE_OFN804_u_iccm_rdata3_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC2112_u_iccm_rdata3_1 (
-	.A(u_iccm_rdata3[1]),
-	.X(FE_OFN803_u_iccm_rdata3_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2111_u_top_u_core_rf_waddr_wb_2 (
-	.A(n_262),
-	.Y(FE_OFN1852_u_top_u_core_rf_waddr_wb_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2110_u_top_u_core_rf_waddr_wb_2 (
-	.A(u_top_u_core_rf_waddr_wb[2]),
-	.Y(n_262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2109_u_top_u_core_instr_rdata_id_26 (
-	.A(FE_OFN1850_u_top_u_core_instr_rdata_id_26),
-	.Y(FE_OFN1851_u_top_u_core_instr_rdata_id_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2105_n_10794 (
-	.A(u_iccm_n_17),
-	.Y(FE_OFN1848_n_10794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2104_n_10794 (
-	.A(u_iccm_n_17),
-	.Y(FE_OFN1847_n_10794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2103_n_10794 (
-	.A(n_10794),
-	.X(u_iccm_n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2102_lsu_to_xbar_a_address__24 (
-	.A(\lsu_to_xbar[a_address] [24]),
-	.X(FE_OFN1846_lsu_to_xbar_a_address__24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2099_n_16024 (
-	.A(n_16024),
-	.X(FE_OFN1061_n_16024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2098_u_iccm_rdata3_16 (
-	.A(u_iccm_rdata3[16]),
-	.X(FE_OFN788_u_iccm_rdata3_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2097_n_8223 (
-	.A(n_8222),
-	.Y(FE_OFN1845_n_8223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2095_n_8223 (
-	.A(n_8223),
-	.Y(n_8222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2093_xbar_to_dccm_a_address__3 (
-	.A(\xbar_to_dccm[a_address] [3]),
-	.X(FE_OFN851_xbar_to_dccm_a_address__3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2091_u_top_u_core_pc_id_7 (
-	.A(n_11170),
-	.Y(FE_OFN1842_u_top_u_core_pc_id_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2090_u_top_u_core_pc_id_7 (
-	.A(u_top_u_core_pc_id[7]),
-	.Y(n_11170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2089_xbar_to_dccm_a_address__2 (
-	.A(\xbar_to_dccm[a_address] [2]),
-	.X(FE_OFN852_xbar_to_dccm_a_address__2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2087_n_4539 (
-	.A(n_4538),
-	.Y(FE_OFN1840_n_4539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2086_n_4539 (
-	.A(n_4539),
-	.Y(n_4538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2083_n_4553 (
-	.A(n_4552),
-	.Y(FE_OFN1839_n_4553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2081_n_4553 (
-	.A(n_4553),
-	.Y(n_4552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2077_u_top_u_core_pc_id_8 (
-	.A(n_11195),
-	.Y(FE_OFN1835_u_top_u_core_pc_id_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2076_u_top_u_core_pc_id_8 (
-	.A(u_top_u_core_pc_id[8]),
-	.Y(n_11195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2074_lsu_to_xbar_a_address__26 (
-	.A(\lsu_to_xbar[a_address] [26]),
-	.X(FE_OFN828_lsu_to_xbar_a_address__26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2073_n_16023 (
-	.A(FE_OFN16120_n_16023),
-	.X(FE_OFN1060_n_16023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2071_n_9166 (
-	.A(n_9165),
-	.Y(FE_OFN1833_n_9166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2070_n_9166 (
-	.A(n_9166),
-	.Y(n_9165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2069_xbar_to_dccm_a_address__4 (
-	.A(\xbar_to_dccm[a_address] [4]),
-	.X(FE_OFN1832_xbar_to_dccm_a_address__4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2066_lsu_to_xbar_a_address__20 (
-	.A(\lsu_to_xbar[a_address] [20]),
-	.X(FE_OFN834_lsu_to_xbar_a_address__20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2065_n_15739 (
-	.A(n_11588),
-	.Y(FE_OFN1830_n_15739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2064_n_15739 (
-	.A(n_15739),
-	.Y(n_11588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC2063_u_iccm_rdata3_17 (
-	.A(u_iccm_rdata3[17]),
-	.X(FE_OFN787_u_iccm_rdata3_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2062_n_8214 (
-	.A(n_8213),
-	.Y(FE_OFN1829_n_8214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2060_n_8214 (
-	.A(n_8214),
-	.Y(n_8213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2058_n_8225 (
-	.A(n_8224),
-	.Y(FE_OFN1827_n_8225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2056_n_8225 (
-	.A(n_8225),
-	.Y(n_8224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2055_u_top_u_core_pc_id_9 (
-	.A(n_11193),
-	.Y(FE_OFN1825_u_top_u_core_pc_id_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2054_u_top_u_core_pc_id_9 (
-	.A(u_top_u_core_pc_id[9]),
-	.Y(n_11193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2053_lsu_to_xbar_a_address__25 (
-	.A(\lsu_to_xbar[a_address] [25]),
-	.X(FE_OFN829_lsu_to_xbar_a_address__25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2052_n_9310 (
-	.A(n_9309),
-	.Y(FE_OFN1824_n_9310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2049_n_9310 (
-	.A(n_9310),
-	.Y(n_9309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2047_lsu_to_xbar_a_address__27 (
-	.A(\lsu_to_xbar[a_address] [27]),
-	.X(FE_OFN827_lsu_to_xbar_a_address__27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2046_n_10791 (
-	.A(n_10791),
-	.Y(n_13255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2045_n_10791 (
-	.A(n_10791),
-	.X(FE_OFN1367_n_10791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2044_lsu_to_xbar_a_address__15 (
-	.A(\lsu_to_xbar[a_address] [15]),
-	.X(FE_OFN1821_lsu_to_xbar_a_address__15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2043_lsu_to_xbar_a_address__15 (
-	.A(\lsu_to_xbar[a_address] [15]),
-	.X(FE_OFN839_lsu_to_xbar_a_address__15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2042_n_10949 (
-	.A(n_10949),
-	.X(FE_OFN1369_n_10949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2040_lsu_to_xbar_a_address__23 (
-	.A(\lsu_to_xbar[a_address] [23]),
-	.X(FE_OFN831_lsu_to_xbar_a_address__23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2034_n_8577 (
-	.A(FE_OFN1589_n_8577),
-	.Y(n_8576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 FE_OFC2033_n_8577 (
-	.A(n_8577),
-	.Y(FE_OFN1589_n_8577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2026_n_4545 (
-	.A(n_4544),
-	.Y(FE_OFN1818_n_4545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2024_n_4545 (
-	.A(n_4545),
-	.Y(n_4544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2023_xbar_to_dccm_a_address__10 (
-	.A(\xbar_to_dccm[a_address] [10]),
-	.X(FE_OFN844_xbar_to_dccm_a_address__10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2020_u_iccm_rdata1_0 (
-	.A(u_iccm_rdata1[0]),
-	.X(FE_OFN740_u_iccm_rdata1_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC2019_n_8571 (
-	.A(n_8571),
-	.X(FE_OFN1341_n_8571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2018_u_iccm_rdata1_1 (
-	.A(u_iccm_rdata1[1]),
-	.X(FE_OFN739_u_iccm_rdata1_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2017_lsu_to_xbar_a_address__16 (
-	.A(\lsu_to_xbar[a_address] [16]),
-	.X(FE_OFN838_lsu_to_xbar_a_address__16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2016_n_3391 (
-	.A(n_3391),
-	.X(FE_OFN1468_n_3391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2015_xbar_to_dccm_a_address__9 (
-	.A(\xbar_to_dccm[a_address] [9]),
-	.X(FE_OFN845_xbar_to_dccm_a_address__9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2014_u_iccm_rdata2_0 (
-	.A(u_iccm_rdata2[0]),
-	.X(FE_OFN772_u_iccm_rdata2_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2013_n_8239 (
-	.A(FE_OFN1338_n_8239),
-	.Y(FE_OFN1816_n_8239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2011_n_8239 (
-	.A(n_8239),
-	.Y(FE_OFN1338_n_8239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2010_u_iccm_rdata2_1 (
-	.A(u_iccm_rdata2[1]),
-	.X(FE_OFN771_u_iccm_rdata2_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2009_u_top_u_core_alu_operator_ex_2 (
-	.A(n_679),
-	.Y(FE_OFN1815_u_top_u_core_alu_operator_ex_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC2008_u_top_u_core_alu_operator_ex_2 (
-	.A(u_top_u_core_alu_operator_ex[2]),
-	.Y(n_679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2007_n_10636 (
-	.A(n_10636),
-	.X(FE_OFN1650_n_10636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2003_n_15780 (
-	.A(n_15780),
-	.Y(n_8195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2002_u_iccm_rdata1_16 (
-	.A(u_iccm_rdata1[16]),
-	.X(FE_OFN724_u_iccm_rdata1_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2001_u_iccm_rdata2_16 (
-	.A(u_iccm_rdata2[16]),
-	.X(FE_OFN756_u_iccm_rdata2_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2000_u_iccm_rdata1_17 (
-	.A(u_iccm_rdata1[17]),
-	.X(FE_OFN723_u_iccm_rdata1_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1999_n_10762 (
-	.A(n_10762),
-	.X(FE_OFN1365_n_10762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1998_u_iccm_rdata2_17 (
-	.A(u_iccm_rdata2[17]),
-	.X(FE_OFN755_u_iccm_rdata2_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1997_n_8986 (
-	.A(n_8985),
-	.Y(FE_OFN1813_n_8986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC1995_n_8986 (
-	.A(n_8986),
-	.Y(n_8985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1994_n_3377 (
-	.A(n_3377),
-	.X(FE_OFN1314_n_3377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1992_u_iccm_n_193 (
-	.A(u_iccm_n_193),
-	.X(n_10833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1991_n_3389 (
-	.A(n_3389),
-	.X(FE_OFN1318_n_3389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1990_n_8990 (
-	.A(n_8989),
-	.Y(FE_OFN1597_n_8990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1989_n_8990 (
-	.A(n_8990),
-	.X(n_8989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1988_n_10792 (
-	.A(n_10792),
-	.X(FE_OFN1368_n_10792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1986_n_13644 (
-	.A(n_13644),
-	.X(FE_OFN1538_n_13644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1985_n_8579 (
-	.A(n_8579),
-	.X(FE_OFN1590_n_8579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1984_n_10705 (
-	.A(n_10705),
-	.X(FE_OFN1625_n_10705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1983_n_10638 (
-	.A(n_10638),
-	.X(FE_OFN1624_n_10638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1982_n_3373 (
-	.A(n_3373),
-	.X(FE_OFN1313_n_3373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1981_n_4549 (
-	.A(FE_OFN1329_n_4549),
-	.Y(n_4548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1980_n_4549 (
-	.A(n_4549),
-	.X(FE_OFN1329_n_4549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC1979_n_12196 (
-	.A(n_12196),
-	.Y(FE_OFN1811_n_12196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1977_n_10439 (
-	.A(n_10439),
-	.X(FE_OFN1531_n_10439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1976_ifu_to_xbar_a_address__5 (
-	.A(\ifu_to_xbar[a_address] [5]),
-	.X(FE_OFN895_ifu_to_xbar_a_address__5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1975_u_top_u_core_instr_rdata_id_30 (
-	.A(FE_OFN816_u_top_u_core_instr_rdata_id_30),
-	.Y(FE_OFN1810_u_top_u_core_instr_rdata_id_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1972_xbar_to_dccm_a_address__6 (
-	.A(\xbar_to_dccm[a_address] [6]),
-	.X(FE_OFN848_xbar_to_dccm_a_address__6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1967_n_8988 (
-	.A(FE_OFN1346_n_8988),
-	.Y(n_8987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1966_n_8988 (
-	.A(n_8988),
-	.X(FE_OFN1346_n_8988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1965_n_4541 (
-	.A(n_4540),
-	.Y(FE_OFN1325_n_4541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1964_n_4541 (
-	.A(n_4541),
-	.X(n_4540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1955_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.X(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1949_n_13654 (
-	.A(FE_OFN15827_n_13654),
-	.X(FE_OFN1542_n_13654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1946_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_35),
-	.Y(FE_OFN1803_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1945_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_36),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1936_n_9634 (
-	.A(n_9634),
-	.Y(n_9633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1935_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_1),
-	.Y(FE_OFN1802_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1934_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_2),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1931_n_13131 (
-	.A(n_13131),
-	.Y(n_13682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1930_n_13149 (
-	.A(n_13149),
-	.Y(n_13689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1928_n_11410 (
-	.A(n_11410),
-	.X(FE_OFN1033_n_11410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1925_n_13154 (
-	.A(n_13154),
-	.Y(n_13695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1923_n_4922 (
-	.A(n_4922),
-	.Y(FE_OFN1801_n_4922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1922_n_4922 (
-	.A(n_4922),
-	.X(FE_OFN1800_n_4922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1921_n_4922 (
-	.A(n_4922),
-	.X(n_4921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1920_n_13119 (
-	.A(n_13119),
-	.Y(n_13668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1917_n_10772 (
-	.A(FE_OFN15841_n_10772),
-	.X(FE_OFN1366_n_10772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1916_n_10772 (
-	.A(n_10772),
-	.Y(n_13732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1915_n_9155 (
-	.A(n_9155),
-	.X(FE_OFN1799_n_9155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1914_n_9155 (
-	.A(n_9155),
-	.Y(n_9154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1912_n_9158 (
-	.A(n_9158),
-	.Y(FE_OFN1798_n_9158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1911_n_9158 (
-	.A(n_9158),
-	.X(n_9157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1910_n_13137 (
-	.A(n_13137),
-	.Y(n_13685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1909_n_13145 (
-	.A(n_13145),
-	.Y(n_13688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1908_n_4928 (
-	.A(n_4928),
-	.X(FE_OFN1797_n_4928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1907_n_4928 (
-	.A(n_4928),
-	.Y(FE_OFN1796_n_4928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1906_n_4928 (
-	.A(n_4928),
-	.X(n_4927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1905_n_8569 (
-	.A(n_8569),
-	.Y(n_8568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1904_n_4924 (
-	.A(n_4924),
-	.Y(FE_OFN1795_n_4924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1903_n_4924 (
-	.A(n_4924),
-	.X(FE_OFN1794_n_4924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1902_n_4924 (
-	.A(n_4924),
-	.X(n_4923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1901_n_11331 (
-	.A(n_11331),
-	.Y(n_11332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_OFC1900_n_11331 (
-	.A(n_11331),
-	.X(FE_OFN1534_n_11331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1898_n_288 (
-	.A(FE_OFN16794_n_13700),
-	.Y(FE_OFN1793_n_288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1896_n_13132 (
-	.A(n_13132),
-	.Y(n_13696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1895_n_8201 (
-	.A(n_8201),
-	.X(FE_OFN1792_n_8201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1892_n_271 (
-	.A(n_271),
-	.X(n_13701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1891_lsu_to_xbar_a_address__19 (
-	.A(\lsu_to_xbar[a_address] [19]),
-	.X(FE_OFN1790_lsu_to_xbar_a_address__19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1888_ifu_to_xbar_a_address__4 (
-	.A(\ifu_to_xbar[a_address] [4]),
-	.X(FE_OFN896_ifu_to_xbar_a_address__4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1883_n_13660 (
-	.A(n_13660),
-	.X(FE_OFN1377_n_13660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1878_n_9008 (
-	.A(n_9007),
-	.Y(FE_OFN1788_n_9008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1877_n_9008 (
-	.A(n_9007),
-	.Y(FE_OFN1787_n_9008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1876_n_9008 (
-	.A(n_9008),
-	.Y(n_9007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1875_n_13643 (
-	.A(n_13643),
-	.X(FE_OFN1372_n_13643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1872_n_13143 (
-	.A(n_13143),
-	.Y(n_13697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1871_n_8974 (
-	.A(n_8973),
-	.Y(FE_OFN1786_n_8974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1870_n_8974 (
-	.A(n_8974),
-	.Y(n_8973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1868_n_10323 (
-	.A(n_10323),
-	.X(FE_OFN1515_n_10323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1867_n_13716 (
-	.A(n_13716),
-	.X(FE_OFN1549_n_13716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1866_n_721 (
-	.A(FE_OFN926_n_721),
-	.Y(n_13705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1865_n_721 (
-	.A(n_721),
-	.X(FE_OFN926_n_721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1862_u_tcam_rdata_4 (
-	.A(u_tcam_rdata[4]),
-	.X(FE_OFN807_u_tcam_rdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1860_n_750 (
-	.A(FE_OFN927_n_750),
-	.Y(n_13706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1858_n_296 (
-	.A(n_296),
-	.X(FE_OFN922_n_296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1857_n_2140 (
-	.A(n_2140),
-	.X(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1853_n_2139 (
-	.A(n_2139),
-	.X(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1852_n_3262 (
-	.A(n_3262),
-	.X(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1846_n_3261 (
-	.A(n_3261),
-	.X(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1844_n_1670 (
-	.A(n_1670),
-	.X(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1843_n_1669 (
-	.A(n_1669),
-	.X(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1838_n_13707 (
-	.A(n_13707),
-	.X(FE_OFN1545_n_13707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1827_n_295 (
-	.A(FE_OFN921_n_295),
-	.Y(n_13710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC1824_n_11130 (
-	.A(n_11130),
-	.Y(FE_OFN1784_n_11130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1823_n_11130 (
-	.A(n_11130),
-	.Y(n_13212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_8 FE_OFC1818_iccm_adapter_inst_mem_wmask_int_0__30 (
-	.A(\iccm_adapter_inst_mem_wmask_int[0] [30]),
-	.Y(n_10959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1815_n_5758 (
-	.A(FE_OFN1781_n_5758),
-	.X(FE_OFN1782_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1814_n_5758 (
-	.A(FE_OFN991_n_5758),
-	.Y(FE_OFN1781_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1812_n_5758 (
-	.A(n_5758),
-	.Y(FE_OFN991_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC1802_n_10798 (
-	.A(n_10798),
-	.Y(n_13194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1799_u_tcam_rdata_1 (
-	.A(u_tcam_rdata[1]),
-	.X(FE_OFN813_u_tcam_rdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1796_n_13259 (
-	.A(n_13259),
-	.Y(FE_OFN1776_n_13259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1792_u_tcam_rdata_3 (
-	.A(u_tcam_rdata[3]),
-	.X(FE_OFN809_u_tcam_rdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1789_n_623 (
-	.A(n_623),
-	.Y(FE_OFN1774_n_623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_OFC1784_u_tcam_rdata_0 (
-	.A(FE_OFN815_u_tcam_rdata_0),
-	.Y(FE_OFN1769_u_tcam_rdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC1783_u_tcam_rdata_0 (
-	.A(u_tcam_rdata[0]),
-	.Y(FE_OFN815_u_tcam_rdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1781_n_15831 (
-	.A(FE_OFN1880_n_15831),
-	.X(FE_OFN1056_n_15831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1776_lsu_to_xbar_a_address__30 (
-	.A(FE_OCPN16813_lsu_to_xbar_a_address__30),
-	.X(FE_OFN824_lsu_to_xbar_a_address__30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1771_data_wmask_1 (
-	.A(FE_OFN879_data_wmask_1),
-	.X(FE_OFN1771_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1757_instr_wdata_24 (
-	.A(instr_wdata[24]),
-	.X(FE_OFN1757_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1756_instr_wdata_24 (
-	.A(FE_OFN16140_instr_wdata_24),
-	.X(FE_OFN1756_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1755_instr_wdata_14 (
-	.A(FE_OFN16108_instr_wdata_14),
-	.X(FE_OFN1755_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1754_instr_wdata_13 (
-	.A(FE_OFN16110_instr_wdata_13),
-	.X(FE_OFN1754_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1753_instr_wdata_12 (
-	.A(FE_OFN16105_instr_wdata_12),
-	.X(FE_OFN1753_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1752_instr_wdata_11 (
-	.A(FE_OFN16106_instr_wdata_11),
-	.X(FE_OFN1752_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1751_instr_wdata_10 (
-	.A(FE_OFN16109_instr_wdata_10),
-	.X(FE_OFN1751_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1735_logic_0_11_net (
-	.A(FE_PDN3793_logic_0_11_net),
-	.X(FE_OFN1735_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1732_logic_0_53_net (
-	.A(logic_0_53_net),
-	.X(FE_OFN1732_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1660_u_iccm_csb3 (
-	.A(u_iccm_csb3),
-	.X(FE_OFN1660_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1623_n_9245 (
-	.A(n_9245),
-	.X(FE_OFN1623_n_9245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1621_n_1979 (
-	.A(n_1979),
-	.X(FE_OFN1621_n_1979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1620_n_1975 (
-	.A(n_1975),
-	.X(FE_OFN1620_n_1975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1619_n_1682 (
-	.A(n_1682),
-	.X(FE_OFN1619_n_1682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1618_n_1243 (
-	.A(n_1243),
-	.X(FE_OFN1618_n_1243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1617_n_1061 (
-	.A(n_1061),
-	.X(FE_OFN1617_n_1061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1616_n_1060 (
-	.A(n_1060),
-	.X(FE_OFN1616_n_1060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1606_n_9234 (
-	.A(n_9234),
-	.X(FE_OFN1606_n_9234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1605_n_9233 (
-	.A(n_9233),
-	.X(FE_OFN1605_n_9233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1601_n_9137 (
-	.A(n_9137),
-	.X(FE_OFN1601_n_9137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1599_n_9102 (
-	.A(n_9102),
-	.X(FE_OFN1599_n_9102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1598_n_8996 (
-	.A(n_8996),
-	.X(FE_OFN1598_n_8996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1595_n_8978 (
-	.A(n_8978),
-	.X(FE_OFN1595_n_8978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1594_n_8967 (
-	.A(n_8967),
-	.X(FE_OFN1594_n_8967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1593_n_8631 (
-	.A(n_8631),
-	.X(FE_OFN1593_n_8631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1592_n_8630 (
-	.A(n_8630),
-	.X(FE_OFN1592_n_8630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1591_n_8581 (
-	.A(n_8581),
-	.X(FE_OFN1591_n_8581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1588_n_8575 (
-	.A(n_8575),
-	.X(FE_OFN1588_n_8575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1587_n_8573 (
-	.A(n_8573),
-	.X(FE_OFN1587_n_8573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1586_n_8283 (
-	.A(n_8283),
-	.X(FE_OFN1586_n_8283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1585_n_8282 (
-	.A(n_8282),
-	.X(FE_OFN1585_n_8282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1584_n_8281 (
-	.A(n_8281),
-	.X(FE_OFN1584_n_8281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1583_n_6561 (
-	.A(n_6561),
-	.X(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1582_n_4267 (
-	.A(n_4267),
-	.X(FE_OFN1582_n_4267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1581_n_3032 (
-	.A(n_3032),
-	.X(FE_OFN1581_n_3032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1580_n_2147 (
-	.A(n_2147),
-	.X(FE_OFN1580_n_2147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1579_n_1971 (
-	.A(n_1971),
-	.X(FE_OFN1579_n_1971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1578_n_1726 (
-	.A(n_1726),
-	.X(FE_OFN1578_n_1726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1577_n_1239 (
-	.A(n_1239),
-	.X(FE_OFN1577_n_1239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1576_n_1237 (
-	.A(n_1237),
-	.X(FE_OFN1576_n_1237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1575_n_1235 (
-	.A(n_1235),
-	.X(FE_OFN1575_n_1235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1574_n_1233 (
-	.A(n_1233),
-	.X(FE_OFN1574_n_1233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1573_n_1233 (
-	.A(n_1233),
-	.X(FE_OFN1573_n_1233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1572_n_1057 (
-	.A(n_1057),
-	.X(FE_OFN1572_n_1057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1571_n_1055 (
-	.A(n_1055),
-	.X(FE_OFN1571_n_1055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1570_n_1053 (
-	.A(n_1053),
-	.X(FE_OFN1570_n_1053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1569_n_1053 (
-	.A(n_1053),
-	.X(FE_OFN1569_n_1053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1568_xbar_to_dccm_a_data__0 (
-	.A(\xbar_to_dccm[a_data] [0]),
-	.X(FE_OFN1568_xbar_to_dccm_a_data__0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1566_xbar_to_dccm_a_data__4 (
-	.A(\xbar_to_dccm[a_data] [4]),
-	.X(FE_OFN1566_xbar_to_dccm_a_data__4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1555_n_16022 (
-	.A(n_16022),
-	.X(FE_OFN1555_n_16022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1554_n_15782 (
-	.A(n_15782),
-	.X(FE_OFN1554_n_15782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1535_n_13056 (
-	.A(FE_RN_56_0),
-	.X(FE_OFN1535_n_13056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1528_n_10355 (
-	.A(n_10355),
-	.X(FE_OFN1528_n_10355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1527_n_10353 (
-	.A(n_10353),
-	.X(FE_OFN1527_n_10353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1526_n_10351 (
-	.A(n_10351),
-	.X(FE_OFN1526_n_10351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1525_n_10349 (
-	.A(n_10349),
-	.X(FE_OFN1525_n_10349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1524_n_10345 (
-	.A(n_10345),
-	.X(FE_OFN1524_n_10345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1523_n_10343 (
-	.A(n_10343),
-	.X(FE_OFN1523_n_10343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1521_n_10335 (
-	.A(n_10335),
-	.X(FE_OFN1521_n_10335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1520_n_10333 (
-	.A(n_10333),
-	.X(FE_OFN1520_n_10333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1519_n_10329 (
-	.A(n_10329),
-	.X(FE_OFN1519_n_10329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1518_n_10327 (
-	.A(n_10327),
-	.X(FE_OFN1518_n_10327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1512_n_10320 (
-	.A(n_10320),
-	.X(FE_OFN1512_n_10320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1511_n_10319 (
-	.A(n_10319),
-	.X(FE_OFN1511_n_10319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1506_n_10314 (
-	.A(n_10314),
-	.X(FE_OFN1506_n_10314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1505_n_10313 (
-	.A(n_10313),
-	.X(FE_OFN1505_n_10313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1497_n_10262 (
-	.A(n_10262),
-	.X(FE_OFN1497_n_10262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1496_n_10260 (
-	.A(n_10260),
-	.X(FE_OFN1496_n_10260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1494_n_10256 (
-	.A(n_10256),
-	.X(FE_OFN1494_n_10256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1492_n_10250 (
-	.A(n_10250),
-	.X(FE_OFN1492_n_10250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1491_n_10194 (
-	.A(n_10194),
-	.X(FE_OFN1491_n_10194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1490_n_10192 (
-	.A(n_10192),
-	.X(FE_OFN1490_n_10192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1488_n_10106 (
-	.A(n_10106),
-	.X(FE_OFN1488_n_10106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1487_n_10025 (
-	.A(n_10025),
-	.X(FE_OFN1487_n_10025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1486_n_10023 (
-	.A(n_10023),
-	.X(FE_OFN1486_n_10023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1484_n_9943 (
-	.A(n_9943),
-	.X(FE_OFN1484_n_9943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1483_n_9881 (
-	.A(n_9881),
-	.X(FE_OFN1483_n_9881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1482_n_9879 (
-	.A(n_9879),
-	.X(FE_OFN1482_n_9879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1481_n_9780 (
-	.A(n_9780),
-	.X(FE_OFN1481_n_9780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1480_n_9543 (
-	.A(n_9543),
-	.X(FE_OFN1480_n_9543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1479_n_9000 (
-	.A(n_9000),
-	.X(FE_OFN1479_n_9000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1478_n_8999 (
-	.A(n_8999),
-	.X(FE_OFN1478_n_8999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1477_n_8993 (
-	.A(n_8993),
-	.X(FE_OFN1477_n_8993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1476_n_8976 (
-	.A(n_8976),
-	.X(FE_OFN1476_n_8976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1475_n_8245 (
-	.A(n_8245),
-	.X(FE_OFN1475_n_8245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1474_n_8220 (
-	.A(n_8220),
-	.X(FE_OFN1474_n_8220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1473_n_8216 (
-	.A(n_8216),
-	.X(FE_OFN1473_n_8216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1472_n_8212 (
-	.A(n_8212),
-	.X(FE_OFN1472_n_8212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1471_n_4495 (
-	.A(n_4495),
-	.X(FE_OFN1471_n_4495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1470_n_4260 (
-	.A(n_4260),
-	.X(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1469_n_3412 (
-	.A(n_3412),
-	.X(FE_OFN1469_n_3412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1466_n_3387 (
-	.A(n_3387),
-	.X(FE_OFN1466_n_3387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1464_n_3383 (
-	.A(n_3383),
-	.X(FE_OFN1464_n_3383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1463_n_3374 (
-	.A(n_3374),
-	.X(FE_OFN1463_n_3374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1461_n_1240 (
-	.A(FE_OFN1459_n_1240),
-	.X(FE_OFN1461_n_1240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1460_n_1240 (
-	.A(n_1240),
-	.X(FE_OFN1460_n_1240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1459_n_1240 (
-	.A(n_1240),
-	.X(FE_OFN1459_n_1240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1458_n_1238 (
-	.A(FE_OFN1457_n_1238),
-	.X(FE_OFN1458_n_1238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1457_n_1238 (
-	.A(FE_OFN1456_n_1238),
-	.X(FE_OFN1457_n_1238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1456_n_1238 (
-	.A(n_1238),
-	.X(FE_OFN1456_n_1238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1455_n_1236 (
-	.A(FE_OFN1453_n_1236),
-	.X(FE_OFN1455_n_1236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1454_n_1236 (
-	.A(n_1236),
-	.X(FE_OFN1454_n_1236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1453_n_1236 (
-	.A(n_1236),
-	.X(FE_OFN1453_n_1236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1452_n_1234 (
-	.A(FE_OFN1450_n_1234),
-	.X(FE_OFN1452_n_1234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1451_n_1234 (
-	.A(FE_OFN1450_n_1234),
-	.X(FE_OFN1451_n_1234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1450_n_1234 (
-	.A(n_1234),
-	.X(FE_OFN1450_n_1234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1449_n_1058 (
-	.A(FE_OFN1447_n_1058),
-	.X(FE_OFN1449_n_1058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1448_n_1058 (
-	.A(FE_OFN1446_n_1058),
-	.Y(FE_OFN1448_n_1058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC1447_n_1058 (
-	.A(FE_OFN1446_n_1058),
-	.Y(FE_OFN1447_n_1058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1446_n_1058 (
-	.A(n_1058),
-	.Y(FE_OFN1446_n_1058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1445_n_1056 (
-	.A(FE_OFN1443_n_1056),
-	.X(FE_OFN1445_n_1056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1444_n_1056 (
-	.A(n_1056),
-	.X(FE_OFN1444_n_1056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1443_n_1056 (
-	.A(n_1056),
-	.X(FE_OFN1443_n_1056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1442_n_1054 (
-	.A(FE_OFN1440_n_1054),
-	.X(FE_OFN1442_n_1054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1441_n_1054 (
-	.A(n_1054),
-	.X(FE_OFN1441_n_1054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1440_n_1054 (
-	.A(n_1054),
-	.X(FE_OFN1440_n_1054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1437_xbar_to_dccm_a_data__7 (
-	.A(\xbar_to_dccm[a_data] [7]),
-	.X(FE_OFN1437_xbar_to_dccm_a_data__7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1388_u_top_u_core_id_stage_i_rf_ren_a (
-	.A(u_top_u_core_id_stage_i_rf_ren_a),
-	.X(FE_OFN1388_u_top_u_core_id_stage_i_rf_ren_a), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1386_u_iccm_csb2 (
-	.A(u_iccm_csb2),
-	.X(FE_OFN1386_u_iccm_csb2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1385_u_iccm_csb1 (
-	.A(u_iccm_csb1),
-	.X(FE_OFN1385_u_iccm_csb1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1384_u_dccm_csb3 (
-	.A(FE_OFN1383_u_dccm_csb3),
-	.Y(FE_OFN1384_u_dccm_csb3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1383_u_dccm_csb3 (
-	.A(u_dccm_csb3),
-	.Y(FE_OFN1383_u_dccm_csb3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1382_u_dccm_csb1 (
-	.A(u_dccm_csb1),
-	.X(FE_OFN1382_u_dccm_csb1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1364_n_10251 (
-	.A(n_10251),
-	.X(FE_OFN1364_n_10251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1360_n_9404 (
-	.A(n_9404),
-	.X(FE_OFN1360_n_9404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1359_n_9403 (
-	.A(n_9403),
-	.X(FE_OFN1359_n_9403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1358_n_9401 (
-	.A(n_9401),
-	.X(FE_OFN1358_n_9401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1357_n_9400 (
-	.A(n_9400),
-	.X(FE_OFN1357_n_9400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1350_n_9205 (
-	.A(n_9205),
-	.X(FE_OFN1350_n_9205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1348_n_8997 (
-	.A(n_8997),
-	.X(FE_OFN1348_n_8997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1347_n_8992 (
-	.A(n_8992),
-	.X(FE_OFN1347_n_8992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1345_n_8984 (
-	.A(n_8984),
-	.X(FE_OFN1345_n_8984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1344_n_8982 (
-	.A(n_8982),
-	.X(FE_OFN1344_n_8982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1343_n_8980 (
-	.A(n_8980),
-	.X(FE_OFN1343_n_8980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1342_n_8823 (
-	.A(n_8823),
-	.X(FE_OFN1342_n_8823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1340_n_8279 (
-	.A(n_8279),
-	.X(FE_OFN1340_n_8279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1339_n_8241 (
-	.A(n_8241),
-	.X(FE_OFN1339_n_8241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1337_n_8237 (
-	.A(n_8237),
-	.X(FE_OFN1337_n_8237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1336_n_8233 (
-	.A(n_8233),
-	.X(FE_OFN1336_n_8233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1335_n_8229 (
-	.A(n_8229),
-	.X(FE_OFN1335_n_8229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1333_n_8198 (
-	.A(n_8198),
-	.X(FE_OFN1333_n_8198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1332_n_5791 (
-	.A(n_5791),
-	.X(FE_OFN1332_n_5791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1331_n_4941 (
-	.A(n_4941),
-	.X(FE_OFN1331_n_4941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1330_n_4555 (
-	.A(n_4555),
-	.X(FE_OFN1330_n_4555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1328_n_4547 (
-	.A(n_4547),
-	.X(FE_OFN1328_n_4547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1326_n_4543 (
-	.A(n_4543),
-	.X(FE_OFN1326_n_4543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1324_n_4537 (
-	.A(n_4537),
-	.X(FE_OFN1324_n_4537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1323_n_4533 (
-	.A(n_4533),
-	.X(FE_OFN1323_n_4533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1322_n_4531 (
-	.A(n_4531),
-	.X(FE_OFN1322_n_4531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1320_n_4272 (
-	.A(n_4272),
-	.X(FE_OFN1320_n_4272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1319_n_3737 (
-	.A(n_3737),
-	.X(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1312_n_2269 (
-	.A(n_2269),
-	.X(FE_OFN1312_n_2269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1311_n_2183 (
-	.A(n_2183),
-	.X(FE_OFN1311_n_2183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1310_n_2148 (
-	.A(n_2148),
-	.X(FE_OFN1310_n_2148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1309_n_1727 (
-	.A(n_1727),
-	.X(FE_OFN1309_n_1727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1308_n_1676 (
-	.A(n_1676),
-	.X(FE_OFN1308_n_1676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1307_n_1249 (
-	.A(n_1249),
-	.X(FE_OFN1307_n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1306_n_1247 (
-	.A(n_1247),
-	.X(FE_OFN1306_n_1247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1305_n_1245 (
-	.A(n_1245),
-	.X(FE_OFN1305_n_1245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1296_u_iccm_addr2_0 (
-	.A(FE_OFN1295_u_iccm_addr2_0),
-	.Y(FE_OFN1296_u_iccm_addr2_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1295_u_iccm_addr2_0 (
-	.A(u_iccm_addr2[0]),
-	.Y(FE_OFN1295_u_iccm_addr2_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1289_u_iccm_addr2_5 (
-	.A(u_iccm_addr2[5]),
-	.X(FE_OFN1289_u_iccm_addr2_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1287_u_iccm_addr2_6 (
-	.A(u_iccm_addr2[6]),
-	.X(FE_OFN1287_u_iccm_addr2_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1283_u_iccm_addr3_0 (
-	.A(u_iccm_addr3[0]),
-	.X(FE_OFN1283_u_iccm_addr3_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1282_u_iccm_addr3_1 (
-	.A(FE_OFN1281_u_iccm_addr3_1),
-	.Y(FE_OFN1282_u_iccm_addr3_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1281_u_iccm_addr3_1 (
-	.A(u_iccm_addr3[1]),
-	.Y(FE_OFN1281_u_iccm_addr3_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1277_u_iccm_addr3_4 (
-	.A(u_iccm_addr3[4]),
-	.X(FE_OFN1277_u_iccm_addr3_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1276_u_iccm_addr3_5 (
-	.A(FE_OFN1275_u_iccm_addr3_5),
-	.Y(FE_OFN1276_u_iccm_addr3_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1275_u_iccm_addr3_5 (
-	.A(u_iccm_addr3[5]),
-	.Y(FE_OFN1275_u_iccm_addr3_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1273_u_iccm_addr3_6 (
-	.A(u_iccm_addr3[6]),
-	.X(FE_OFN1273_u_iccm_addr3_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1242_u_dccm_addr3_7 (
-	.A(u_dccm_addr3[7]),
-	.X(FE_OFN1242_u_dccm_addr3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr (
-	.A(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.X(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr (
-	.A(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.X(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr (
-	.A(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.X(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr (
-	.A(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.X(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr (
-	.A(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.X(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr (
-	.A(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.X(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1066_u_uart_u_uart_core_rx_fifo_clr (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.X(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1065_u_uart_u_uart_core_rx_fifo_clr (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.X(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1064_u_top_u_core_instr_req_int (
-	.A(u_top_u_core_instr_req_int),
-	.X(FE_OFN1064_u_top_u_core_instr_req_int), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1062_u_top_data_we (
-	.A(u_top_data_we),
-	.X(FE_OFN1062_u_top_data_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1059_n_15841 (
-	.A(n_15841),
-	.X(FE_OFN1059_n_15841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1054_n_15788 (
-	.A(n_15788),
-	.X(FE_OFN1054_n_15788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1053_n_15764 (
-	.A(n_15764),
-	.X(FE_OFN1053_n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1052_n_15754 (
-	.A(n_15754),
-	.X(FE_OFN1052_n_15754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1045_n_13600 (
-	.A(n_13600),
-	.X(FE_OFN1045_n_13600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1044_n_13599 (
-	.A(n_13599),
-	.X(FE_OFN1044_n_13599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1043_n_13297 (
-	.A(n_13297),
-	.X(FE_OFN1043_n_13297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1042_n_13296 (
-	.A(n_13296),
-	.X(FE_OFN1042_n_13296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1039_n_13259 (
-	.A(n_13259),
-	.X(FE_OFN1039_n_13259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1032_n_10825 (
-	.A(n_10825),
-	.X(FE_OFN1032_n_10825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1031_n_10824 (
-	.A(n_10824),
-	.X(FE_OFN1031_n_10824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1030_n_10818 (
-	.A(n_10818),
-	.X(FE_OFN1030_n_10818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1029_n_10809 (
-	.A(n_10809),
-	.X(FE_OFN1029_n_10809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1027_n_8199 (
-	.A(n_8199),
-	.X(FE_OFN1027_n_8199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1026_n_8194 (
-	.A(n_8194),
-	.X(FE_OFN1026_n_8194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1025_n_8192 (
-	.A(n_8192),
-	.X(FE_OFN1025_n_8192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1024_n_6565 (
-	.A(n_6565),
-	.X(FE_OFN1024_n_6565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1023_n_6563 (
-	.A(n_6563),
-	.X(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1022_n_6562 (
-	.A(n_6562),
-	.X(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1021_n_6560 (
-	.A(n_6560),
-	.X(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1020_n_6559 (
-	.A(n_6559),
-	.X(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1019_n_5787 (
-	.A(n_5787),
-	.X(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1018_n_5786 (
-	.A(n_5786),
-	.X(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1017_n_5785 (
-	.A(n_5785),
-	.X(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1016_n_5784 (
-	.A(n_5784),
-	.X(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1015_n_5783 (
-	.A(n_5783),
-	.X(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1014_n_5780 (
-	.A(n_5780),
-	.X(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1013_n_5779 (
-	.A(n_5779),
-	.X(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1012_n_5778 (
-	.A(n_5778),
-	.X(FE_OFN1012_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1011_n_5778 (
-	.A(n_5778),
-	.X(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1010_n_5777 (
-	.A(n_5777),
-	.X(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1009_n_5776 (
-	.A(n_5776),
-	.X(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1008_n_5775 (
-	.A(n_5775),
-	.X(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1007_n_5774 (
-	.A(FE_OFN1006_n_5774),
-	.X(FE_OFN1007_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1006_n_5774 (
-	.A(n_5774),
-	.X(FE_OFN1006_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1005_n_5773 (
-	.A(n_5773),
-	.X(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1004_n_5772 (
-	.A(n_5772),
-	.X(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1003_n_5771 (
-	.A(n_5771),
-	.X(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1002_n_5770 (
-	.A(n_5770),
-	.X(FE_OFN1002_n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1001_n_5769 (
-	.A(n_5769),
-	.X(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1000_n_5768 (
-	.A(n_5768),
-	.X(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC999_n_5767 (
-	.A(n_5767),
-	.X(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC998_n_5766 (
-	.A(n_5766),
-	.X(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC997_n_5765 (
-	.A(n_5765),
-	.X(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC996_n_5764 (
-	.A(n_5764),
-	.X(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC995_n_5763 (
-	.A(n_5763),
-	.X(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC994_n_5761 (
-	.A(n_5761),
-	.X(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC993_n_5760 (
-	.A(n_5760),
-	.X(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC990_n_4938 (
-	.A(n_4938),
-	.X(FE_OFN990_n_4938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC989_n_4936 (
-	.A(n_4936),
-	.X(FE_OFN989_n_4936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC988_n_4934 (
-	.A(n_4934),
-	.X(FE_OFN988_n_4934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC987_n_4930 (
-	.A(n_4930),
-	.X(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC986_n_4522 (
-	.A(n_4522),
-	.X(FE_OFN986_n_4522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC984_n_3344 (
-	.A(n_3344),
-	.X(FE_OFN984_n_3344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC983_n_3308 (
-	.A(n_3308),
-	.X(FE_OFN983_n_3308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC982_n_3277 (
-	.A(n_3277),
-	.X(FE_OFN982_n_3277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC981_n_3269 (
-	.A(n_3269),
-	.X(FE_OFN981_n_3269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC980_n_3259 (
-	.A(n_3259),
-	.X(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC979_n_2279 (
-	.A(n_2279),
-	.X(FE_OFN979_n_2279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC978_n_2277 (
-	.A(n_2277),
-	.X(FE_OFN978_n_2277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC977_n_2275 (
-	.A(n_2275),
-	.X(FE_OFN977_n_2275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC976_n_2271 (
-	.A(n_2271),
-	.X(FE_OFN976_n_2271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC975_n_2185 (
-	.A(n_2185),
-	.X(FE_OFN975_n_2185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC974_n_2143 (
-	.A(n_2143),
-	.X(FE_OFN974_n_2143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC973_n_1983 (
-	.A(n_1983),
-	.X(FE_OFN973_n_1983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC972_n_1973 (
-	.A(n_1973),
-	.X(FE_OFN972_n_1973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC971_n_1967 (
-	.A(n_1967),
-	.X(FE_OFN971_n_1967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC970_n_1949 (
-	.A(FE_OFN968_n_1949),
-	.X(FE_OFN970_n_1949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC969_n_1949 (
-	.A(n_1949),
-	.X(FE_OFN969_n_1949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC968_n_1949 (
-	.A(n_1949),
-	.X(FE_OFN968_n_1949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC967_n_1949 (
-	.A(n_1949),
-	.X(FE_OFN967_n_1949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC966_n_1876 (
-	.A(FE_OFN964_n_1876),
-	.X(FE_OFN966_n_1876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC965_n_1876 (
-	.A(n_1876),
-	.X(FE_OFN965_n_1876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC964_n_1876 (
-	.A(n_1876),
-	.X(FE_OFN964_n_1876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC963_n_1797 (
-	.A(FE_OFN961_n_1797),
-	.X(FE_OFN963_n_1797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC962_n_1797 (
-	.A(FE_OFN961_n_1797),
-	.X(FE_OFN962_n_1797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC961_n_1797 (
-	.A(n_1797),
-	.X(FE_OFN961_n_1797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC960_n_1797 (
-	.A(n_1797),
-	.X(FE_OFN960_n_1797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC959_n_1765 (
-	.A(FE_OFN956_n_1765),
-	.X(FE_OFN959_n_1765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC958_n_1765 (
-	.A(FE_OFN956_n_1765),
-	.X(FE_OFN958_n_1765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC957_n_1765 (
-	.A(FE_OFN956_n_1765),
-	.X(FE_OFN957_n_1765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC956_n_1765 (
-	.A(n_1765),
-	.X(FE_OFN956_n_1765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC955_n_1705 (
-	.A(n_1705),
-	.X(FE_OFN955_n_1705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC954_n_1699 (
-	.A(n_1699),
-	.X(FE_OFN954_n_1699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC953_n_1697 (
-	.A(n_1697),
-	.X(FE_OFN953_n_1697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC952_n_1695 (
-	.A(n_1695),
-	.X(FE_OFN952_n_1695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC951_n_1687 (
-	.A(n_1687),
-	.X(FE_OFN951_n_1687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC950_n_1675 (
-	.A(n_1675),
-	.X(FE_OFN950_n_1675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC949_n_1674 (
-	.A(n_1674),
-	.X(FE_OFN949_n_1674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC948_n_1673 (
-	.A(n_1673),
-	.X(FE_OFN948_n_1673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC947_n_1672 (
-	.A(n_1672),
-	.X(FE_OFN947_n_1672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC946_n_1671 (
-	.A(n_1671),
-	.X(FE_OFN946_n_1671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC945_n_1568 (
-	.A(FE_OFN943_n_1568),
-	.X(FE_OFN945_n_1568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC944_n_1568 (
-	.A(FE_OFN943_n_1568),
-	.X(FE_OFN944_n_1568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC943_n_1568 (
-	.A(n_1568),
-	.X(FE_OFN943_n_1568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC942_n_1459 (
-	.A(FE_OFN939_n_1459),
-	.X(FE_OFN942_n_1459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC941_n_1459 (
-	.A(FE_OFN938_n_1459),
-	.X(FE_OFN941_n_1459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC940_n_1459 (
-	.A(FE_OFN938_n_1459),
-	.X(FE_OFN940_n_1459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC939_n_1459 (
-	.A(FE_OFN938_n_1459),
-	.X(FE_OFN939_n_1459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC938_n_1459 (
-	.A(n_1459),
-	.X(FE_OFN938_n_1459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC937_n_1393 (
-	.A(FE_OFN934_n_1393),
-	.X(FE_OFN937_n_1393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC936_n_1393 (
-	.A(n_1393),
-	.X(FE_OFN936_n_1393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC935_n_1393 (
-	.A(n_1393),
-	.X(FE_OFN935_n_1393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC934_n_1393 (
-	.A(n_1393),
-	.X(FE_OFN934_n_1393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC933_n_1330 (
-	.A(FE_OFN932_n_1330),
-	.X(FE_OFN933_n_1330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC932_n_1330 (
-	.A(FE_OFN930_n_1330),
-	.X(FE_OFN932_n_1330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC931_n_1330 (
-	.A(FE_OFN930_n_1330),
-	.X(FE_OFN931_n_1330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC930_n_1330 (
-	.A(n_1330),
-	.X(FE_OFN930_n_1330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC929_n_1064 (
-	.A(n_1064),
-	.X(FE_OFN929_n_1064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC919_n_0 (
-	.A(n_0),
-	.X(FE_OFN919_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC917_logic_0_49_net (
-	.A(FE_OFN916_logic_0_49_net),
-	.Y(FE_OFN917_logic_0_49_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC916_logic_0_49_net (
-	.A(logic_0_49_net),
-	.Y(FE_OFN916_logic_0_49_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC915_logic_0_48_net (
-	.A(FE_OFN914_logic_0_48_net),
-	.Y(FE_OFN915_logic_0_48_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC914_logic_0_48_net (
-	.A(logic_0_48_net),
-	.Y(FE_OFN914_logic_0_48_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC913_logic_0_47_net (
-	.A(FE_PDN3732_logic_0_47_net),
-	.X(FE_OFN913_logic_0_47_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC909_instr_we (
-	.A(FE_OFN907_instr_we),
-	.Y(FE_OFN909_instr_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC908_instr_we (
-	.A(FE_OFN907_instr_we),
-	.Y(FE_OFN908_instr_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC907_instr_we (
-	.A(instr_we),
-	.Y(FE_OFN907_instr_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC906_data_we (
-	.A(FE_PDN3672_FE_OFN904_data_we),
-	.Y(FE_OFN906_data_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC905_data_we (
-	.A(FE_OFN904_data_we),
-	.Y(FE_OFN905_data_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC904_data_we (
-	.A(FE_OFN903_data_we),
-	.Y(FE_OFN904_data_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC903_data_we (
-	.A(FE_OFN902_data_we),
-	.Y(FE_OFN903_data_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC902_data_we (
-	.A(data_we),
-	.Y(FE_OFN902_data_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC900_u_uart_u_uart_core_rx_time_state_reg_1 (
-	.A(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.X(FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC886_instr_addr_7 (
-	.A(instr_addr[7]),
-	.X(FE_OFN886_instr_addr_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC885_data_wmask_0 (
-	.A(FE_OFN882_data_wmask_0),
-	.Y(FE_OFN885_data_wmask_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC882_data_wmask_0 (
-	.A(FE_OFN16581_FE_OFN16155_FE_OFN1741_n),
-	.Y(FE_OFN882_data_wmask_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC879_data_wmask_1 (
-	.A(FE_PDN3778_FE_OFN878_data_wmask_1),
-	.Y(FE_OFN879_data_wmask_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC878_data_wmask_1 (
-	.A(FE_OFN877_data_wmask_1),
-	.Y(FE_OFN878_data_wmask_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC877_data_wmask_1 (
-	.A(FE_OFN875_data_wmask_1),
-	.Y(FE_OFN877_data_wmask_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC876_data_wmask_1 (
-	.A(FE_PDN3789_FE_OFN16974_FE_OFN16844_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n),
-	.X(FE_OFN876_data_wmask_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC875_data_wmask_1 (
-	.A(FE_OFN16974_FE_OFN16844_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n),
-	.Y(FE_OFN875_data_wmask_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC871_data_wmask_2 (
-	.A(FE_OFN867_data_wmask_2),
-	.Y(FE_OFN871_data_wmask_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC867_data_wmask_2 (
-	.A(data_wmask[2]),
-	.Y(FE_OFN867_data_wmask_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC863_data_wmask_3 (
-	.A(FE_OFN861_data_wmask_3),
-	.Y(FE_OFN863_data_wmask_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC861_data_wmask_3 (
-	.A(data_wmask[3]),
-	.Y(FE_OFN861_data_wmask_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC860_data_addr_0 (
-	.A(data_addr[0]),
-	.X(FE_OFN860_data_addr_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC859_data_addr_1 (
-	.A(data_addr[1]),
-	.X(FE_OFN859_data_addr_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC858_data_addr_2 (
-	.A(data_addr[2]),
-	.X(FE_OFN858_data_addr_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC857_data_addr_3 (
-	.A(data_addr[3]),
-	.X(FE_OFN857_data_addr_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC856_data_addr_4 (
-	.A(data_addr[4]),
-	.X(FE_OFN856_data_addr_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC855_data_addr_5 (
-	.A(data_addr[5]),
-	.X(FE_OFN855_data_addr_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC854_data_addr_6 (
-	.A(data_addr[6]),
-	.X(FE_OFN854_data_addr_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC853_data_addr_7 (
-	.A(data_addr[7]),
-	.X(FE_OFN853_data_addr_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC847_xbar_to_dccm_a_address__7 (
-	.A(\xbar_to_dccm[a_address] [7]),
-	.X(FE_OFN847_xbar_to_dccm_a_address__7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC846_xbar_to_dccm_a_address__8 (
-	.A(\xbar_to_dccm[a_address] [8]),
-	.X(FE_OFN846_xbar_to_dccm_a_address__8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC842_xbar_to_dccm_a_address__12 (
-	.A(\xbar_to_dccm[a_address] [12]),
-	.X(FE_OFN842_xbar_to_dccm_a_address__12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC841_xbar_to_dccm_a_address__13 (
-	.A(\xbar_to_dccm[a_address] [13]),
-	.X(FE_OFN841_xbar_to_dccm_a_address__13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC840_lsu_to_xbar_a_address__14 (
-	.A(\lsu_to_xbar[a_address] [14]),
-	.X(FE_OFN840_lsu_to_xbar_a_address__14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC823_u_top_u_core_pc_if_1 (
-	.A(u_top_u_core_pc_if[1]),
-	.X(FE_OFN823_u_top_u_core_pc_if_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC818_u_top_u_core_instr_rdata_id_23 (
-	.A(u_top_u_core_instr_rdata_id[23]),
-	.X(FE_OFN818_u_top_u_core_instr_rdata_id_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC802_u_iccm_rdata3_2 (
-	.A(u_iccm_rdata3[2]),
-	.X(FE_OFN802_u_iccm_rdata3_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC801_u_iccm_rdata3_3 (
-	.A(u_iccm_rdata3[3]),
-	.X(FE_OFN801_u_iccm_rdata3_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC800_u_iccm_rdata3_4 (
-	.A(u_iccm_rdata3[4]),
-	.X(FE_OFN800_u_iccm_rdata3_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC799_u_iccm_rdata3_5 (
-	.A(u_iccm_rdata3[5]),
-	.X(FE_OFN799_u_iccm_rdata3_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC798_u_iccm_rdata3_6 (
-	.A(u_iccm_rdata3[6]),
-	.X(FE_OFN798_u_iccm_rdata3_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC797_u_iccm_rdata3_7 (
-	.A(u_iccm_rdata3[7]),
-	.X(FE_OFN797_u_iccm_rdata3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC796_u_iccm_rdata3_8 (
-	.A(u_iccm_rdata3[8]),
-	.X(FE_OFN796_u_iccm_rdata3_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC795_u_iccm_rdata3_9 (
-	.A(u_iccm_rdata3[9]),
-	.X(FE_OFN795_u_iccm_rdata3_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC794_u_iccm_rdata3_10 (
-	.A(u_iccm_rdata3[10]),
-	.X(FE_OFN794_u_iccm_rdata3_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC793_u_iccm_rdata3_11 (
-	.A(u_iccm_rdata3[11]),
-	.X(FE_OFN793_u_iccm_rdata3_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC792_u_iccm_rdata3_12 (
-	.A(u_iccm_rdata3[12]),
-	.X(FE_OFN792_u_iccm_rdata3_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC791_u_iccm_rdata3_13 (
-	.A(u_iccm_rdata3[13]),
-	.X(FE_OFN791_u_iccm_rdata3_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC790_u_iccm_rdata3_14 (
-	.A(u_iccm_rdata3[14]),
-	.X(FE_OFN790_u_iccm_rdata3_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC789_u_iccm_rdata3_15 (
-	.A(u_iccm_rdata3[15]),
-	.X(FE_OFN789_u_iccm_rdata3_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC786_u_iccm_rdata3_18 (
-	.A(u_iccm_rdata3[18]),
-	.X(FE_OFN786_u_iccm_rdata3_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC785_u_iccm_rdata3_19 (
-	.A(u_iccm_rdata3[19]),
-	.X(FE_OFN785_u_iccm_rdata3_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC784_u_iccm_rdata3_20 (
-	.A(u_iccm_rdata3[20]),
-	.X(FE_OFN784_u_iccm_rdata3_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC783_u_iccm_rdata3_21 (
-	.A(u_iccm_rdata3[21]),
-	.X(FE_OFN783_u_iccm_rdata3_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC782_u_iccm_rdata3_22 (
-	.A(u_iccm_rdata3[22]),
-	.X(FE_OFN782_u_iccm_rdata3_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC781_u_iccm_rdata3_23 (
-	.A(u_iccm_rdata3[23]),
-	.X(FE_OFN781_u_iccm_rdata3_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC780_u_iccm_rdata3_24 (
-	.A(u_iccm_rdata3[24]),
-	.X(FE_OFN780_u_iccm_rdata3_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC779_u_iccm_rdata3_25 (
-	.A(u_iccm_rdata3[25]),
-	.X(FE_OFN779_u_iccm_rdata3_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC778_u_iccm_rdata3_26 (
-	.A(u_iccm_rdata3[26]),
-	.X(FE_OFN778_u_iccm_rdata3_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC777_u_iccm_rdata3_27 (
-	.A(u_iccm_rdata3[27]),
-	.X(FE_OFN777_u_iccm_rdata3_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC776_u_iccm_rdata3_28 (
-	.A(u_iccm_rdata3[28]),
-	.X(FE_OFN776_u_iccm_rdata3_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC775_u_iccm_rdata3_29 (
-	.A(u_iccm_rdata3[29]),
-	.X(FE_OFN775_u_iccm_rdata3_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC774_u_iccm_rdata3_30 (
-	.A(u_iccm_rdata3[30]),
-	.X(FE_OFN774_u_iccm_rdata3_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC773_u_iccm_rdata3_31 (
-	.A(u_iccm_rdata3[31]),
-	.X(FE_OFN773_u_iccm_rdata3_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC770_u_iccm_rdata2_2 (
-	.A(u_iccm_rdata2[2]),
-	.X(FE_OFN770_u_iccm_rdata2_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC769_u_iccm_rdata2_3 (
-	.A(u_iccm_rdata2[3]),
-	.X(FE_OFN769_u_iccm_rdata2_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC768_u_iccm_rdata2_4 (
-	.A(u_iccm_rdata2[4]),
-	.X(FE_OFN768_u_iccm_rdata2_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC767_u_iccm_rdata2_5 (
-	.A(u_iccm_rdata2[5]),
-	.X(FE_OFN767_u_iccm_rdata2_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC766_u_iccm_rdata2_6 (
-	.A(u_iccm_rdata2[6]),
-	.X(FE_OFN766_u_iccm_rdata2_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC765_u_iccm_rdata2_7 (
-	.A(u_iccm_rdata2[7]),
-	.X(FE_OFN765_u_iccm_rdata2_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC764_u_iccm_rdata2_8 (
-	.A(u_iccm_rdata2[8]),
-	.X(FE_OFN764_u_iccm_rdata2_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC763_u_iccm_rdata2_9 (
-	.A(u_iccm_rdata2[9]),
-	.X(FE_OFN763_u_iccm_rdata2_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC762_u_iccm_rdata2_10 (
-	.A(u_iccm_rdata2[10]),
-	.X(FE_OFN762_u_iccm_rdata2_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC761_u_iccm_rdata2_11 (
-	.A(u_iccm_rdata2[11]),
-	.X(FE_OFN761_u_iccm_rdata2_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC760_u_iccm_rdata2_12 (
-	.A(u_iccm_rdata2[12]),
-	.X(FE_OFN760_u_iccm_rdata2_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC759_u_iccm_rdata2_13 (
-	.A(u_iccm_rdata2[13]),
-	.X(FE_OFN759_u_iccm_rdata2_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC758_u_iccm_rdata2_14 (
-	.A(u_iccm_rdata2[14]),
-	.X(FE_OFN758_u_iccm_rdata2_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC757_u_iccm_rdata2_15 (
-	.A(u_iccm_rdata2[15]),
-	.X(FE_OFN757_u_iccm_rdata2_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC754_u_iccm_rdata2_18 (
-	.A(u_iccm_rdata2[18]),
-	.X(FE_OFN754_u_iccm_rdata2_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC753_u_iccm_rdata2_19 (
-	.A(u_iccm_rdata2[19]),
-	.X(FE_OFN753_u_iccm_rdata2_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC752_u_iccm_rdata2_20 (
-	.A(u_iccm_rdata2[20]),
-	.X(FE_OFN752_u_iccm_rdata2_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC751_u_iccm_rdata2_21 (
-	.A(u_iccm_rdata2[21]),
-	.X(FE_OFN751_u_iccm_rdata2_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC750_u_iccm_rdata2_22 (
-	.A(u_iccm_rdata2[22]),
-	.X(FE_OFN750_u_iccm_rdata2_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC749_u_iccm_rdata2_23 (
-	.A(u_iccm_rdata2[23]),
-	.X(FE_OFN749_u_iccm_rdata2_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC748_u_iccm_rdata2_24 (
-	.A(u_iccm_rdata2[24]),
-	.X(FE_OFN748_u_iccm_rdata2_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC747_u_iccm_rdata2_25 (
-	.A(u_iccm_rdata2[25]),
-	.X(FE_OFN747_u_iccm_rdata2_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC746_u_iccm_rdata2_26 (
-	.A(u_iccm_rdata2[26]),
-	.X(FE_OFN746_u_iccm_rdata2_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC745_u_iccm_rdata2_27 (
-	.A(u_iccm_rdata2[27]),
-	.X(FE_OFN745_u_iccm_rdata2_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC744_u_iccm_rdata2_28 (
-	.A(u_iccm_rdata2[28]),
-	.X(FE_OFN744_u_iccm_rdata2_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC743_u_iccm_rdata2_29 (
-	.A(u_iccm_rdata2[29]),
-	.X(FE_OFN743_u_iccm_rdata2_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC742_u_iccm_rdata2_30 (
-	.A(u_iccm_rdata2[30]),
-	.X(FE_OFN742_u_iccm_rdata2_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC741_u_iccm_rdata2_31 (
-	.A(u_iccm_rdata2[31]),
-	.X(FE_OFN741_u_iccm_rdata2_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC738_u_iccm_rdata1_2 (
-	.A(u_iccm_rdata1[2]),
-	.X(FE_OFN738_u_iccm_rdata1_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC737_u_iccm_rdata1_3 (
-	.A(u_iccm_rdata1[3]),
-	.X(FE_OFN737_u_iccm_rdata1_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC736_u_iccm_rdata1_4 (
-	.A(u_iccm_rdata1[4]),
-	.X(FE_OFN736_u_iccm_rdata1_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC735_u_iccm_rdata1_5 (
-	.A(u_iccm_rdata1[5]),
-	.X(FE_OFN735_u_iccm_rdata1_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC734_u_iccm_rdata1_6 (
-	.A(u_iccm_rdata1[6]),
-	.X(FE_OFN734_u_iccm_rdata1_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC733_u_iccm_rdata1_7 (
-	.A(u_iccm_rdata1[7]),
-	.X(FE_OFN733_u_iccm_rdata1_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC732_u_iccm_rdata1_8 (
-	.A(u_iccm_rdata1[8]),
-	.X(FE_OFN732_u_iccm_rdata1_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC731_u_iccm_rdata1_9 (
-	.A(u_iccm_rdata1[9]),
-	.X(FE_OFN731_u_iccm_rdata1_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC730_u_iccm_rdata1_10 (
-	.A(u_iccm_rdata1[10]),
-	.X(FE_OFN730_u_iccm_rdata1_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC729_u_iccm_rdata1_11 (
-	.A(u_iccm_rdata1[11]),
-	.X(FE_OFN729_u_iccm_rdata1_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC728_u_iccm_rdata1_12 (
-	.A(u_iccm_rdata1[12]),
-	.X(FE_OFN728_u_iccm_rdata1_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC727_u_iccm_rdata1_13 (
-	.A(u_iccm_rdata1[13]),
-	.X(FE_OFN727_u_iccm_rdata1_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC726_u_iccm_rdata1_14 (
-	.A(u_iccm_rdata1[14]),
-	.X(FE_OFN726_u_iccm_rdata1_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC725_u_iccm_rdata1_15 (
-	.A(u_iccm_rdata1[15]),
-	.X(FE_OFN725_u_iccm_rdata1_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC722_u_iccm_rdata1_24 (
-	.A(u_iccm_rdata1[24]),
-	.X(FE_OFN722_u_iccm_rdata1_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC721_u_iccm_rdata1_25 (
-	.A(u_iccm_rdata1[25]),
-	.X(FE_OFN721_u_iccm_rdata1_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC720_u_iccm_rdata1_26 (
-	.A(u_iccm_rdata1[26]),
-	.X(FE_OFN720_u_iccm_rdata1_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC719_u_iccm_rdata1_27 (
-	.A(u_iccm_rdata1[27]),
-	.X(FE_OFN719_u_iccm_rdata1_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC718_u_iccm_rdata1_28 (
-	.A(u_iccm_rdata1[28]),
-	.X(FE_OFN718_u_iccm_rdata1_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC717_u_iccm_rdata1_29 (
-	.A(u_iccm_rdata1[29]),
-	.X(FE_OFN717_u_iccm_rdata1_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC716_u_iccm_rdata1_30 (
-	.A(u_iccm_rdata1[30]),
-	.X(FE_OFN716_u_iccm_rdata1_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC715_u_iccm_rdata1_31 (
-	.A(u_iccm_rdata1[31]),
-	.X(FE_OFN715_u_iccm_rdata1_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC714_instr_wdata_0 (
-	.A(FE_PDN3811_FE_OFN712_instr_wdata_0),
-	.Y(FE_OFN714_instr_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC713_instr_wdata_0 (
-	.A(FE_PDN3804_FE_OFN710_instr_wdata_0),
-	.X(FE_OFN713_instr_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC712_instr_wdata_0 (
-	.A(FE_PDN3804_FE_OFN710_instr_wdata_0),
-	.Y(FE_OFN712_instr_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC711_instr_wdata_0 (
-	.A(FE_PDN3755_FE_OFN709_instr_wdata_0),
-	.Y(FE_OFN711_instr_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC710_instr_wdata_0 (
-	.A(FE_OFN709_instr_wdata_0),
-	.Y(FE_OFN710_instr_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC709_instr_wdata_0 (
-	.A(FE_OFN708_instr_wdata_0),
-	.Y(FE_OFN709_instr_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC708_instr_wdata_0 (
-	.A(instr_wdata[0]),
-	.X(FE_OFN708_instr_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC707_instr_wdata_1 (
-	.A(FE_PDN3648_FE_OFN704_instr_wdata_1),
-	.Y(FE_OFN707_instr_wdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC706_instr_wdata_1 (
-	.A(FE_PDN3648_FE_OFN704_instr_wdata_1),
-	.Y(FE_OFN706_instr_wdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC705_instr_wdata_1 (
-	.A(FE_OFN704_instr_wdata_1),
-	.Y(FE_OFN705_instr_wdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC704_instr_wdata_1 (
-	.A(FE_OFN703_instr_wdata_1),
-	.Y(FE_OFN704_instr_wdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC703_instr_wdata_1 (
-	.A(instr_wdata[1]),
-	.X(FE_OFN703_instr_wdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC702_instr_wdata_2 (
-	.A(FE_PDN3813_FE_OFN701_instr_wdata_2),
-	.Y(FE_OFN702_instr_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC701_instr_wdata_2 (
-	.A(FE_OFN699_instr_wdata_2),
-	.Y(FE_OFN701_instr_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC700_instr_wdata_2 (
-	.A(FE_PDN3781_FE_OFN698_instr_wdata_2),
-	.Y(FE_OFN700_instr_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC699_instr_wdata_2 (
-	.A(FE_PDN3743_FE_OFN697_instr_wdata_2),
-	.Y(FE_OFN699_instr_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC698_instr_wdata_2 (
-	.A(FE_OFN696_instr_wdata_2),
-	.Y(FE_OFN698_instr_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC697_instr_wdata_2 (
-	.A(FE_OFN696_instr_wdata_2),
-	.Y(FE_OFN697_instr_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC696_instr_wdata_2 (
-	.A(instr_wdata[2]),
-	.X(FE_OFN696_instr_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC695_instr_wdata_3 (
-	.A(FE_OFN694_instr_wdata_3),
-	.Y(FE_OFN695_instr_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC694_instr_wdata_3 (
-	.A(FE_OFN692_instr_wdata_3),
-	.Y(FE_OFN694_instr_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC693_instr_wdata_3 (
-	.A(FE_OFN691_instr_wdata_3),
-	.Y(FE_OFN693_instr_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC692_instr_wdata_3 (
-	.A(FE_PDN3751_FE_OFN690_instr_wdata_3),
-	.Y(FE_OFN692_instr_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC691_instr_wdata_3 (
-	.A(FE_OFN17064_instr_wdata_3),
-	.Y(FE_OFN691_instr_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC690_instr_wdata_3 (
-	.A(instr_wdata[3]),
-	.Y(FE_OFN690_instr_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC689_instr_wdata_4 (
-	.A(FE_PDN17121_FE_OFN687_instr_wdata_4),
-	.X(FE_OFN689_instr_wdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC688_instr_wdata_4 (
-	.A(FE_OFN685_instr_wdata_4),
-	.Y(FE_OFN688_instr_wdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC687_instr_wdata_4 (
-	.A(FE_PDN3679_FE_OFN684_instr_wdata_4),
-	.Y(FE_OFN687_instr_wdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC686_instr_wdata_4 (
-	.A(FE_PDN3679_FE_OFN684_instr_wdata_4),
-	.Y(FE_OFN686_instr_wdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC685_instr_wdata_4 (
-	.A(instr_wdata[4]),
-	.Y(FE_OFN685_instr_wdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC684_instr_wdata_4 (
-	.A(instr_wdata[4]),
-	.Y(FE_OFN684_instr_wdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC683_instr_wdata_5 (
-	.A(FE_OFN680_instr_wdata_5),
-	.Y(FE_OFN683_instr_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC682_instr_wdata_5 (
-	.A(FE_OFN680_instr_wdata_5),
-	.Y(FE_OFN682_instr_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC681_instr_wdata_5 (
-	.A(FE_OFN679_instr_wdata_5),
-	.Y(FE_OFN681_instr_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC680_instr_wdata_5 (
-	.A(FE_PDN3769_FE_OFN678_instr_wdata_5),
-	.Y(FE_OFN680_instr_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC679_instr_wdata_5 (
-	.A(instr_wdata[5]),
-	.Y(FE_OFN679_instr_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC678_instr_wdata_5 (
-	.A(instr_wdata[5]),
-	.X(FE_OFN678_instr_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC677_instr_wdata_6 (
-	.A(FE_PDN3723_FE_OFN676_instr_wdata_6),
-	.X(FE_OFN677_instr_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC676_instr_wdata_6 (
-	.A(FE_OFN673_instr_wdata_6),
-	.Y(FE_OFN676_instr_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC675_instr_wdata_6 (
-	.A(FE_OFN673_instr_wdata_6),
-	.Y(FE_OFN675_instr_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC674_instr_wdata_6 (
-	.A(FE_OFN671_instr_wdata_6),
-	.Y(FE_OFN674_instr_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC673_instr_wdata_6 (
-	.A(instr_wdata[6]),
-	.Y(FE_OFN673_instr_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC671_instr_wdata_6 (
-	.A(instr_wdata[6]),
-	.Y(FE_OFN671_instr_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC670_instr_wdata_7 (
-	.A(FE_OFN669_instr_wdata_7),
-	.Y(FE_OFN670_instr_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC669_instr_wdata_7 (
-	.A(FE_OFN668_instr_wdata_7),
-	.Y(FE_OFN669_instr_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC668_instr_wdata_7 (
-	.A(FE_OFN666_instr_wdata_7),
-	.X(FE_OFN668_instr_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC667_instr_wdata_7 (
-	.A(FE_OFN665_instr_wdata_7),
-	.Y(FE_OFN667_instr_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC666_instr_wdata_7 (
-	.A(FE_OFN665_instr_wdata_7),
-	.Y(FE_OFN666_instr_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC665_instr_wdata_7 (
-	.A(instr_wdata[7]),
-	.Y(FE_OFN665_instr_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC664_instr_wdata_8 (
-	.A(FE_OFN663_instr_wdata_8),
-	.X(FE_OFN664_instr_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC663_instr_wdata_8 (
-	.A(FE_PDN17132_FE_OFN660_instr_wdata_8),
-	.Y(FE_OFN663_instr_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC662_instr_wdata_8 (
-	.A(FE_PDN17132_FE_OFN660_instr_wdata_8),
-	.Y(FE_OFN662_instr_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC661_instr_wdata_8 (
-	.A(FE_PDN3764_FE_OFN659_instr_wdata_8),
-	.X(FE_OFN661_instr_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC660_instr_wdata_8 (
-	.A(FE_OFN659_instr_wdata_8),
-	.Y(FE_OFN660_instr_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC659_instr_wdata_8 (
-	.A(instr_wdata[8]),
-	.X(FE_OFN659_instr_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC658_instr_wdata_9 (
-	.A(FE_PDN3744_FE_OFN656_instr_wdata_9),
-	.X(FE_OFN658_instr_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC657_instr_wdata_9 (
-	.A(FE_PDN3719_FE_OFN654_instr_wdata_9),
-	.Y(FE_OFN657_instr_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC656_instr_wdata_9 (
-	.A(FE_OFN653_instr_wdata_9),
-	.Y(FE_OFN656_instr_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC655_instr_wdata_9 (
-	.A(FE_OFN653_instr_wdata_9),
-	.Y(FE_OFN655_instr_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC654_instr_wdata_9 (
-	.A(instr_wdata[9]),
-	.Y(FE_OFN654_instr_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC653_instr_wdata_9 (
-	.A(instr_wdata[9]),
-	.Y(FE_OFN653_instr_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC652_instr_wdata_10 (
-	.A(FE_OFN651_instr_wdata_10),
-	.X(FE_OFN652_instr_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC651_instr_wdata_10 (
-	.A(FE_PDN17136_FE_OFN647_instr_wdata_10),
-	.Y(FE_OFN651_instr_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC650_instr_wdata_10 (
-	.A(FE_PDN17135_FE_OFN647_instr_wdata_10),
-	.Y(FE_OFN650_instr_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC649_instr_wdata_10 (
-	.A(FE_OFN647_instr_wdata_10),
-	.Y(FE_OFN649_instr_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC647_instr_wdata_10 (
-	.A(FE_OFN16109_instr_wdata_10),
-	.Y(FE_OFN647_instr_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC646_instr_wdata_11 (
-	.A(FE_OFN644_instr_wdata_11),
-	.X(FE_OFN646_instr_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC645_instr_wdata_11 (
-	.A(FE_PDN3659_FE_OFN641_instr_wdata_11),
-	.Y(FE_OFN645_instr_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC644_instr_wdata_11 (
-	.A(FE_PDN3659_FE_OFN641_instr_wdata_11),
-	.Y(FE_OFN644_instr_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC643_instr_wdata_11 (
-	.A(FE_OFN641_instr_wdata_11),
-	.Y(FE_OFN643_instr_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC641_instr_wdata_11 (
-	.A(instr_wdata[11]),
-	.Y(FE_OFN641_instr_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC640_instr_wdata_12 (
-	.A(FE_PDN3765_FE_OFN638_instr_wdata_12),
-	.X(FE_OFN640_instr_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC639_instr_wdata_12 (
-	.A(FE_OFN635_instr_wdata_12),
-	.Y(FE_OFN639_instr_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC638_instr_wdata_12 (
-	.A(FE_OFN635_instr_wdata_12),
-	.Y(FE_OFN638_instr_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC637_instr_wdata_12 (
-	.A(FE_OFN635_instr_wdata_12),
-	.Y(FE_OFN637_instr_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC635_instr_wdata_12 (
-	.A(FE_OFN16105_instr_wdata_12),
-	.Y(FE_OFN635_instr_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC634_instr_wdata_13 (
-	.A(FE_PDN3693_FE_OFN633_instr_wdata_13),
-	.Y(FE_OFN634_instr_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC633_instr_wdata_13 (
-	.A(FE_OFN632_instr_wdata_13),
-	.Y(FE_OFN633_instr_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC632_instr_wdata_13 (
-	.A(FE_PDN17139_FE_OFN629_instr_wdata_13),
-	.Y(FE_OFN632_instr_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC631_instr_wdata_13 (
-	.A(FE_OFN629_instr_wdata_13),
-	.Y(FE_OFN631_instr_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC629_instr_wdata_13 (
-	.A(instr_wdata[13]),
-	.Y(FE_OFN629_instr_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC628_instr_wdata_14 (
-	.A(FE_OFN627_instr_wdata_14),
-	.Y(FE_OFN628_instr_wdata_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC627_instr_wdata_14 (
-	.A(FE_OFN626_instr_wdata_14),
-	.Y(FE_OFN627_instr_wdata_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC626_instr_wdata_14 (
-	.A(FE_PDN3685_FE_OFN623_instr_wdata_14),
-	.Y(FE_OFN626_instr_wdata_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC625_instr_wdata_14 (
-	.A(FE_OFN623_instr_wdata_14),
-	.Y(FE_OFN625_instr_wdata_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC623_instr_wdata_14 (
-	.A(instr_wdata[14]),
-	.Y(FE_OFN623_instr_wdata_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC622_instr_wdata_15 (
-	.A(FE_OFN620_instr_wdata_15),
-	.X(FE_OFN622_instr_wdata_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC621_instr_wdata_15 (
-	.A(FE_PDN3767_FE_OFN617_instr_wdata_15),
-	.Y(FE_OFN621_instr_wdata_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC620_instr_wdata_15 (
-	.A(FE_PDN3767_FE_OFN617_instr_wdata_15),
-	.Y(FE_OFN620_instr_wdata_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC619_instr_wdata_15 (
-	.A(FE_OFN616_instr_wdata_15),
-	.Y(FE_OFN619_instr_wdata_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC618_instr_wdata_15 (
-	.A(instr_wdata[15]),
-	.X(FE_OFN618_instr_wdata_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC617_instr_wdata_15 (
-	.A(instr_wdata[15]),
-	.Y(FE_OFN617_instr_wdata_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC616_instr_wdata_15 (
-	.A(instr_wdata[15]),
-	.Y(FE_OFN616_instr_wdata_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC615_instr_wdata_16 (
-	.A(FE_OFN613_instr_wdata_16),
-	.X(FE_OFN615_instr_wdata_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC614_instr_wdata_16 (
-	.A(FE_OFN609_instr_wdata_16),
-	.Y(FE_OFN614_instr_wdata_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC613_instr_wdata_16 (
-	.A(FE_PDN3780_FE_OFN609_instr_wdata_16),
-	.Y(FE_OFN613_instr_wdata_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC610_instr_wdata_16 (
-	.A(instr_wdata[16]),
-	.X(FE_OFN610_instr_wdata_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC609_instr_wdata_16 (
-	.A(instr_wdata[16]),
-	.Y(FE_OFN609_instr_wdata_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC608_instr_wdata_17 (
-	.A(FE_OFN606_instr_wdata_17),
-	.X(FE_OFN608_instr_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC607_instr_wdata_17 (
-	.A(FE_PDN3713_FE_OFN603_instr_wdata_17),
-	.X(FE_OFN607_instr_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC606_instr_wdata_17 (
-	.A(FE_PDN3925_FE_OFN602_instr_wdata_17),
-	.Y(FE_OFN606_instr_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC605_instr_wdata_17 (
-	.A(FE_PDN3925_FE_OFN602_instr_wdata_17),
-	.Y(FE_OFN605_instr_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC604_instr_wdata_17 (
-	.A(FE_OFN602_instr_wdata_17),
-	.Y(FE_OFN604_instr_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC603_instr_wdata_17 (
-	.A(FE_OFN602_instr_wdata_17),
-	.Y(FE_OFN603_instr_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC602_instr_wdata_17 (
-	.A(instr_wdata[17]),
-	.Y(FE_OFN602_instr_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC601_instr_wdata_18 (
-	.A(FE_OFN600_instr_wdata_18),
-	.Y(FE_OFN601_instr_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC600_instr_wdata_18 (
-	.A(FE_OFN16595_FE_OFN599_instr_wdata_18),
-	.Y(FE_OFN600_instr_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC599_instr_wdata_18 (
-	.A(FE_OFN595_instr_wdata_18),
-	.Y(FE_OFN599_instr_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC598_instr_wdata_18 (
-	.A(FE_OFN595_instr_wdata_18),
-	.Y(FE_OFN598_instr_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC597_instr_wdata_18 (
-	.A(instr_wdata[18]),
-	.X(FE_OFN597_instr_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC595_instr_wdata_18 (
-	.A(instr_wdata[18]),
-	.Y(FE_OFN595_instr_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC594_instr_wdata_19 (
-	.A(FE_PDN3783_FE_OFN592_instr_wdata_19),
-	.X(FE_OFN594_instr_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC593_instr_wdata_19 (
-	.A(FE_OFN588_instr_wdata_19),
-	.Y(FE_OFN593_instr_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC592_instr_wdata_19 (
-	.A(FE_OFN588_instr_wdata_19),
-	.Y(FE_OFN592_instr_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC591_instr_wdata_19 (
-	.A(FE_OFN588_instr_wdata_19),
-	.Y(FE_OFN591_instr_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC590_instr_wdata_19 (
-	.A(instr_wdata[19]),
-	.X(FE_OFN590_instr_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC588_instr_wdata_19 (
-	.A(instr_wdata[19]),
-	.Y(FE_OFN588_instr_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC587_instr_wdata_20 (
-	.A(FE_PDN3762_FE_OFN586_instr_wdata_20),
-	.Y(FE_OFN587_instr_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC586_instr_wdata_20 (
-	.A(FE_OFN16423_FE_OFN585_instr_wdata_20),
-	.Y(FE_OFN586_instr_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC585_instr_wdata_20 (
-	.A(FE_PDN3930_FE_OFN581_instr_wdata_20),
-	.Y(FE_OFN585_instr_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC584_instr_wdata_20 (
-	.A(FE_OFN581_instr_wdata_20),
-	.Y(FE_OFN584_instr_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC583_instr_wdata_20 (
-	.A(instr_wdata[20]),
-	.X(FE_OFN583_instr_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC581_instr_wdata_20 (
-	.A(instr_wdata[20]),
-	.Y(FE_OFN581_instr_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC580_instr_wdata_21 (
-	.A(FE_OFN579_instr_wdata_21),
-	.X(FE_OFN580_instr_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC579_instr_wdata_21 (
-	.A(FE_PDN17115_FE_OFN574_instr_wdata_21),
-	.Y(FE_OFN579_instr_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC577_instr_wdata_21 (
-	.A(FE_PDN17114_FE_OFN574_instr_wdata_21),
-	.Y(FE_OFN577_instr_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC576_instr_wdata_21 (
-	.A(instr_wdata[21]),
-	.X(FE_OFN576_instr_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC574_instr_wdata_21 (
-	.A(instr_wdata[21]),
-	.Y(FE_OFN574_instr_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC573_instr_wdata_22 (
-	.A(FE_OFN569_instr_wdata_22),
-	.Y(FE_OFN573_instr_wdata_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC572_instr_wdata_22 (
-	.A(FE_OFN569_instr_wdata_22),
-	.Y(FE_OFN572_instr_wdata_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC571_instr_wdata_22 (
-	.A(FE_OFN568_instr_wdata_22),
-	.Y(FE_OFN571_instr_wdata_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC570_instr_wdata_22 (
-	.A(FE_OFN568_instr_wdata_22),
-	.Y(FE_OFN570_instr_wdata_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC569_instr_wdata_22 (
-	.A(instr_wdata[22]),
-	.Y(FE_OFN569_instr_wdata_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC568_instr_wdata_22 (
-	.A(instr_wdata[22]),
-	.Y(FE_OFN568_instr_wdata_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC567_instr_wdata_23 (
-	.A(FE_OFN563_instr_wdata_23),
-	.Y(FE_OFN567_instr_wdata_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC566_instr_wdata_23 (
-	.A(FE_OFN563_instr_wdata_23),
-	.Y(FE_OFN566_instr_wdata_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC565_instr_wdata_23 (
-	.A(FE_PDN3760_FE_OFN563_instr_wdata_23),
-	.Y(FE_OFN565_instr_wdata_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC564_instr_wdata_23 (
-	.A(instr_wdata[23]),
-	.X(FE_OFN564_instr_wdata_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC563_instr_wdata_23 (
-	.A(instr_wdata[23]),
-	.Y(FE_OFN563_instr_wdata_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC561_instr_wdata_24 (
-	.A(FE_PDN3814_FE_OFN560_instr_wdata_24),
-	.Y(FE_OFN561_instr_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC560_instr_wdata_24 (
-	.A(FE_OFN559_instr_wdata_24),
-	.Y(FE_OFN560_instr_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC559_instr_wdata_24 (
-	.A(FE_PDN3782_FE_OFN558_instr_wdata_24),
-	.Y(FE_OFN559_instr_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC558_instr_wdata_24 (
-	.A(instr_wdata[24]),
-	.Y(FE_OFN558_instr_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC555_instr_wdata_25 (
-	.A(FE_PDN3678_FE_OFN554_instr_wdata_25),
-	.Y(FE_OFN555_instr_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC554_instr_wdata_25 (
-	.A(FE_OFN551_instr_wdata_25),
-	.Y(FE_OFN554_instr_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC553_instr_wdata_25 (
-	.A(FE_OFN550_instr_wdata_25),
-	.Y(FE_OFN553_instr_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC552_instr_wdata_25 (
-	.A(FE_OFN550_instr_wdata_25),
-	.Y(FE_OFN552_instr_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC551_instr_wdata_25 (
-	.A(instr_wdata[25]),
-	.X(FE_OFN551_instr_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC550_instr_wdata_25 (
-	.A(instr_wdata[25]),
-	.Y(FE_OFN550_instr_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC549_instr_wdata_26 (
-	.A(FE_PDN3763_FE_OFN548_instr_wdata_26),
-	.Y(FE_OFN549_instr_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC548_instr_wdata_26 (
-	.A(FE_OFN545_instr_wdata_26),
-	.Y(FE_OFN548_instr_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC547_instr_wdata_26 (
-	.A(FE_OFN544_instr_wdata_26),
-	.Y(FE_OFN547_instr_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC546_instr_wdata_26 (
-	.A(FE_OFN544_instr_wdata_26),
-	.Y(FE_OFN546_instr_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC545_instr_wdata_26 (
-	.A(FE_OFN16104_instr_wdata_26),
-	.X(FE_OFN545_instr_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC544_instr_wdata_26 (
-	.A(FE_OFN16104_instr_wdata_26),
-	.Y(FE_OFN544_instr_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC543_instr_wdata_27 (
-	.A(FE_OFN538_instr_wdata_27),
-	.Y(FE_OFN543_instr_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC542_instr_wdata_27 (
-	.A(FE_OFN538_instr_wdata_27),
-	.Y(FE_OFN542_instr_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC541_instr_wdata_27 (
-	.A(FE_OFN538_instr_wdata_27),
-	.Y(FE_OFN541_instr_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC540_instr_wdata_27 (
-	.A(FE_OFN538_instr_wdata_27),
-	.Y(FE_OFN540_instr_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC538_instr_wdata_27 (
-	.A(instr_wdata[27]),
-	.Y(FE_OFN538_instr_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC537_instr_wdata_28 (
-	.A(FE_OFN532_instr_wdata_28),
-	.Y(FE_OFN537_instr_wdata_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC536_instr_wdata_28 (
-	.A(FE_OFN532_instr_wdata_28),
-	.Y(FE_OFN536_instr_wdata_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC535_instr_wdata_28 (
-	.A(FE_OFN532_instr_wdata_28),
-	.Y(FE_OFN535_instr_wdata_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC534_instr_wdata_28 (
-	.A(FE_OFN532_instr_wdata_28),
-	.Y(FE_OFN534_instr_wdata_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC532_instr_wdata_28 (
-	.A(instr_wdata[28]),
-	.Y(FE_OFN532_instr_wdata_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC531_instr_wdata_29 (
-	.A(FE_OFN526_instr_wdata_29),
-	.Y(FE_OFN531_instr_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC530_instr_wdata_29 (
-	.A(FE_OFN526_instr_wdata_29),
-	.Y(FE_OFN530_instr_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC529_instr_wdata_29 (
-	.A(FE_PDN3647_FE_OFN526_instr_wdata_29),
-	.Y(FE_OFN529_instr_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC528_instr_wdata_29 (
-	.A(FE_PDN3647_FE_OFN526_instr_wdata_29),
-	.Y(FE_OFN528_instr_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC526_instr_wdata_29 (
-	.A(instr_wdata[29]),
-	.Y(FE_OFN526_instr_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC525_instr_wdata_30 (
-	.A(FE_OFN521_instr_wdata_30),
-	.Y(FE_OFN525_instr_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC524_instr_wdata_30 (
-	.A(FE_OFN521_instr_wdata_30),
-	.Y(FE_OFN524_instr_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC523_instr_wdata_30 (
-	.A(FE_OFN520_instr_wdata_30),
-	.Y(FE_OFN523_instr_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC522_instr_wdata_30 (
-	.A(FE_OFN520_instr_wdata_30),
-	.Y(FE_OFN522_instr_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC521_instr_wdata_30 (
-	.A(instr_wdata[30]),
-	.Y(FE_OFN521_instr_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC520_instr_wdata_30 (
-	.A(instr_wdata[30]),
-	.Y(FE_OFN520_instr_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC519_instr_wdata_31 (
-	.A(FE_OFN518_instr_wdata_31),
-	.Y(FE_OFN519_instr_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC518_instr_wdata_31 (
-	.A(FE_OFN516_instr_wdata_31),
-	.Y(FE_OFN518_instr_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC516_instr_wdata_31 (
-	.A(FE_OFN514_instr_wdata_31),
-	.Y(FE_OFN516_instr_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC515_instr_wdata_31 (
-	.A(FE_OFN514_instr_wdata_31),
-	.Y(FE_OFN515_instr_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC514_instr_wdata_31 (
-	.A(instr_wdata[31]),
-	.Y(FE_OFN514_instr_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC513_u_dccm_rdata3_0 (
-	.A(u_dccm_rdata3[0]),
-	.X(FE_OFN513_u_dccm_rdata3_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC512_u_dccm_rdata3_1 (
-	.A(u_dccm_rdata3[1]),
-	.X(FE_OFN512_u_dccm_rdata3_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC511_u_dccm_rdata3_2 (
-	.A(u_dccm_rdata3[2]),
-	.X(FE_OFN511_u_dccm_rdata3_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC510_u_dccm_rdata3_3 (
-	.A(u_dccm_rdata3[3]),
-	.X(FE_OFN510_u_dccm_rdata3_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC509_u_dccm_rdata3_4 (
-	.A(u_dccm_rdata3[4]),
-	.X(FE_OFN509_u_dccm_rdata3_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC508_u_dccm_rdata3_5 (
-	.A(u_dccm_rdata3[5]),
-	.X(FE_OFN508_u_dccm_rdata3_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC507_u_dccm_rdata3_6 (
-	.A(u_dccm_rdata3[6]),
-	.X(FE_OFN507_u_dccm_rdata3_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC506_u_dccm_rdata3_7 (
-	.A(u_dccm_rdata3[7]),
-	.X(FE_OFN506_u_dccm_rdata3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC505_u_dccm_rdata3_8 (
-	.A(u_dccm_rdata3[8]),
-	.X(FE_OFN505_u_dccm_rdata3_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC504_u_dccm_rdata3_9 (
-	.A(u_dccm_rdata3[9]),
-	.X(FE_OFN504_u_dccm_rdata3_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC503_u_dccm_rdata3_10 (
-	.A(u_dccm_rdata3[10]),
-	.X(FE_OFN503_u_dccm_rdata3_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC502_u_dccm_rdata3_11 (
-	.A(u_dccm_rdata3[11]),
-	.X(FE_OFN502_u_dccm_rdata3_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC501_u_dccm_rdata3_12 (
-	.A(u_dccm_rdata3[12]),
-	.X(FE_OFN501_u_dccm_rdata3_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC500_u_dccm_rdata3_13 (
-	.A(u_dccm_rdata3[13]),
-	.X(FE_OFN500_u_dccm_rdata3_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC499_u_dccm_rdata3_14 (
-	.A(u_dccm_rdata3[14]),
-	.X(FE_OFN499_u_dccm_rdata3_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC498_u_dccm_rdata3_15 (
-	.A(u_dccm_rdata3[15]),
-	.X(FE_OFN498_u_dccm_rdata3_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC497_u_dccm_rdata3_16 (
-	.A(u_dccm_rdata3[16]),
-	.X(FE_OFN497_u_dccm_rdata3_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC496_u_dccm_rdata3_17 (
-	.A(u_dccm_rdata3[17]),
-	.X(FE_OFN496_u_dccm_rdata3_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC495_u_dccm_rdata3_18 (
-	.A(u_dccm_rdata3[18]),
-	.X(FE_OFN495_u_dccm_rdata3_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC494_u_dccm_rdata3_19 (
-	.A(u_dccm_rdata3[19]),
-	.X(FE_OFN494_u_dccm_rdata3_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC493_u_dccm_rdata3_20 (
-	.A(u_dccm_rdata3[20]),
-	.X(FE_OFN493_u_dccm_rdata3_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC492_u_dccm_rdata3_21 (
-	.A(u_dccm_rdata3[21]),
-	.X(FE_OFN492_u_dccm_rdata3_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC491_u_dccm_rdata3_22 (
-	.A(u_dccm_rdata3[22]),
-	.X(FE_OFN491_u_dccm_rdata3_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC490_u_dccm_rdata3_23 (
-	.A(u_dccm_rdata3[23]),
-	.X(FE_OFN490_u_dccm_rdata3_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC489_u_dccm_rdata3_24 (
-	.A(u_dccm_rdata3[24]),
-	.X(FE_OFN489_u_dccm_rdata3_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC488_u_dccm_rdata3_25 (
-	.A(u_dccm_rdata3[25]),
-	.X(FE_OFN488_u_dccm_rdata3_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC487_u_dccm_rdata3_26 (
-	.A(u_dccm_rdata3[26]),
-	.X(FE_OFN487_u_dccm_rdata3_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC486_u_dccm_rdata3_27 (
-	.A(u_dccm_rdata3[27]),
-	.X(FE_OFN486_u_dccm_rdata3_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC485_u_dccm_rdata3_28 (
-	.A(u_dccm_rdata3[28]),
-	.X(FE_OFN485_u_dccm_rdata3_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC484_u_dccm_rdata3_29 (
-	.A(u_dccm_rdata3[29]),
-	.X(FE_OFN484_u_dccm_rdata3_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC483_u_dccm_rdata3_30 (
-	.A(u_dccm_rdata3[30]),
-	.X(FE_OFN483_u_dccm_rdata3_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC482_u_dccm_rdata3_31 (
-	.A(u_dccm_rdata3[31]),
-	.X(FE_OFN482_u_dccm_rdata3_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC481_u_dccm_rdata2_0 (
-	.A(u_dccm_rdata2[0]),
-	.X(FE_OFN481_u_dccm_rdata2_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC480_u_dccm_rdata2_1 (
-	.A(u_dccm_rdata2[1]),
-	.X(FE_OFN480_u_dccm_rdata2_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC479_u_dccm_rdata2_2 (
-	.A(u_dccm_rdata2[2]),
-	.X(FE_OFN479_u_dccm_rdata2_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC478_u_dccm_rdata2_3 (
-	.A(u_dccm_rdata2[3]),
-	.X(FE_OFN478_u_dccm_rdata2_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC477_u_dccm_rdata2_4 (
-	.A(u_dccm_rdata2[4]),
-	.X(FE_OFN477_u_dccm_rdata2_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC476_u_dccm_rdata2_5 (
-	.A(u_dccm_rdata2[5]),
-	.X(FE_OFN476_u_dccm_rdata2_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC475_u_dccm_rdata2_6 (
-	.A(u_dccm_rdata2[6]),
-	.X(FE_OFN475_u_dccm_rdata2_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC474_u_dccm_rdata2_7 (
-	.A(u_dccm_rdata2[7]),
-	.X(FE_OFN474_u_dccm_rdata2_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC473_u_dccm_rdata2_8 (
-	.A(u_dccm_rdata2[8]),
-	.X(FE_OFN473_u_dccm_rdata2_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC472_u_dccm_rdata2_9 (
-	.A(u_dccm_rdata2[9]),
-	.X(FE_OFN472_u_dccm_rdata2_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC471_u_dccm_rdata2_10 (
-	.A(u_dccm_rdata2[10]),
-	.X(FE_OFN471_u_dccm_rdata2_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC470_u_dccm_rdata2_11 (
-	.A(u_dccm_rdata2[11]),
-	.X(FE_OFN470_u_dccm_rdata2_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC469_u_dccm_rdata2_12 (
-	.A(u_dccm_rdata2[12]),
-	.X(FE_OFN469_u_dccm_rdata2_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC468_u_dccm_rdata2_13 (
-	.A(u_dccm_rdata2[13]),
-	.X(FE_OFN468_u_dccm_rdata2_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC467_u_dccm_rdata2_14 (
-	.A(u_dccm_rdata2[14]),
-	.X(FE_OFN467_u_dccm_rdata2_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC466_u_dccm_rdata2_15 (
-	.A(u_dccm_rdata2[15]),
-	.X(FE_OFN466_u_dccm_rdata2_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC465_u_dccm_rdata2_16 (
-	.A(u_dccm_rdata2[16]),
-	.X(FE_OFN465_u_dccm_rdata2_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC464_u_dccm_rdata2_17 (
-	.A(u_dccm_rdata2[17]),
-	.X(FE_OFN464_u_dccm_rdata2_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC463_u_dccm_rdata2_18 (
-	.A(u_dccm_rdata2[18]),
-	.X(FE_OFN463_u_dccm_rdata2_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC462_u_dccm_rdata2_19 (
-	.A(u_dccm_rdata2[19]),
-	.X(FE_OFN462_u_dccm_rdata2_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC461_u_dccm_rdata2_20 (
-	.A(u_dccm_rdata2[20]),
-	.X(FE_OFN461_u_dccm_rdata2_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC460_u_dccm_rdata2_21 (
-	.A(u_dccm_rdata2[21]),
-	.X(FE_OFN460_u_dccm_rdata2_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC459_u_dccm_rdata2_22 (
-	.A(u_dccm_rdata2[22]),
-	.X(FE_OFN459_u_dccm_rdata2_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC458_u_dccm_rdata2_23 (
-	.A(u_dccm_rdata2[23]),
-	.X(FE_OFN458_u_dccm_rdata2_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC457_u_dccm_rdata2_24 (
-	.A(u_dccm_rdata2[24]),
-	.X(FE_OFN457_u_dccm_rdata2_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC456_u_dccm_rdata2_25 (
-	.A(u_dccm_rdata2[25]),
-	.X(FE_OFN456_u_dccm_rdata2_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC455_u_dccm_rdata2_26 (
-	.A(u_dccm_rdata2[26]),
-	.X(FE_OFN455_u_dccm_rdata2_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC454_u_dccm_rdata2_27 (
-	.A(u_dccm_rdata2[27]),
-	.X(FE_OFN454_u_dccm_rdata2_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC453_u_dccm_rdata2_28 (
-	.A(u_dccm_rdata2[28]),
-	.X(FE_OFN453_u_dccm_rdata2_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC452_u_dccm_rdata2_29 (
-	.A(u_dccm_rdata2[29]),
-	.X(FE_OFN452_u_dccm_rdata2_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC451_u_dccm_rdata2_30 (
-	.A(u_dccm_rdata2[30]),
-	.X(FE_OFN451_u_dccm_rdata2_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC450_u_dccm_rdata2_31 (
-	.A(u_dccm_rdata2[31]),
-	.X(FE_OFN450_u_dccm_rdata2_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC449_u_dccm_rdata1_0 (
-	.A(u_dccm_rdata1[0]),
-	.X(FE_OFN449_u_dccm_rdata1_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC448_u_dccm_rdata1_1 (
-	.A(u_dccm_rdata1[1]),
-	.X(FE_OFN448_u_dccm_rdata1_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC447_u_dccm_rdata1_2 (
-	.A(u_dccm_rdata1[2]),
-	.X(FE_OFN447_u_dccm_rdata1_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC446_u_dccm_rdata1_3 (
-	.A(u_dccm_rdata1[3]),
-	.X(FE_OFN446_u_dccm_rdata1_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC445_u_dccm_rdata1_4 (
-	.A(u_dccm_rdata1[4]),
-	.X(FE_OFN445_u_dccm_rdata1_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC444_u_dccm_rdata1_5 (
-	.A(u_dccm_rdata1[5]),
-	.X(FE_OFN444_u_dccm_rdata1_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC443_u_dccm_rdata1_6 (
-	.A(u_dccm_rdata1[6]),
-	.X(FE_OFN443_u_dccm_rdata1_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC442_u_dccm_rdata1_7 (
-	.A(u_dccm_rdata1[7]),
-	.X(FE_OFN442_u_dccm_rdata1_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC441_u_dccm_rdata1_8 (
-	.A(u_dccm_rdata1[8]),
-	.X(FE_OFN441_u_dccm_rdata1_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC440_u_dccm_rdata1_9 (
-	.A(u_dccm_rdata1[9]),
-	.X(FE_OFN440_u_dccm_rdata1_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC439_u_dccm_rdata1_10 (
-	.A(u_dccm_rdata1[10]),
-	.X(FE_OFN439_u_dccm_rdata1_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC438_u_dccm_rdata1_11 (
-	.A(u_dccm_rdata1[11]),
-	.X(FE_OFN438_u_dccm_rdata1_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC437_u_dccm_rdata1_12 (
-	.A(u_dccm_rdata1[12]),
-	.X(FE_OFN437_u_dccm_rdata1_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC436_u_dccm_rdata1_13 (
-	.A(u_dccm_rdata1[13]),
-	.X(FE_OFN436_u_dccm_rdata1_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC435_u_dccm_rdata1_14 (
-	.A(u_dccm_rdata1[14]),
-	.X(FE_OFN435_u_dccm_rdata1_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC434_u_dccm_rdata1_15 (
-	.A(u_dccm_rdata1[15]),
-	.X(FE_OFN434_u_dccm_rdata1_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC433_u_dccm_rdata1_16 (
-	.A(u_dccm_rdata1[16]),
-	.X(FE_OFN433_u_dccm_rdata1_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC432_u_dccm_rdata1_17 (
-	.A(u_dccm_rdata1[17]),
-	.X(FE_OFN432_u_dccm_rdata1_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC431_u_dccm_rdata1_18 (
-	.A(u_dccm_rdata1[18]),
-	.X(FE_OFN431_u_dccm_rdata1_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC430_u_dccm_rdata1_19 (
-	.A(u_dccm_rdata1[19]),
-	.X(FE_OFN430_u_dccm_rdata1_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC429_u_dccm_rdata1_20 (
-	.A(u_dccm_rdata1[20]),
-	.X(FE_OFN429_u_dccm_rdata1_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC428_u_dccm_rdata1_21 (
-	.A(u_dccm_rdata1[21]),
-	.X(FE_OFN428_u_dccm_rdata1_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC427_u_dccm_rdata1_22 (
-	.A(u_dccm_rdata1[22]),
-	.X(FE_OFN427_u_dccm_rdata1_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC426_u_dccm_rdata1_23 (
-	.A(u_dccm_rdata1[23]),
-	.X(FE_OFN426_u_dccm_rdata1_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC425_data_wdata_0 (
-	.A(FE_OFN423_data_wdata_0),
-	.Y(FE_OFN425_data_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC424_data_wdata_0 (
-	.A(FE_OFN423_data_wdata_0),
-	.Y(FE_OFN424_data_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC423_data_wdata_0 (
-	.A(FE_PDN3748_FE_OFN422_data_wdata_0),
-	.Y(FE_OFN423_data_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC422_data_wdata_0 (
-	.A(FE_OFN419_data_wdata_0),
-	.Y(FE_OFN422_data_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC421_data_wdata_0 (
-	.A(FE_OFN419_data_wdata_0),
-	.Y(FE_OFN421_data_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC419_data_wdata_0 (
-	.A(FE_OFN17053_data_wdata_0),
-	.Y(FE_OFN419_data_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC418_data_wdata_1 (
-	.A(FE_PDN3808_FE_OFN416_data_wdata_1),
-	.Y(FE_OFN418_data_wdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC417_data_wdata_1 (
-	.A(FE_OFN416_data_wdata_1),
-	.Y(FE_OFN417_data_wdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC416_data_wdata_1 (
-	.A(FE_OFN414_data_wdata_1),
-	.Y(FE_OFN416_data_wdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC415_data_wdata_1 (
-	.A(FE_PDN3669_FE_OFN413_data_wdata_1),
-	.Y(FE_OFN415_data_wdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC414_data_wdata_1 (
-	.A(FE_PDN3669_FE_OFN413_data_wdata_1),
-	.Y(FE_OFN414_data_wdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC413_data_wdata_1 (
-	.A(data_wdata[1]),
-	.Y(FE_OFN413_data_wdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC411_data_wdata_2 (
-	.A(FE_PDN3812_FE_OFN410_data_wdata_2),
-	.Y(FE_OFN411_data_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC410_data_wdata_2 (
-	.A(FE_OFN409_data_wdata_2),
-	.Y(FE_OFN410_data_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC409_data_wdata_2 (
-	.A(FE_PDN3749_FE_OFN408_data_wdata_2),
-	.Y(FE_OFN409_data_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC408_data_wdata_2 (
-	.A(FE_OFN407_data_wdata_2),
-	.Y(FE_OFN408_data_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC407_data_wdata_2 (
-	.A(FE_OFN405_data_wdata_2),
-	.Y(FE_OFN407_data_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC406_data_wdata_2 (
-	.A(FE_OFN405_data_wdata_2),
-	.Y(FE_OFN406_data_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC405_data_wdata_2 (
-	.A(data_wdata[2]),
-	.Y(FE_OFN405_data_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC404_data_wdata_3 (
-	.A(FE_PDN3716_FE_OFN403_data_wdata_3),
-	.Y(FE_OFN404_data_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC403_data_wdata_3 (
-	.A(FE_OFN402_data_wdata_3),
-	.Y(FE_OFN403_data_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC402_data_wdata_3 (
-	.A(FE_OFN401_data_wdata_3),
-	.Y(FE_OFN402_data_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC401_data_wdata_3 (
-	.A(FE_OFN400_data_wdata_3),
-	.Y(FE_OFN401_data_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC400_data_wdata_3 (
-	.A(FE_PDN3689_FE_OFN398_data_wdata_3),
-	.Y(FE_OFN400_data_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC399_data_wdata_3 (
-	.A(data_wdata[3]),
-	.X(FE_OFN399_data_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC398_data_wdata_3 (
-	.A(data_wdata[3]),
-	.Y(FE_OFN398_data_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC397_data_wdata_4 (
-	.A(FE_PDN3700_FE_OFN396_data_wdata_4),
-	.Y(FE_OFN397_data_wdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC396_data_wdata_4 (
-	.A(FE_OFN395_data_wdata_4),
-	.Y(FE_OFN396_data_wdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC395_data_wdata_4 (
-	.A(FE_OFN394_data_wdata_4),
-	.Y(FE_OFN395_data_wdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC394_data_wdata_4 (
-	.A(FE_OFN393_data_wdata_4),
-	.Y(FE_OFN394_data_wdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC393_data_wdata_4 (
-	.A(FE_PDN3715_FE_OFN392_data_wdata_4),
-	.Y(FE_OFN393_data_wdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC392_data_wdata_4 (
-	.A(data_wdata[4]),
-	.Y(FE_OFN392_data_wdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC391_data_wdata_5 (
-	.A(FE_PDN3756_FE_OFN390_data_wdata_5),
-	.Y(FE_OFN391_data_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC390_data_wdata_5 (
-	.A(FE_OFN389_data_wdata_5),
-	.Y(FE_OFN390_data_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC389_data_wdata_5 (
-	.A(FE_OFN388_data_wdata_5),
-	.Y(FE_OFN389_data_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC388_data_wdata_5 (
-	.A(FE_OFN387_data_wdata_5),
-	.Y(FE_OFN388_data_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC387_data_wdata_5 (
-	.A(FE_OFN386_data_wdata_5),
-	.Y(FE_OFN387_data_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC386_data_wdata_5 (
-	.A(FE_OFN16906_data_wdata_5),
-	.Y(FE_OFN386_data_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC384_data_wdata_6 (
-	.A(FE_PDN3741_FE_OFN383_data_wdata_6),
-	.Y(FE_OFN384_data_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC383_data_wdata_6 (
-	.A(FE_OFN382_data_wdata_6),
-	.Y(FE_OFN383_data_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC382_data_wdata_6 (
-	.A(FE_OFN381_data_wdata_6),
-	.Y(FE_OFN382_data_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC381_data_wdata_6 (
-	.A(FE_OFN380_data_wdata_6),
-	.Y(FE_OFN381_data_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC380_data_wdata_6 (
-	.A(FE_OFN378_data_wdata_6),
-	.Y(FE_OFN380_data_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC378_data_wdata_6 (
-	.A(FE_OFN17028_data_wdata_6),
-	.Y(FE_OFN378_data_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC377_data_wdata_7 (
-	.A(FE_PDN3712_FE_OFN375_data_wdata_7),
-	.Y(FE_OFN377_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC376_data_wdata_7 (
-	.A(FE_OFN375_data_wdata_7),
-	.Y(FE_OFN376_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC375_data_wdata_7 (
-	.A(FE_OFN374_data_wdata_7),
-	.Y(FE_OFN375_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC374_data_wdata_7 (
-	.A(FE_OFN372_data_wdata_7),
-	.Y(FE_OFN374_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC373_data_wdata_7 (
-	.A(FE_OFN372_data_wdata_7),
-	.Y(FE_OFN373_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC372_data_wdata_7 (
-	.A(data_wdata[7]),
-	.Y(FE_OFN372_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC371_data_wdata_8 (
-	.A(FE_PDN3729_FE_OFN370_data_wdata_8),
-	.Y(FE_OFN371_data_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC370_data_wdata_8 (
-	.A(FE_OFN369_data_wdata_8),
-	.Y(FE_OFN370_data_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC369_data_wdata_8 (
-	.A(FE_OFN368_data_wdata_8),
-	.X(FE_OFN369_data_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC368_data_wdata_8 (
-	.A(FE_OFN366_data_wdata_8),
-	.Y(FE_OFN368_data_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC367_data_wdata_8 (
-	.A(FE_OFN366_data_wdata_8),
-	.Y(FE_OFN367_data_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC366_data_wdata_8 (
-	.A(data_wdata[8]),
-	.Y(FE_OFN366_data_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC365_data_wdata_9 (
-	.A(FE_PDN3699_FE_OFN364_data_wdata_9),
-	.Y(FE_OFN365_data_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC364_data_wdata_9 (
-	.A(FE_OFN363_data_wdata_9),
-	.Y(FE_OFN364_data_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC363_data_wdata_9 (
-	.A(FE_OFN362_data_wdata_9),
-	.X(FE_OFN363_data_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC362_data_wdata_9 (
-	.A(FE_OFN360_data_wdata_9),
-	.Y(FE_OFN362_data_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC361_data_wdata_9 (
-	.A(FE_OFN360_data_wdata_9),
-	.Y(FE_OFN361_data_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC360_data_wdata_9 (
-	.A(data_wdata[9]),
-	.Y(FE_OFN360_data_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC359_data_wdata_10 (
-	.A(FE_OFN358_data_wdata_10),
-	.Y(FE_OFN359_data_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC358_data_wdata_10 (
-	.A(FE_OFN357_data_wdata_10),
-	.Y(FE_OFN358_data_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC357_data_wdata_10 (
-	.A(FE_OFN355_data_wdata_10),
-	.X(FE_OFN357_data_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC356_data_wdata_10 (
-	.A(FE_OFN354_data_wdata_10),
-	.Y(FE_OFN356_data_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC355_data_wdata_10 (
-	.A(FE_OFN354_data_wdata_10),
-	.Y(FE_OFN355_data_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC354_data_wdata_10 (
-	.A(data_wdata[10]),
-	.Y(FE_OFN354_data_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC353_data_wdata_11 (
-	.A(FE_PDN17109_FE_OFN350_data_wdata_11),
-	.Y(FE_OFN353_data_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC352_data_wdata_11 (
-	.A(FE_PDN17110_FE_OFN350_data_wdata_11),
-	.Y(FE_OFN352_data_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC351_data_wdata_11 (
-	.A(FE_OFN349_data_wdata_11),
-	.Y(FE_OFN351_data_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC350_data_wdata_11 (
-	.A(FE_OFN349_data_wdata_11),
-	.X(FE_OFN350_data_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC349_data_wdata_11 (
-	.A(data_wdata[11]),
-	.Y(FE_OFN349_data_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC348_data_wdata_12 (
-	.A(FE_OFN347_data_wdata_12),
-	.Y(FE_OFN348_data_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC347_data_wdata_12 (
-	.A(FE_OFN346_data_wdata_12),
-	.Y(FE_OFN347_data_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC346_data_wdata_12 (
-	.A(FE_OFN344_data_wdata_12),
-	.Y(FE_OFN346_data_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC345_data_wdata_12 (
-	.A(FE_OFN344_data_wdata_12),
-	.Y(FE_OFN345_data_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC344_data_wdata_12 (
-	.A(FE_OFN343_data_wdata_12),
-	.Y(FE_OFN344_data_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC343_data_wdata_12 (
-	.A(data_wdata[12]),
-	.X(FE_OFN343_data_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC342_data_wdata_13 (
-	.A(FE_OFN340_data_wdata_13),
-	.Y(FE_OFN342_data_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC341_data_wdata_13 (
-	.A(FE_OFN340_data_wdata_13),
-	.Y(FE_OFN341_data_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC340_data_wdata_13 (
-	.A(FE_OFN339_data_wdata_13),
-	.Y(FE_OFN340_data_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC339_data_wdata_13 (
-	.A(FE_OFN337_data_wdata_13),
-	.Y(FE_OFN339_data_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC338_data_wdata_13 (
-	.A(FE_OFN337_data_wdata_13),
-	.Y(FE_OFN338_data_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC337_data_wdata_13 (
-	.A(data_wdata[13]),
-	.Y(FE_OFN337_data_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC336_data_wdata_14 (
-	.A(FE_OFN334_data_wdata_14),
-	.Y(FE_OFN336_data_wdata_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC335_data_wdata_14 (
-	.A(FE_OFN334_data_wdata_14),
-	.Y(FE_OFN335_data_wdata_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC334_data_wdata_14 (
-	.A(FE_OFN332_data_wdata_14),
-	.Y(FE_OFN334_data_wdata_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC333_data_wdata_14 (
-	.A(FE_OFN332_data_wdata_14),
-	.X(FE_OFN333_data_wdata_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC332_data_wdata_14 (
-	.A(FE_OFN331_data_wdata_14),
-	.Y(FE_OFN332_data_wdata_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC331_data_wdata_14 (
-	.A(data_wdata[14]),
-	.Y(FE_OFN331_data_wdata_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC330_data_wdata_15 (
-	.A(FE_OFN329_data_wdata_15),
-	.Y(FE_OFN330_data_wdata_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC329_data_wdata_15 (
-	.A(FE_OFN328_data_wdata_15),
-	.Y(FE_OFN329_data_wdata_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC328_data_wdata_15 (
-	.A(FE_OFN326_data_wdata_15),
-	.X(FE_OFN328_data_wdata_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC327_data_wdata_15 (
-	.A(FE_OFN325_data_wdata_15),
-	.Y(FE_OFN327_data_wdata_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC326_data_wdata_15 (
-	.A(FE_OFN325_data_wdata_15),
-	.Y(FE_OFN326_data_wdata_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC325_data_wdata_15 (
-	.A(data_wdata[15]),
-	.Y(FE_OFN325_data_wdata_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC324_data_wdata_16 (
-	.A(FE_PDN3710_FE_OFN323_data_wdata_16),
-	.Y(FE_OFN324_data_wdata_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC323_data_wdata_16 (
-	.A(FE_OFN322_data_wdata_16),
-	.Y(FE_OFN323_data_wdata_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC322_data_wdata_16 (
-	.A(FE_OFN320_data_wdata_16),
-	.X(FE_OFN322_data_wdata_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC321_data_wdata_16 (
-	.A(FE_OFN319_data_wdata_16),
-	.Y(FE_OFN321_data_wdata_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC320_data_wdata_16 (
-	.A(FE_OFN319_data_wdata_16),
-	.Y(FE_OFN320_data_wdata_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC319_data_wdata_16 (
-	.A(data_wdata[16]),
-	.Y(FE_OFN319_data_wdata_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC318_data_wdata_17 (
-	.A(FE_OFN317_data_wdata_17),
-	.Y(FE_OFN318_data_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC317_data_wdata_17 (
-	.A(FE_OFN316_data_wdata_17),
-	.Y(FE_OFN317_data_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC316_data_wdata_17 (
-	.A(FE_OFN315_data_wdata_17),
-	.Y(FE_OFN316_data_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC315_data_wdata_17 (
-	.A(FE_OFN314_data_wdata_17),
-	.Y(FE_OFN315_data_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC314_data_wdata_17 (
-	.A(FE_PDN3692_FE_OFN313_data_wdata_17),
-	.Y(FE_OFN314_data_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC313_data_wdata_17 (
-	.A(data_wdata[17]),
-	.Y(FE_OFN313_data_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC312_data_wdata_18 (
-	.A(FE_OFN311_data_wdata_18),
-	.Y(FE_OFN312_data_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC311_data_wdata_18 (
-	.A(FE_OFN310_data_wdata_18),
-	.Y(FE_OFN311_data_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC310_data_wdata_18 (
-	.A(FE_OFN309_data_wdata_18),
-	.Y(FE_OFN310_data_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC309_data_wdata_18 (
-	.A(FE_OFN308_data_wdata_18),
-	.Y(FE_OFN309_data_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC308_data_wdata_18 (
-	.A(FE_OFN307_data_wdata_18),
-	.Y(FE_OFN308_data_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC307_data_wdata_18 (
-	.A(data_wdata[18]),
-	.Y(FE_OFN307_data_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC306_data_wdata_19 (
-	.A(FE_PDN3772_FE_OFN305_data_wdata_19),
-	.Y(FE_OFN306_data_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC305_data_wdata_19 (
-	.A(FE_OFN304_data_wdata_19),
-	.Y(FE_OFN305_data_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC304_data_wdata_19 (
-	.A(FE_OFN302_data_wdata_19),
-	.X(FE_OFN304_data_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC303_data_wdata_19 (
-	.A(FE_OFN301_data_wdata_19),
-	.Y(FE_OFN303_data_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC302_data_wdata_19 (
-	.A(FE_OFN301_data_wdata_19),
-	.Y(FE_OFN302_data_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC301_data_wdata_19 (
-	.A(data_wdata[19]),
-	.Y(FE_OFN301_data_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC300_data_wdata_20 (
-	.A(FE_OFN299_data_wdata_20),
-	.Y(FE_OFN300_data_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC299_data_wdata_20 (
-	.A(FE_OFN298_data_wdata_20),
-	.Y(FE_OFN299_data_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC298_data_wdata_20 (
-	.A(FE_PDN3786_FE_OFN296_data_wdata_20),
-	.Y(FE_OFN298_data_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC297_data_wdata_20 (
-	.A(FE_OFN296_data_wdata_20),
-	.Y(FE_OFN297_data_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC296_data_wdata_20 (
-	.A(data_wdata[20]),
-	.Y(FE_OFN296_data_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC295_data_wdata_20 (
-	.A(data_wdata[20]),
-	.X(FE_OFN295_data_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC294_data_wdata_21 (
-	.A(FE_PDN3809_FE_OFN292_data_wdata_21),
-	.Y(FE_OFN294_data_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC293_data_wdata_21 (
-	.A(FE_PDN3675_FE_OFN291_data_wdata_21),
-	.X(FE_OFN293_data_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC292_data_wdata_21 (
-	.A(FE_PDN3675_FE_OFN291_data_wdata_21),
-	.Y(FE_OFN292_data_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC291_data_wdata_21 (
-	.A(FE_OFN289_data_wdata_21),
-	.Y(FE_OFN291_data_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC290_data_wdata_21 (
-	.A(FE_OFN289_data_wdata_21),
-	.Y(FE_OFN290_data_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC289_data_wdata_21 (
-	.A(data_wdata[21]),
-	.Y(FE_OFN289_data_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC288_data_wdata_22 (
-	.A(FE_PDN3754_FE_OFN286_data_wdata_22),
-	.Y(FE_OFN288_data_wdata_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC287_data_wdata_22 (
-	.A(FE_OFN286_data_wdata_22),
-	.Y(FE_OFN287_data_wdata_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC286_data_wdata_22 (
-	.A(FE_OFN284_data_wdata_22),
-	.Y(FE_OFN286_data_wdata_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC285_data_wdata_22 (
-	.A(FE_OFN283_data_wdata_22),
-	.Y(FE_OFN285_data_wdata_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC284_data_wdata_22 (
-	.A(FE_OFN283_data_wdata_22),
-	.Y(FE_OFN284_data_wdata_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC283_data_wdata_22 (
-	.A(data_wdata[22]),
-	.Y(FE_OFN283_data_wdata_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC282_data_wdata_23 (
-	.A(FE_OFN281_data_wdata_23),
-	.Y(FE_OFN282_data_wdata_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC281_data_wdata_23 (
-	.A(FE_OFN280_data_wdata_23),
-	.Y(FE_OFN281_data_wdata_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 FE_OFC280_data_wdata_23 (
-	.A(FE_PDN3761_FE_OFN278_data_wdata_23),
-	.Y(FE_OFN280_data_wdata_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC279_data_wdata_23 (
-	.A(FE_OFN278_data_wdata_23),
-	.Y(FE_OFN279_data_wdata_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC278_data_wdata_23 (
-	.A(FE_OFN277_data_wdata_23),
-	.Y(FE_OFN278_data_wdata_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC277_data_wdata_23 (
-	.A(data_wdata[23]),
-	.X(FE_OFN277_data_wdata_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC276_data_wdata_24 (
-	.A(FE_OFN275_data_wdata_24),
-	.Y(FE_OFN276_data_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC275_data_wdata_24 (
-	.A(FE_OFN274_data_wdata_24),
-	.Y(FE_OFN275_data_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC274_data_wdata_24 (
-	.A(FE_OFN272_data_wdata_24),
-	.Y(FE_OFN274_data_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC273_data_wdata_24 (
-	.A(FE_OFN272_data_wdata_24),
-	.Y(FE_OFN273_data_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC272_data_wdata_24 (
-	.A(data_wdata[24]),
-	.Y(FE_OFN272_data_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC271_data_wdata_24 (
-	.A(data_wdata[24]),
-	.X(FE_OFN271_data_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC270_data_wdata_25 (
-	.A(FE_PDN3758_FE_OFN269_data_wdata_25),
-	.Y(FE_OFN270_data_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC269_data_wdata_25 (
-	.A(FE_OFN17071_n),
-	.Y(FE_OFN269_data_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC268_data_wdata_25 (
-	.A(FE_OFN266_data_wdata_25),
-	.Y(FE_OFN268_data_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC267_data_wdata_25 (
-	.A(FE_OFN266_data_wdata_25),
-	.Y(FE_OFN267_data_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC266_data_wdata_25 (
-	.A(data_wdata[25]),
-	.Y(FE_OFN266_data_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC265_data_wdata_26 (
-	.A(FE_OFN263_data_wdata_26),
-	.Y(FE_OFN265_data_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC264_data_wdata_26 (
-	.A(FE_OFN263_data_wdata_26),
-	.Y(FE_OFN264_data_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC263_data_wdata_26 (
-	.A(FE_OFN261_data_wdata_26),
-	.Y(FE_OFN263_data_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC262_data_wdata_26 (
-	.A(FE_OFN260_data_wdata_26),
-	.Y(FE_OFN262_data_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC261_data_wdata_26 (
-	.A(FE_OFN260_data_wdata_26),
-	.Y(FE_OFN261_data_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC260_data_wdata_26 (
-	.A(data_wdata[26]),
-	.Y(FE_OFN260_data_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC259_data_wdata_27 (
-	.A(FE_OFN257_data_wdata_27),
-	.Y(FE_OFN259_data_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC258_data_wdata_27 (
-	.A(FE_OFN257_data_wdata_27),
-	.Y(FE_OFN258_data_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC257_data_wdata_27 (
-	.A(FE_OFN255_data_wdata_27),
-	.Y(FE_OFN257_data_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC256_data_wdata_27 (
-	.A(FE_OFN254_data_wdata_27),
-	.Y(FE_OFN256_data_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC255_data_wdata_27 (
-	.A(FE_OFN254_data_wdata_27),
-	.Y(FE_OFN255_data_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC254_data_wdata_27 (
-	.A(data_wdata[27]),
-	.Y(FE_OFN254_data_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC253_data_wdata_28 (
-	.A(FE_OFN251_data_wdata_28),
-	.Y(FE_OFN253_data_wdata_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC252_data_wdata_28 (
-	.A(FE_OFN251_data_wdata_28),
-	.Y(FE_OFN252_data_wdata_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC251_data_wdata_28 (
-	.A(FE_OFN250_data_wdata_28),
-	.Y(FE_OFN251_data_wdata_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC250_data_wdata_28 (
-	.A(FE_OFN248_data_wdata_28),
-	.Y(FE_OFN250_data_wdata_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC249_data_wdata_28 (
-	.A(FE_OFN248_data_wdata_28),
-	.Y(FE_OFN249_data_wdata_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC248_data_wdata_28 (
-	.A(FE_OFN17038_data_wdata_28),
-	.Y(FE_OFN248_data_wdata_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC247_data_wdata_29 (
-	.A(FE_PDN3774_FE_OFN246_data_wdata_29),
-	.Y(FE_OFN247_data_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC246_data_wdata_29 (
-	.A(FE_OFN16150_FE_OFN245_data_wdata_29),
-	.Y(FE_OFN246_data_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC245_data_wdata_29 (
-	.A(FE_OFN243_data_wdata_29),
-	.Y(FE_OFN245_data_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC243_data_wdata_29 (
-	.A(data_wdata[29]),
-	.Y(FE_OFN243_data_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC242_data_wdata_30 (
-	.A(FE_PDN3784_FE_OFN241_data_wdata_30),
-	.Y(FE_OFN242_data_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC241_data_wdata_30 (
-	.A(FE_OFN16943_n),
-	.Y(FE_OFN241_data_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC240_data_wdata_30 (
-	.A(FE_PDN3935_FE_OFN238_data_wdata_30),
-	.Y(FE_OFN240_data_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC239_data_wdata_30 (
-	.A(FE_PDN3935_FE_OFN238_data_wdata_30),
-	.Y(FE_OFN239_data_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC238_data_wdata_30 (
-	.A(FE_OFN17057_data_wdata_30),
-	.Y(FE_OFN238_data_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC236_data_wdata_31 (
-	.A(FE_PDN3752_FE_OFN233_data_wdata_31),
-	.Y(FE_OFN236_data_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC235_data_wdata_31 (
-	.A(FE_OFN233_data_wdata_31),
-	.Y(FE_OFN235_data_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC234_data_wdata_31 (
-	.A(FE_OFN233_data_wdata_31),
-	.Y(FE_OFN234_data_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC233_data_wdata_31 (
-	.A(FE_OFN232_data_wdata_31),
-	.Y(FE_OFN233_data_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC232_data_wdata_31 (
-	.A(data_wdata[31]),
-	.X(FE_OFN232_data_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 FE_OFC231_n_0 (
-	.A(FE_PDN3654_FE_OFN230_n_0),
-	.Y(FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC230_n_0 (
-	.A(rst_ni),
-	.Y(FE_OFN230_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC228_system_rst_ni (
-	.A(FE_OFN176_system_rst_ni),
-	.Y(FE_OFN228_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC227_system_rst_ni (
-	.A(FE_OFN176_system_rst_ni),
-	.Y(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC226_system_rst_ni (
-	.A(FE_OFN175_system_rst_ni),
-	.Y(FE_OFN226_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC225_system_rst_ni (
-	.A(FE_OFN175_system_rst_ni),
-	.Y(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC224_system_rst_ni (
-	.A(FE_OFN174_system_rst_ni),
-	.Y(FE_OFN224_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC223_system_rst_ni (
-	.A(FE_OFN174_system_rst_ni),
-	.Y(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC222_system_rst_ni (
-	.A(FE_OFN171_system_rst_ni),
-	.Y(FE_OFN222_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC221_system_rst_ni (
-	.A(FE_OFN171_system_rst_ni),
-	.Y(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC220_system_rst_ni (
-	.A(FE_OFN170_system_rst_ni),
-	.Y(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC219_system_rst_ni (
-	.A(FE_OFN170_system_rst_ni),
-	.Y(FE_OFN219_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC217_system_rst_ni (
-	.A(FE_OFN167_system_rst_ni),
-	.Y(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC216_system_rst_ni (
-	.A(FE_OFN167_system_rst_ni),
-	.Y(FE_OFN216_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC215_system_rst_ni (
-	.A(FE_OFN166_system_rst_ni),
-	.Y(FE_OFN215_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC214_system_rst_ni (
-	.A(FE_OFN166_system_rst_ni),
-	.Y(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC213_system_rst_ni (
-	.A(FE_OFN164_system_rst_ni),
-	.Y(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC212_system_rst_ni (
-	.A(FE_OFN164_system_rst_ni),
-	.Y(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC211_system_rst_ni (
-	.A(FE_OFN163_system_rst_ni),
-	.Y(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC210_system_rst_ni (
-	.A(FE_OFN156_system_rst_ni),
-	.Y(FE_OFN210_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC209_system_rst_ni (
-	.A(FE_OFN156_system_rst_ni),
-	.Y(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC208_system_rst_ni (
-	.A(FE_OFN155_system_rst_ni),
-	.Y(FE_OFN208_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC207_system_rst_ni (
-	.A(FE_OFN155_system_rst_ni),
-	.Y(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC206_system_rst_ni (
-	.A(FE_OFN154_system_rst_ni),
-	.Y(FE_OFN206_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC205_system_rst_ni (
-	.A(FE_OFN154_system_rst_ni),
-	.Y(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC204_system_rst_ni (
-	.A(FE_OFN151_system_rst_ni),
-	.Y(FE_OFN204_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC203_system_rst_ni (
-	.A(FE_OFN151_system_rst_ni),
-	.Y(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC202_system_rst_ni (
-	.A(FE_OFN150_system_rst_ni),
-	.Y(FE_OFN202_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC201_system_rst_ni (
-	.A(FE_OFN150_system_rst_ni),
-	.Y(FE_OFN201_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC200_system_rst_ni (
-	.A(FE_OFN149_system_rst_ni),
-	.Y(FE_OFN200_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC199_system_rst_ni (
-	.A(FE_OFN149_system_rst_ni),
-	.Y(FE_OFN199_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC198_system_rst_ni (
-	.A(FE_OFN148_system_rst_ni),
-	.Y(FE_OFN198_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC197_system_rst_ni (
-	.A(FE_OFN148_system_rst_ni),
-	.Y(FE_OFN197_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC196_system_rst_ni (
-	.A(FE_OFN146_system_rst_ni),
-	.Y(FE_OFN196_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC195_system_rst_ni (
-	.A(FE_OFN146_system_rst_ni),
-	.Y(FE_OFN195_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC194_system_rst_ni (
-	.A(FE_OFN145_system_rst_ni),
-	.Y(FE_OFN194_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC193_system_rst_ni (
-	.A(FE_OFN145_system_rst_ni),
-	.Y(FE_OFN193_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC192_system_rst_ni (
-	.A(FE_OFN144_system_rst_ni),
-	.Y(FE_OFN192_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC191_system_rst_ni (
-	.A(FE_OFN143_system_rst_ni),
-	.Y(FE_OFN191_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC190_system_rst_ni (
-	.A(FE_OFN143_system_rst_ni),
-	.Y(FE_OFN190_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC189_system_rst_ni (
-	.A(FE_OFN142_system_rst_ni),
-	.Y(FE_OFN189_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC188_system_rst_ni (
-	.A(FE_OFN142_system_rst_ni),
-	.Y(FE_OFN188_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC184_system_rst_ni (
-	.A(FE_OFN108_system_rst_ni),
-	.Y(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC183_system_rst_ni (
-	.A(FE_OFN93_system_rst_ni),
-	.X(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC181_system_rst_ni (
-	.A(FE_OFN92_system_rst_ni),
-	.X(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC180_system_rst_ni (
-	.A(FE_OFN91_system_rst_ni),
-	.X(FE_OFN180_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC179_system_rst_ni (
-	.A(FE_OFN90_system_rst_ni),
-	.X(FE_OFN179_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC178_system_rst_ni (
-	.A(FE_OFN90_system_rst_ni),
-	.X(FE_OFN178_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC177_system_rst_ni (
-	.A(FE_OFN80_system_rst_ni),
-	.X(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC176_system_rst_ni (
-	.A(FE_OFN79_system_rst_ni),
-	.Y(FE_OFN176_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC175_system_rst_ni (
-	.A(FE_OFN79_system_rst_ni),
-	.Y(FE_OFN175_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC174_system_rst_ni (
-	.A(FE_OFN79_system_rst_ni),
-	.Y(FE_OFN174_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC173_system_rst_ni (
-	.A(FE_OFN79_system_rst_ni),
-	.X(FE_OFN173_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC172_system_rst_ni (
-	.A(FE_OFN79_system_rst_ni),
-	.X(FE_OFN172_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC171_system_rst_ni (
-	.A(FE_OFN79_system_rst_ni),
-	.Y(FE_OFN171_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC170_system_rst_ni (
-	.A(FE_OFN79_system_rst_ni),
-	.Y(FE_OFN170_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC169_system_rst_ni (
-	.A(FE_OFN78_system_rst_ni),
-	.X(FE_OFN169_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC168_system_rst_ni (
-	.A(FE_OFN78_system_rst_ni),
-	.X(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC167_system_rst_ni (
-	.A(FE_OFN78_system_rst_ni),
-	.Y(FE_OFN167_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC166_system_rst_ni (
-	.A(FE_OFN78_system_rst_ni),
-	.Y(FE_OFN166_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC165_system_rst_ni (
-	.A(FE_OFN78_system_rst_ni),
-	.X(FE_OFN165_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC164_system_rst_ni (
-	.A(FE_OFN78_system_rst_ni),
-	.Y(FE_OFN164_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC163_system_rst_ni (
-	.A(FE_OFN78_system_rst_ni),
-	.Y(FE_OFN163_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC162_system_rst_ni (
-	.A(FE_OFN77_system_rst_ni),
-	.X(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC161_system_rst_ni (
-	.A(FE_OFN76_system_rst_ni),
-	.X(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC160_system_rst_ni (
-	.A(FE_OFN75_system_rst_ni),
-	.X(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC159_system_rst_ni (
-	.A(FE_OFN73_system_rst_ni),
-	.X(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC158_system_rst_ni (
-	.A(FE_OFN72_system_rst_ni),
-	.X(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC157_system_rst_ni (
-	.A(FE_OFN71_system_rst_ni),
-	.X(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC156_system_rst_ni (
-	.A(FE_OFN71_system_rst_ni),
-	.Y(FE_OFN156_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC155_system_rst_ni (
-	.A(FE_OFN71_system_rst_ni),
-	.Y(FE_OFN155_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC154_system_rst_ni (
-	.A(FE_OFN71_system_rst_ni),
-	.Y(FE_OFN154_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC153_system_rst_ni (
-	.A(FE_OFN71_system_rst_ni),
-	.X(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC152_system_rst_ni (
-	.A(FE_OFN71_system_rst_ni),
-	.X(FE_OFN152_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC151_system_rst_ni (
-	.A(FE_OFN71_system_rst_ni),
-	.Y(FE_OFN151_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC150_system_rst_ni (
-	.A(FE_OFN69_system_rst_ni),
-	.Y(FE_OFN150_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC149_system_rst_ni (
-	.A(FE_OFN69_system_rst_ni),
-	.Y(FE_OFN149_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC148_system_rst_ni (
-	.A(FE_OFN69_system_rst_ni),
-	.Y(FE_OFN148_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC147_system_rst_ni (
-	.A(FE_OFN69_system_rst_ni),
-	.X(FE_OFN147_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC146_system_rst_ni (
-	.A(FE_OFN69_system_rst_ni),
-	.Y(FE_OFN146_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC145_system_rst_ni (
-	.A(FE_OFN69_system_rst_ni),
-	.Y(FE_OFN145_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC144_system_rst_ni (
-	.A(FE_OFN69_system_rst_ni),
-	.Y(FE_OFN144_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC143_system_rst_ni (
-	.A(FE_OFN69_system_rst_ni),
-	.Y(FE_OFN143_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC142_system_rst_ni (
-	.A(FE_OFN69_system_rst_ni),
-	.Y(FE_OFN142_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC141_system_rst_ni (
-	.A(FE_OFN68_system_rst_ni),
-	.X(FE_OFN141_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC117_system_rst_ni (
-	.A(FE_OFN48_system_rst_ni),
-	.X(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC116_system_rst_ni (
-	.A(FE_OFN48_system_rst_ni),
-	.X(FE_OFN116_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC115_system_rst_ni (
-	.A(FE_OFN48_system_rst_ni),
-	.X(FE_OFN115_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC114_system_rst_ni (
-	.A(FE_OFN48_system_rst_ni),
-	.X(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC112_system_rst_ni (
-	.A(FE_OFN48_system_rst_ni),
-	.X(FE_OFN112_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC110_system_rst_ni (
-	.A(FE_OFN48_system_rst_ni),
-	.X(FE_OFN110_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC108_system_rst_ni (
-	.A(FE_OFN48_system_rst_ni),
-	.Y(FE_OFN108_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC105_system_rst_ni (
-	.A(FE_OFN45_system_rst_ni),
-	.X(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC103_system_rst_ni (
-	.A(FE_OFN43_system_rst_ni),
-	.X(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC101_system_rst_ni (
-	.A(FE_OFN41_system_rst_ni),
-	.X(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC100_system_rst_ni (
-	.A(FE_OFN40_system_rst_ni),
-	.X(FE_OFN100_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC93_system_rst_ni (
-	.A(FE_OFN28_system_rst_ni),
-	.X(FE_OFN93_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC92_system_rst_ni (
-	.A(FE_OFN28_system_rst_ni),
-	.X(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC91_system_rst_ni (
-	.A(FE_OFN2_system_rst_ni),
-	.Y(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC90_system_rst_ni (
-	.A(FE_OFN2_system_rst_ni),
-	.Y(FE_OFN90_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC89_system_rst_ni (
-	.A(FE_OFN2_system_rst_ni),
-	.Y(FE_OFN89_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC88_system_rst_ni (
-	.A(FE_OFN2_system_rst_ni),
-	.Y(FE_OFN88_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC87_system_rst_ni (
-	.A(FE_OFN2_system_rst_ni),
-	.Y(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC86_system_rst_ni (
-	.A(FE_OFN26_system_rst_ni),
-	.X(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC85_system_rst_ni (
-	.A(FE_OFN25_system_rst_ni),
-	.X(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC84_system_rst_ni (
-	.A(FE_OFN24_system_rst_ni),
-	.X(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC83_system_rst_ni (
-	.A(FE_OFN22_system_rst_ni),
-	.X(FE_OFN83_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC82_system_rst_ni (
-	.A(FE_OFN22_system_rst_ni),
-	.X(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC81_system_rst_ni (
-	.A(FE_OFN22_system_rst_ni),
-	.X(FE_OFN81_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC80_system_rst_ni (
-	.A(FE_OFN19_system_rst_ni),
-	.Y(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC79_system_rst_ni (
-	.A(FE_OFN19_system_rst_ni),
-	.Y(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC78_system_rst_ni (
-	.A(FE_OFN19_system_rst_ni),
-	.Y(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC77_system_rst_ni (
-	.A(FE_OFN19_system_rst_ni),
-	.Y(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC76_system_rst_ni (
-	.A(FE_OFN19_system_rst_ni),
-	.Y(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC75_system_rst_ni (
-	.A(FE_OFN19_system_rst_ni),
-	.Y(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC74_system_rst_ni (
-	.A(FE_OFN19_system_rst_ni),
-	.Y(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC73_system_rst_ni (
-	.A(FE_OFN19_system_rst_ni),
-	.Y(FE_OFN73_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC72_system_rst_ni (
-	.A(FE_OFN19_system_rst_ni),
-	.Y(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC71_system_rst_ni (
-	.A(FE_OFN19_system_rst_ni),
-	.Y(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC70_system_rst_ni (
-	.A(FE_OFN19_system_rst_ni),
-	.Y(FE_OFN70_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC69_system_rst_ni (
-	.A(FE_OFN19_system_rst_ni),
-	.Y(FE_OFN69_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC68_system_rst_ni (
-	.A(FE_OFN19_system_rst_ni),
-	.Y(FE_OFN68_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC67_system_rst_ni (
-	.A(FE_OFN19_system_rst_ni),
-	.Y(FE_OFN67_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC66_system_rst_ni (
-	.A(FE_OFN18_system_rst_ni),
-	.X(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC65_system_rst_ni (
-	.A(FE_OFN17_system_rst_ni),
-	.X(FE_OFN65_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC48_system_rst_ni (
-	.A(FE_OFN15_system_rst_ni),
-	.Y(FE_OFN48_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC45_system_rst_ni (
-	.A(FE_OFN15_system_rst_ni),
-	.Y(FE_OFN45_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC43_system_rst_ni (
-	.A(FE_OFN15_system_rst_ni),
-	.Y(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC41_system_rst_ni (
-	.A(FE_OFN15_system_rst_ni),
-	.Y(FE_OFN41_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC40_system_rst_ni (
-	.A(FE_OFN15_system_rst_ni),
-	.Y(FE_OFN40_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC38_system_rst_ni (
-	.A(FE_OFN14_system_rst_ni),
-	.X(FE_OFN38_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC37_system_rst_ni (
-	.A(FE_OFN13_system_rst_ni),
-	.X(FE_OFN37_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC36_system_rst_ni (
-	.A(FE_OFN12_system_rst_ni),
-	.X(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC35_system_rst_ni (
-	.A(FE_OFN10_system_rst_ni),
-	.X(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC34_system_rst_ni (
-	.A(FE_OFN9_system_rst_ni),
-	.X(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC30_system_rst_ni (
-	.A(FE_OFN7_system_rst_ni),
-	.X(FE_OFN30_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC29_system_rst_ni (
-	.A(FE_OFN6_system_rst_ni),
-	.X(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC28_system_rst_ni (
-	.A(FE_OFN2_system_rst_ni),
-	.Y(FE_OFN28_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC26_system_rst_ni (
-	.A(FE_OFN2_system_rst_ni),
-	.Y(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC25_system_rst_ni (
-	.A(FE_OFN2_system_rst_ni),
-	.Y(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC24_system_rst_ni (
-	.A(FE_OFN2_system_rst_ni),
-	.Y(FE_OFN24_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC23_system_rst_ni (
-	.A(FE_OFN2_system_rst_ni),
-	.Y(FE_OFN23_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC22_system_rst_ni (
-	.A(FE_OFN2_system_rst_ni),
-	.Y(FE_OFN22_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC21_system_rst_ni (
-	.A(FE_OFN2_system_rst_ni),
-	.Y(FE_OFN21_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC20_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.X(FE_OFN20_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC19_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.Y(FE_OFN19_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC18_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.X(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC17_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.X(FE_OFN17_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC15_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.Y(FE_OFN15_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC14_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.X(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC13_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.X(FE_OFN13_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC12_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.X(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC11_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.X(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC10_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.X(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC9_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.X(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC7_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.X(FE_OFN7_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC6_system_rst_ni (
-	.A(FE_OFN1_system_rst_ni),
-	.X(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC5_system_rst_ni (
-	.A(FE_OFN0_system_rst_ni),
-	.Y(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3_system_rst_ni (
-	.A(FE_OFN0_system_rst_ni),
-	.Y(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC2_system_rst_ni (
-	.A(FE_PDN3934_FE_OFN0_system_rst_ni),
-	.X(FE_OFN2_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1_system_rst_ni (
-	.A(FE_PDN3934_FE_OFN0_system_rst_ni),
-	.Y(FE_OFN1_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC0_system_rst_ni (
-	.A(system_rst_ni),
-	.Y(FE_OFN0_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_DBTC0_u_uart_u_uart_core_rx_fifo_clr (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   iccm_controller u_dut (
-	.clk_i(CTS_94),
-	.rst_ni(FE_PDN17119_FE_OFN231_n_0),
-	.prog_i(prog),
-	.rx_dv_i(rx_dv_i),
-	.rx_byte_i(rx_byte_i),
-	.we_o(iccm_ctrl_we),
-	.addr_o(iccm_ctrl_addr_o),
-	.wdata_o(iccm_ctrl_data),
-	.reset_o(prog_rst_ni),
-	.clk_i_clone2(CTS_87),
-	.clk_i_clone1(CTS_92),
-	.FE_PDN38_FE_OFN231_n_0(FE_PDN17118_FE_OFN231_n_0), 
-	.vccd1(vccd1), 
-	.vssd1(vssd1));
-   tcam_top u_tcam_u_tcam_top (
-	.rst_ni(FE_OFN28_system_rst_ni),
-	.csb_i(u_tcam_n_26),
-	.web_i(u_tcam_n_27),
-	.wmask_i({ \u_tcam_u_tcam_adapter_wmask_int[0] [30],
-		\u_tcam_u_tcam_adapter_wmask_int[0] [22],
-		\u_tcam_u_tcam_adapter_wmask_int[0] [14],
-		\u_tcam_u_tcam_adapter_wmask_int[0] [6] }),
-	.addr_i({ UNCONNECTED_HIER_Z4,
-		UNCONNECTED_HIER_Z3,
-		UNCONNECTED_HIER_Z2,
-		UNCONNECTED_HIER_Z1,
-		UNCONNECTED_HIER_Z0,
-		UNCONNECTED_HIER_Z,
-		u_tcam_data_addr[25],
-		u_tcam_data_addr[24],
-		u_tcam_data_addr[23],
-		u_tcam_data_addr[22],
-		u_tcam_data_addr[21],
-		u_tcam_data_addr[20],
-		u_tcam_data_addr[19],
-		u_tcam_data_addr[18],
-		u_tcam_data_addr[17],
-		u_tcam_data_addr[16],
-		u_tcam_data_addr[15],
-		u_tcam_data_addr[14],
-		u_tcam_data_addr[13],
-		u_tcam_data_addr[12],
-		u_tcam_data_addr[11],
-		u_tcam_data_addr[10],
-		u_tcam_data_addr[9],
-		u_tcam_data_addr[8],
-		u_tcam_data_addr[7],
-		u_tcam_data_addr[6],
-		u_tcam_data_addr[5],
-		u_tcam_data_addr[4],
-		u_tcam_data_addr[3],
-		u_tcam_data_addr[2],
-		u_tcam_data_addr[1],
-		u_tcam_data_addr[0] }),
-	.wdata_i(u_tcam_data_wdata),
-	.rdata_o({ UNCONNECTED283,
-		UNCONNECTED282,
-		UNCONNECTED281,
-		UNCONNECTED280,
-		UNCONNECTED279,
-		UNCONNECTED278,
-		UNCONNECTED277,
-		UNCONNECTED276,
-		UNCONNECTED275,
-		UNCONNECTED274,
-		UNCONNECTED273,
-		UNCONNECTED272,
-		UNCONNECTED271,
-		UNCONNECTED270,
-		UNCONNECTED269,
-		UNCONNECTED268,
-		UNCONNECTED267,
-		UNCONNECTED266,
-		UNCONNECTED265,
-		UNCONNECTED264,
-		UNCONNECTED263,
-		UNCONNECTED262,
-		UNCONNECTED261,
-		UNCONNECTED260,
-		UNCONNECTED259,
-		UNCONNECTED258,
-		u_tcam_rdata[5],
-		u_tcam_rdata[4],
-		u_tcam_rdata[3],
-		u_tcam_rdata[2],
-		u_tcam_rdata[1],
-		u_tcam_rdata[0] }),
-	.FE_OFN15_system_rst_ni(FE_OFN183_system_rst_ni),
-	.FE_OFN10_system_rst_ni(FE_OFN93_system_rst_ni),
-	.FE_OFN8_system_rst_ni(FE_OFN86_system_rst_ni),
-	.clk_i_clone4(CTS_76),
-	.clk_i_clone3(CTS_79),
-	.clk_i_clone2(CTS_80),
-	.clk_i_clone1(CTS_83),
-	.clk_i(CTS_84), 
-	.vccd1(vccd1), 
-	.vssd1(vssd1));
-   ibex_controller_WritebackStage1_BranchPredictor0 u_top_u_core_id_stage_i_controller_i (
-	.rst_ni(FE_OFN23_system_rst_ni),
-	.ctrl_busy_o(u_top_u_core_ctrl_busy),
-	.illegal_insn_i(u_top_u_core_id_stage_i_n_932),
-	.ecall_insn_i(u_top_u_core_id_stage_i_ecall_insn_dec),
-	.mret_insn_i(u_top_u_core_id_stage_i_mret_insn_dec),
-	.dret_insn_i(u_top_u_core_id_stage_i_dret_insn_dec),
-	.wfi_insn_i(u_top_u_core_id_stage_i_wfi_insn_dec),
-	.ebrk_insn_i(u_top_u_core_id_stage_i_ebrk_insn),
-	.csr_pipe_flush_i(u_top_u_core_id_stage_i_csr_pipe_flush),
-	.instr_valid_i(FE_PSN3966_u_top_u_core_instr_valid_id),
-	.instr_i({ u_top_u_core_instr_rdata_id[31],
-		u_top_u_core_instr_rdata_id[30],
-		u_top_u_core_instr_rdata_id[29],
-		u_top_u_core_instr_rdata_id[28],
-		u_top_u_core_instr_rdata_id[27],
-		FE_OFN1851_u_top_u_core_instr_rdata_id_26,
-		FE_OFN817_u_top_u_core_instr_rdata_id_25,
-		u_top_u_core_instr_rdata_id[24],
-		u_top_u_core_instr_rdata_id[23],
-		FE_OCPN16265_u_top_u_core_instr_rdata_id_22,
-		FE_OCPN16268_FE_OFN16050_u_top_u_core_instr_rdata_id_21,
-		FE_OFN1870_u_top_u_core_instr_rdata_id_20,
-		FE_OFN16763_u_top_u_core_instr_rdata_id_19,
-		FE_OFN15831_u_top_u_core_instr_rdata_id_18,
-		FE_OCPN16816_u_top_u_core_instr_rdata_id_17,
-		FE_OFN13709_u_top_u_core_instr_rdata_id_16,
-		FE_OCPN16565_u_top_u_core_instr_rdata_id_15,
-		FE_OFN15998_u_top_u_core_instr_rdata_id_14,
-		FE_OFN16775_n,
-		FE_OFN16744_u_top_u_core_instr_rdata_id_12,
-		u_top_u_core_instr_rdata_id[11],
-		u_top_u_core_instr_rdata_id[10],
-		u_top_u_core_instr_rdata_id[9],
-		u_top_u_core_instr_rdata_id[8],
-		u_top_u_core_instr_rdata_id[7],
-		u_top_u_core_instr_rdata_id[6],
-		FE_OFN16032_n,
-		FE_OFN16040_u_top_u_core_instr_rdata_id_4,
-		u_top_u_core_instr_rdata_id[3],
-		u_top_u_core_instr_rdata_id[2],
-		u_top_u_core_instr_rdata_id[1],
-		u_top_u_core_instr_rdata_id[0] }),
-	.instr_compressed_i(u_top_u_core_instr_rdata_c_id),
-	.instr_is_compressed_i(u_top_u_core_instr_is_compressed_id),
-	.instr_valid_clear_o(u_top_u_core_instr_valid_clear),
-	.id_in_ready_o(u_top_u_core_id_in_ready),
-	.controller_run_o(u_top_u_core_id_stage_i_controller_run),
-	.instr_req_o(u_top_u_core_instr_req_int),
-	.pc_set_o(u_top_u_core_pc_set),
-	.pc_mux_o(u_top_u_core_pc_mux_id),
-	.exc_pc_mux_o({ u_top_u_core_exc_pc_mux_id[1],
-		u_top_u_core_id_stage_i_n_1065 }),
-	.exc_cause_o({ u_top_u_core_exc_cause[5],
-		UNCONNECTED287,
-		u_top_u_core_exc_cause[3],
-		UNCONNECTED286,
-		u_top_u_core_exc_cause[1],
-		u_top_u_core_exc_cause[0] }),
-	.branch_set_spec_i(u_top_u_core_id_stage_i_branch_set),
-	.jump_set_i(u_top_u_core_id_stage_i_jump_set),
-	.csr_mstatus_mie_i(u_top_u_core_csr_mstatus_mie),
-	.\irqs_i[irq_external] (\u_top_u_core_irqs[irq_external] ),
-	.debug_cause_o(u_top_u_core_debug_cause),
-	.debug_csr_save_o(u_top_u_core_debug_csr_save),
-	.debug_mode_o(u_top_u_core_debug_mode),
-	.debug_single_step_i(u_top_u_core_debug_single_step),
-	.debug_ebreakm_i(u_top_u_core_debug_ebreakm),
-	.debug_ebreaku_i(u_top_u_core_debug_ebreaku),
-	.trigger_match_i(u_top_u_core_trigger_match),
-	.csr_save_if_o(u_top_u_core_csr_save_if),
-	.csr_save_id_o(u_top_u_core_csr_save_id),
-	.csr_restore_mret_id_o(u_top_u_core_csr_restore_mret_id),
-	.csr_save_cause_o(u_top_u_core_csr_save_cause),
-	.csr_mtval_o(u_top_u_core_csr_mtval),
-	.priv_mode_i(u_top_u_core_priv_mode_id),
-	.csr_mstatus_tw_i(u_top_u_core_csr_mstatus_tw),
-	.stall_id_i(u_top_u_core_id_stage_i_stall_id),
-	.stall_wb_i(u_top_u_core_id_stage_i_stall_wb),
-	.flush_id_o(u_top_u_core_id_stage_i_flush_id),
-	.ready_wb_i(u_top_u_core_ready_wb),
-	.FE_OFN7_system_rst_ni(FE_OFN83_system_rst_ni),
-	.clk_i(CTS_9),
-	.FE_OFN1872_u_top_u_core_instr_rdata_id_24(FE_OFN1872_u_top_u_core_instr_rdata_id_24), 
-	.vccd1(vccd1), 
-	.vssd1(vssd1));
-   ibex_decoder_RV32E0_RV32M1_RV32B0_BranchTargetALU1 u_top_u_core_id_stage_i_decoder_i (
-	.illegal_insn_o(u_top_u_core_id_stage_i_illegal_insn_dec),
-	.ebrk_insn_o(u_top_u_core_id_stage_i_ebrk_insn),
-	.mret_insn_o(u_top_u_core_id_stage_i_mret_insn_dec),
-	.dret_insn_o(u_top_u_core_id_stage_i_dret_insn_dec),
-	.ecall_insn_o(u_top_u_core_id_stage_i_ecall_insn_dec),
-	.wfi_insn_o(u_top_u_core_id_stage_i_wfi_insn_dec),
-	.jump_set_o(u_top_u_core_id_stage_i_jump_set_dec),
-	.instr_first_cycle_i(u_top_u_core_instr_first_cycle_id),
-	.instr_rdata_i({ UNCONNECTED_HIER_Z115,
-		UNCONNECTED_HIER_Z114,
-		UNCONNECTED_HIER_Z113,
-		UNCONNECTED_HIER_Z112,
-		UNCONNECTED_HIER_Z111,
-		UNCONNECTED_HIER_Z110,
-		UNCONNECTED_HIER_Z109,
-		FE_OFN1872_u_top_u_core_instr_rdata_id_24,
-		FE_OFN818_u_top_u_core_instr_rdata_id_23,
-		FE_OCPN16265_u_top_u_core_instr_rdata_id_22,
-		FE_OCPN16268_FE_OFN16050_u_top_u_core_instr_rdata_id_21,
-		FE_OFN1870_u_top_u_core_instr_rdata_id_20,
-		FE_OFN16763_u_top_u_core_instr_rdata_id_19,
-		FE_OFN15831_u_top_u_core_instr_rdata_id_18,
-		FE_OCPN16816_u_top_u_core_instr_rdata_id_17,
-		FE_OFN13709_u_top_u_core_instr_rdata_id_16,
-		FE_OCPN16565_u_top_u_core_instr_rdata_id_15,
-		UNCONNECTED_HIER_Z108,
-		UNCONNECTED_HIER_Z107,
-		UNCONNECTED_HIER_Z106,
-		u_top_u_core_instr_rdata_id[11],
-		u_top_u_core_instr_rdata_id[10],
-		u_top_u_core_instr_rdata_id[9],
-		u_top_u_core_instr_rdata_id[8],
-		u_top_u_core_instr_rdata_id[7],
-		UNCONNECTED_HIER_Z105,
-		UNCONNECTED_HIER_Z104,
-		UNCONNECTED_HIER_Z103,
-		UNCONNECTED_HIER_Z102,
-		UNCONNECTED_HIER_Z101,
-		UNCONNECTED_HIER_Z100,
-		UNCONNECTED_HIER_Z99 }),
-	.instr_rdata_alu_i({ u_top_u_core_instr_rdata_id[31],
-		FE_OFN816_u_top_u_core_instr_rdata_id_30,
-		u_top_u_core_instr_rdata_id[29],
-		u_top_u_core_instr_rdata_id[28],
-		u_top_u_core_instr_rdata_id[27],
-		FE_OFN1851_u_top_u_core_instr_rdata_id_26,
-		u_top_u_core_instr_rdata_id[25],
-		UNCONNECTED_HIER_Z130,
-		UNCONNECTED_HIER_Z129,
-		UNCONNECTED_HIER_Z128,
-		UNCONNECTED_HIER_Z127,
-		UNCONNECTED_HIER_Z126,
-		UNCONNECTED_HIER_Z125,
-		UNCONNECTED_HIER_Z124,
-		UNCONNECTED_HIER_Z123,
-		UNCONNECTED_HIER_Z122,
-		UNCONNECTED_HIER_Z121,
-		FE_OFN821_u_top_u_core_instr_rdata_id_14,
-		FE_OFN1814_u_top_u_core_instr_rdata_id_13,
-		u_top_u_core_instr_rdata_id[12],
-		UNCONNECTED_HIER_Z120,
-		UNCONNECTED_HIER_Z119,
-		UNCONNECTED_HIER_Z118,
-		UNCONNECTED_HIER_Z117,
-		UNCONNECTED_HIER_Z116,
-		u_top_u_core_instr_rdata_id[6],
-		u_top_u_core_instr_rdata_id[5],
-		FE_OFN16040_u_top_u_core_instr_rdata_id_4,
-		u_top_u_core_instr_rdata_id[3],
-		u_top_u_core_instr_rdata_id[2],
-		u_top_u_core_instr_rdata_id[1],
-		u_top_u_core_instr_rdata_id[0] }),
-	.illegal_c_insn_i(u_top_u_core_illegal_c_insn_id),
-	.imm_a_mux_sel_o(u_top_u_core_id_stage_i_imm_a_mux_sel),
-	.imm_b_mux_sel_o(u_top_u_core_id_stage_i_imm_b_mux_sel_dec),
-	.bt_a_mux_sel_o({ u_top_u_core_id_stage_i_bt_a_mux_sel[1],
-		UNCONNECTED292 }),
-	.bt_b_mux_sel_o(u_top_u_core_id_stage_i_bt_b_mux_sel),
-	.rf_we_o(u_top_u_core_id_stage_i_rf_we_dec),
-	.rf_ren_a_o(u_top_u_core_id_stage_i_rf_ren_a),
-	.rf_ren_b_o(u_top_u_core_id_stage_i_rf_ren_b),
-	.alu_operator_o(u_top_u_core_alu_operator_ex),
-	.alu_op_a_mux_sel_o(u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec),
-	.alu_op_b_mux_sel_o(u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec),
-	.mult_en_o(u_top_u_core_id_stage_i_mult_en_dec),
-	.div_en_o(u_top_u_core_id_stage_i_div_en_dec),
-	.mult_sel_o(u_top_u_core_mult_sel_ex),
-	.div_sel_o(u_top_u_core_div_sel_ex),
-	.multdiv_operator_o({ UNCONNECTED502,
-		u_top_u_core_multdiv_operator_ex[0] }),
-	.multdiv_signed_mode_o(u_top_u_core_multdiv_signed_mode_ex),
-	.csr_access_o(u_top_u_core_csr_access),
-	.csr_op_o(u_top_u_core_csr_op),
-	.data_req_o(u_top_u_core_id_stage_i_lsu_req_dec),
-	.data_we_o(u_top_data_we),
-	.data_type_o(u_top_u_core_lsu_type),
-	.data_sign_extension_o(u_top_u_core_lsu_sign_ext),
-	.jump_in_dec_o(u_top_u_core_id_stage_i_jump_in_dec),
-	.branch_in_dec_o(u_top_u_core_id_stage_i_branch_in_dec),
-	.FE_OFN20_u_top_u_core_instr_rdata_id_25(FE_OFN817_u_top_u_core_instr_rdata_id_25),
-	.FE_OFN22_u_top_u_core_instr_rdata_id_30(FE_OFN1810_u_top_u_core_instr_rdata_id_30),
-	.FE_OFN21_u_top_u_core_instr_rdata_id_30(u_top_u_core_instr_rdata_id[30]),
-	.FE_OFN23_u_top_u_core_instr_rdata_id_26(FE_OFN1850_u_top_u_core_instr_rdata_id_26),
-	.FE_OFN24_u_top_u_core_instr_rdata_id_4(FE_OFN1865_u_top_u_core_instr_rdata_id_4),
-	.FE_OFN25_u_top_u_core_instr_rdata_id_27(FE_OFN16768_u_top_u_core_instr_rdata_id_27),
-	.FE_OFN26_u_top_u_core_instr_rdata_id_29(FE_OFN16806_u_top_u_core_instr_rdata_id_29),
-	.FE_OFN27_u_top_u_core_instr_rdata_id_28(FE_OFN16798_u_top_u_core_instr_rdata_id_28),
-	.FE_OFN28_u_top_u_core_instr_rdata_id_14(FE_OFN16003_u_top_u_core_instr_rdata_id_14),
-	.FE_OFN30_n(FE_OFN16032_n),
-	.FE_OFN29_n(FE_OFN16031_n),
-	.FE_OFN31_u_top_u_core_instr_rdata_id_13(FE_OFN16035_u_top_u_core_instr_rdata_id_13),
-	.FE_OFN32_n(u_top_u_core_instr_rdata_id[31]),
-	.FE_OFN33_u_top_u_core_instr_rdata_id_12(FE_OFN16744_u_top_u_core_instr_rdata_id_12),
-	.FE_OFN15998_u_top_u_core_instr_rdata_id_14(FE_OFN15998_u_top_u_core_instr_rdata_id_14),
-	.FE_OCPN34_u_top_u_core_instr_rdata_id_0(u_top_u_core_instr_rdata_id[0]),
-	.FE_OFN35_u_top_u_core_instr_rdata_id_12(FE_OFN16744_u_top_u_core_instr_rdata_id_12),
-	.FE_OFN36_n(FE_OFN16775_n),
-	.FE_OFN37_n(FE_OFN16795_n), 
-	.vccd1(vccd1), 
-	.vssd1(vssd1));
-   uart_rx_prog u_uart_rx_prog (
-	.rst_ni(rst_ni),
-	.i_Rx_Serial(uart_rx),
-	.CLKS_PER_BIT(clks_per_bit),
-	.o_Rx_DV(rx_dv_i),
-	.o_Rx_Byte(rx_byte_i),
-	.FE_OFN19_n_0(FE_PDN17119_FE_OFN231_n_0),
-	.clk_i_clone1(CTS_26),
-	.clk_i(CTS_92), 
-	.vccd1(vccd1), 
-	.vssd1(vssd1));
-   uart_rx u_uart_u_uart_core_u_rx (
-	.rst_ni(FE_OFN70_system_rst_ni),
-	.i_Rx_Serial(u_uart_u_uart_core_n_140),
-	.CLKS_PER_BIT(u_uart_u_uart_core_control),
-	.sbit_o(u_uart_u_uart_core_rx_sbit),
-	.o_Rx_DV(u_uart_u_uart_core_rx_done),
-	.o_Rx_Byte(u_uart_u_uart_core_rx),
-	.FE_OFN18_system_rst_ni(FE_OFN203_system_rst_ni),
-	.FE_OFN17_system_rst_ni(FE_OFN199_system_rst_ni),
-	.FE_OFN16_system_rst_ni(FE_OFN192_system_rst_ni),
-	.FE_OFN9_system_rst_ni(FE_OFN89_system_rst_ni),
-	.clk_i_clone2(CTS_67),
-	.clk_i_clone1(CTS_71),
-	.clk_i_clone4(CTS_44),
-	.clk_i_clone3(CTS_64),
-	.clk_i(CTS_74), 
-	.vccd1(vccd1), 
-	.vssd1(vssd1));
-   uart_tx u_uart_u_uart_core_u_tx (
-	.rst_ni(FE_OFN41_system_rst_ni),
-	.tx_en(u_uart_u_uart_core_tx_en_sel),
-	.i_TX_Byte({ u_uart_u_uart_core_tx_fifo_data[8],
-		u_uart_u_uart_core_tx_fifo_data[6],
-		u_uart_u_uart_core_tx_fifo_data[5],
-		u_uart_u_uart_core_tx_fifo_data[4],
-		u_uart_u_uart_core_tx_fifo_data[3],
-		u_uart_u_uart_core_tx_fifo_data[2],
-		u_uart_u_uart_core_tx_fifo_data[1],
-		u_uart_u_uart_core_tx_fifo_data[0] }),
-	.CLKS_PER_BIT(u_uart_u_uart_core_control),
-	.o_TX_Serial(uart_tx),
-	.o_TX_Done(u_uart_u_uart_core_tx_done),
-	.FE_OFN12_system_rst_ni(FE_OFN101_system_rst_ni),
-	.clk_i_clone3(CTS_20),
-	.clk_i_clone2(CTS_21),
-	.clk_i_clone1(CTS_24),
-	.clk_i(CTS_74), 
-	.vccd1(vccd1), 
-	.vssd1(vssd1));
-   buffer_control_BUFFER_DEPTH256_BUFFER_WIDTH8_ADDR_WIDTH8 u_uart_u_uart_core_write_fifo (
-	.rst_ni(FE_OFN1_system_rst_ni),
-	.re_i(u_uart_u_uart_core_tx_fifo_re),
-	.we_i(u_uart_u_uart_core_tx_fifo_we),
-	.clr_i(u_uart_u_uart_core_tx_fifo_clear),
-	.rst_i(u_uart_u_uart_core_tx_fifo_reset),
-	.wdata_i({ FE_OFN1437_xbar_to_dccm_a_data__7,
-		\xbar_to_dccm[a_data] [6],
-		\xbar_to_dccm[a_data] [5],
-		FE_OFN1566_xbar_to_dccm_a_data__4,
-		\xbar_to_dccm[a_data] [3],
-		\xbar_to_dccm[a_data] [2],
-		\xbar_to_dccm[a_data] [1],
-		FE_OFN1568_xbar_to_dccm_a_data__0 }),
-	.rdata_o({ u_uart_u_uart_core_tx_fifo_data[8],
-		u_uart_u_uart_core_tx_fifo_data[6],
-		u_uart_u_uart_core_tx_fifo_data[5],
-		u_uart_u_uart_core_tx_fifo_data[4],
-		u_uart_u_uart_core_tx_fifo_data[3],
-		u_uart_u_uart_core_tx_fifo_data[2],
-		u_uart_u_uart_core_tx_fifo_data[1],
-		u_uart_u_uart_core_tx_fifo_data[0],
-		u_uart_u_uart_core_n_196 }),
-	.FE_OFN14_system_rst_ni(FE_OFN110_system_rst_ni),
-	.FE_OFN13_system_rst_ni(FE_OFN101_system_rst_ni),
-	.FE_OFN11_system_rst_ni(FE_OFN100_system_rst_ni),
-	.FE_OFN6_system_rst_ni(FE_OFN48_system_rst_ni),
-	.FE_OFN5_system_rst_ni(FE_OFN45_system_rst_ni),
-	.FE_OFN4_system_rst_ni(FE_OFN41_system_rst_ni),
-	.FE_OFN3_system_rst_ni(FE_OFN40_system_rst_ni),
-	.FE_OFN2_system_rst_ni(FE_OFN35_system_rst_ni),
-	.FE_OFN1_system_rst_ni(FE_OFN15_system_rst_ni),
-	.FE_OFN0_system_rst_ni(FE_OFN10_system_rst_ni),
-	.clk_i_clone6(CTS_19),
-	.clk_i_clone5(CTS_21),
-	.clk_i_clone7(CTS_22),
-	.clk_i_clone2(CTS_23),
-	.clk_i_clone1(CTS_24),
-	.clk_i_clone3(CTS_25),
-	.clk_i_clone4(CTS_26),
-	.clk_i(CTS_53), 
-	.vccd1(vccd1), 
-	.vssd1(vssd1));
-   sky130_sram_1kbyte_1rw1r_32x256_8 u_dccm_sram1 (
-	.din0({ FE_OFN235_data_wdata_31,
-		FE_OFN16943_n,
-		FE_OFN16150_FE_OFN245_data_wdata_29,
-		FE_OFN252_data_wdata_28,
-		FE_OFN258_data_wdata_27,
-		FE_OFN264_data_wdata_26,
-		FE_OFN17071_n,
-		FE_OFN274_data_wdata_24,
-		FE_OFN280_data_wdata_23,
-		FE_OFN287_data_wdata_22,
-		FE_OFN293_data_wdata_21,
-		FE_OFN298_data_wdata_20,
-		FE_OFN304_data_wdata_19,
-		FE_OFN310_data_wdata_18,
-		FE_OFN316_data_wdata_17,
-		FE_OFN322_data_wdata_16,
-		FE_OFN328_data_wdata_15,
-		FE_OFN335_data_wdata_14,
-		FE_OFN341_data_wdata_13,
-		FE_OFN346_data_wdata_12,
-		FE_OFN352_data_wdata_11,
-		FE_OFN357_data_wdata_10,
-		FE_OFN363_data_wdata_9,
-		FE_OFN369_data_wdata_8,
-		FE_OFN376_data_wdata_7,
-		FE_OFN382_data_wdata_6,
-		FE_OFN389_data_wdata_5,
-		FE_OFN395_data_wdata_4,
-		FE_OFN402_data_wdata_3,
-		FE_OFN409_data_wdata_2,
-		FE_OFN417_data_wdata_1,
-		FE_OFN424_data_wdata_0 }),
-	.dout0(u_dccm_rdata1),
-	.addr0({ FE_OFN17085_n,
-		FE_OFN17014_FE_OFN16879_FE_OFN16611_FE_OFN16430_FE_OFN16169_FE_OFN1715_n,
-		FE_OFN17004_FE_OFN16878_FE_OFN16704_n,
-		FE_OFN17027_FE_OFN16898_FE_OFN16621_FE_OFN16448_FE_OFN16177_FE_OFN1713_n,
-		FE_OFN17018_FE_OFN16886_FE_OFN16675_FE_OFN16203_FE_OFN1712_n,
-		FE_OFN17017_FE_OFN16889_FE_OFN16674_FE_OFN16441_FE_OFN16179_FE_OFN1711_n,
-		FE_OFN17011_FE_OFN16887_FE_OFN16616_FE_OFN16447_FE_OFN16205_FE_OFN1710_n,
-		FE_OFN17006_FE_OFN16876_FE_OFN16614_FE_OFN16553_FE_OFN16433_FE_OFN16253_n }),
-	.wmask0({ FE_OFN16977_FE_OFN16941_n,
-		FE_OFN17032_FE_OFN16950_n,
-		FE_OFN17041_FE_OFN16908_FE_OFN16658_FE_OFN16471_FE_OFN16151_FE_OFN1742_n,
-		FE_OFN16991_FE_OFN16862_FE_OFN16708_n }),
-	.csb0(FE_OFN1382_u_dccm_csb1),
-	.web0(FE_OFN905_data_we),
-	.clk0(CTS_86),
-	.addr1({ logic_0_2_net,
-		logic_0_3_net,
-		logic_0_4_net,
-		logic_0_5_net,
-		logic_0_6_net,
-		logic_0_7_net,
-		logic_0_8_net,
-		logic_0_9_net }),
-	.csb1(n_17997),
-	.clk1(logic_0_10_net), 
-	.vssd1(vssd1), 
-	.vccd1(vccd1));
-   sky130_sram_1kbyte_1rw1r_32x256_8 u_dccm_sram2 (
-	.din0({ data_wdata[31],
-		FE_OFN17057_data_wdata_30,
-		FE_OFN17063_data_wdata_29,
-		FE_OFN17038_data_wdata_28,
-		data_wdata[27],
-		data_wdata[26],
-		FE_OFN17054_data_wdata_25,
-		FE_OFN271_data_wdata_24,
-		data_wdata[23],
-		data_wdata[22],
-		data_wdata[21],
-		FE_OFN295_data_wdata_20,
-		data_wdata[19],
-		data_wdata[18],
-		data_wdata[17],
-		data_wdata[16],
-		data_wdata[15],
-		data_wdata[14],
-		data_wdata[13],
-		data_wdata[12],
-		data_wdata[11],
-		data_wdata[10],
-		data_wdata[9],
-		data_wdata[8],
-		data_wdata[7],
-		FE_OFN17028_data_wdata_6,
-		FE_OFN16906_data_wdata_5,
-		data_wdata[4],
-		FE_OFN399_data_wdata_3,
-		FE_OFN406_data_wdata_2,
-		data_wdata[1],
-		FE_OFN17053_data_wdata_0 }),
-	.dout0(u_dccm_rdata2),
-	.addr0({ FE_OFN17084_n,
-		FE_OFN17052_FE_OFN16923_FE_OFN16653_FE_OFN16470_FE_OFN16133_FE_OFN1699_n,
-		FE_OFN17049_FE_OFN16859_FE_OFN16661_FE_OFN16550_FE_OFN16477_FE_OFN16139_FE_OFN1698_n,
-		FE_OFN17050_FE_OFN16921_FE_OFN16630_FE_OFN16478_FE_OFN16135_FE_OFN1697_n,
-		FE_OFN17047_FE_OFN16918_FE_OFN16636_FE_OFN16468_FE_OFN16142_FE_OFN1696_n,
-		FE_OFN17093_n,
-		FE_OFN16989_FE_OFN16855_FE_OFN16592_FE_OFN16476_FE_OFN16132_FE_OFN1694_n,
-		FE_OFN16990_FE_OFN16958_n }),
-	.wmask0({ FE_OFN17055_FE_OFN16964_n,
-		FE_OFN17088_n,
-		FE_OFN16975_FE_OFN16844_FE_OFN16734_FE_OFN16582_FE_OFN16403_FE_OFN16246_n,
-		FE_PDN3942_FE_OFN17056_FE_OFN16916_FE_OFN16580_FE_OFN16155_FE_OFN1741_n }),
-	.csb0(u_dccm_csb2),
-	.web0(FE_OFN16456_data_we),
-	.clk0(CTS_83),
-	.addr1({ FE_OFN1735_n,
-		logic_0_12_net,
-		logic_0_13_net,
-		FE_PDN3785_logic_0_14_net,
-		logic_0_15_net,
-		logic_0_16_net,
-		logic_0_17_net,
-		logic_0_18_net }),
-	.csb1(n_17998),
-	.clk1(logic_0_19_net), 
-	.vssd1(vssd1), 
-	.vccd1(vccd1));
-   sky130_sram_1kbyte_1rw1r_32x256_8 u_dccm_sram3 (
-	.din0({ FE_OFN236_data_wdata_31,
-		FE_OFN242_data_wdata_30,
-		FE_OFN247_data_wdata_29,
-		FE_OFN253_data_wdata_28,
-		FE_OFN259_data_wdata_27,
-		FE_OFN265_data_wdata_26,
-		FE_OFN270_data_wdata_25,
-		FE_OFN276_data_wdata_24,
-		FE_OFN282_data_wdata_23,
-		FE_OFN288_data_wdata_22,
-		FE_OFN294_data_wdata_21,
-		FE_OFN300_data_wdata_20,
-		FE_OFN306_data_wdata_19,
-		FE_OFN312_data_wdata_18,
-		FE_OFN318_data_wdata_17,
-		FE_OFN324_data_wdata_16,
-		FE_OFN330_data_wdata_15,
-		FE_OFN336_data_wdata_14,
-		FE_OFN342_data_wdata_13,
-		FE_OFN348_data_wdata_12,
-		FE_OFN353_data_wdata_11,
-		FE_OFN359_data_wdata_10,
-		FE_OFN365_data_wdata_9,
-		FE_OFN371_data_wdata_8,
-		FE_OFN377_data_wdata_7,
-		FE_OFN384_data_wdata_6,
-		FE_OFN391_data_wdata_5,
-		FE_OFN397_data_wdata_4,
-		FE_OFN404_data_wdata_3,
-		FE_OFN411_data_wdata_2,
-		FE_OFN418_data_wdata_1,
-		FE_OFN425_data_wdata_0 }),
-	.dout0(u_dccm_rdata3),
-	.addr0({ FE_PDN3943_FE_OFN16980_FE_OFN16847_FE_OFN16602_FE_OFN16405_FE_OFN16115_FE_OFN1708_n,
-		FE_OFN16648_FE_OFN16416_FE_OFN16131_FE_OFN1707_n,
-		FE_OFN16701_n,
-		FE_OFN16988_FE_OFN16919_FE_OFN16589_FE_OFN16411_FE_OFN16123_FE_OFN1705_n,
-		FE_OFN16987_FE_OFN16853_FE_OFN16612_FE_OFN16410_FE_OFN16124_FE_OFN1704_n,
-		FE_OFN16983_FE_OFN16851_FE_OFN16652_FE_OFN16408_FE_OFN16121_FE_OFN1703_n,
-		FE_OFN17083_n,
-		FE_OFN17082_n }),
-	.wmask0({ FE_OFN17091_n,
-		FE_PDN3949_FE_OFN16966_FE_OFN16579_FE_OFN16228_n,
-		FE_OFN1771_n,
-		FE_PDN3951_FE_OFN16539_FE_OFN16242_n }),
-	.csb0(FE_OFN1384_u_dccm_csb3),
-	.web0(FE_OFN906_data_we),
-	.clk0(CTS_86),
-	.addr1({ logic_0_20_net,
-		logic_0_21_net,
-		logic_0_22_net,
-		logic_0_23_net,
-		FE_PDN17113_logic_0_24_net,
-		logic_0_25_net,
-		logic_0_26_net,
-		FE_PDN17116_logic_0_27_net }),
-	.csb1(n_17999),
-	.clk1(logic_0_28_net), 
-	.vssd1(vssd1), 
-	.vccd1(vccd1));
-   sky130_sram_1kbyte_1rw1r_32x256_8 u_dccm_sram4 (
-	.din0({ FE_OFN234_data_wdata_31,
-		FE_OFN17074_n,
-		FE_OFN16449_FE_OFN244_data_wdata_29,
-		FE_OFN249_data_wdata_28,
-		FE_OFN256_data_wdata_27,
-		FE_OFN262_data_wdata_26,
-		FE_OFN17073_n,
-		FE_OFN273_data_wdata_24,
-		FE_OFN279_data_wdata_23,
-		FE_OFN285_data_wdata_22,
-		FE_OFN290_data_wdata_21,
-		FE_OFN297_data_wdata_20,
-		FE_OFN303_data_wdata_19,
-		FE_OFN308_data_wdata_18,
-		FE_OFN314_data_wdata_17,
-		FE_OFN321_data_wdata_16,
-		FE_OFN327_data_wdata_15,
-		FE_OFN333_data_wdata_14,
-		FE_OFN338_data_wdata_13,
-		FE_OFN345_data_wdata_12,
-		FE_OFN351_data_wdata_11,
-		FE_OFN356_data_wdata_10,
-		FE_OFN361_data_wdata_9,
-		FE_OFN367_data_wdata_8,
-		FE_OFN373_data_wdata_7,
-		FE_OFN380_data_wdata_6,
-		FE_OFN387_data_wdata_5,
-		FE_OFN393_data_wdata_4,
-		FE_OFN400_data_wdata_3,
-		FE_OFN407_data_wdata_2,
-		FE_OFN415_data_wdata_1,
-		FE_OFN421_data_wdata_0 }),
-	.dout0(u_dccm_rdata4),
-	.addr0({ FE_OFN16999_FE_OFN16866_FE_OFN16727_FE_OFN16697_n,
-		FE_OFN17001_FE_OFN16873_FE_OFN16604_FE_OFN16556_FE_OFN16425_FE_OFN16165_FE_OFN1723_n,
-		FE_OFN17012_FE_OFN16884_FE_OFN16617_FE_OFN16558_FE_OFN16427_FE_OFN16251_n,
-		FE_OFN17062_FE_OFN16874_FE_OFN16669_FE_OFN16434_FE_OFN16183_FE_OFN1721_n,
-		FE_OFN17023_FE_OFN16892_FE_OFN16666_FE_OFN16432_FE_OFN16186_FE_OFN1720_n,
-		FE_OFN17015_FE_OFN16891_FE_OFN16607_FE_OFN16431_FE_OFN16200_FE_OFN1719_n,
-		FE_OFN17009_FE_OFN16885_FE_OFN16613_FE_OFN16442_FE_OFN16178_FE_OFN1718_n,
-		FE_OFN17005_FE_OFN16877_FE_OFN16730_FE_OFN16605_FE_OFN16543_FE_OFN16429_FE_OFN16247_n }),
-	.wmask0({ FE_OFN17036_FE_OFN16951_n,
-		FE_OFN17075_n,
-		FE_OFN16230_n,
-		FE_OFN17072_n }),
-	.csb0(FE_PDN3800_u_dccm_csb4),
-	.web0(FE_OFN903_data_we),
-	.clk0(CTS_88),
-	.addr1({ logic_0_29_net,
-		logic_0_30_net,
-		logic_0_31_net,
-		logic_0_32_net,
-		logic_0_33_net,
-		logic_0_34_net,
-		logic_0_35_net,
-		logic_0_36_net }),
-	.csb1(n_18000),
-	.clk1(logic_0_37_net), 
-	.vssd1(vssd1), 
-	.vccd1(vccd1));
-   sky130_sram_1kbyte_1rw1r_32x256_8 u_iccm_sram1 (
-	.din0({ FE_OFN16680_FE_OFN517_instr_wdata_31,
-		FE_OFN524_instr_wdata_30,
-		FE_OFN528_instr_wdata_29,
-		FE_OFN534_instr_wdata_28,
-		FE_OFN540_instr_wdata_27,
-		FE_OFN545_instr_wdata_26,
-		FE_OFN552_instr_wdata_25,
-		FE_OFN559_instr_wdata_24,
-		FE_OFN564_instr_wdata_23,
-		FE_OFN570_instr_wdata_22,
-		FE_OFN576_instr_wdata_21,
-		FE_OFN583_instr_wdata_20,
-		FE_OFN590_instr_wdata_19,
-		FE_OFN597_instr_wdata_18,
-		FE_OFN604_instr_wdata_17,
-		FE_OFN610_instr_wdata_16,
-		FE_OFN621_instr_wdata_15,
-		FE_OFN626_instr_wdata_14,
-		FE_OFN632_instr_wdata_13,
-		FE_OFN639_instr_wdata_12,
-		FE_OFN645_instr_wdata_11,
-		FE_OFN650_instr_wdata_10,
-		instr_wdata[9],
-		instr_wdata[8],
-		instr_wdata[7],
-		instr_wdata[6],
-		FE_OFN682_instr_wdata_5,
-		FE_OFN686_instr_wdata_4,
-		FE_OFN692_instr_wdata_3,
-		FE_OFN699_instr_wdata_2,
-		FE_OFN703_instr_wdata_1,
-		FE_OFN713_instr_wdata_0 }),
-	.dout0(u_iccm_rdata1),
-	.addr0({ FE_OFN17008_FE_OFN16880_FE_OFN16735_FE_OFN16619_FE_OFN16451_FE_OFN16229_n,
-		FE_PDN3795_FE_OFN16673_FE_OFN16527_FE_OFN16173_FE_OFN1667_n,
-		FE_OFN17007_FE_OFN16881_FE_OFN16608_FE_OFN16437_FE_OFN16146_FE_OFN1666_n,
-		FE_OFN17010_FE_OFN16888_FE_OFN16610_FE_OFN16435_FE_OFN16149_FE_OFN1665_n,
-		FE_OFN17020_FE_OFN16894_FE_OFN16606_FE_OFN16445_FE_OFN16145_FE_OFN1664_n,
-		FE_OFN17003_FE_OFN16875_FE_OFN16615_FE_OFN16541_FE_OFN16438_FE_OFN16144_FE_OFN1663_n,
-		FE_OFN17024_FE_OFN16902_FE_OFN16625_FE_OFN16529_FE_OFN16181_FE_OFN1662_n,
-		FE_OFN17060_FE_OFN16928_FE_OFN16671_FE_OFN16536_FE_OFN16158_FE_OFN1661_n }),
-	.wmask0({ FE_OFN16982_FE_OFN16846_FE_OFN16640_FE_OFN16520_n,
-		FE_OFN17076_n,
-		FE_OFN16970_FE_OFN16929_FE_OFN16667_FE_OFN16548_FE_OFN16522_n,
-		FE_OFN16976_FE_OFN16857_FE_OFN16703_n }),
-	.csb0(FE_OFN1385_u_iccm_csb1),
-	.web0(instr_we),
-	.clk0(CTS_96),
-	.addr1({ logic_0_38_net,
-		logic_0_39_net,
-		logic_0_40_net,
-		FE_PDN11067_n,
-		logic_0_42_net,
-		logic_0_43_net,
-		logic_0_44_net,
-		logic_0_45_net }),
-	.csb1(n_18001),
-	.clk1(logic_0_46_net), 
-	.vssd1(vssd1), 
-	.vccd1(vccd1));
-   sky130_sram_1kbyte_1rw1r_32x256_8 u_iccm_sram2 (
-	.din0({ FE_OFN16672_FE_OFN516_instr_wdata_31,
-		FE_OFN523_instr_wdata_30,
-		FE_OFN531_instr_wdata_29,
-		FE_OFN537_instr_wdata_28,
-		FE_OFN17059_FE_OFN543_instr_wdata_27,
-		FE_OFN547_instr_wdata_26,
-		FE_OFN555_instr_wdata_25,
-		FE_OFN1756_n,
-		FE_OFN17035_FE_OFN567_instr_wdata_23,
-		FE_OFN573_instr_wdata_22,
-		FE_OFN580_instr_wdata_21,
-		FE_OFN587_instr_wdata_20,
-		FE_OFN594_instr_wdata_19,
-		FE_OFN601_instr_wdata_18,
-		FE_OFN608_instr_wdata_17,
-		FE_OFN615_instr_wdata_16,
-		FE_OFN619_instr_wdata_15,
-		FE_OFN1755_n,
-		FE_OFN1754_n,
-		FE_OFN1753_n,
-		FE_OFN1752_n,
-		FE_OFN1751_n,
-		FE_OFN658_instr_wdata_9,
-		FE_OFN664_instr_wdata_8,
-		FE_OFN670_instr_wdata_7,
-		FE_OFN677_instr_wdata_6,
-		FE_OFN681_instr_wdata_5,
-		FE_OFN688_instr_wdata_4,
-		FE_OFN693_instr_wdata_3,
-		FE_OFN700_instr_wdata_2,
-		FE_OFN707_instr_wdata_1,
-		FE_OFN711_instr_wdata_0 }),
-	.dout0(u_iccm_rdata2),
-	.addr0({ FE_OFN17087_n,
-		FE_OFN17043_FE_OFN16915_FE_OFN16634_FE_OFN16463_FE_OFN16136_FE_OFN1675_n,
-		FE_OFN17034_FE_OFN16909_FE_OFN16638_FE_OFN16462_FE_OFN16137_FE_OFN1674_n,
-		FE_OFN17090_n,
-		FE_OFN16993_FE_OFN16864_FE_OFN16600_FE_OFN16455_FE_OFN16195_FE_OFN1672_n,
-		FE_OFN16992_FE_OFN16865_FE_OFN16596_FE_OFN16454_FE_OFN16198_FE_OFN1671_n,
-		FE_OFN16995_FE_OFN16868_FE_OFN16597_FE_OFN16412_FE_OFN16119_FE_OFN1670_n,
-		FE_OFN16737_FE_OFN1669_n }),
-	.wmask0({ FE_OFN16965_n,
-		FE_OFN17086_n,
-		FE_PDN3798_FE_OFN17078_n,
-		FE_OFN17098_n }),
-	.csb0(FE_OFN1386_u_iccm_csb2),
-	.web0(FE_OFN909_instr_we),
-	.clk0(CTS_79),
-	.addr1({ FE_OFN913_logic_0_47_net,
-		FE_OFN16534_FE_OFN16191_FE_OFN1734_n,
-		FE_OFN16185_FE_OFN1733_n,
-		logic_0_50_net,
-		logic_0_51_net,
-		logic_0_52_net,
-		FE_OFN1732_n,
-		logic_0_54_net }),
-	.csb1(n_18002),
-	.clk1(logic_0_55_net), 
-	.vssd1(vssd1), 
-	.vccd1(vccd1));
-   sky130_sram_1kbyte_1rw1r_32x256_8 u_iccm_sram3 (
-	.din0({ FE_OFN519_instr_wdata_31,
-		FE_OFN525_instr_wdata_30,
-		FE_OFN529_instr_wdata_29,
-		FE_OFN535_instr_wdata_28,
-		FE_OFN541_instr_wdata_27,
-		FE_OFN549_instr_wdata_26,
-		FE_OFN553_instr_wdata_25,
-		FE_OFN561_instr_wdata_24,
-		FE_OFN565_instr_wdata_23,
-		FE_OFN571_instr_wdata_22,
-		FE_OFN17066_FE_OFN577_instr_wdata_21,
-		FE_OFN584_instr_wdata_20,
-		FE_OFN17051_FE_OFN591_instr_wdata_19,
-		FE_OFN598_instr_wdata_18,
-		FE_OFN607_instr_wdata_17,
-		FE_OFN17061_FE_OFN614_instr_wdata_16,
-		FE_OFN622_instr_wdata_15,
-		FE_OFN628_instr_wdata_14,
-		FE_OFN634_instr_wdata_13,
-		FE_OFN640_instr_wdata_12,
-		FE_OFN646_instr_wdata_11,
-		FE_OFN652_instr_wdata_10,
-		FE_OFN657_instr_wdata_9,
-		FE_OFN661_instr_wdata_8,
-		FE_OFN667_instr_wdata_7,
-		FE_OFN674_instr_wdata_6,
-		FE_OFN683_instr_wdata_5,
-		FE_OFN689_instr_wdata_4,
-		FE_OFN695_instr_wdata_3,
-		FE_OFN702_instr_wdata_2,
-		FE_OFN705_instr_wdata_1,
-		FE_OFN714_instr_wdata_0 }),
-	.dout0(u_iccm_rdata3),
-	.addr0({ FE_OFN17033_FE_OFN16854_FE_OFN16713_n,
-		FE_OFN17040_FE_OFN16910_FE_OFN16603_FE_OFN16458_FE_OFN16143_FE_OFN1683_n,
-		FE_OFN16209_FE_OFN1682_n,
-		FE_OFN16998_FE_OFN16870_FE_OFN16598_FE_OFN16509_n,
-		FE_OFN16997_FE_OFN16869_FE_OFN16599_FE_OFN16508_n,
-		FE_OFN16996_FE_OFN16867_FE_OFN16706_n,
-		FE_OFN16551_FE_OFN1678_n,
-		FE_OFN17037_FE_OFN16912_FE_OFN16601_FE_OFN16465_FE_OFN16129_FE_OFN1677_n }),
-	.wmask0({ FE_OFN17092_n,
-		FE_OFN17089_n,
-		FE_PDN3799_FE_OFN17094_n,
-		FE_PDN3950_FE_OFN17097_n }),
-	.csb0(FE_OFN1660_n),
-	.web0(instr_we),
-	.clk0(CTS_97),
-	.addr1({ logic_0_56_net,
-		logic_0_57_net,
-		logic_0_58_net,
-		FE_PDN3775_logic_0_59_net,
-		logic_0_60_net,
-		logic_0_61_net,
-		logic_0_62_net,
-		logic_0_63_net }),
-	.csb1(n_18003),
-	.clk1(logic_0_64_net), 
-	.vssd1(vssd1), 
-	.vccd1(vccd1));
-   sky130_sram_1kbyte_1rw1r_32x256_8 u_iccm_sram4 (
-	.din0({ FE_OFN515_instr_wdata_31,
-		FE_OFN522_instr_wdata_30,
-		FE_OFN530_instr_wdata_29,
-		FE_OFN536_instr_wdata_28,
-		FE_OFN542_instr_wdata_27,
-		FE_OFN546_instr_wdata_26,
-		FE_OFN551_instr_wdata_25,
-		FE_OFN1757_n,
-		FE_OFN566_instr_wdata_23,
-		FE_OFN572_instr_wdata_22,
-		FE_OFN16646_FE_OFN578_instr_wdata_21,
-		FE_OFN16423_FE_OFN585_instr_wdata_20,
-		FE_OFN17031_FE_OFN593_instr_wdata_19,
-		FE_OFN16595_FE_OFN599_instr_wdata_18,
-		FE_OFN605_instr_wdata_17,
-		FE_OFN16897_FE_OFN612_instr_wdata_16,
-		FE_OFN618_instr_wdata_15,
-		FE_OFN625_instr_wdata_14,
-		FE_OFN631_instr_wdata_13,
-		FE_OFN637_instr_wdata_12,
-		FE_OFN643_instr_wdata_11,
-		FE_OFN649_instr_wdata_10,
-		FE_OFN655_instr_wdata_9,
-		FE_OFN662_instr_wdata_8,
-		FE_OFN668_instr_wdata_7,
-		FE_OFN675_instr_wdata_6,
-		instr_wdata[5],
-		instr_wdata[4],
-		FE_OFN17064_instr_wdata_3,
-		FE_OFN696_instr_wdata_2,
-		FE_OFN706_instr_wdata_1,
-		FE_OFN708_instr_wdata_0 }),
-	.dout0(u_iccm_rdata4),
-	.addr0({ FE_OFN17016_FE_OFN16890_FE_OFN16733_FE_OFN16628_FE_OFN16436_FE_OFN16156_FE_OFN1692_n,
-		FE_OFN17013_FE_OFN16883_FE_OFN16629_FE_OFN16555_FE_OFN16428_FE_OFN16147_FE_OFN1691_n,
-		FE_OFN17022_FE_OFN16895_FE_OFN16627_FE_OFN16554_FE_OFN16444_FE_OFN16152_FE_OFN1690_n,
-		FE_OFN17021_FE_OFN16893_FE_OFN16618_FE_OFN16446_FE_OFN16171_FE_OFN1689_n,
-		FE_OFN17025_FE_OFN16896_FE_OFN16620_FE_OFN16443_FE_OFN16154_FE_OFN1688_n,
-		FE_OFN17026_FE_OFN16901_FE_OFN16623_FE_OFN16530_FE_OFN16192_FE_OFN1687_n,
-		FE_OFN17030_FE_OFN16905_FE_OFN16626_FE_OFN16533_FE_OFN16197_FE_OFN1686_n,
-		FE_OFN17029_FE_OFN16903_FE_OFN16622_FE_OFN16531_FE_OFN16180_FE_OFN1685_n }),
-	.wmask0({ FE_OFN17000_FE_OFN16860_FE_OFN16710_n,
-		FE_OFN17081_n,
-		FE_OFN17045_FE_OFN16954_n,
-		FE_OFN17077_n }),
-	.csb0(u_iccm_csb4),
-	.web0(FE_OFN908_instr_we),
-	.clk0(CTS_95),
-	.addr1({ logic_0_65_net,
-		logic_0_66_net,
-		logic_0_67_net,
-		logic_0_68_net,
-		logic_0_69_net,
-		logic_0_70_net,
-		logic_0_71_net,
-		logic_0_72_net }),
-	.csb1(n_18004),
-	.clk1(logic_0_73_net), 
-	.vssd1(vssd1), 
-	.vccd1(vccd1));
-   sky130_fd_sc_hd__inv_1 g208168 (
-	.A(u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
-	.Y(n_13533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_4 g208145 (
-	.A(u_top_u_core_id_stage_i_id_fsm_q),
-	.B_N(FE_PSN3966_u_top_u_core_instr_valid_id),
-	.Y(u_top_u_core_instr_first_cycle_id), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g257084 (
-	.A(n_13160),
-	.Y(n_13699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257085 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [31]),
-	.A2(n_11380),
-	.B1(FE_OFN1534_n_11331),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [32]),
-	.C1(n_13330),
-	.C2(FE_OFN15841_n_10772),
-	.Y(n_13160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g257086 (
-	.A1(FE_OFN1857_n_11392),
-	.A2(n_13723),
-	.B1(n_13019),
-	.C1(n_13118),
-	.D1(n_13086),
-	.Y(n_13626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_4 g257087 (
-	.A1(n_11392),
-	.A2(FE_OFN924_n_303),
-	.B1(n_13014),
-	.C1(n_13117),
-	.D1(n_13080),
-	.Y(n_13612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g257088 (
-	.A1(n_13023),
-	.A2(FE_OFN15943_n_12506),
-	.B1(n_11582),
-	.C1(n_13034),
-	.D1(n_13116),
-	.Y(n_13629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g257089 (
-	.A1(n_13024),
-	.A2(FE_OFN15943_n_12506),
-	.B1(n_11581),
-	.C1(n_13033),
-	.D1(n_13115),
-	.Y(n_13628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g257090 (
-	.A1(FE_OFN1857_n_11392),
-	.A2(n_13722),
-	.B1(n_13104),
-	.C1(n_13096),
-	.D1(n_13102),
-	.Y(n_13625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_2 g257091 (
-	.A1(FE_OFN1857_n_11392),
-	.A2(FE_OFN1553_n_13721),
-	.B1(n_13071),
-	.C1(n_13095),
-	.D1(n_13101),
-	.Y(n_13624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g257092 (
-	.A1(FE_OFN1857_n_11392),
-	.A2(FE_OFN1552_n_13720),
-	.B1(n_13070),
-	.C1(n_13094),
-	.D1(n_13100),
-	.Y(n_13623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g257093 (
-	.A1(FE_OFN1857_n_11392),
-	.A2(FE_OFN1549_n_13716),
-	.B1(n_13069),
-	.C1(n_13093),
-	.D1(n_13099),
-	.Y(n_13619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g257094 (
-	.A1(n_13022),
-	.A2(FE_OFN15943_n_12506),
-	.B1(n_11576),
-	.C1(n_13026),
-	.D1(n_13114),
-	.Y(n_13617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g257095 (
-	.A1(FE_OFN1857_n_11392),
-	.A2(FE_OFN1886_n_13712),
-	.B1(n_13067),
-	.C1(n_13091),
-	.D1(n_13097),
-	.Y(n_13615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g257096 (
-	.A1(FE_OFN1857_n_11392),
-	.A2(FE_OFN1546_n_13713),
-	.B1(n_13068),
-	.C1(n_13092),
-	.D1(n_13098),
-	.Y(n_13616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g257097 (
-	.A1(u_top_u_core_alu_operand_b_ex[11]),
-	.A2(FE_OFN15978_n_12195),
-	.B1(n_13125),
-	.C1(n_13103),
-	.Y(n_13614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_2 g257101 (
-	.A1(u_top_u_core_alu_operand_b_ex[6]),
-	.A2(FE_OFN15978_n_12195),
-	.B1(n_13129),
-	.C1(n_13077),
-	.Y(n_13609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_2 g257102 (
-	.A1(u_top_u_core_alu_operand_b_ex[5]),
-	.A2(FE_OFN15978_n_12195),
-	.B1(n_13122),
-	.C1(n_13076),
-	.Y(n_13608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257103 (
-	.A(n_13089),
-	.B(n_13112),
-	.C(n_13029),
-	.D(n_11580),
-	.Y(n_13622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257104 (
-	.A(n_13088),
-	.B(n_13111),
-	.C(n_11578),
-	.D(n_13028),
-	.Y(n_13620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_2 g257105 (
-	.A1(u_top_u_core_alu_operand_b_ex[8]),
-	.A2(FE_OFN15978_n_12195),
-	.B1(n_13128),
-	.C1(n_13079),
-	.Y(n_13611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_2 g257106 (
-	.A1(u_top_u_core_alu_operand_b_ex[7]),
-	.A2(FE_OFN15978_n_12195),
-	.B1(n_13127),
-	.C1(n_13078),
-	.Y(n_13610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g257107 (
-	.A1(u_top_u_core_alu_operand_b_ex[10]),
-	.A2(FE_OFN15978_n_12195),
-	.B1(n_13124),
-	.C1(n_13081),
-	.Y(n_13613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257108 (
-	.A(n_13087),
-	.B(n_13113),
-	.C(n_11577),
-	.D(n_13017),
-	.Y(n_13618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257109 (
-	.A(n_13085),
-	.B(n_13107),
-	.C(n_11571),
-	.D(n_13008),
-	.Y(n_13633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257110 (
-	.A(n_13084),
-	.B(n_13106),
-	.C(n_11569),
-	.D(n_13007),
-	.Y(n_13632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257111 (
-	.A(n_13083),
-	.B(n_13105),
-	.C(n_11572),
-	.D(n_13021),
-	.Y(n_13631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257112 (
-	.A(n_13082),
-	.B(n_13108),
-	.C(n_11573),
-	.D(n_13006),
-	.Y(n_13630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257113 (
-	.A(n_13074),
-	.B(n_13110),
-	.C(n_11575),
-	.D(n_13020),
-	.Y(n_13627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_4 g257114 (
-	.A(n_13073),
-	.B(n_13109),
-	.C(n_11579),
-	.D(n_13018),
-	.Y(n_13621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g257115 (
-	.A(n_13159),
-	.Y(n_13672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g257117 (
-	.A(n_13157),
-	.Y(n_13671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g257125 (
-	.A(n_13148),
-	.Y(n_13678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g257133 (
-	.A1(u_top_u_core_alu_operand_b_ex[31]),
-	.A2(FE_OFN15978_n_12195),
-	.B1(n_13062),
-	.C1(n_13075),
-	.Y(n_13634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257134 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [26]),
-	.A2(FE_OFN16069_n_11380),
-	.B1(FE_OFN16079_n),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [27]),
-	.C1(n_13325),
-	.C2(FE_OFN16080_n),
-	.Y(n_13155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257135 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [27]),
-	.A2(FE_OFN16069_n_11380),
-	.B1(FE_OFN16079_n),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [28]),
-	.C1(n_13326),
-	.C2(FE_OFN16080_n),
-	.Y(n_13154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257136 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [13]),
-	.A2(FE_OFN16069_n_11380),
-	.B1(FE_OFN16079_n),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [14]),
-	.C1(n_13312),
-	.C2(FE_OFN16080_n),
-	.Y(n_13153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257137 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [22]),
-	.A2(FE_OFN16069_n_11380),
-	.B1(FE_OFN16079_n),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [23]),
-	.C1(n_13321),
-	.C2(FE_OFN16080_n),
-	.Y(n_13152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257138 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [12]),
-	.A2(FE_OFN16069_n_11380),
-	.B1(FE_OFN16079_n),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [13]),
-	.C1(n_13311),
-	.C2(FE_OFN16080_n),
-	.Y(n_13151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257139 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [11]),
-	.A2(FE_OFN16069_n_11380),
-	.B1(FE_OFN16079_n),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [12]),
-	.C1(n_13310),
-	.C2(FE_OFN16080_n),
-	.Y(n_13150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257141 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [10]),
-	.A2(n_11380),
-	.B1(FE_OFN16079_n),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [11]),
-	.C1(n_13309),
-	.C2(FE_OFN1366_n_10772),
-	.Y(n_13148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257142 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [9]),
-	.A2(n_11380),
-	.B1(FE_OFN16079_n),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [10]),
-	.C1(n_13308),
-	.C2(FE_OFN1366_n_10772),
-	.Y(n_13147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_2 g257143 (
-	.A1(n_11438),
-	.A2(n_15742),
-	.A3(u_top_u_core_lsu_addr_last[31]),
-	.B1(n_13061),
-	.B2(n_11444),
-	.X(n_13330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g257146 (
-	.A(n_13144),
-	.Y(n_13675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257161 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [8]),
-	.A2(n_11380),
-	.B1(FE_OFN1534_n_11331),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [9]),
-	.C1(n_13307),
-	.C2(FE_OFN1366_n_10772),
-	.Y(n_13146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257164 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [29]),
-	.A2(FE_OFN16069_n_11380),
-	.B1(FE_OFN16079_n),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [30]),
-	.C1(n_13328),
-	.C2(FE_OFN16080_n),
-	.Y(n_13143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257165 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [6]),
-	.A2(n_11380),
-	.B1(FE_OFN1534_n_11331),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [7]),
-	.C1(n_13305),
-	.C2(FE_OFN1366_n_10772),
-	.Y(n_13142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257167 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [19]),
-	.A2(FE_OFN16069_n_11380),
-	.B1(FE_OFN16079_n),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [20]),
-	.C1(n_13318),
-	.C2(FE_OFN16080_n),
-	.Y(n_13140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257168 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [18]),
-	.A2(FE_OFN16069_n_11380),
-	.B1(FE_OFN16079_n),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [19]),
-	.C1(n_13317),
-	.C2(FE_OFN16080_n),
-	.Y(n_13139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257169 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [30]),
-	.A2(n_11380),
-	.B1(FE_OFN16079_n),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [31]),
-	.C1(n_13329),
-	.C2(FE_OFN1366_n_10772),
-	.Y(n_13138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257171 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [25]),
-	.A2(FE_OFN16069_n_11380),
-	.B1(FE_OFN16079_n),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [26]),
-	.C1(n_13324),
-	.C2(FE_OFN16080_n),
-	.Y(n_13136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257173 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [16]),
-	.A2(FE_OFN16069_n_11380),
-	.B1(FE_OFN16079_n),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [17]),
-	.C1(n_13315),
-	.C2(FE_OFN16080_n),
-	.Y(n_13134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257175 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [28]),
-	.A2(FE_OFN16069_n_11380),
-	.B1(FE_OFN16079_n),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [29]),
-	.C1(n_13327),
-	.C2(FE_OFN16080_n),
-	.Y(n_13132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257176 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [14]),
-	.A2(FE_OFN16069_n_11380),
-	.B1(FE_OFN16079_n),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [15]),
-	.C1(n_13313),
-	.C2(FE_OFN16080_n),
-	.Y(n_13131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257177 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [23]),
-	.A2(FE_OFN16069_n_11380),
-	.B1(FE_OFN16079_n),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [24]),
-	.C1(n_13322),
-	.C2(FE_OFN16080_n),
-	.Y(n_13130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g257178 (
-	.A(n_13123),
-	.Y(n_13669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257180 (
-	.A1(FE_OFN927_n_750),
-	.A2(n_11391),
-	.B1(n_11541),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
-	.C1(n_13013),
-	.Y(n_13129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g257181 (
-	.A1(n_11541),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
-	.B1(n_13066),
-	.Y(n_13128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g257182 (
-	.A1(n_11541),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
-	.B1(n_13065),
-	.Y(n_13127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 g257183 (
-	.A1(FE_OFN1811_n_12196),
-	.A2(FE_RN_98_0),
-	.B1(n_13064),
-	.Y(n_13126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257184 (
-	.A1(FE_OFN922_n_296),
-	.A2(n_11391),
-	.B1(FE_OFN16075_n),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
-	.C1(n_13016),
-	.Y(n_13125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257185 (
-	.A1(FE_OFN921_n_295),
-	.A2(n_11391),
-	.B1(n_11541),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
-	.C1(n_13015),
-	.Y(n_13124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211a_1 g257189 (
-	.A1(n_11392),
-	.A2(n_13702),
-	.B1(n_11574),
-	.C1(n_13010),
-	.X(n_13120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g257191 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[23]),
-	.A2_N(FE_OFN15978_n_12195),
-	.B1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
-	.B2(FE_OFN16075_n),
-	.Y(n_13118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g257192 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[9]),
-	.A2_N(FE_OFN15978_n_12195),
-	.B1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
-	.B2(n_11541),
-	.Y(n_13117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g257193 (
-	.A1_N(FE_OFN1857_n_11392),
-	.A2_N(n_13726),
-	.B1(n_13023),
-	.B2(FE_OFN15848_n),
-	.Y(n_13116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g257194 (
-	.A1_N(FE_OFN1857_n_11392),
-	.A2_N(n_13725),
-	.B1(n_13024),
-	.B2(FE_OFN15848_n),
-	.Y(n_13115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g257195 (
-	.A1_N(FE_OFN1857_n_11392),
-	.A2_N(FE_OFN1547_n_13714),
-	.B1(n_13022),
-	.B2(FE_OFN15848_n),
-	.Y(n_13114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g257196 (
-	.A1(u_top_u_core_alu_operand_b_ex[15]),
-	.A2(FE_OFN15978_n_12195),
-	.B1(FE_OFN1857_n_11392),
-	.B2(FE_OFN1548_n_13715),
-	.X(n_13113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g257197 (
-	.A1(u_top_u_core_alu_operand_b_ex[19]),
-	.A2(FE_OFN15978_n_12195),
-	.B1(FE_OFN1857_n_11392),
-	.B2(FE_OFN1551_n_13719),
-	.X(n_13112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g257198 (
-	.A1(u_top_u_core_alu_operand_b_ex[17]),
-	.A2(FE_OFN15978_n_12195),
-	.B1(FE_OFN1857_n_11392),
-	.B2(FE_OFN1550_n_13717),
-	.X(n_13111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g257199 (
-	.A1(u_top_u_core_alu_operand_b_ex[24]),
-	.A2(FE_OFN15978_n_12195),
-	.B1(FE_OFN1857_n_11392),
-	.B2(n_13724),
-	.X(n_13110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g257200 (
-	.A1(u_top_u_core_alu_operand_b_ex[18]),
-	.A2(FE_OFN15978_n_12195),
-	.B1(FE_OFN1857_n_11392),
-	.B2(n_13718),
-	.X(n_13109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g257201 (
-	.A1(u_top_u_core_alu_operand_b_ex[27]),
-	.A2(FE_OFN15978_n_12195),
-	.B1(FE_OFN1857_n_11392),
-	.B2(n_13727),
-	.X(n_13108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g257202 (
-	.A1(u_top_u_core_alu_operand_b_ex[30]),
-	.A2(FE_OFN15978_n_12195),
-	.B1(FE_OFN1857_n_11392),
-	.B2(n_13730),
-	.X(n_13107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g257203 (
-	.A1(u_top_u_core_alu_operand_b_ex[29]),
-	.A2(FE_OFN15978_n_12195),
-	.B1(FE_OFN1857_n_11392),
-	.B2(n_13729),
-	.X(n_13106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g257204 (
-	.A1(u_top_u_core_alu_operand_b_ex[28]),
-	.A2(FE_OFN15978_n_12195),
-	.B1(FE_OFN1857_n_11392),
-	.B2(n_13728),
-	.X(n_13105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g257205 (
-	.A1(FE_OFN16075_n),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
-	.B1(n_13032),
-	.Y(n_13104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257206 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[11]),
-	.Y(n_13103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257207 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[22]),
-	.Y(n_13102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257208 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[21]),
-	.Y(n_13101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257209 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[20]),
-	.Y(n_13100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257210 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[16]),
-	.Y(n_13099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257211 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[13]),
-	.Y(n_13098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257212 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[12]),
-	.Y(n_13097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g257213 (
-	.A_N(u_top_u_core_alu_operand_b_ex[22]),
-	.B(FE_OFN15848_n),
-	.Y(n_13096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g257214 (
-	.A_N(u_top_u_core_alu_operand_b_ex[21]),
-	.B(FE_OFN15848_n),
-	.Y(n_13095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g257215 (
-	.A_N(u_top_u_core_alu_operand_b_ex[20]),
-	.B(FE_OFN15848_n),
-	.Y(n_13094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g257216 (
-	.A_N(u_top_u_core_alu_operand_b_ex[16]),
-	.B(FE_OFN15848_n),
-	.Y(n_13093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g257217 (
-	.A_N(u_top_u_core_alu_operand_b_ex[13]),
-	.B(FE_OFN15848_n),
-	.Y(n_13092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g257218 (
-	.A_N(u_top_u_core_alu_operand_b_ex[12]),
-	.B(FE_OFN15848_n),
-	.Y(n_13091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g257219 (
-	.A(FE_OFN1805_n_12506),
-	.B(n_15686),
-	.Y(n_13090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257220 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[19]),
-	.Y(n_13089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257221 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[17]),
-	.Y(n_13088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257222 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[15]),
-	.Y(n_13087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257223 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[23]),
-	.Y(n_13086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257224 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[30]),
-	.Y(n_13085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257225 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[29]),
-	.Y(n_13084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257226 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[28]),
-	.Y(n_13083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257227 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[27]),
-	.Y(n_13082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257228 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[10]),
-	.Y(n_13081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257229 (
-	.A(FE_OFN1805_n_12506),
-	.B(u_top_u_core_alu_operand_b_ex[9]),
-	.Y(n_13080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257230 (
-	.A(FE_OFN1805_n_12506),
-	.B(u_top_u_core_alu_operand_b_ex[8]),
-	.Y(n_13079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257231 (
-	.A(FE_OFN1805_n_12506),
-	.B(u_top_u_core_alu_operand_b_ex[7]),
-	.Y(n_13078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257232 (
-	.A(FE_OFN1805_n_12506),
-	.B(u_top_u_core_alu_operand_b_ex[6]),
-	.Y(n_13077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257233 (
-	.A(FE_OFN1805_n_12506),
-	.B(u_top_u_core_alu_operand_b_ex[5]),
-	.Y(n_13076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257234 (
-	.A(FE_OFN1805_n_12506),
-	.B(u_top_u_core_alu_operand_b_ex[31]),
-	.Y(n_13075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257235 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[24]),
-	.Y(n_13074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257236 (
-	.A(n_12505),
-	.B(u_top_u_core_alu_operand_b_ex[18]),
-	.Y(n_13073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257237 (
-	.A(FE_OFN1805_n_12506),
-	.B(u_top_u_core_alu_operand_b_ex[2]),
-	.Y(n_13072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g257239 (
-	.A1(FE_OFN16056_n),
-	.A2(FE_OFN928_n_766),
-	.B1(n_11801),
-	.Y(n_13301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 g257240 (
-	.A1(FE_OFN16056_n),
-	.A2(n_13703),
-	.B1(n_11803),
-	.Y(n_13302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 g257241 (
-	.A1(FE_OFN15868_n_11501),
-	.A2(FE_OFN920_n_285),
-	.B1(n_11804),
-	.Y(n_13303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g257242 (
-	.A1(FE_OFN16075_n),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
-	.B1(n_13031),
-	.Y(n_13071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g257243 (
-	.A1(FE_OFN16075_n),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
-	.B1(n_13030),
-	.Y(n_13070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g257244 (
-	.A1(FE_OFN16075_n),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
-	.B1(n_13027),
-	.Y(n_13069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g257245 (
-	.A1(FE_OFN16075_n),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
-	.B1(n_13025),
-	.Y(n_13068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g257246 (
-	.A1(FE_OFN16075_n),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
-	.B1(n_13035),
-	.Y(n_13067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g257247 (
-	.A1(n_11392),
-	.A2(n_13708),
-	.B1(n_11355),
-	.B2(FE_OFN15987_n_13642),
-	.C1(n_11512),
-	.Y(n_13066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g257248 (
-	.A1(n_11392),
-	.A2(FE_OFN1545_n_13707),
-	.B1(n_11355),
-	.B2(n_13641),
-	.C1(n_11513),
-	.Y(n_13065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_2 g257249 (
-	.A1(n_11392),
-	.A2(FE_OFN16783_n_285),
-	.B1(n_11355),
-	.B2(n_13638),
-	.C1(n_11603),
-	.Y(n_13064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g257250 (
-	.A1(n_11392),
-	.A2(n_13700),
-	.B1(n_13009),
-	.Y(n_13063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221a_1 g257251 (
-	.A1(n_11355),
-	.A2(n_13665),
-	.B1(n_11392),
-	.B2(n_13731),
-	.C1(n_11602),
-	.X(n_13062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g257252 (
-	.A1(n_13731),
-	.A2(FE_OFN15932_n_11438),
-	.B1(n_11439),
-	.B2(u_top_u_core_pc_id[31]),
-	.X(n_13061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257254 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[25]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[25]),
-	.C1(n_13045),
-	.X(n_13324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g257255 (
-	.A1(FE_OFN15868_n_11501),
-	.A2(FE_OFN922_n_296),
-	.B1(n_11543),
-	.Y(n_13310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257256 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[21]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[21]),
-	.C1(n_13052),
-	.X(n_13320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 g257257 (
-	.A1(FE_OFN15868_n_11501),
-	.A2(FE_OFN921_n_295),
-	.B1(n_11544),
-	.Y(n_13309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_4 g257259 (
-	.A1(FE_OFN16056_n),
-	.A2(FE_OFN923_n_297),
-	.B1(n_11195),
-	.B2(n_11504),
-	.C1(n_11537),
-	.Y(n_13307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257260 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[20]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[20]),
-	.C1(n_13051),
-	.X(n_13319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257261 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[29]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[29]),
-	.C1(n_13048),
-	.X(n_13328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257262 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[26]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[26]),
-	.C1(n_13050),
-	.X(n_13325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_4 g257263 (
-	.A1(n_11170),
-	.A2(n_11504),
-	.B1(n_11168),
-	.B2(n_11502),
-	.C1(n_15735),
-	.Y(n_13306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 g257264 (
-	.A1(FE_OFN16056_n),
-	.A2(FE_OFN927_n_750),
-	.B1(n_11549),
-	.Y(n_13305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257265 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[19]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[19]),
-	.C1(n_13047),
-	.X(n_13318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 g257266 (
-	.A1(FE_OFN15868_n_11501),
-	.A2(FE_OFN926_n_721),
-	.B1(n_11545),
-	.Y(n_13304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257267 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[27]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[27]),
-	.C1(n_13053),
-	.X(n_13326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_4 g257268 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[18]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[18]),
-	.C1(n_13046),
-	.X(n_13317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257269 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[22]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[22]),
-	.C1(n_13054),
-	.X(n_13321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_4 g257270 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[17]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[17]),
-	.C1(n_13044),
-	.X(n_13316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257271 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[16]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[16]),
-	.C1(n_13043),
-	.X(n_13315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257272 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[24]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[24]),
-	.C1(n_13042),
-	.X(n_13323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257273 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[28]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[28]),
-	.C1(n_13041),
-	.X(n_13327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257274 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[30]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[30]),
-	.C1(n_13039),
-	.X(n_13329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257275 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[15]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[15]),
-	.C1(n_13040),
-	.X(n_13314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257276 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[14]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[14]),
-	.C1(n_13038),
-	.X(n_13313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257277 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[23]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[23]),
-	.C1(n_13037),
-	.X(n_13322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257278 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[12]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[12]),
-	.C1(n_13055),
-	.X(n_13311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257279 (
-	.A1(FE_OFN15919_n_11505),
-	.A2(u_top_u_core_pc_id[13]),
-	.B1(FE_OFN15925_n_11503),
-	.B2(u_top_u_core_lsu_addr_last[13]),
-	.C1(n_13036),
-	.X(n_13312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g257280 (
-	.A(FE_RN_98_0),
-	.Y(u_top_u_core_alu_operand_b_ex[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g257281 (
-	.A(FE_OFN1365_n_10762),
-	.Y(u_top_u_core_alu_operand_b_ex[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g257282 (
-	.A(FE_OFN1535_n_13056),
-	.Y(u_top_u_core_alu_operand_b_ex[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257283 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(FE_OFN1886_n_13712),
-	.Y(n_13055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257284 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(n_13722),
-	.Y(n_13054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257285 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(n_13727),
-	.Y(n_13053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257286 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(FE_OFN1553_n_13721),
-	.Y(n_13052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257287 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(FE_OFN1552_n_13720),
-	.Y(n_13051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257288 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(n_13726),
-	.Y(n_13050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257290 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(n_13729),
-	.Y(n_13048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257291 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(FE_OFN1551_n_13719),
-	.Y(n_13047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257292 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(n_13718),
-	.Y(n_13046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257293 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(n_13725),
-	.Y(n_13045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257294 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(FE_OFN1550_n_13717),
-	.Y(n_13044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257295 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(FE_OFN1549_n_13716),
-	.Y(n_13043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257296 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(n_13724),
-	.Y(n_13042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257297 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(n_13728),
-	.Y(n_13041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257298 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(FE_OFN1548_n_13715),
-	.Y(n_13040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257299 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(n_13730),
-	.Y(n_13039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257300 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(FE_OFN1547_n_13714),
-	.Y(n_13038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257301 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(n_13723),
-	.Y(n_13037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g257302 (
-	.A(FE_OFN15868_n_11501),
-	.B_N(FE_OFN1546_n_13713),
-	.Y(n_13036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g257303 (
-	.A1(FE_OFN15902_n_11355),
-	.A2(FE_OFN15855_n_13646),
-	.B1(n_11528),
-	.Y(n_13035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257304 (
-	.A(n_11448),
-	.B(n_11491),
-	.C(n_12990),
-	.Y(n_13034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257305 (
-	.A(n_11471),
-	.B(n_11489),
-	.C(n_12989),
-	.Y(n_13033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g257306 (
-	.A1(FE_OFN15902_n_11355),
-	.A2(FE_OFN1544_n_13656),
-	.B1(n_11531),
-	.Y(n_13032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g257307 (
-	.A1(FE_OFN15902_n_11355),
-	.A2(FE_OFN15842_n_13655),
-	.B1(n_11533),
-	.Y(n_13031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g257308 (
-	.A1(FE_OFN15902_n_11355),
-	.A2(FE_OFN15827_n_13654),
-	.B1(n_11532),
-	.Y(n_13030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257309 (
-	.A(n_11462),
-	.B(n_11485),
-	.C(n_12988),
-	.Y(n_13029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257310 (
-	.A(n_11468),
-	.B(n_11482),
-	.C(n_12987),
-	.Y(n_13028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g257311 (
-	.A1(FE_OFN15902_n_11355),
-	.A2(FE_OFN15838_n_13650),
-	.B1(n_11530),
-	.Y(n_13027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257312 (
-	.A(n_11464),
-	.B(n_11490),
-	.C(n_12986),
-	.Y(n_13026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g257313 (
-	.A1(FE_OFN15902_n_11355),
-	.A2(FE_OFN1540_n_13647),
-	.B1(n_11529),
-	.Y(n_13025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g257314 (
-	.A1(FE_OFN16056_n),
-	.A2(n_13701),
-	.B1(n_15824),
-	.Y(n_13300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g257320 (
-	.A1(n_11412),
-	.A2(FE_OFN16750_n_12959),
-	.B1(FE_OFN16078_n_11585),
-	.Y(u_top_u_core_alu_operand_b_ex[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257321 (
-	.A1(n_13664),
-	.A2(FE_OFN1033_n_11410),
-	.B1(n_11522),
-	.B2(FE_OFN16743_u_top_u_core_instr_rdata_id_30),
-	.C1(n_11586),
-	.X(u_top_u_core_alu_operand_b_ex[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g257323 (
-	.A(n_13024),
-	.Y(u_top_u_core_alu_operand_b_ex[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g257324 (
-	.A(n_13023),
-	.Y(u_top_u_core_alu_operand_b_ex[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g257325 (
-	.A(n_13022),
-	.Y(u_top_u_core_alu_operand_b_ex[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257326 (
-	.A(n_11452),
-	.B(n_11478),
-	.C(n_12992),
-	.Y(n_13021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257327 (
-	.A(n_11450),
-	.B(n_11488),
-	.C(n_13001),
-	.Y(n_13020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257328 (
-	.A(n_11470),
-	.B(n_11487),
-	.C(n_13000),
-	.Y(n_13019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257329 (
-	.A(n_11465),
-	.B(n_11484),
-	.C(n_12999),
-	.Y(n_13018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257330 (
-	.A(n_11461),
-	.B(n_11486),
-	.C(n_12998),
-	.Y(n_13017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g257331 (
-	.A1(n_11355),
-	.A2(n_13645),
-	.B1(n_11540),
-	.Y(n_13016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g257332 (
-	.A1(n_11355),
-	.A2(FE_OFN1538_n_13644),
-	.B1(n_11511),
-	.Y(n_13015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257333 (
-	.A(n_11459),
-	.B(n_11492),
-	.C(n_12997),
-	.Y(n_13014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g257334 (
-	.A1(n_11355),
-	.A2(FE_OFN16764_n_13640),
-	.B1(n_11517),
-	.Y(n_13013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g257335 (
-	.A1(n_11355),
-	.A2(FE_OFN15971_n_13639),
-	.B1(n_11514),
-	.Y(n_13012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g257336 (
-	.A1(n_11355),
-	.A2(n_13637),
-	.B1(n_11516),
-	.Y(n_13011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 g257337 (
-	.A(n_11460),
-	.B(n_11483),
-	.C(n_12995),
-	.Y(n_13010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 g257338 (
-	.A(n_11457),
-	.B(n_11481),
-	.C(n_12991),
-	.Y(n_13009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257339 (
-	.A(n_11447),
-	.B(n_11476),
-	.C(n_12994),
-	.Y(n_13008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257340 (
-	.A(n_11474),
-	.B(n_11477),
-	.C(n_12993),
-	.Y(n_13007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257341 (
-	.A(n_11496),
-	.B(n_11493),
-	.C(n_13002),
-	.Y(n_13006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257342 (
-	.A1(FE_OFN15855_n_13646),
-	.A2(FE_OFN16077_n),
-	.B1(n_11522),
-	.B2(FE_OFN16744_u_top_u_core_instr_rdata_id_12),
-	.C1(n_11586),
-	.X(u_top_u_core_alu_operand_b_ex[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257343 (
-	.A1(n_11522),
-	.A2(FE_OFN817_u_top_u_core_instr_rdata_id_25),
-	.B1(FE_OFN1376_n_13659),
-	.B2(FE_OFN16077_n),
-	.C1(n_11586),
-	.Y(n_13024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g257344 (
-	.A1(FE_OFN1538_n_13644),
-	.A2(FE_OFN1033_n_11410),
-	.B1(n_11524),
-	.B2(FE_OFN16743_u_top_u_core_instr_rdata_id_30),
-	.X(u_top_u_core_alu_operand_b_ex[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257345 (
-	.A1(n_13662),
-	.A2(FE_OFN1033_n_11410),
-	.B1(n_11522),
-	.B2(FE_OFN16798_u_top_u_core_instr_rdata_id_28),
-	.C1(n_11586),
-	.X(u_top_u_core_alu_operand_b_ex[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g257346 (
-	.A1(n_13643),
-	.A2(FE_OFN1033_n_11410),
-	.B1(n_11524),
-	.B2(FE_OFN16806_u_top_u_core_instr_rdata_id_29),
-	.X(u_top_u_core_alu_operand_b_ex[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257347 (
-	.A1(n_13661),
-	.A2(FE_OFN16077_n),
-	.B1(n_11522),
-	.B2(FE_OFN16768_u_top_u_core_instr_rdata_id_27),
-	.C1(n_11586),
-	.X(u_top_u_core_alu_operand_b_ex[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g257348 (
-	.A1(FE_OFN15987_n_13642),
-	.A2(FE_OFN1033_n_11410),
-	.B1(n_11524),
-	.B2(FE_OFN16798_u_top_u_core_instr_rdata_id_28),
-	.X(u_top_u_core_alu_operand_b_ex[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g257349 (
-	.A1(n_13641),
-	.A2(FE_OFN1033_n_11410),
-	.B1(n_11524),
-	.B2(FE_OFN16768_u_top_u_core_instr_rdata_id_27),
-	.X(u_top_u_core_alu_operand_b_ex[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g257350 (
-	.A1(FE_OFN16764_n_13640),
-	.A2(FE_OFN1033_n_11410),
-	.B1(n_11524),
-	.B2(FE_OFN1851_u_top_u_core_instr_rdata_id_26),
-	.X(u_top_u_core_alu_operand_b_ex[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257351 (
-	.A1(FE_OFN1544_n_13656),
-	.A2(FE_OFN16077_n),
-	.B1(n_11522),
-	.B2(u_top_u_core_instr_rdata_id[22]),
-	.C1(n_11586),
-	.X(u_top_u_core_alu_operand_b_ex[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257353 (
-	.A1(FE_OFN15842_n_13655),
-	.A2(FE_OFN16077_n),
-	.B1(n_11522),
-	.B2(u_top_u_core_instr_rdata_id[21]),
-	.C1(n_11586),
-	.X(u_top_u_core_alu_operand_b_ex[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257354 (
-	.A1(FE_OFN15827_n_13654),
-	.A2(FE_OFN16077_n),
-	.B1(n_11522),
-	.B2(u_top_u_core_instr_rdata_id[20]),
-	.C1(n_11586),
-	.X(u_top_u_core_alu_operand_b_ex[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257355 (
-	.A1(n_13663),
-	.A2(FE_OFN1033_n_11410),
-	.B1(n_11522),
-	.B2(FE_OFN16806_u_top_u_core_instr_rdata_id_29),
-	.C1(n_11586),
-	.X(u_top_u_core_alu_operand_b_ex[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257356 (
-	.A1(FE_OFN15838_n_13650),
-	.A2(FE_OFN16077_n),
-	.B1(n_11522),
-	.B2(FE_OCPN16314_u_top_u_core_instr_rdata_id_16),
-	.C1(n_11586),
-	.X(u_top_u_core_alu_operand_b_ex[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g257357 (
-	.A1(n_13665),
-	.A2(FE_OFN1033_n_11410),
-	.B1(n_15733),
-	.B2(u_top_u_core_instr_rdata_id[31]),
-	.X(u_top_u_core_alu_operand_b_ex[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257358 (
-	.A1(n_11522),
-	.A2(FE_OFN1851_u_top_u_core_instr_rdata_id_26),
-	.B1(FE_OFN1377_n_13660),
-	.B2(FE_OFN16077_n),
-	.C1(n_11586),
-	.Y(n_13023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257359 (
-	.A1(n_11522),
-	.A2(FE_OFN16795_n),
-	.B1(FE_OFN1373_n_13648),
-	.B2(FE_OFN16077_n),
-	.C1(n_11586),
-	.Y(n_13022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257360 (
-	.A1(FE_OFN1540_n_13647),
-	.A2(FE_OFN16077_n),
-	.B1(n_11522),
-	.B2(FE_OFN16035_u_top_u_core_instr_rdata_id_13),
-	.C1(n_11586),
-	.X(u_top_u_core_alu_operand_b_ex[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257361 (
-	.A1(n_13653),
-	.A2(FE_OFN16077_n),
-	.B1(n_11522),
-	.B2(u_top_u_core_instr_rdata_id[19]),
-	.C1(n_11586),
-	.X(u_top_u_core_alu_operand_b_ex[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257362 (
-	.A1(FE_OFN15862_n_13651),
-	.A2(FE_OFN16077_n),
-	.B1(n_11522),
-	.B2(u_top_u_core_instr_rdata_id[17]),
-	.C1(n_11586),
-	.X(u_top_u_core_alu_operand_b_ex[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257363 (
-	.A1(n_13658),
-	.A2(FE_OFN16077_n),
-	.B1(n_11522),
-	.B2(u_top_u_core_instr_rdata_id[24]),
-	.C1(n_11586),
-	.X(u_top_u_core_alu_operand_b_ex[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257364 (
-	.A1(n_13657),
-	.A2(FE_OFN16077_n),
-	.B1(n_11522),
-	.B2(FE_OFN818_u_top_u_core_instr_rdata_id_23),
-	.C1(n_11586),
-	.X(u_top_u_core_alu_operand_b_ex[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257365 (
-	.A1(n_13652),
-	.A2(FE_OFN16077_n),
-	.B1(n_11522),
-	.B2(u_top_u_core_instr_rdata_id[18]),
-	.C1(n_11586),
-	.X(u_top_u_core_alu_operand_b_ex[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g257366 (
-	.A1(n_13649),
-	.A2(FE_OFN16077_n),
-	.B1(n_11522),
-	.B2(FE_PSN3879_u_top_u_core_instr_rdata_id_15),
-	.C1(n_11586),
-	.X(u_top_u_core_alu_operand_b_ex[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257370 (
-	.A(FE_OFN15902_n_11355),
-	.B(n_13661),
-	.Y(n_13002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257371 (
-	.A(FE_OFN15902_n_11355),
-	.B(n_13658),
-	.Y(n_13001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257372 (
-	.A(FE_OFN15902_n_11355),
-	.B(n_13657),
-	.Y(n_13000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257373 (
-	.A(FE_OFN15902_n_11355),
-	.B(n_13652),
-	.Y(n_12999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257374 (
-	.A(FE_OFN15902_n_11355),
-	.B(n_13649),
-	.Y(n_12998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257375 (
-	.A(n_11355),
-	.B(n_13643),
-	.Y(n_12997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g257376 (
-	.A(n_13636),
-	.B(FE_OFN1033_n_11410),
-	.Y(n_12996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257377 (
-	.A(n_11355),
-	.B(n_13636),
-	.Y(n_12995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257378 (
-	.A(FE_OFN15902_n_11355),
-	.B(n_13664),
-	.Y(n_12994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257379 (
-	.A(FE_OFN15902_n_11355),
-	.B(n_13663),
-	.Y(n_12993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257380 (
-	.A(FE_OFN15902_n_11355),
-	.B(n_13662),
-	.Y(n_12992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257381 (
-	.A(n_11355),
-	.B(u_top_u_core_multdiv_operand_b_ex[0]),
-	.Y(n_12991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257382 (
-	.A(FE_OFN15902_n_11355),
-	.B(FE_OFN1377_n_13660),
-	.Y(n_12990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257383 (
-	.A(FE_OFN15902_n_11355),
-	.B(FE_OFN1376_n_13659),
-	.Y(n_12989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257384 (
-	.A(FE_OFN15902_n_11355),
-	.B(n_13653),
-	.Y(n_12988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257385 (
-	.A(FE_OFN15902_n_11355),
-	.B(FE_OFN15862_n_13651),
-	.Y(n_12987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257386 (
-	.A(FE_OFN15902_n_11355),
-	.B(FE_OFN1373_n_13648),
-	.Y(n_12986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_4 g257389 (
-	.A(n_12888),
-	.B(n_12905),
-	.C(n_12884),
-	.D(n_12903),
-	.X(n_13728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_4 g257390 (
-	.A(n_12838),
-	.B(n_12837),
-	.C(n_12869),
-	.D(n_12921),
-	.X(n_13726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 g257392 (
-	.A(n_12828),
-	.B(n_12885),
-	.C(n_12949),
-	.Y(n_766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 g257393 (
-	.A(n_12918),
-	.B(n_12868),
-	.C(n_12974),
-	.Y(n_721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g257394 (
-	.A(FE_OFN922_n_296),
-	.Y(n_13711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g257400 (
-	.A1(n_11355),
-	.A2(FE_OCPN16261_n_13635),
-	.B1(n_11515),
-	.Y(n_12978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257402 (
-	.A(n_12975),
-	.B(n_12937),
-	.C(n_12702),
-	.D(n_12763),
-	.Y(n_13713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257403 (
-	.A(n_12972),
-	.B(n_12902),
-	.C(n_12761),
-	.D(n_12762),
-	.Y(n_13712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_4 g257404 (
-	.A(n_12841),
-	.B(n_12900),
-	.C(n_12876),
-	.D(n_12929),
-	.X(n_13727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g257405 (
-	.A(n_12899),
-	.B(n_12842),
-	.C(n_12956),
-	.D(n_12879),
-	.Y(n_296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257406 (
-	.A(n_12878),
-	.B(n_12930),
-	.C(n_12971),
-	.Y(n_295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257407 (
-	.A(n_12968),
-	.B(n_12898),
-	.C(n_12760),
-	.D(n_12759),
-	.Y(n_13721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 g257408 (
-	.A(n_12927),
-	.B(n_12874),
-	.C(n_12969),
-	.Y(n_303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257409 (
-	.A(n_12925),
-	.B(n_12873),
-	.C(n_12966),
-	.Y(n_297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257410 (
-	.A(n_12977),
-	.B(n_12895),
-	.C(n_12755),
-	.D(n_12756),
-	.Y(n_13720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257411 (
-	.A(n_12964),
-	.B(n_12894),
-	.C(n_12754),
-	.D(n_12753),
-	.Y(n_13707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_4 g257412 (
-	.A(n_12826),
-	.B(n_12827),
-	.C(n_12859),
-	.D(n_12857),
-	.X(n_13724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257413 (
-	.A(n_12922),
-	.B(n_12870),
-	.C(n_12963),
-	.Y(n_750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_4 g257414 (
-	.A(n_12892),
-	.B(n_12833),
-	.C(n_12863),
-	.D(n_12916),
-	.X(n_13729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257415 (
-	.A(n_12962),
-	.B(n_12920),
-	.C(n_12639),
-	.D(n_12751),
-	.Y(n_13719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 g257416 (
-	.A(n_12917),
-	.B(n_12866),
-	.C(n_12961),
-	.Y(n_285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_4 g257417 (
-	.A(n_12829),
-	.B(n_12912),
-	.C(n_12862),
-	.D(n_12910),
-	.X(n_13725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257418 (
-	.A(n_12960),
-	.B(n_12911),
-	.C(n_12597),
-	.D(n_12744),
-	.Y(n_13717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257419 (
-	.A(n_12965),
-	.B(n_12889),
-	.C(n_12726),
-	.D(n_12729),
-	.Y(n_13716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257420 (
-	.A(n_12967),
-	.B(n_12887),
-	.C(n_12725),
-	.D(n_12724),
-	.Y(n_13715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_4 g257421 (
-	.A(n_12886),
-	.B(n_12844),
-	.C(n_12877),
-	.D(n_12933),
-	.X(n_13730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_4 g257422 (
-	.A(n_12824),
-	.B(n_12883),
-	.C(n_12938),
-	.D(n_12939),
-	.X(n_13723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_2 g257423 (
-	.A(n_12835),
-	.B(n_12934),
-	.C(n_12926),
-	.D(n_12915),
-	.X(n_13731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257424 (
-	.A(n_12970),
-	.B(n_12825),
-	.C(n_12722),
-	.D(n_12723),
-	.Y(n_13714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257425 (
-	.A(n_12924),
-	.B(n_12872),
-	.Y(n_12977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257426 (
-	.A(n_12890),
-	.B(n_12612),
-	.C(n_12309),
-	.D(n_12610),
-	.Y(n_12976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g257427 (
-	.A(n_12882),
-	.B(n_12936),
-	.Y(n_12975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g257428 (
-	.A(n_12891),
-	.B(n_12628),
-	.C(n_12333),
-	.D(n_12629),
-	.Y(n_12974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257429 (
-	.A(n_12901),
-	.B(n_12686),
-	.C(n_12432),
-	.D(n_12684),
-	.Y(n_12973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257430 (
-	.A(n_12935),
-	.B(n_12881),
-	.Y(n_12972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257431 (
-	.A(n_12840),
-	.B(n_12672),
-	.C(n_12408),
-	.D(n_12671),
-	.Y(n_12971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g257432 (
-	.A(n_12907),
-	.B(n_12904),
-	.Y(n_12970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257433 (
-	.A(n_12897),
-	.B(n_12758),
-	.C(n_12392),
-	.D(n_12395),
-	.Y(n_12969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257434 (
-	.A(n_12928),
-	.B(n_12875),
-	.Y(n_12968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g257435 (
-	.A(n_12858),
-	.B(n_12906),
-	.Y(n_12967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257436 (
-	.A(n_12896),
-	.B(n_12757),
-	.C(n_12376),
-	.D(n_12377),
-	.Y(n_12966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g257437 (
-	.A(n_12908),
-	.B(n_12860),
-	.Y(n_12965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g257438 (
-	.A(n_12923),
-	.B(n_12871),
-	.Y(n_12964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g257439 (
-	.A(n_12893),
-	.B(n_12752),
-	.C(n_12345),
-	.D(n_12346),
-	.Y(n_12963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g257440 (
-	.A(n_12919),
-	.B(n_12867),
-	.Y(n_12962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g257442 (
-	.A(n_12909),
-	.B(n_12861),
-	.Y(n_12960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_4 g257443 (
-	.A(n_12721),
-	.B(n_12812),
-	.C(n_12811),
-	.D(n_12786),
-	.X(n_13661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_4 g257445 (
-	.A(n_12542),
-	.B(n_12712),
-	.C(n_12953),
-	.X(n_13649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_4 g257446 (
-	.A(n_12547),
-	.B(n_12714),
-	.C(n_12818),
-	.D(n_12713),
-	.X(n_13652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_4 g257447 (
-	.A(n_12558),
-	.B(n_12788),
-	.C(n_12787),
-	.D(n_12716),
-	.X(n_13657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_4 g257448 (
-	.A(n_12559),
-	.B(n_12823),
-	.C(n_12719),
-	.D(n_12718),
-	.X(n_13658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g257449 (
-	.A(n_12523),
-	.B(n_12522),
-	.C(n_12791),
-	.D(n_12767),
-	.X(n_13665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257453 (
-	.A(n_12943),
-	.B(n_12485),
-	.C(n_12484),
-	.Y(n_13640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257454 (
-	.A(n_12843),
-	.B(n_12808),
-	.C(n_12073),
-	.D(n_12520),
-	.Y(n_13659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257455 (
-	.A(n_12951),
-	.B(n_12799),
-	.C(n_12042),
-	.D(n_12512),
-	.Y(n_13651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257456 (
-	.A(n_12839),
-	.B(n_12796),
-	.C(n_12029),
-	.D(n_12509),
-	.Y(n_13648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257457 (
-	.A(n_12807),
-	.B(n_12821),
-	.C(n_12556),
-	.D(n_12806),
-	.Y(n_13656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257458 (
-	.A(n_12820),
-	.B(n_12805),
-	.C(n_12553),
-	.D(n_12804),
-	.Y(n_13655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257459 (
-	.A(n_12819),
-	.B(n_12803),
-	.C(n_12550),
-	.D(n_12802),
-	.Y(n_13654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257460 (
-	.A(n_12798),
-	.B(n_12817),
-	.C(n_12797),
-	.D(n_12544),
-	.Y(n_13650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257461 (
-	.A(n_12795),
-	.B(n_12815),
-	.C(n_12538),
-	.D(n_12794),
-	.Y(n_13647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257462 (
-	.A(n_12793),
-	.B(n_12814),
-	.C(n_12792),
-	.D(n_12535),
-	.Y(n_13646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g257463 (
-	.A(n_12527),
-	.B(n_12503),
-	.C(n_12781),
-	.D(n_12782),
-	.Y(n_12959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257464 (
-	.A(n_12941),
-	.B(n_12490),
-	.C(n_12013),
-	.D(n_12014),
-	.Y(n_13644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257465 (
-	.A(n_12944),
-	.B(n_12488),
-	.C(n_12487),
-	.Y(n_13642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257466 (
-	.A(n_12952),
-	.B(n_12809),
-	.C(n_12078),
-	.D(n_12521),
-	.Y(n_13660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g257467 (
-	.A(n_12942),
-	.B(n_12775),
-	.Y(n_13639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g257468 (
-	.A(n_12940),
-	.B(n_12773),
-	.Y(n_13638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_4 g257469 (
-	.A(n_12836),
-	.B(n_12852),
-	.C(n_12003),
-	.D(n_12004),
-	.Y(n_13643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g257470 (
-	.A(n_12931),
-	.B(n_12834),
-	.Y(n_13641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 g257471 (
-	.A(n_12955),
-	.B(n_12831),
-	.Y(n_13637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g257472 (
-	.A(n_12954),
-	.B(n_12830),
-	.Y(n_13636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_2 g257474 (
-	.A(n_12533),
-	.B(n_12532),
-	.C(n_12790),
-	.D(n_12766),
-	.X(n_13664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_2 g257475 (
-	.A(n_12531),
-	.B(n_12530),
-	.C(n_12789),
-	.D(n_12765),
-	.X(n_13663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_4 g257476 (
-	.A(n_12529),
-	.B(n_12528),
-	.C(n_12822),
-	.D(n_12764),
-	.X(n_13662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g257477 (
-	.A(n_12948),
-	.B(n_12947),
-	.Y(n_271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_8 g257478 (
-	.A(n_12946),
-	.B(n_12945),
-	.Y(n_288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257479 (
-	.A(n_12680),
-	.B(n_12677),
-	.C(n_12422),
-	.Y(n_12956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_8 g257480 (
-	.A(n_12482),
-	.B(n_12851),
-	.Y(n_12955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g257481 (
-	.A(n_12480),
-	.B(n_12849),
-	.Y(n_12954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257482 (
-	.A(n_12816),
-	.B(n_12491),
-	.C(n_12119),
-	.D(n_11862),
-	.Y(n_12953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257483 (
-	.A(n_12785),
-	.B(n_12810),
-	.Y(n_12952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257484 (
-	.A(n_12783),
-	.B(n_12800),
-	.Y(n_12951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257485 (
-	.A(n_12750),
-	.B(n_12749),
-	.C(n_12608),
-	.D(n_12748),
-	.Y(n_12950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g257487 (
-	.A(n_12742),
-	.B(n_15802),
-	.C(n_12743),
-	.D(n_15803),
-	.Y(n_12948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g257490 (
-	.A(n_12731),
-	.B(n_12730),
-	.C(n_15808),
-	.D(n_15807),
-	.Y(n_12945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257491 (
-	.A(n_12710),
-	.B(n_12500),
-	.C(n_12778),
-	.Y(n_12944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257492 (
-	.A(n_12708),
-	.B(n_12498),
-	.C(n_12776),
-	.Y(n_12943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 g257493 (
-	.A(n_12707),
-	.B(n_12497),
-	.C(n_12774),
-	.Y(n_12942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g257494 (
-	.A(n_12526),
-	.B(n_12502),
-	.C(n_12780),
-	.Y(n_12941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 g257495 (
-	.A(n_12525),
-	.B(n_12496),
-	.C(n_12772),
-	.Y(n_12940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257496 (
-	.A(n_12703),
-	.B(n_12706),
-	.C(n_12459),
-	.Y(n_12939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257497 (
-	.A(n_12696),
-	.B(n_12699),
-	.C(n_12455),
-	.Y(n_12938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g257498 (
-	.A(n_12698),
-	.B(n_12697),
-	.C(n_12456),
-	.X(n_12937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257499 (
-	.A(n_12695),
-	.B(n_12694),
-	.C(n_12453),
-	.Y(n_12936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257500 (
-	.A(n_12689),
-	.B(n_12687),
-	.C(n_12438),
-	.Y(n_12935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257501 (
-	.A(n_12678),
-	.B(n_12705),
-	.C(n_12436),
-	.Y(n_12934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257502 (
-	.A(n_12667),
-	.B(n_12676),
-	.C(n_12409),
-	.Y(n_12933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257503 (
-	.A(n_12679),
-	.B(n_12682),
-	.C(n_12424),
-	.Y(n_12932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4b_1 g257504 (
-	.A_N(n_12709),
-	.B(n_12486),
-	.C(n_11978),
-	.D(n_12001),
-	.X(n_12931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257505 (
-	.A(n_12670),
-	.B(n_12668),
-	.C(n_12405),
-	.Y(n_12930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257506 (
-	.A(n_12663),
-	.B(n_12666),
-	.C(n_12400),
-	.Y(n_12929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257507 (
-	.A(n_12662),
-	.B(n_12660),
-	.C(n_12393),
-	.Y(n_12928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257508 (
-	.A(n_12659),
-	.B(n_12658),
-	.C(n_12390),
-	.Y(n_12927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257509 (
-	.A(n_12623),
-	.B(n_12661),
-	.C(n_12350),
-	.Y(n_12926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257510 (
-	.A(n_12652),
-	.B(n_12650),
-	.C(n_12373),
-	.Y(n_12925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257511 (
-	.A(n_12576),
-	.B(n_12645),
-	.C(n_12364),
-	.Y(n_12924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257512 (
-	.A(n_12644),
-	.B(n_12643),
-	.C(n_12361),
-	.Y(n_12923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257513 (
-	.A(n_12638),
-	.B(n_12637),
-	.C(n_12344),
-	.Y(n_12922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257514 (
-	.A(n_12632),
-	.B(n_12636),
-	.C(n_12334),
-	.Y(n_12921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g257515 (
-	.A(n_12635),
-	.B(n_12633),
-	.C(n_12337),
-	.X(n_12920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257516 (
-	.A(n_12630),
-	.B(n_12626),
-	.C(n_12332),
-	.Y(n_12919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257517 (
-	.A(n_12624),
-	.B(n_12625),
-	.C(n_12331),
-	.Y(n_12918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257518 (
-	.A(n_12616),
-	.B(n_12614),
-	.C(n_12314),
-	.Y(n_12917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257519 (
-	.A(n_12590),
-	.B(n_12604),
-	.C(n_12290),
-	.Y(n_12916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257520 (
-	.A(n_12586),
-	.B(n_12613),
-	.C(n_12273),
-	.Y(n_12915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257521 (
-	.A(n_12607),
-	.B(n_12606),
-	.C(n_12305),
-	.Y(n_12914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257522 (
-	.A(n_12603),
-	.B(n_12605),
-	.C(n_12301),
-	.Y(n_12913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257523 (
-	.A(n_12602),
-	.B(n_12598),
-	.C(n_12292),
-	.Y(n_12912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g257524 (
-	.A(n_12594),
-	.B(n_12591),
-	.C(n_12279),
-	.X(n_12911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257525 (
-	.A(n_12589),
-	.B(n_12595),
-	.C(n_12277),
-	.Y(n_12910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257526 (
-	.A(n_12588),
-	.B(n_12587),
-	.C(n_12270),
-	.Y(n_12909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257527 (
-	.A(n_12580),
-	.B(n_12579),
-	.C(n_12230),
-	.Y(n_12908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g257528 (
-	.A(n_12845),
-	.B(n_12769),
-	.Y(n_13635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257529 (
-	.A(n_12704),
-	.B(n_12462),
-	.C(n_12461),
-	.D(n_12197),
-	.Y(n_12907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257530 (
-	.A(n_12573),
-	.B(n_12572),
-	.C(n_12213),
-	.Y(n_12906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257531 (
-	.A(n_12571),
-	.B(n_12567),
-	.C(n_12204),
-	.Y(n_12905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257532 (
-	.A(n_12565),
-	.B(n_12564),
-	.C(n_12200),
-	.Y(n_12904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257533 (
-	.A(n_12700),
-	.B(n_12563),
-	.C(n_12458),
-	.Y(n_12903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257534 (
-	.A1(FE_PSN3873_FE_OFN15988_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
-	.C1(n_12856),
-	.Y(n_12902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g257535 (
-	.A1(FE_PSN3873_FE_OFN15988_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
-	.C1(n_12855),
-	.Y(n_12901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257536 (
-	.A(n_12681),
-	.B(n_12431),
-	.C(n_12426),
-	.Y(n_12900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257537 (
-	.A(n_12683),
-	.B(n_12430),
-	.C(n_12429),
-	.Y(n_12899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257538 (
-	.A1(FE_OCPN16296_n_11907),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
-	.C1(n_12854),
-	.Y(n_12898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g257539 (
-	.A(n_12664),
-	.B(n_12399),
-	.C(n_12398),
-	.X(n_12897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g257540 (
-	.A(n_12654),
-	.B(n_12378),
-	.C(n_12379),
-	.X(n_12896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257541 (
-	.A1(FE_OCPN16278_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
-	.B1(n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
-	.C1(n_12853),
-	.Y(n_12895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g257542 (
-	.A(n_12647),
-	.B(n_12366),
-	.C(n_12367),
-	.X(n_12894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g257543 (
-	.A(n_12640),
-	.B(n_12274),
-	.C(n_12504),
-	.X(n_12893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257544 (
-	.A(n_12627),
-	.B(n_12347),
-	.C(n_12338),
-	.Y(n_12892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g257545 (
-	.A(n_12631),
-	.B(n_12335),
-	.C(n_12336),
-	.X(n_12891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g257546 (
-	.A1(FE_OCPN16296_n_11907),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
-	.C1(n_12850),
-	.Y(n_12890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257547 (
-	.A1(FE_OCPN16278_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
-	.B1(n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
-	.C1(n_12848),
-	.Y(n_12889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257548 (
-	.A(n_12574),
-	.B(n_12221),
-	.C(n_12218),
-	.Y(n_12888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257549 (
-	.A1(FE_PSN3873_FE_OFN15988_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
-	.C1(n_12847),
-	.Y(n_12887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257550 (
-	.A(n_12701),
-	.B(n_12202),
-	.C(n_12460),
-	.Y(n_12886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257551 (
-	.A(n_15812),
-	.B(n_15811),
-	.C(n_12282),
-	.D(n_12280),
-	.Y(n_12885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257552 (
-	.A(n_12691),
-	.B(n_12447),
-	.C(n_12445),
-	.D(n_12454),
-	.Y(n_12884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257553 (
-	.A(n_12692),
-	.B(n_12452),
-	.C(n_12450),
-	.D(n_12446),
-	.Y(n_12883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257554 (
-	.A(n_12693),
-	.B(n_12451),
-	.C(n_12448),
-	.D(n_12449),
-	.Y(n_12882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257555 (
-	.A(n_12685),
-	.B(n_12435),
-	.C(n_12433),
-	.D(n_12434),
-	.Y(n_12881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257556 (
-	.A(n_12674),
-	.B(n_12420),
-	.C(n_12417),
-	.D(n_12414),
-	.Y(n_12880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257557 (
-	.A(n_12675),
-	.B(n_12416),
-	.C(n_12418),
-	.D(n_12419),
-	.Y(n_12879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257558 (
-	.A(n_12665),
-	.B(n_12401),
-	.C(n_12404),
-	.D(n_12403),
-	.Y(n_12878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257559 (
-	.A(n_12653),
-	.B(n_12382),
-	.C(n_12380),
-	.D(n_12394),
-	.Y(n_12877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257560 (
-	.A(n_12655),
-	.B(n_12391),
-	.C(n_12384),
-	.D(n_12381),
-	.Y(n_12876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257561 (
-	.A(n_12386),
-	.B(n_12656),
-	.C(n_12383),
-	.D(n_12389),
-	.Y(n_12875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257562 (
-	.A(n_12657),
-	.B(n_12385),
-	.C(n_12387),
-	.D(n_12388),
-	.Y(n_12874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257563 (
-	.A(n_12649),
-	.B(n_12369),
-	.C(n_12372),
-	.D(n_12371),
-	.Y(n_12873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257564 (
-	.A(n_12641),
-	.B(n_12353),
-	.C(n_12356),
-	.D(n_12359),
-	.Y(n_12872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257565 (
-	.A(n_12642),
-	.B(n_12355),
-	.C(n_12357),
-	.D(n_12358),
-	.Y(n_12871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257566 (
-	.A(n_12634),
-	.B(n_12339),
-	.C(n_12341),
-	.D(n_12340),
-	.Y(n_12870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257567 (
-	.A(n_12620),
-	.B(n_12325),
-	.C(n_12320),
-	.D(n_12330),
-	.Y(n_12869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g257568 (
-	.A(n_12622),
-	.B(n_12324),
-	.C(n_12328),
-	.D(n_12327),
-	.Y(n_12868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257569 (
-	.A(n_12322),
-	.B(n_12621),
-	.C(n_12326),
-	.D(n_12329),
-	.Y(n_12867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257570 (
-	.A(n_12611),
-	.B(n_12312),
-	.C(n_12310),
-	.D(n_12311),
-	.Y(n_12866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257571 (
-	.A(n_12601),
-	.B(n_12298),
-	.C(n_12295),
-	.D(n_12299),
-	.Y(n_12865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257572 (
-	.A(n_12600),
-	.B(n_12296),
-	.C(n_12297),
-	.D(n_12294),
-	.Y(n_12864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257573 (
-	.A(n_12583),
-	.B(n_12251),
-	.C(n_12249),
-	.D(n_12271),
-	.Y(n_12863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257574 (
-	.A(n_12584),
-	.B(n_12255),
-	.C(n_12252),
-	.D(n_12265),
-	.Y(n_12862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257575 (
-	.A(n_12585),
-	.B(n_12257),
-	.C(n_12259),
-	.D(n_12263),
-	.Y(n_12861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257576 (
-	.A(n_12578),
-	.B(n_12224),
-	.C(n_12227),
-	.D(n_12226),
-	.Y(n_12860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257577 (
-	.A(n_12569),
-	.B(n_12209),
-	.C(n_12207),
-	.D(n_12212),
-	.Y(n_12859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257578 (
-	.A(n_12570),
-	.B(n_12208),
-	.C(n_12211),
-	.D(n_12210),
-	.Y(n_12858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257579 (
-	.A(n_12575),
-	.B(n_12646),
-	.C(n_12215),
-	.Y(n_12857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257580 (
-	.A(n_12690),
-	.B(n_12443),
-	.Y(n_12856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257581 (
-	.A(n_12688),
-	.B(n_12439),
-	.Y(n_12855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257582 (
-	.A(n_12669),
-	.B(n_12407),
-	.Y(n_12854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257583 (
-	.A(n_12651),
-	.B(n_12374),
-	.Y(n_12853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4_1 g257584 (
-	.A(n_12489),
-	.B(n_11856),
-	.C(n_12111),
-	.D(n_12155),
-	.X(n_12852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257586 (
-	.A(n_12615),
-	.B(n_12315),
-	.Y(n_12850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257587 (
-	.A(n_12479),
-	.B(n_12161),
-	.C(n_11823),
-	.D(n_12105),
-	.Y(n_12849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257588 (
-	.A(n_12582),
-	.B(n_12243),
-	.Y(n_12848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257589 (
-	.A(n_12577),
-	.B(n_12220),
-	.Y(n_12847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257590 (
-	.A(n_12784),
-	.B(n_12715),
-	.Y(n_12846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 g257591 (
-	.A(n_12468),
-	.B(n_12493),
-	.C(n_12768),
-	.Y(n_12845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257592 (
-	.A(n_12441),
-	.B(n_12444),
-	.C(n_12421),
-	.D(n_12437),
-	.Y(n_12844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257593 (
-	.A(n_12561),
-	.B(n_12720),
-	.Y(n_12843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257594 (
-	.A(n_12425),
-	.B(n_12427),
-	.C(n_12423),
-	.D(n_12428),
-	.Y(n_12842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257595 (
-	.A(n_12413),
-	.B(n_12410),
-	.C(n_12406),
-	.D(n_12415),
-	.Y(n_12841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g257596 (
-	.A(n_12673),
-	.B(n_12411),
-	.C(n_12412),
-	.X(n_12840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257597 (
-	.A(n_12541),
-	.B(n_12711),
-	.Y(n_12839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257598 (
-	.A(n_12648),
-	.B(n_12362),
-	.C(n_12360),
-	.Y(n_12838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257599 (
-	.A(n_12352),
-	.B(n_12349),
-	.C(n_12342),
-	.D(n_12354),
-	.Y(n_12837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g257600 (
-	.A(n_12501),
-	.B(n_12779),
-	.Y(n_12836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257601 (
-	.A(n_12300),
-	.B(n_12323),
-	.C(n_12216),
-	.D(n_12285),
-	.Y(n_12835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257602 (
-	.A(n_12499),
-	.B(n_12777),
-	.Y(n_12834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257603 (
-	.A(n_12319),
-	.B(n_12321),
-	.C(n_12308),
-	.D(n_12313),
-	.Y(n_12833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g257604 (
-	.A(n_12619),
-	.B(n_12318),
-	.C(n_12317),
-	.X(n_12832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257605 (
-	.A(n_12495),
-	.B(n_12771),
-	.Y(n_12831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g257606 (
-	.A(n_12494),
-	.B(n_12770),
-	.Y(n_12830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257607 (
-	.A(n_12609),
-	.B(n_12303),
-	.C(n_12302),
-	.Y(n_12829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257608 (
-	.A(n_15810),
-	.B(n_12284),
-	.C(n_12283),
-	.Y(n_12828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257609 (
-	.A(n_12581),
-	.B(n_12228),
-	.C(n_12229),
-	.Y(n_12827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257610 (
-	.A(n_12222),
-	.B(n_12225),
-	.C(n_12219),
-	.D(n_12223),
-	.Y(n_12826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g257611 (
-	.A(n_12568),
-	.B(n_12205),
-	.C(n_12206),
-	.X(n_12825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257612 (
-	.A(n_12566),
-	.B(n_12199),
-	.C(n_12198),
-	.Y(n_12824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257613 (
-	.A1(FE_OCPN16379_FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
-	.B1(FE_OFN16797_n),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
-	.C1(n_12519),
-	.X(n_12823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257614 (
-	.A(n_11936),
-	.B(n_11935),
-	.C(n_12085),
-	.D(n_11847),
-	.Y(n_12822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257615 (
-	.A1(FE_OCPN16379_FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
-	.B1(FE_OFN16797_n),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
-	.C1(n_12517),
-	.Y(n_12821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g257616 (
-	.A1(FE_OCPN16379_FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
-	.B1(FE_OFN16011_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
-	.C1(n_12516),
-	.Y(n_12820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257617 (
-	.A1(FE_OCPN16381_FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
-	.B1(FE_OFN16011_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
-	.C1(n_12515),
-	.Y(n_12819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257618 (
-	.A1(FE_OCPN16379_FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
-	.B1(FE_OFN16797_n),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
-	.C1(n_12513),
-	.X(n_12818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257619 (
-	.A1(FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
-	.B1(FE_OCPN16282_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
-	.C1(n_12511),
-	.Y(n_12817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_4 g257621 (
-	.A1(FE_OCPN16379_FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
-	.B1(FE_OFN16011_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
-	.C1(n_12508),
-	.Y(n_12815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g257623 (
-	.A(n_12524),
-	.B(n_12475),
-	.Y(n_12813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257624 (
-	.A(n_12083),
-	.B(n_12148),
-	.C(n_11900),
-	.D(n_12149),
-	.Y(n_12812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257625 (
-	.A(n_12082),
-	.B(n_12081),
-	.C(n_12147),
-	.D(n_11899),
-	.Y(n_12811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257626 (
-	.A(n_12080),
-	.B(n_12079),
-	.C(n_11896),
-	.D(n_12146),
-	.Y(n_12810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257627 (
-	.A1(FE_OFN16808_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
-	.B1(FE_OFN16770_n),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
-	.C1(n_12562),
-	.Y(n_12809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257628 (
-	.A1(FE_OCPN16822_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
-	.B1(FE_OFN16007_n_11633),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
-	.C1(n_12560),
-	.Y(n_12808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257629 (
-	.A1(FE_OFN16019_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
-	.B1(FE_OCPN16292_n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
-	.C1(n_12557),
-	.Y(n_12807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257630 (
-	.A1(FE_OFN16804_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
-	.B1(FE_OCPN16360_n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
-	.C1(n_12555),
-	.Y(n_12806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257631 (
-	.A1(FE_OFN16019_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
-	.B1(FE_OCPN16292_n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
-	.C1(n_12554),
-	.Y(n_12805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257632 (
-	.A1(FE_OFN16006_n_11613),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
-	.B1(FE_OCPN16360_n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
-	.C1(n_12552),
-	.Y(n_12804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257633 (
-	.A1(FE_OCPN16362_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
-	.C1(n_12551),
-	.Y(n_12803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257634 (
-	.A1(FE_OFN16807_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
-	.B1(FE_OFN16016_n_11642),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
-	.C1(n_12549),
-	.Y(n_12802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257635 (
-	.A1(FE_OFN16006_n_11613),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
-	.B1(FE_OFN16007_n_11633),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
-	.C1(n_12548),
-	.Y(n_12801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257636 (
-	.A(n_12044),
-	.B(n_12043),
-	.C(n_11871),
-	.D(n_12125),
-	.Y(n_12800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257637 (
-	.A1(FE_OFN16039_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
-	.B1(FE_OFN16007_n_11633),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
-	.C1(n_12546),
-	.Y(n_12799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257638 (
-	.A1(FE_OCPN16833_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
-	.C1(n_12545),
-	.Y(n_12798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257639 (
-	.A1(n_11613),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
-	.B1(FE_OCPN16317_n_11633),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
-	.C1(n_12543),
-	.Y(n_12797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257640 (
-	.A1(FE_OCPN16822_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
-	.B1(FE_OFN16007_n_11633),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
-	.C1(n_12540),
-	.Y(n_12796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257641 (
-	.A1(FE_OFN16019_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
-	.C1(n_12539),
-	.Y(n_12795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257642 (
-	.A1(FE_OCPN16822_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
-	.B1(FE_OFN16007_n_11633),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
-	.C1(n_12537),
-	.Y(n_12794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257643 (
-	.A1(n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
-	.C1(n_12536),
-	.Y(n_12793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257644 (
-	.A1(FE_OCPN16822_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
-	.B1(FE_OFN16007_n_11633),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
-	.C1(n_12534),
-	.Y(n_12792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257645 (
-	.A(n_11948),
-	.B(n_11946),
-	.C(n_12100),
-	.D(n_11842),
-	.Y(n_12791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257646 (
-	.A(n_11944),
-	.B(n_11943),
-	.C(n_12095),
-	.D(n_11840),
-	.Y(n_12790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257647 (
-	.A(n_11939),
-	.B(n_11938),
-	.C(n_12090),
-	.D(n_11830),
-	.Y(n_12789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257648 (
-	.A1(FE_OCPN16381_FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
-	.B1(FE_OFN16011_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
-	.C1(n_12518),
-	.X(n_12788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257649 (
-	.A(n_12169),
-	.B(n_12138),
-	.C(n_11886),
-	.D(n_12064),
-	.Y(n_12787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257650 (
-	.A(n_12467),
-	.B(n_11897),
-	.C(n_11808),
-	.D(n_11807),
-	.Y(n_12786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257651 (
-	.A(n_12145),
-	.B(n_11809),
-	.C(n_11895),
-	.D(n_11810),
-	.Y(n_12785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257652 (
-	.A(n_12194),
-	.B(n_11752),
-	.C(n_11877),
-	.D(n_11751),
-	.Y(n_12784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257653 (
-	.A(n_12124),
-	.B(n_11742),
-	.C(n_11853),
-	.D(n_11743),
-	.Y(n_12783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257654 (
-	.A(n_12019),
-	.B(n_12022),
-	.C(n_12020),
-	.D(n_12021),
-	.Y(n_12782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257655 (
-	.A(n_12017),
-	.B(n_11952),
-	.C(n_12018),
-	.D(n_12016),
-	.Y(n_12781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257656 (
-	.A(n_12009),
-	.B(n_12008),
-	.C(n_12010),
-	.D(n_12011),
-	.Y(n_12780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257657 (
-	.A(n_12006),
-	.B(n_12005),
-	.C(n_12007),
-	.D(n_12067),
-	.Y(n_12779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257658 (
-	.A(n_11997),
-	.B(n_12038),
-	.C(n_11998),
-	.D(n_11996),
-	.Y(n_12778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257659 (
-	.A(n_11993),
-	.B(n_11994),
-	.C(n_12059),
-	.D(n_11953),
-	.Y(n_12777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257660 (
-	.A(n_11988),
-	.B(n_12025),
-	.C(n_11989),
-	.D(n_12056),
-	.Y(n_12776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g257661 (
-	.A(n_12483),
-	.B(n_11986),
-	.C(n_11987),
-	.X(n_12775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257662 (
-	.A(n_11933),
-	.B(n_11984),
-	.C(n_11985),
-	.D(n_11947),
-	.Y(n_12774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4_4 g257663 (
-	.A(n_11982),
-	.B(n_11942),
-	.C(n_11968),
-	.D(n_11983),
-	.X(n_12773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257664 (
-	.A(n_11965),
-	.B(n_11980),
-	.C(n_11981),
-	.D(n_11940),
-	.Y(n_12772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257665 (
-	.A(n_11975),
-	.B(n_11976),
-	.C(n_11995),
-	.D(n_11974),
-	.Y(n_12771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257666 (
-	.A(n_11979),
-	.B(n_11977),
-	.C(n_11970),
-	.D(n_11969),
-	.Y(n_12770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4_1 g257667 (
-	.A(n_11962),
-	.B(n_11964),
-	.C(n_11934),
-	.D(n_11963),
-	.X(n_12769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257669 (
-	.A(n_12466),
-	.B(n_11843),
-	.C(n_11654),
-	.D(n_11653),
-	.Y(n_12767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257670 (
-	.A(n_12465),
-	.B(n_11841),
-	.C(n_11649),
-	.D(n_11650),
-	.Y(n_12766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257671 (
-	.A(n_12464),
-	.B(n_11829),
-	.C(n_11646),
-	.D(n_11647),
-	.Y(n_12765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257672 (
-	.A(n_12463),
-	.B(n_11824),
-	.C(n_11644),
-	.D(n_11660),
-	.Y(n_12764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257673 (
-	.A1(FE_OCPN16296_n_11907),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
-	.C1(n_12457),
-	.Y(n_12763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257674 (
-	.A1(FE_OFN15974_n_15704),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
-	.B1(FE_OFN16028_n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
-	.C1(n_12442),
-	.Y(n_12762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257675 (
-	.A1(FE_OFN16012_n_15707),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
-	.B1(FE_OFN15981_n_15708),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
-	.C1(n_12440),
-	.Y(n_12761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257676 (
-	.A1(FE_OFN16062_n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
-	.B1(FE_OFN15981_n_15708),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
-	.C1(n_12402),
-	.Y(n_12760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257677 (
-	.A1(FE_OFN15974_n_15704),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
-	.B1(FE_OFN16028_n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
-	.C1(n_12397),
-	.Y(n_12759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g257678 (
-	.A1(FE_OFN15974_n_15704),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
-	.B1(FE_OFN16028_n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
-	.C1(n_12396),
-	.Y(n_12758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257679 (
-	.A1(FE_OFN16066_n_15693),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
-	.B1(FE_OFN16012_n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
-	.C1(n_12375),
-	.Y(n_12757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257680 (
-	.A1(n_15704),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
-	.B1(FE_OFN16793_n_15710),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
-	.C1(n_12370),
-	.Y(n_12756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257681 (
-	.A1(FE_OFN1047_n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
-	.B1(n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
-	.C1(n_12368),
-	.Y(n_12755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257682 (
-	.A1(n_15710),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
-	.B1(n_15708),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
-	.C1(n_12365),
-	.Y(n_12754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257683 (
-	.A1(n_15707),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
-	.B1(n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
-	.C1(n_12363),
-	.Y(n_12753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257684 (
-	.A1(n_15708),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
-	.B1(n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
-	.C1(n_12348),
-	.Y(n_12752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257685 (
-	.A1(FE_PSN3873_FE_OFN15988_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
-	.C1(n_12343),
-	.Y(n_12751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g257686 (
-	.A1(FE_PSN3873_FE_OFN15988_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
-	.C1(n_12307),
-	.Y(n_12750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257688 (
-	.A1(FE_OFN16062_n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
-	.B1(FE_OFN16012_n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
-	.C1(n_12304),
-	.Y(n_12748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g257689 (
-	.A1(FE_OCPN16278_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
-	.B1(n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
-	.C1(n_15815),
-	.Y(n_12747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g257690 (
-	.A1(n_15708),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
-	.B1(n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
-	.C1(n_15816),
-	.Y(n_12746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g257691 (
-	.A1(FE_OFN1047_n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
-	.B1(n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
-	.C1(n_15817),
-	.Y(n_12745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257692 (
-	.A1(FE_OCPN16296_n_11907),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
-	.C1(n_12287),
-	.Y(n_12744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257693 (
-	.A(n_12276),
-	.B(n_12275),
-	.Y(n_12743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257694 (
-	.A(n_12272),
-	.B(n_12351),
-	.Y(n_12742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g257697 (
-	.A(n_12262),
-	.B(n_12264),
-	.Y(n_12739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257698 (
-	.A(n_12260),
-	.B(n_12261),
-	.Y(n_12738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257699 (
-	.A(n_12256),
-	.B(n_12258),
-	.Y(n_12737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257700 (
-	.A(n_12253),
-	.B(n_12254),
-	.Y(n_12736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257701 (
-	.A(n_12250),
-	.B(n_12248),
-	.Y(n_12735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257705 (
-	.A(n_12239),
-	.B(n_12240),
-	.Y(n_12731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g257706 (
-	.A(n_12237),
-	.B(n_12238),
-	.Y(n_12730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257707 (
-	.A1(FE_OFN1047_n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
-	.B1(n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
-	.C1(n_12236),
-	.Y(n_12729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257710 (
-	.A1(n_15693),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
-	.B1(n_15704),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
-	.C1(n_12232),
-	.Y(n_12726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257711 (
-	.A1(FE_OFN15981_n_15708),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
-	.B1(FE_OFN16028_n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
-	.C1(n_12217),
-	.Y(n_12725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257712 (
-	.A1(FE_OFN15974_n_15704),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
-	.B1(FE_OFN16012_n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
-	.C1(n_12214),
-	.Y(n_12724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257713 (
-	.A1(FE_OFN15974_n_15704),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
-	.B1(FE_OFN16028_n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
-	.C1(n_12203),
-	.Y(n_12723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257714 (
-	.A1(FE_OFN16012_n_15707),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
-	.B1(FE_OFN15981_n_15708),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
-	.C1(n_12201),
-	.Y(n_12722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257715 (
-	.A(n_12151),
-	.B(n_12150),
-	.C(n_11901),
-	.Y(n_12721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257716 (
-	.A(n_12076),
-	.B(n_12143),
-	.C(n_11893),
-	.D(n_12075),
-	.Y(n_12720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257717 (
-	.A(n_12071),
-	.B(n_12141),
-	.C(n_11889),
-	.D(n_12070),
-	.Y(n_12719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257718 (
-	.A(n_12066),
-	.B(n_12065),
-	.C(n_12140),
-	.D(n_11887),
-	.Y(n_12718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g257719 (
-	.A(n_12477),
-	.B(n_12492),
-	.Y(n_12717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257720 (
-	.A(n_12062),
-	.B(n_12061),
-	.C(n_12137),
-	.D(n_11885),
-	.Y(n_12716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257721 (
-	.A(n_12053),
-	.B(n_12052),
-	.C(n_11878),
-	.D(n_12130),
-	.Y(n_12715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257722 (
-	.A(n_12049),
-	.B(n_12127),
-	.C(n_11875),
-	.D(n_12048),
-	.Y(n_12714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257723 (
-	.A(n_12046),
-	.B(n_12045),
-	.C(n_12126),
-	.D(n_11872),
-	.Y(n_12713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257724 (
-	.A(n_12037),
-	.B(n_12120),
-	.C(n_11869),
-	.D(n_12036),
-	.Y(n_12712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257725 (
-	.A(n_12032),
-	.B(n_12118),
-	.C(n_11890),
-	.D(n_12031),
-	.Y(n_12711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257726 (
-	.A(n_11855),
-	.B(n_11708),
-	.C(n_11709),
-	.D(n_12110),
-	.Y(n_12710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257727 (
-	.A(n_11892),
-	.B(n_12139),
-	.C(n_11663),
-	.D(n_11724),
-	.Y(n_12709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257728 (
-	.A(n_11854),
-	.B(n_11700),
-	.C(n_11701),
-	.D(n_12109),
-	.Y(n_12708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257729 (
-	.A(n_11848),
-	.B(n_11806),
-	.C(n_11696),
-	.D(n_12108),
-	.Y(n_12707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257730 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
-	.A2(FE_OFN1047_n_15694),
-	.B1(n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
-	.C1(n_15708),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
-	.Y(n_12706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257731 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
-	.A2(FE_OFN15846_n_15689),
-	.B1(FE_OFN16028_n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
-	.C1(FE_PSN3873_FE_OFN15988_n_15690),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
-	.Y(n_12705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257732 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
-	.A2(FE_OCPN16837_n_11905),
-	.B1(FE_OFN15920_n_15732),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[14]),
-	.C1(FE_OFN16034_n_15695),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
-	.Y(n_12704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257733 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
-	.A2(n_15704),
-	.B1(n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
-	.C1(n_15696),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
-	.Y(n_12703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257734 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
-	.A2(FE_OCPN16306_n_15698),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
-	.C1(FE_PSN3873_FE_OFN15988_n_15690),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
-	.Y(n_12702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257735 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
-	.A2(FE_OCPN16306_n_15698),
-	.B1(FE_OFN16046_n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
-	.C1(FE_OFN15981_n_15708),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
-	.Y(n_12701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257736 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
-	.A2(FE_OFN16052_n_15695),
-	.B1(FE_OCPN16331_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
-	.C1(n_15706),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
-	.Y(n_12700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257737 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
-	.A2(n_15691),
-	.B1(FE_OFN15918_n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
-	.C1(n_15706),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
-	.Y(n_12699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257738 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
-	.A2(n_15693),
-	.B1(FE_OFN16012_n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
-	.C1(FE_OFN15974_n_15704),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
-	.Y(n_12698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257739 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
-	.A2(FE_OFN16062_n_15694),
-	.B1(FE_OFN16812_n_15710),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
-	.C1(FE_OFN16028_n_15696),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
-	.Y(n_12697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257740 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
-	.A2(FE_OCPN16836_n_11905),
-	.B1(FE_OFN16052_n_15695),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
-	.C1(FE_OFN15973_n_15688),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
-	.Y(n_12696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257741 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
-	.A2(FE_OFN15996_n_15691),
-	.B1(FE_OFN15918_n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
-	.C1(FE_OFN16022_n_15706),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
-	.Y(n_12695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257742 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
-	.A2(FE_OFN16059_n_15701),
-	.B1(FE_OCPN16837_n_11905),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
-	.C1(n_15688),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
-	.Y(n_12694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257743 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
-	.A2(FE_OFN15957_n_15699),
-	.B1(FE_OFN15920_n_15732),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[13]),
-	.C1(FE_OFN15905_n_11923),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
-	.Y(n_12693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257744 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
-	.A2(FE_OFN15961_n_15697),
-	.B1(FE_OFN15905_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
-	.C1(n_11583),
-	.C2(u_top_u_core_rf_wdata_fwd_wb[23]),
-	.Y(n_12692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257745 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
-	.A2(FE_OFN15961_n_15697),
-	.B1(n_11583),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[28]),
-	.C1(FE_OFN15905_n_11923),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
-	.Y(n_12691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257746 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
-	.A2(FE_OCPN16306_n_15698),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
-	.C1(FE_OCPN16296_n_11907),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
-	.Y(n_12690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257747 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
-	.A2(n_15688),
-	.B1(FE_OFN15918_n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
-	.C1(n_15691),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
-	.Y(n_12689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257748 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
-	.A2(FE_OCPN16296_n_11907),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
-	.C1(FE_OFN16067_n_15703),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
-	.Y(n_12688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257749 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
-	.A2(n_15701),
-	.B1(FE_OCPN16331_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
-	.C1(n_15706),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
-	.Y(n_12687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257750 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
-	.A2(FE_OFN16062_n_15694),
-	.B1(FE_OFN16046_n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
-	.C1(FE_OFN15981_n_15708),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
-	.Y(n_12686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257751 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
-	.A2(n_15699),
-	.B1(FE_OFN15920_n_15732),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[12]),
-	.C1(FE_OFN16076_n_15700),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
-	.Y(n_12685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257752 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
-	.A2(FE_OFN16068_n),
-	.B1(FE_OFN13732_n_15710),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
-	.C1(FE_OFN16028_n_15696),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
-	.Y(n_12684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257753 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
-	.A2(FE_OCPN16306_n_15698),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
-	.C1(FE_OFN15988_n_15690),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
-	.Y(n_12683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257754 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
-	.A2(FE_OFN16084_n),
-	.B1(FE_OFN15918_n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
-	.C1(FE_OFN15996_n_15691),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
-	.Y(n_12682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257755 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
-	.A2(FE_OFN16054_n_15698),
-	.B1(n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
-	.C1(n_11907),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
-	.Y(n_12681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257756 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
-	.A2(n_15712),
-	.B1(n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
-	.C1(n_15711),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
-	.Y(n_12680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257757 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
-	.A2(FE_OCPN16837_n_11905),
-	.B1(FE_OFN16034_n_15695),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
-	.C1(FE_OFN16022_n_15706),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
-	.Y(n_12679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257758 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
-	.A2(FE_OCPN16375_n_15702),
-	.B1(FE_OFN16067_n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
-	.C1(FE_OFN16012_n_15707),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
-	.Y(n_12678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257759 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
-	.A2(n_15699),
-	.B1(n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
-	.C1(n_15692),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
-	.Y(n_12677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257760 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
-	.A2(FE_OFN15918_n_15709),
-	.B1(FE_OFN16022_n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
-	.C1(FE_OFN15996_n_15691),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
-	.Y(n_12676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257761 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
-	.A2(n_11905),
-	.B1(n_11583),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[11]),
-	.C1(FE_OFN16052_n_15695),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
-	.Y(n_12675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257762 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
-	.A2(FE_OFN16061_n_15700),
-	.B1(FE_OFN15920_n_15732),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[22]),
-	.C1(FE_OFN15957_n_15699),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
-	.Y(n_12674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257763 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
-	.A2(FE_OCPN16296_n_11907),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
-	.C1(FE_OFN16067_n_15703),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
-	.Y(n_12673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257764 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
-	.A2(FE_OFN16062_n_15694),
-	.B1(FE_OFN16012_n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
-	.C1(FE_OFN15981_n_15708),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
-	.Y(n_12672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257765 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
-	.A2(n_15693),
-	.B1(FE_OFN16812_n_15710),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
-	.C1(FE_OFN16028_n_15696),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
-	.Y(n_12671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257766 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
-	.A2(FE_OFN15967_n_15711),
-	.B1(FE_OFN16085_n_15692),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
-	.C1(FE_OFN16087_n_15712),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
-	.Y(n_12670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257767 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
-	.A2(FE_OCPN16306_n_15698),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
-	.C1(FE_PSN3873_FE_OFN15988_n_15690),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
-	.Y(n_12669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257768 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
-	.A2(FE_OFN16076_n_15700),
-	.B1(FE_OFN15961_n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
-	.C1(FE_OFN15979_n_15705),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
-	.Y(n_12668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257769 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
-	.A2(FE_OCPN16349_n_15701),
-	.B1(FE_OCPN16837_n_11905),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
-	.C1(FE_OFN16084_n),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
-	.Y(n_12667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257770 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
-	.A2(FE_OFN15969_n_15691),
-	.B1(FE_OFN16021_n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
-	.C1(n_15709),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
-	.Y(n_12666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257771 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
-	.A2(n_15701),
-	.B1(FE_OCPN16837_n_11905),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
-	.C1(n_11583),
-	.C2(u_top_u_core_rf_wdata_fwd_wb[10]),
-	.Y(n_12665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257772 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
-	.A2(FE_OCPN16306_n_15698),
-	.B1(FE_OFN16067_n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
-	.C1(FE_OFN15988_n_15690),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
-	.Y(n_12664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257773 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
-	.A2(FE_OFN16058_n_15701),
-	.B1(FE_OFN16026_n_11905),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
-	.C1(FE_OFN16083_n),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
-	.Y(n_12663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257774 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
-	.A2(FE_OFN15967_n_15711),
-	.B1(FE_OFN16085_n_15692),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
-	.C1(FE_OFN16087_n_15712),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
-	.Y(n_12662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257775 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
-	.A2(FE_OFN15918_n_15709),
-	.B1(FE_OFN16022_n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
-	.C1(FE_OFN16084_n),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
-	.Y(n_12661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257776 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
-	.A2(FE_OFN16061_n_15700),
-	.B1(FE_OFN15905_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
-	.C1(FE_OFN15979_n_15705),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
-	.Y(n_12660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257777 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
-	.A2(n_15712),
-	.B1(n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
-	.C1(n_15711),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
-	.Y(n_12659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257778 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
-	.A2(n_15697),
-	.B1(n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
-	.C1(n_15692),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
-	.Y(n_12658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257779 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
-	.A2(n_15701),
-	.B1(n_11583),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[9]),
-	.C1(FE_OFN16052_n_15695),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
-	.Y(n_12657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257780 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
-	.A2(FE_OFN16059_n_15701),
-	.B1(FE_OFN15920_n_15732),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[21]),
-	.C1(FE_OFN16063_n_11931),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
-	.Y(n_12656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257781 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
-	.A2(FE_OCPN16832_n_15699),
-	.B1(FE_OFN16805_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
-	.C1(n_11583),
-	.C2(u_top_u_core_rf_wdata_fwd_wb[27]),
-	.Y(n_12655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257782 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
-	.A2(FE_OCPN16375_n_15702),
-	.B1(FE_OFN16067_n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
-	.C1(FE_OFN15988_n_15690),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
-	.Y(n_12654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257783 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
-	.A2(FE_OFN15957_n_15699),
-	.B1(FE_OFN15920_n_15732),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[30]),
-	.C1(FE_OFN15905_n_11923),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
-	.Y(n_12653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257784 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
-	.A2(n_15711),
-	.B1(n_15692),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
-	.C1(n_15712),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
-	.Y(n_12652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257785 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
-	.A2(FE_OFN16054_n_15698),
-	.B1(n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
-	.C1(FE_OCPN16296_n_11907),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
-	.Y(n_12651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257786 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
-	.A2(n_15699),
-	.B1(n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
-	.C1(n_15705),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
-	.Y(n_12650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257787 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
-	.A2(FE_OFN16052_n_15695),
-	.B1(n_11583),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[8]),
-	.C1(n_15701),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
-	.Y(n_12649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257788 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
-	.A2(FE_OCPN16306_n_15698),
-	.B1(FE_OFN16067_n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
-	.C1(FE_OCPN16296_n_11907),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
-	.Y(n_12648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257789 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
-	.A2(FE_OCPN16296_n_11907),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
-	.C1(n_15703),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
-	.Y(n_12647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257790 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
-	.A2(FE_OFN15967_n_15711),
-	.B1(FE_OFN15979_n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
-	.C1(FE_OFN16087_n_15712),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
-	.Y(n_12646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257791 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
-	.A2(FE_OFN16076_n_15700),
-	.B1(FE_OFN15961_n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
-	.C1(FE_OFN15979_n_15705),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
-	.Y(n_12645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257792 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
-	.A2(FE_OFN15969_n_15691),
-	.B1(FE_OFN16083_n),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
-	.C1(n_15709),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
-	.Y(n_12644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257793 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
-	.A2(FE_OFN16026_n_11905),
-	.B1(FE_OFN16742_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
-	.C1(FE_OFN16021_n_15706),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
-	.Y(n_12643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257794 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
-	.A2(FE_OCPN16832_n_15699),
-	.B1(n_11583),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[7]),
-	.C1(n_15697),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
-	.Y(n_12642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257795 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
-	.A2(FE_OCPN16331_n_11931),
-	.B1(n_11583),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[20]),
-	.C1(FE_OFN16052_n_15695),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
-	.Y(n_12641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257796 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
-	.A2(FE_OCPN16375_n_15702),
-	.B1(n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
-	.C1(FE_OCPN16278_n_15690),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
-	.Y(n_12640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257797 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
-	.A2(FE_OCPN16377_n_15702),
-	.B1(FE_OFN16067_n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
-	.C1(FE_OCPN16296_n_11907),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
-	.Y(n_12639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257798 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
-	.A2(n_15711),
-	.B1(n_15692),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
-	.C1(n_15712),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
-	.Y(n_12638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257799 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
-	.A2(FE_OFN15962_n_15700),
-	.B1(n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
-	.C1(n_15705),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
-	.Y(n_12637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257800 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
-	.A2(FE_OFN15918_n_15709),
-	.B1(FE_OFN16022_n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
-	.C1(FE_OFN15996_n_15691),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
-	.Y(n_12636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257801 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
-	.A2(FE_OFN15974_n_15704),
-	.B1(FE_OFN16811_n_15710),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
-	.C1(FE_OFN15981_n_15708),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
-	.Y(n_12635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257802 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
-	.A2(FE_OFN16026_n_11905),
-	.B1(FE_OFN16009_n_15695),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
-	.C1(n_11583),
-	.C2(u_top_u_core_rf_wdata_fwd_wb[6]),
-	.Y(n_12634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257803 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
-	.A2(FE_OFN16012_n_15707),
-	.B1(FE_OFN16046_n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
-	.C1(FE_OFN16028_n_15696),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
-	.Y(n_12633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257804 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
-	.A2(FE_OFN16034_n_15695),
-	.B1(FE_OFN16063_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
-	.C1(FE_OFN16084_n),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
-	.Y(n_12632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257805 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
-	.A2(FE_OCPN16306_n_15698),
-	.B1(FE_OFN16067_n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
-	.C1(FE_OCPN16296_n_11907),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
-	.Y(n_12631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257806 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
-	.A2(FE_OFN15967_n_15711),
-	.B1(FE_OFN16087_n_15712),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
-	.C1(FE_OFN16085_n_15692),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
-	.Y(n_12630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257807 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
-	.A2(FE_OFN13732_n_15710),
-	.B1(FE_OFN15981_n_15708),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
-	.C1(FE_OFN15974_n_15704),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
-	.Y(n_12629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257809 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
-	.A2(FE_OFN16054_n_15698),
-	.B1(n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
-	.C1(n_15708),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
-	.Y(n_12627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257810 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
-	.A2(FE_OFN16061_n_15700),
-	.B1(FE_OFN15961_n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
-	.C1(FE_OFN15979_n_15705),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
-	.Y(n_12626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257811 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
-	.A2(FE_OFN15967_n_15711),
-	.B1(FE_OFN15979_n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
-	.C1(FE_OFN16085_n_15692),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
-	.Y(n_12625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257812 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
-	.A2(FE_OFN15957_n_15699),
-	.B1(FE_OFN15961_n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
-	.C1(FE_OFN16087_n_15712),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
-	.Y(n_12624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257813 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
-	.A2(FE_OFN16059_n_15701),
-	.B1(FE_OFN16034_n_15695),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
-	.C1(FE_OFN15996_n_15691),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
-	.Y(n_12623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257814 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
-	.A2(FE_OCPN16349_n_15701),
-	.B1(FE_OFN15920_n_15732),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[5]),
-	.C1(FE_OCPN16837_n_11905),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
-	.Y(n_12622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257815 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
-	.A2(FE_OCPN16350_n_15701),
-	.B1(FE_OFN15920_n_15732),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[19]),
-	.C1(FE_OFN16063_n_11931),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
-	.Y(n_12621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257816 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
-	.A2(FE_OFN15961_n_15697),
-	.B1(FE_OFN15920_n_15732),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[26]),
-	.C1(FE_OFN15957_n_15699),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
-	.Y(n_12620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257817 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
-	.A2(FE_OCPN16375_n_15702),
-	.B1(n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
-	.C1(FE_OCPN16278_n_15690),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
-	.Y(n_12619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257818 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
-	.A2(n_15710),
-	.B1(n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
-	.C1(n_15708),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
-	.Y(n_12618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257819 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
-	.A2(n_15693),
-	.B1(FE_OFN1047_n_15694),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
-	.C1(n_15696),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
-	.Y(n_12617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257820 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
-	.A2(n_15711),
-	.B1(n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
-	.C1(n_15712),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
-	.Y(n_12616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257821 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
-	.A2(FE_OCPN16306_n_15698),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
-	.C1(FE_PSN3873_FE_OFN15988_n_15690),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
-	.Y(n_12615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257822 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
-	.A2(FE_OCPN16832_n_15699),
-	.B1(FE_OFN15962_n_15700),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
-	.C1(n_15692),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
-	.Y(n_12614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257823 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
-	.A2(FE_OFN15967_n_15711),
-	.B1(FE_OFN16085_n_15692),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
-	.C1(FE_OFN15979_n_15705),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
-	.Y(n_12613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257824 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
-	.A2(FE_OFN16062_n_15694),
-	.B1(FE_OFN16046_n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
-	.C1(FE_OFN15981_n_15708),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
-	.Y(n_12612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257825 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
-	.A2(FE_OFN16742_n_11931),
-	.B1(n_11583),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[4]),
-	.C1(FE_OFN16009_n_15695),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
-	.Y(n_12611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257826 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
-	.A2(FE_OFN15974_n_15704),
-	.B1(FE_OFN16012_n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
-	.C1(FE_OFN16028_n_15696),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
-	.Y(n_12610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257827 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
-	.A2(FE_OCPN16306_n_15698),
-	.B1(FE_OFN16067_n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
-	.C1(FE_OCPN16296_n_11907),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
-	.Y(n_12609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257828 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
-	.A2(FE_OCPN16296_n_11907),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
-	.C1(FE_OFN16067_n_15703),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
-	.Y(n_12608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257829 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
-	.A2(FE_OFN15967_n_15711),
-	.B1(FE_OFN16087_n_15712),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
-	.C1(FE_OFN16085_n_15692),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
-	.Y(n_12607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257830 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
-	.A2(FE_OFN16061_n_15700),
-	.B1(FE_OFN15961_n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
-	.C1(FE_OFN15979_n_15705),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
-	.Y(n_12606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257831 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
-	.A2(n_15688),
-	.B1(FE_OFN16022_n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
-	.C1(FE_OFN15996_n_15691),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
-	.Y(n_12605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257832 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
-	.A2(FE_OFN15973_n_15688),
-	.B1(FE_OFN15918_n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
-	.C1(n_15691),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
-	.Y(n_12604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257833 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
-	.A2(FE_OCPN16837_n_11905),
-	.B1(FE_OFN16063_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
-	.C1(FE_OFN15918_n_15709),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
-	.Y(n_12603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257834 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
-	.A2(FE_OFN16812_n_15710),
-	.B1(FE_OFN16045_n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
-	.C1(FE_OFN15981_n_15708),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
-	.Y(n_12602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257835 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
-	.A2(FE_OCPN16837_n_11905),
-	.B1(FE_OFN15920_n_15732),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[18]),
-	.C1(FE_OFN16034_n_15695),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
-	.Y(n_12601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257836 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
-	.A2(FE_OFN16061_n_15700),
-	.B1(FE_OFN15920_n_15732),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[3]),
-	.C1(FE_OFN15905_n_11923),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
-	.Y(n_12600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257838 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
-	.A2(n_15693),
-	.B1(FE_OFN16062_n_15694),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
-	.C1(FE_OFN16028_n_15696),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
-	.Y(n_12598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257839 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
-	.A2(FE_OCPN16377_n_15702),
-	.B1(FE_OFN16067_n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
-	.C1(FE_PSN3873_FE_OFN15988_n_15690),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
-	.Y(n_12597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257841 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
-	.A2(FE_OFN15996_n_15691),
-	.B1(FE_OFN16022_n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
-	.C1(FE_OFN15918_n_15709),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
-	.Y(n_12595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257842 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
-	.A2(FE_OFN16811_n_15710),
-	.B1(FE_OFN16046_n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
-	.C1(FE_OFN15981_n_15708),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
-	.Y(n_12594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257845 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
-	.A2(FE_OFN15974_n_15704),
-	.B1(FE_OFN16012_n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
-	.C1(FE_OFN16028_n_15696),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
-	.Y(n_12591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257846 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
-	.A2(FE_OCPN16836_n_11905),
-	.B1(FE_OFN16052_n_15695),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
-	.C1(n_15706),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
-	.Y(n_12590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257847 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
-	.A2(FE_OFN16059_n_15701),
-	.B1(FE_OCPN16837_n_11905),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
-	.C1(n_15688),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
-	.Y(n_12589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257848 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
-	.A2(FE_OFN15996_n_15691),
-	.B1(FE_OFN15918_n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
-	.C1(FE_OFN16022_n_15706),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
-	.Y(n_12588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257849 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
-	.A2(FE_OCPN16350_n_15701),
-	.B1(FE_OCPN16837_n_11905),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
-	.C1(FE_OFN16084_n),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
-	.Y(n_12587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257850 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
-	.A2(FE_OFN15957_n_15699),
-	.B1(FE_OFN15961_n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
-	.C1(FE_OFN16087_n_15712),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
-	.Y(n_12586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257851 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
-	.A2(FE_OFN16061_n_15700),
-	.B1(FE_OFN15920_n_15732),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[17]),
-	.C1(FE_OFN15957_n_15699),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
-	.Y(n_12585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257852 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
-	.A2(FE_OFN15961_n_15697),
-	.B1(FE_OFN15920_n_15732),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[25]),
-	.C1(FE_OFN15905_n_11923),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
-	.Y(n_12584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257853 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
-	.A2(FE_OFN15961_n_15697),
-	.B1(n_11583),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[29]),
-	.C1(FE_OFN15905_n_11923),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
-	.Y(n_12583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257854 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
-	.A2(FE_OCPN16375_n_15702),
-	.B1(n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
-	.C1(n_11907),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
-	.Y(n_12582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257855 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
-	.A2(FE_OCPN16296_n_11907),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
-	.C1(FE_OFN16067_n_15703),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
-	.Y(n_12581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257856 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
-	.A2(n_15711),
-	.B1(n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
-	.C1(n_15712),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
-	.Y(n_12580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257857 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
-	.A2(FE_OCPN16832_n_15699),
-	.B1(FE_OFN15962_n_15700),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
-	.C1(n_15692),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
-	.Y(n_12579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257858 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
-	.A2(FE_OFN16009_n_15695),
-	.B1(n_11583),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[16]),
-	.C1(FE_OFN16742_n_11931),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
-	.Y(n_12578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257859 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
-	.A2(FE_OCPN16296_n_11907),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
-	.C1(FE_OFN16067_n_15703),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
-	.Y(n_12577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257860 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
-	.A2(FE_OFN15967_n_15711),
-	.B1(FE_OFN16087_n_15712),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
-	.C1(FE_OFN16085_n_15692),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
-	.Y(n_12576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257861 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
-	.A2(FE_OFN16061_n_15700),
-	.B1(FE_OFN15961_n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
-	.C1(FE_OFN16085_n_15692),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
-	.Y(n_12575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257862 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
-	.A2(FE_OCPN16296_n_11907),
-	.B1(FE_OCPN16377_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
-	.C1(FE_OFN16067_n_15703),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
-	.Y(n_12574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257863 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
-	.A2(n_15688),
-	.B1(FE_OFN16022_n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
-	.C1(FE_OFN15996_n_15691),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
-	.Y(n_12573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257864 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
-	.A2(FE_OCPN16837_n_11905),
-	.B1(FE_OFN16063_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
-	.C1(FE_OFN15918_n_15709),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
-	.Y(n_12572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257865 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
-	.A2(FE_OFN16068_n),
-	.B1(FE_OFN16046_n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
-	.C1(FE_OFN15974_n_15704),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
-	.Y(n_12571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257866 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
-	.A2(FE_OFN15957_n_15699),
-	.B1(FE_OFN15920_n_15732),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[15]),
-	.C1(FE_OFN16061_n_15700),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
-	.Y(n_12570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257867 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
-	.A2(FE_OCPN16837_n_11905),
-	.B1(FE_OFN15920_n_15732),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[24]),
-	.C1(FE_OFN16063_n_11931),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
-	.Y(n_12569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257868 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
-	.A2(FE_PSN3873_FE_OFN15988_n_15690),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
-	.C1(FE_OFN16067_n_15703),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
-	.Y(n_12568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257869 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
-	.A2(FE_OFN16012_n_15707),
-	.B1(FE_OFN15981_n_15708),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
-	.C1(FE_OFN16028_n_15696),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
-	.Y(n_12567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257870 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
-	.A2(FE_OCPN16318_n_15702),
-	.B1(n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
-	.C1(FE_OCPN16278_n_15690),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
-	.Y(n_12566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257871 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
-	.A2(FE_OFN16085_n_15692),
-	.B1(FE_OFN15979_n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
-	.C1(FE_OFN15967_n_15711),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
-	.Y(n_12565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257872 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
-	.A2(FE_OFN15957_n_15699),
-	.B1(FE_OFN15961_n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
-	.C1(FE_OFN16087_n_15712),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
-	.Y(n_12564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257873 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
-	.A2(n_15691),
-	.B1(FE_OFN15973_n_15688),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
-	.C1(FE_OFN15918_n_15709),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
-	.Y(n_12563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257874 (
-	.A(n_12077),
-	.B(n_11894),
-	.C(n_12144),
-	.Y(n_12562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257875 (
-	.A(n_12074),
-	.B(n_11812),
-	.C(n_11814),
-	.D(n_11813),
-	.Y(n_12561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257876 (
-	.A(n_12072),
-	.B(n_11891),
-	.C(n_12142),
-	.Y(n_12560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257877 (
-	.A(n_12069),
-	.B(n_11817),
-	.C(n_11819),
-	.D(n_11818),
-	.Y(n_12559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257878 (
-	.A(n_12063),
-	.B(n_11772),
-	.C(n_11770),
-	.D(n_11771),
-	.Y(n_12558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257879 (
-	.A(n_12060),
-	.B(n_12136),
-	.C(n_11884),
-	.Y(n_12557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257880 (
-	.A1(FE_OFN16033_n_15716),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
-	.B1(FE_OFN16042_n_15714),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
-	.C1(n_12474),
-	.Y(n_12556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257881 (
-	.A(n_12058),
-	.B(n_11883),
-	.C(n_12135),
-	.Y(n_12555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257882 (
-	.A(n_12057),
-	.B(n_12134),
-	.C(n_11882),
-	.Y(n_12554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257883 (
-	.A1(FE_OFN1049_n_15716),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
-	.B1(FE_OFN16042_n_15714),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
-	.C1(n_12473),
-	.Y(n_12553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257884 (
-	.A(n_12055),
-	.B(n_11881),
-	.C(n_12133),
-	.Y(n_12552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257885 (
-	.A(n_12068),
-	.B(n_12132),
-	.C(n_11888),
-	.Y(n_12551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257886 (
-	.A1(FE_OFN16748_n_15716),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
-	.B1(FE_OFN16041_n_15714),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
-	.C1(n_12472),
-	.Y(n_12550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257887 (
-	.A(n_12054),
-	.B(n_11879),
-	.C(n_12131),
-	.Y(n_12549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257888 (
-	.A(n_12050),
-	.B(n_11876),
-	.C(n_12128),
-	.Y(n_12548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257889 (
-	.A(n_12047),
-	.B(n_11658),
-	.C(n_11748),
-	.D(n_11749),
-	.Y(n_12547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257890 (
-	.A(n_12041),
-	.B(n_11870),
-	.C(n_12123),
-	.Y(n_12546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257891 (
-	.A(n_12040),
-	.B(n_12122),
-	.C(n_11865),
-	.Y(n_12545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257892 (
-	.A1(FE_OFN16748_n_15716),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
-	.B1(FE_OFN16041_n_15714),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
-	.C1(n_12471),
-	.Y(n_12544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257893 (
-	.A(n_12039),
-	.B(n_11867),
-	.C(n_12121),
-	.Y(n_12543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257894 (
-	.A(n_12035),
-	.B(n_11744),
-	.C(n_11735),
-	.D(n_11734),
-	.Y(n_12542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257895 (
-	.A(n_12030),
-	.B(n_11731),
-	.C(n_11729),
-	.D(n_11730),
-	.Y(n_12541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257896 (
-	.A(n_12028),
-	.B(n_11861),
-	.C(n_12117),
-	.Y(n_12540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257897 (
-	.A(n_12027),
-	.B(n_12116),
-	.C(n_11860),
-	.Y(n_12539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257898 (
-	.A1(FE_OFN1049_n_15716),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
-	.B1(FE_OFN16042_n_15714),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
-	.C1(n_12470),
-	.Y(n_12538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257899 (
-	.A(n_12026),
-	.B(n_11839),
-	.C(n_12101),
-	.Y(n_12537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257900 (
-	.A(n_12024),
-	.B(n_12115),
-	.C(n_11859),
-	.Y(n_12536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257901 (
-	.A1(FE_OFN1049_n_15716),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
-	.B1(FE_OFN16042_n_15714),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
-	.C1(n_12469),
-	.Y(n_12535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257902 (
-	.A(n_11958),
-	.B(n_11858),
-	.C(n_12114),
-	.Y(n_12534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257903 (
-	.A(n_12098),
-	.B(n_12099),
-	.C(n_11837),
-	.D(n_11838),
-	.Y(n_12533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257904 (
-	.A(n_12097),
-	.B(n_12096),
-	.C(n_11836),
-	.D(n_11835),
-	.Y(n_12532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257905 (
-	.A(n_12093),
-	.B(n_12094),
-	.C(n_11833),
-	.D(n_11834),
-	.Y(n_12531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257906 (
-	.A(n_12092),
-	.B(n_12091),
-	.C(n_11832),
-	.D(n_11831),
-	.Y(n_12530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257907 (
-	.A(n_12088),
-	.B(n_12089),
-	.C(n_11826),
-	.D(n_11827),
-	.Y(n_12529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257908 (
-	.A(n_12087),
-	.B(n_12086),
-	.C(n_11846),
-	.D(n_11825),
-	.Y(n_12528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257909 (
-	.A(n_11822),
-	.B(n_12113),
-	.C(n_11652),
-	.D(n_11718),
-	.Y(n_12527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257910 (
-	.A(n_11857),
-	.B(n_12112),
-	.C(n_11722),
-	.D(n_11757),
-	.Y(n_12526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257911 (
-	.A(n_11866),
-	.B(n_11690),
-	.C(n_11691),
-	.D(n_12107),
-	.Y(n_12525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g257912 (
-	.A(n_15821),
-	.B(n_11828),
-	.C(n_11665),
-	.D(n_11666),
-	.Y(n_12524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257914 (
-	.A(n_11951),
-	.B(n_11950),
-	.C(n_12103),
-	.Y(n_12523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257915 (
-	.A(n_11949),
-	.B(n_12102),
-	.C(n_11655),
-	.D(n_11656),
-	.Y(n_12522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257916 (
-	.A1(FE_OFN16013_n_15715),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
-	.B1(FE_OFN16024_n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
-	.C1(n_12168),
-	.Y(n_12521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257917 (
-	.A1(FE_OFN16013_n_15715),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
-	.B1(FE_OFN16024_n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
-	.C1(n_12167),
-	.Y(n_12520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257918 (
-	.A1(FE_OFN16024_n_11612),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
-	.B1(FE_OFN16013_n_15715),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
-	.C1(n_12165),
-	.X(n_12519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257919 (
-	.A1(FE_OCPN16384_n_11612),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
-	.B1(FE_OFN16013_n_15715),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
-	.C1(n_12164),
-	.X(n_12518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257920 (
-	.A1(FE_OFN16024_n_11612),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
-	.B1(FE_OFN16013_n_15715),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
-	.C1(n_12163),
-	.X(n_12517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257921 (
-	.A1(FE_OFN16024_n_11612),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
-	.B1(FE_OFN16013_n_15715),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
-	.C1(n_12166),
-	.X(n_12516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257922 (
-	.A1(FE_OCPN16384_n_11612),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
-	.B1(FE_OFN16013_n_15715),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
-	.C1(n_12162),
-	.X(n_12515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257923 (
-	.A1(FE_OFN16013_n_15715),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
-	.B1(FE_OFN16024_n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
-	.C1(n_12160),
-	.Y(n_12514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257924 (
-	.A(n_11874),
-	.B(n_11873),
-	.C(n_11659),
-	.D(n_11746),
-	.Y(n_12513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257925 (
-	.A1(FE_OFN16024_n_11612),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
-	.B1(FE_OFN16013_n_15715),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
-	.C1(n_12154),
-	.Y(n_12512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257926 (
-	.A1(n_11612),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
-	.B1(FE_OCPN16389_n_15715),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
-	.C1(n_12159),
-	.X(n_12511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257927 (
-	.A(n_11864),
-	.B(n_11732),
-	.C(n_11733),
-	.D(n_11863),
-	.Y(n_12510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257928 (
-	.A1(FE_OFN16013_n_15715),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
-	.B1(FE_OFN16024_n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
-	.C1(n_12158),
-	.Y(n_12509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257929 (
-	.A1(FE_OFN16024_n_11612),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
-	.B1(FE_OFN16013_n_15715),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
-	.C1(n_12157),
-	.X(n_12508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g257930 (
-	.A1(FE_OFN16024_n_11612),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
-	.B1(FE_OFN16013_n_15715),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
-	.C1(n_12156),
-	.X(n_12507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257932 (
-	.A1(FE_OCPN16296_n_11907),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
-	.B1(n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
-	.Y(n_12504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257933 (
-	.A(n_11662),
-	.B(n_11717),
-	.C(n_11716),
-	.D(n_11664),
-	.Y(n_12503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257934 (
-	.A(n_11773),
-	.B(n_11767),
-	.C(n_11714),
-	.D(n_11715),
-	.Y(n_12502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257935 (
-	.A(n_11713),
-	.B(n_11712),
-	.C(n_11711),
-	.D(n_11657),
-	.Y(n_12501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257936 (
-	.A(n_11645),
-	.B(n_11707),
-	.C(n_11706),
-	.D(n_11805),
-	.Y(n_12500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257937 (
-	.A(n_11704),
-	.B(n_11703),
-	.C(n_11702),
-	.D(n_11661),
-	.Y(n_12499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257938 (
-	.A(n_11745),
-	.B(n_11699),
-	.C(n_11697),
-	.D(n_11698),
-	.Y(n_12498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g257939 (
-	.A(n_11680),
-	.B(n_11695),
-	.C(n_11693),
-	.D(n_11694),
-	.Y(n_12497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257940 (
-	.A(n_11689),
-	.B(n_11688),
-	.C(n_11686),
-	.D(n_11687),
-	.Y(n_12496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257941 (
-	.A(n_11684),
-	.B(n_11683),
-	.C(n_11747),
-	.D(n_11682),
-	.Y(n_12495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257942 (
-	.A(n_11648),
-	.B(n_11678),
-	.C(n_11705),
-	.D(n_11677),
-	.Y(n_12494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g257944 (
-	.A(n_11669),
-	.B(n_11670),
-	.C(n_11668),
-	.D(n_11762),
-	.Y(n_12492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257945 (
-	.A1(FE_OFN16015_n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
-	.B1(FE_OFN16006_n_11613),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
-	.C1(n_12034),
-	.Y(n_12491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257946 (
-	.A1(FE_OFN16016_n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
-	.B1(FE_OFN16037_n_11613),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
-	.C1(n_12012),
-	.Y(n_12490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257947 (
-	.A1(n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
-	.B1(n_15726),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
-	.C1(n_12002),
-	.Y(n_12489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257948 (
-	.A1(n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
-	.B1(n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
-	.C1(n_12000),
-	.Y(n_12488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257949 (
-	.A1(n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
-	.B1(n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
-	.C1(n_11999),
-	.Y(n_12487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257950 (
-	.A1(FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
-	.B1(FE_OFN16008_n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
-	.C1(n_12023),
-	.Y(n_12486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257951 (
-	.A1(FE_OFN16008_n_11610),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
-	.B1(FE_OCPN16282_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
-	.C1(n_11991),
-	.Y(n_12485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257952 (
-	.A1(n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
-	.B1(n_11613),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
-	.C1(n_11990),
-	.Y(n_12484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g257953 (
-	.A1(FE_OFN16016_n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
-	.B1(FE_OFN16006_n_11613),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
-	.C1(n_12015),
-	.Y(n_12483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257954 (
-	.A(n_11973),
-	.B(n_11972),
-	.Y(n_12482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g257955 (
-	.A1(FE_OFN16015_n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
-	.B1(FE_OFN16007_n_11633),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
-	.C1(n_15822),
-	.Y(n_12481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257956 (
-	.A(n_11961),
-	.B(n_11967),
-	.Y(n_12480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g257957 (
-	.A1(n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
-	.B1(n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
-	.C1(n_15823),
-	.Y(n_12479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257959 (
-	.A(n_11957),
-	.B(n_11956),
-	.Y(n_12477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g257961 (
-	.A(n_11954),
-	.B(n_12033),
-	.Y(n_12475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257962 (
-	.A(n_11766),
-	.B(n_11765),
-	.C(n_11764),
-	.Y(n_12474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257963 (
-	.A(n_11761),
-	.B(n_11760),
-	.C(n_11759),
-	.Y(n_12473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257964 (
-	.A(n_11756),
-	.B(n_11755),
-	.C(n_11754),
-	.Y(n_12472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257965 (
-	.A(n_11740),
-	.B(n_11738),
-	.C(n_11739),
-	.Y(n_12471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257966 (
-	.A(n_11727),
-	.B(n_11726),
-	.C(n_11725),
-	.Y(n_12470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257967 (
-	.A(n_11721),
-	.B(n_11720),
-	.C(n_11681),
-	.Y(n_12469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g257968 (
-	.A(n_11960),
-	.B(n_11674),
-	.C(n_11774),
-	.Y(n_12468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257969 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
-	.A2(n_11613),
-	.B1(FE_OFN16008_n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
-	.C1(FE_OFN16005_n_11638),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
-	.Y(n_12467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257970 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
-	.A2(FE_OFN16006_n_11613),
-	.B1(FE_OFN16011_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
-	.C1(FE_OCPN16360_n_11610),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
-	.Y(n_12466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257971 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
-	.A2(FE_OFN16804_n),
-	.B1(FE_OCPN16360_n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
-	.C1(FE_OFN16808_n),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
-	.Y(n_12465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257972 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
-	.A2(FE_OFN16037_n_11613),
-	.B1(n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
-	.C1(FE_OFN16807_n),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
-	.Y(n_12464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g257973 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
-	.A2(FE_OFN16807_n),
-	.B1(FE_OFN16037_n_11613),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
-	.C1(n_11610),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
-	.Y(n_12463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257974 (
-	.A1(FE_OFN15996_n_15691),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
-	.B1(FE_OFN16022_n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
-	.Y(n_12462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257975 (
-	.A1(FE_PSN3880_FE_OFN16059_n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
-	.B1(FE_OFN16063_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
-	.Y(n_12461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257976 (
-	.A1(FE_OFN16062_n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
-	.B1(FE_OFN15974_n_15704),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
-	.Y(n_12460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257977 (
-	.A1(n_15693),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
-	.B1(FE_OFN16793_n_15710),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
-	.Y(n_12459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257978 (
-	.A1(n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
-	.B1(FE_OCPN16836_n_11905),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
-	.Y(n_12458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g257979 (
-	.A1(FE_OFN16020_n_11902),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
-	.B1(FE_OFN16067_n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
-	.X(n_12457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257980 (
-	.A1(FE_OFN15981_n_15708),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
-	.B1(FE_OFN16045_n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
-	.Y(n_12456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257981 (
-	.A1(FE_OCPN16348_n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
-	.B1(FE_OCPN16331_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
-	.Y(n_12455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257982 (
-	.A1(FE_OFN16087_n_15712),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
-	.B1(FE_OFN15979_n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
-	.Y(n_12454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257983 (
-	.A1(FE_OFN16034_n_15695),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
-	.B1(FE_OFN16063_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
-	.Y(n_12453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257984 (
-	.A1(FE_OFN16085_n_15692),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
-	.B1(FE_OFN15979_n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
-	.Y(n_12452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257985 (
-	.A1(FE_OFN16087_n_15712),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
-	.B1(FE_OFN15979_n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
-	.Y(n_12451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257986 (
-	.A1(FE_OFN15967_n_15711),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
-	.B1(FE_OFN16087_n_15712),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
-	.Y(n_12450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257987 (
-	.A1(FE_OFN15967_n_15711),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
-	.B1(FE_OFN16085_n_15692),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
-	.Y(n_12449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257988 (
-	.A1(FE_OFN16061_n_15700),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
-	.B1(FE_OFN15961_n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
-	.Y(n_12448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257989 (
-	.A1(FE_OFN15967_n_15711),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
-	.B1(FE_OFN16085_n_15692),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
-	.Y(n_12447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257990 (
-	.A1(FE_OFN15957_n_15699),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
-	.B1(FE_OFN16076_n_15700),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
-	.Y(n_12446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257991 (
-	.A1(FE_OFN15957_n_15699),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
-	.B1(FE_OFN16076_n_15700),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
-	.Y(n_12445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257992 (
-	.A1(FE_OFN16020_n_11902),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
-	.Y(n_12444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257993 (
-	.A1(FE_OFN16020_n_11902),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
-	.B1(FE_OFN16067_n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
-	.Y(n_12443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g257994 (
-	.A1(FE_OFN16812_n_15710),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
-	.B1(FE_OFN16045_n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
-	.X(n_12442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257995 (
-	.A1(FE_PSN3873_FE_OFN15988_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
-	.B1(FE_OFN16028_n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
-	.Y(n_12441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g257996 (
-	.A1(n_15693),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
-	.B1(FE_OFN16062_n_15694),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
-	.X(n_12440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257997 (
-	.A1(FE_OCPN16306_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
-	.B1(FE_OFN16020_n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
-	.Y(n_12439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257998 (
-	.A1(FE_OCPN16837_n_11905),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
-	.B1(FE_OFN16052_n_15695),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
-	.Y(n_12438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g257999 (
-	.A1(FE_OFN13732_n_15710),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
-	.B1(FE_OFN16067_n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
-	.Y(n_12437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258000 (
-	.A1(FE_OFN15981_n_15708),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
-	.B1(FE_OFN16020_n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
-	.Y(n_12436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258001 (
-	.A1(n_15692),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
-	.B1(n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
-	.Y(n_12435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258002 (
-	.A1(n_15711),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
-	.B1(n_15712),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
-	.Y(n_12434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258003 (
-	.A1(FE_OFN15961_n_15697),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
-	.B1(FE_OFN15905_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
-	.Y(n_12433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258004 (
-	.A1(FE_OFN15974_n_15704),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
-	.B1(FE_OFN16012_n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
-	.Y(n_12432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258005 (
-	.A1(FE_OCPN16278_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
-	.B1(n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
-	.Y(n_12431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258006 (
-	.A1(FE_OCPN16296_n_11907),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
-	.Y(n_12430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258007 (
-	.A1(FE_OFN16020_n_11902),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
-	.B1(FE_OFN16067_n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
-	.Y(n_12429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258008 (
-	.A1(FE_OFN16066_n_15693),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
-	.B1(FE_OFN16045_n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
-	.Y(n_12428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258009 (
-	.A1(FE_OFN15974_n_15704),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
-	.B1(FE_OFN16028_n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
-	.Y(n_12427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258010 (
-	.A1(n_11902),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
-	.Y(n_12426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258011 (
-	.A1(FE_OFN16012_n_15707),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
-	.B1(FE_OFN15981_n_15708),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
-	.Y(n_12425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258012 (
-	.A1(FE_OCPN16349_n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
-	.B1(FE_OFN16063_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
-	.Y(n_12424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258013 (
-	.A1(FE_OFN16062_n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
-	.B1(FE_OFN16812_n_15710),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
-	.Y(n_12423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258014 (
-	.A1(n_15700),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
-	.B1(n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
-	.Y(n_12422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258015 (
-	.A1(FE_OFN16068_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
-	.B1(FE_OFN16012_n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
-	.Y(n_12421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258016 (
-	.A1(FE_OFN16085_n_15692),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
-	.B1(FE_OFN15979_n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
-	.Y(n_12420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258017 (
-	.A1(n_15688),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
-	.B1(n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
-	.Y(n_12419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258018 (
-	.A1(n_15691),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
-	.B1(n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
-	.Y(n_12418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258019 (
-	.A1(FE_OFN15967_n_15711),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
-	.B1(FE_OFN16087_n_15712),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
-	.Y(n_12417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258020 (
-	.A1(n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
-	.B1(FE_OCPN16331_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
-	.Y(n_12416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258021 (
-	.A1(n_15710),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
-	.B1(n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
-	.Y(n_12415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258022 (
-	.A1(FE_OFN15961_n_15697),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
-	.B1(FE_OFN15905_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
-	.Y(n_12414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258023 (
-	.A1(n_15704),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
-	.B1(n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
-	.Y(n_12413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258024 (
-	.A1(FE_OCPN16306_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
-	.B1(FE_OFN16020_n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
-	.Y(n_12412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258025 (
-	.A1(FE_PSN3873_FE_OFN15988_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
-	.Y(n_12411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258026 (
-	.A1(n_15707),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
-	.B1(n_15708),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
-	.Y(n_12410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258027 (
-	.A1(FE_OFN16034_n_15695),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
-	.B1(FE_OFN16063_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
-	.Y(n_12409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258028 (
-	.A1(FE_OFN15974_n_15704),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
-	.B1(FE_OFN16045_n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
-	.Y(n_12408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258029 (
-	.A1(FE_OFN16020_n_11902),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
-	.B1(FE_OFN16067_n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
-	.Y(n_12407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258030 (
-	.A1(n_15693),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
-	.B1(FE_OFN1047_n_15694),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
-	.Y(n_12406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258031 (
-	.A1(FE_OFN15957_n_15699),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
-	.B1(FE_OFN15905_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
-	.Y(n_12405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258032 (
-	.A1(n_15688),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
-	.B1(n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
-	.Y(n_12404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258033 (
-	.A1(n_15691),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
-	.B1(FE_OFN15918_n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
-	.Y(n_12403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258034 (
-	.A1(FE_OFN16811_n_15710),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
-	.B1(FE_OFN16045_n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
-	.X(n_12402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258035 (
-	.A1(FE_OFN16052_n_15695),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
-	.B1(FE_OCPN16331_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
-	.Y(n_12401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258036 (
-	.A1(FE_OFN16009_n_15695),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
-	.B1(FE_OFN16742_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
-	.Y(n_12400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258037 (
-	.A1(FE_OCPN16296_n_11907),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
-	.B1(n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
-	.Y(n_12399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258038 (
-	.A1(n_11902),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
-	.Y(n_12398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258039 (
-	.A1(FE_OFN16068_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
-	.B1(FE_OFN16012_n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
-	.X(n_12397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258040 (
-	.A1(n_15710),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
-	.B1(n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
-	.X(n_12396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258041 (
-	.A1(FE_OFN16012_n_15707),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
-	.B1(FE_OFN15981_n_15708),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
-	.Y(n_12395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258042 (
-	.A1(FE_OFN16087_n_15712),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
-	.B1(FE_OFN15979_n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
-	.Y(n_12394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258043 (
-	.A1(FE_OFN15957_n_15699),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
-	.B1(FE_OFN15961_n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
-	.Y(n_12393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258044 (
-	.A1(FE_OFN16066_n_15693),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
-	.B1(FE_OFN16062_n_15694),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
-	.Y(n_12392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258045 (
-	.A1(n_15692),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
-	.B1(n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
-	.Y(n_12391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258046 (
-	.A1(n_15699),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
-	.B1(FE_OFN15962_n_15700),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
-	.Y(n_12390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258047 (
-	.A1(FE_OFN16084_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
-	.B1(FE_OFN16022_n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
-	.Y(n_12389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258048 (
-	.A1(n_15709),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
-	.B1(n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
-	.Y(n_12388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258049 (
-	.A1(n_15691),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
-	.B1(n_15688),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
-	.Y(n_12387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258050 (
-	.A1(FE_OFN15996_n_15691),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
-	.B1(FE_OFN15918_n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
-	.Y(n_12386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258051 (
-	.A1(n_11905),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
-	.B1(n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
-	.Y(n_12385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258052 (
-	.A1(n_15711),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
-	.B1(n_15712),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
-	.Y(n_12384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258053 (
-	.A1(FE_OCPN16837_n_11905),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
-	.B1(FE_OFN16034_n_15695),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
-	.Y(n_12383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258054 (
-	.A1(FE_OFN15967_n_15711),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
-	.B1(FE_OFN16085_n_15692),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
-	.Y(n_12382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258055 (
-	.A1(FE_OFN15962_n_15700),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
-	.B1(n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
-	.Y(n_12381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258056 (
-	.A1(FE_OFN16061_n_15700),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
-	.B1(FE_OFN15961_n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
-	.Y(n_12380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258057 (
-	.A1(FE_OCPN16296_n_11907),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
-	.Y(n_12379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258058 (
-	.A1(FE_OCPN16306_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
-	.B1(n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
-	.Y(n_12378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258059 (
-	.A1(n_15708),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
-	.B1(n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
-	.Y(n_12377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258060 (
-	.A1(FE_OFN15974_n_15704),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
-	.B1(FE_OFN16811_n_15710),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
-	.Y(n_12376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258061 (
-	.A1(FE_OFN16062_n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
-	.B1(n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
-	.X(n_12375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258062 (
-	.A1(n_11902),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
-	.B1(FE_OCPN16318_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
-	.Y(n_12374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258063 (
-	.A1(n_15700),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
-	.B1(FE_PSN3963_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
-	.Y(n_12373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258064 (
-	.A1(n_15688),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
-	.B1(n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
-	.Y(n_12372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258065 (
-	.A1(n_15691),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
-	.B1(n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
-	.Y(n_12371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258066 (
-	.A1(n_15708),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
-	.B1(n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
-	.X(n_12370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258067 (
-	.A1(n_11905),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
-	.B1(FE_OCPN16331_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
-	.Y(n_12369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258068 (
-	.A1(n_15693),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
-	.B1(n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
-	.X(n_12368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258069 (
-	.A1(FE_OCPN16278_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
-	.B1(n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
-	.Y(n_12367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258070 (
-	.A1(FE_OFN16054_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
-	.B1(n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
-	.Y(n_12366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258071 (
-	.A1(FE_OFN1047_n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
-	.B1(n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
-	.X(n_12365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258072 (
-	.A1(FE_OFN15957_n_15699),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
-	.B1(FE_OFN15905_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
-	.Y(n_12364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258073 (
-	.A1(n_15693),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
-	.B1(n_15704),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
-	.X(n_12363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258074 (
-	.A1(FE_OFN16020_n_11902),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
-	.Y(n_12362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258075 (
-	.A1(FE_OFN16058_n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
-	.B1(FE_OFN16009_n_15695),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
-	.Y(n_12361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258076 (
-	.A1(FE_PSN3873_FE_OFN15988_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
-	.Y(n_12360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258077 (
-	.A1(FE_OFN15918_n_15709),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
-	.B1(n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
-	.Y(n_12359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258078 (
-	.A1(n_15692),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
-	.B1(n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
-	.Y(n_12358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258079 (
-	.A1(n_15711),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
-	.B1(n_15712),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
-	.Y(n_12357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258080 (
-	.A1(n_15691),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
-	.B1(FE_OFN15973_n_15688),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
-	.Y(n_12356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258081 (
-	.A1(FE_OFN15962_n_15700),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
-	.B1(FE_OFN16805_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
-	.Y(n_12355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258082 (
-	.A1(FE_OFN16068_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
-	.B1(FE_OFN16046_n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
-	.Y(n_12354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258083 (
-	.A1(FE_OCPN16348_n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
-	.B1(FE_OCPN16836_n_11905),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
-	.Y(n_12353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258084 (
-	.A1(FE_OFN15974_n_15704),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
-	.B1(FE_OFN16028_n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
-	.Y(n_12352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g258085 (
-	.A(n_12196),
-	.B(FE_OFN15841_n_10772),
-	.Y(n_12506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258086 (
-	.A1(n_15712),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
-	.B1(n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
-	.X(n_12351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258087 (
-	.A1(FE_OCPN16837_n_11905),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
-	.B1(FE_OFN16063_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
-	.Y(n_12350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258088 (
-	.A1(FE_OFN16012_n_15707),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
-	.B1(FE_OFN15981_n_15708),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
-	.Y(n_12349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258089 (
-	.A1(FE_OFN1047_n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
-	.B1(n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
-	.X(n_12348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258090 (
-	.A1(FE_OCPN16296_n_11907),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
-	.B1(n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
-	.Y(n_12347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258091 (
-	.A1(n_15710),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
-	.B1(n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
-	.Y(n_12346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258092 (
-	.A1(n_15693),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
-	.B1(n_15704),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
-	.Y(n_12345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258093 (
-	.A1(FE_OCPN16832_n_15699),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
-	.B1(FE_OFN16805_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
-	.Y(n_12344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258094 (
-	.A1(FE_OCPN16306_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
-	.B1(FE_OFN16020_n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
-	.X(n_12343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258095 (
-	.A1(FE_OFN16062_n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
-	.B1(FE_OFN13732_n_15710),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
-	.Y(n_12342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258096 (
-	.A1(n_15709),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
-	.B1(FE_OFN16021_n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
-	.Y(n_12341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258097 (
-	.A1(FE_OFN15969_n_15691),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
-	.B1(FE_OFN16083_n),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
-	.Y(n_12340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258098 (
-	.A1(FE_OFN16058_n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
-	.B1(FE_OFN16742_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
-	.Y(n_12339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258099 (
-	.A1(FE_OFN1047_n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
-	.B1(n_15704),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
-	.Y(n_12338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258100 (
-	.A1(FE_OFN16068_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
-	.B1(FE_OFN16062_n_15694),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
-	.Y(n_12337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258101 (
-	.A1(FE_PSN3873_FE_OFN15988_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
-	.Y(n_12336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258102 (
-	.A1(FE_OFN16020_n_11902),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
-	.Y(n_12335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258103 (
-	.A1(FE_OCPN16349_n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
-	.B1(FE_OCPN16837_n_11905),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
-	.Y(n_12334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258104 (
-	.A1(FE_OFN16068_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
-	.B1(FE_OFN16062_n_15694),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
-	.Y(n_12333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258105 (
-	.A1(FE_OFN15957_n_15699),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
-	.B1(FE_OFN15905_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
-	.Y(n_12332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258106 (
-	.A1(FE_OFN16061_n_15700),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
-	.B1(FE_OFN15905_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
-	.Y(n_12331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258107 (
-	.A1(FE_OFN16085_n_15692),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
-	.B1(FE_OFN16087_n_15712),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
-	.Y(n_12330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258108 (
-	.A1(FE_OFN15918_n_15709),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
-	.B1(FE_OFN16022_n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
-	.Y(n_12329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258109 (
-	.A1(FE_OFN16084_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
-	.B1(FE_OFN16022_n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
-	.Y(n_12328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258110 (
-	.A1(FE_OFN15996_n_15691),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
-	.B1(FE_OFN15918_n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
-	.Y(n_12327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258111 (
-	.A1(FE_OFN15996_n_15691),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
-	.B1(FE_OFN16084_n),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
-	.Y(n_12326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258112 (
-	.A1(FE_OFN15967_n_15711),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
-	.B1(FE_OFN15979_n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
-	.Y(n_12325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258113 (
-	.A1(FE_OFN16034_n_15695),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
-	.B1(FE_OFN16063_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
-	.Y(n_12324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258114 (
-	.A1(FE_OFN16045_n_11904),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
-	.B1(FE_OFN15920_n_15732),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[31]),
-	.Y(n_12323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258115 (
-	.A1(FE_OCPN16837_n_11905),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
-	.B1(FE_OFN16034_n_15695),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
-	.Y(n_12322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258116 (
-	.A1(n_11902),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
-	.B1(FE_OCPN16318_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
-	.Y(n_12321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258117 (
-	.A1(FE_OFN16061_n_15700),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
-	.B1(FE_OFN15905_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
-	.Y(n_12320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258118 (
-	.A1(FE_OCPN16278_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
-	.B1(n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
-	.Y(n_12319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258119 (
-	.A1(FE_OFN16054_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
-	.B1(n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
-	.Y(n_12318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258120 (
-	.A1(FE_OCPN16296_n_11907),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
-	.B1(n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
-	.Y(n_12317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258121 (
-	.A1(n_15704),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
-	.B1(n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
-	.Y(n_12316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258122 (
-	.A1(FE_OFN16020_n_11902),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
-	.B1(FE_OFN16067_n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
-	.Y(n_12315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258123 (
-	.A1(n_15697),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
-	.B1(FE_OFN16805_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
-	.Y(n_12314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258124 (
-	.A1(FE_OFN16793_n_15710),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
-	.B1(n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
-	.Y(n_12313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258125 (
-	.A1(FE_OFN16083_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
-	.B1(FE_OFN16021_n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
-	.Y(n_12312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258126 (
-	.A1(FE_OFN15969_n_15691),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
-	.B1(n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
-	.Y(n_12311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258127 (
-	.A1(FE_OFN16058_n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
-	.B1(FE_OFN16026_n_11905),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
-	.Y(n_12310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258128 (
-	.A1(FE_OFN16068_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
-	.B1(FE_OFN13732_n_15710),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
-	.Y(n_12309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258129 (
-	.A1(n_15693),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
-	.B1(n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
-	.Y(n_12308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258130 (
-	.A1(FE_OCPN16306_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
-	.B1(FE_OFN16020_n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
-	.X(n_12307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258131 (
-	.A1(FE_OFN16812_n_15710),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
-	.B1(FE_OFN15981_n_15708),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
-	.X(n_12306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258132 (
-	.A1(FE_OFN15957_n_15699),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
-	.B1(FE_OFN15905_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
-	.Y(n_12305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258133 (
-	.A1(n_15693),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
-	.B1(FE_OFN16045_n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
-	.X(n_12304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258134 (
-	.A1(FE_OFN16020_n_11902),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
-	.B1(FE_OCPN16375_n_15702),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
-	.Y(n_12303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258135 (
-	.A1(FE_PSN3873_FE_OFN15988_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
-	.Y(n_12302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258136 (
-	.A1(FE_OFN16059_n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
-	.B1(FE_OFN16034_n_15695),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
-	.Y(n_12301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258137 (
-	.A1(FE_OCPN16296_n_11907),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
-	.B1(FE_OFN16812_n_15710),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
-	.Y(n_12300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258138 (
-	.A1(FE_OFN16084_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
-	.B1(FE_OFN16022_n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
-	.Y(n_12299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258139 (
-	.A1(FE_OFN15996_n_15691),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
-	.B1(FE_OFN15918_n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
-	.Y(n_12298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258140 (
-	.A1(FE_OFN16085_n_15692),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
-	.B1(FE_OFN16087_n_15712),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
-	.Y(n_12297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258141 (
-	.A1(FE_OFN15967_n_15711),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
-	.B1(FE_OFN15979_n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
-	.Y(n_12296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258142 (
-	.A1(FE_OCPN16349_n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
-	.B1(FE_OFN16063_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
-	.Y(n_12295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258143 (
-	.A1(FE_OFN15957_n_15699),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
-	.B1(FE_OFN15961_n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
-	.Y(n_12294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258145 (
-	.A1(FE_OFN15974_n_15704),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
-	.B1(FE_OFN16012_n_15707),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
-	.Y(n_12292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258147 (
-	.A1(FE_OCPN16348_n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
-	.B1(FE_OCPN16331_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
-	.Y(n_12290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258150 (
-	.A1(FE_OCPN16306_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
-	.B1(FE_OFN16020_n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
-	.X(n_12287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258152 (
-	.A1(n_15693),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
-	.B1(FE_OCPN16306_n_15698),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
-	.Y(n_12285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258153 (
-	.A1(FE_OFN15969_n_15691),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
-	.B1(FE_OFN16021_n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
-	.Y(n_12284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258154 (
-	.A1(n_15692),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
-	.B1(n_15712),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
-	.Y(n_12283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258155 (
-	.A1(n_15699),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
-	.B1(FE_OFN16805_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
-	.Y(n_12282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258157 (
-	.A1(FE_OFN16026_n_11905),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
-	.B1(FE_OFN16742_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
-	.Y(n_12280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258158 (
-	.A1(FE_OFN16068_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
-	.B1(FE_OFN16062_n_15694),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
-	.Y(n_12279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258160 (
-	.A1(FE_OFN16034_n_15695),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
-	.B1(FE_OFN16063_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
-	.Y(n_12277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258161 (
-	.A1(n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
-	.B1(n_11583),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[1]),
-	.X(n_12276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258162 (
-	.A1(n_15693),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
-	.B1(n_15710),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
-	.X(n_12275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258163 (
-	.A1(FE_OFN16054_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
-	.B1(n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
-	.Y(n_12274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258164 (
-	.A1(FE_OFN16061_n_15700),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
-	.B1(FE_OFN15905_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
-	.Y(n_12273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258165 (
-	.A1(n_15711),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
-	.B1(n_15692),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
-	.X(n_12272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258166 (
-	.A1(FE_OFN16085_n_15692),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
-	.B1(FE_OFN16087_n_15712),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
-	.Y(n_12271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258167 (
-	.A1(FE_OFN16034_n_15695),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
-	.B1(FE_OFN16063_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
-	.Y(n_12270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258168 (
-	.A1(n_15700),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
-	.B1(n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
-	.X(n_12269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258170 (
-	.A1(n_15699),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
-	.B1(n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
-	.X(n_12267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258172 (
-	.A1(FE_OFN16087_n_15712),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
-	.B1(FE_OFN15979_n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
-	.Y(n_12265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258173 (
-	.A1(n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
-	.B1(FE_OCPN16836_n_11905),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
-	.X(n_12264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258174 (
-	.A1(FE_OFN16085_n_15692),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
-	.B1(FE_OFN15979_n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
-	.Y(n_12263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258175 (
-	.A1(n_15691),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
-	.B1(n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
-	.X(n_12262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258176 (
-	.A1(FE_OFN16052_n_15695),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
-	.B1(FE_OCPN16331_n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
-	.X(n_12261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258177 (
-	.A1(n_15704),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
-	.B1(n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
-	.X(n_12260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258178 (
-	.A1(FE_OFN15967_n_15711),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
-	.B1(FE_OFN16087_n_15712),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
-	.Y(n_12259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258179 (
-	.A1(n_15707),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
-	.B1(n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
-	.X(n_12258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258180 (
-	.A1(FE_OFN15961_n_15697),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
-	.B1(FE_OFN15905_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
-	.Y(n_12257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258181 (
-	.A1(FE_OFN16054_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
-	.B1(n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
-	.X(n_12256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258182 (
-	.A1(FE_OFN15967_n_15711),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
-	.B1(FE_OFN16085_n_15692),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
-	.Y(n_12255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258183 (
-	.A1(FE_OCPN16375_n_15702),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
-	.B1(n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
-	.X(n_12254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258184 (
-	.A1(FE_OCPN16278_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
-	.B1(n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
-	.X(n_12253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258185 (
-	.A1(FE_OFN15957_n_15699),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
-	.B1(FE_OFN16061_n_15700),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
-	.Y(n_12252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258186 (
-	.A1(FE_OFN15967_n_15711),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
-	.B1(FE_OFN15979_n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
-	.Y(n_12251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258187 (
-	.A1(n_15693),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
-	.B1(n_11583),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[0]),
-	.X(n_12250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258188 (
-	.A1(FE_OFN15957_n_15699),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
-	.B1(FE_OFN16076_n_15700),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
-	.Y(n_12249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258189 (
-	.A1(n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
-	.B1(n_15710),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
-	.X(n_12248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258190 (
-	.A1(n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
-	.B1(n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
-	.X(n_12247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258194 (
-	.A1(FE_OFN16054_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
-	.B1(n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
-	.Y(n_12243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258197 (
-	.A1(n_15705),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
-	.B1(n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
-	.X(n_12240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258198 (
-	.A1(FE_OFN16054_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
-	.B1(n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
-	.X(n_12239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258199 (
-	.A1(n_15699),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
-	.B1(n_15697),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
-	.X(n_12238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258200 (
-	.A1(n_15704),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
-	.B1(n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
-	.X(n_12237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258201 (
-	.A1(n_15708),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
-	.B1(n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
-	.X(n_12236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258202 (
-	.A1(n_15712),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
-	.B1(n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
-	.X(n_12235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258204 (
-	.A1(n_15691),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
-	.B1(n_15688),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
-	.X(n_12233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258205 (
-	.A1(n_15710),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
-	.B1(n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
-	.X(n_12232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258207 (
-	.A1(n_15697),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
-	.B1(FE_OFN16805_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
-	.Y(n_12230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258208 (
-	.A1(FE_OCPN16306_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
-	.B1(FE_OFN16020_n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
-	.Y(n_12229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258209 (
-	.A1(FE_PSN3873_FE_OFN15988_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
-	.Y(n_12228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258210 (
-	.A1(FE_OFN16083_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
-	.B1(FE_OFN16021_n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
-	.Y(n_12227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258211 (
-	.A1(FE_OFN15969_n_15691),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
-	.B1(n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
-	.Y(n_12226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258212 (
-	.A1(FE_OFN16811_n_15710),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
-	.B1(FE_OFN16046_n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
-	.Y(n_12225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258213 (
-	.A1(FE_OFN16058_n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
-	.B1(FE_OFN16026_n_11905),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
-	.Y(n_12224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258214 (
-	.A1(FE_OFN16062_n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
-	.B1(FE_OFN15981_n_15708),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
-	.Y(n_12223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258215 (
-	.A1(FE_OFN16012_n_15707),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
-	.B1(FE_OFN16028_n_15696),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
-	.Y(n_12222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258216 (
-	.A1(FE_PSN3873_FE_OFN15988_n_15690),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
-	.Y(n_12221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258217 (
-	.A1(FE_OCPN16306_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
-	.B1(FE_OFN16020_n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
-	.Y(n_12220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258218 (
-	.A1(FE_OFN16068_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
-	.B1(FE_OFN15974_n_15704),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
-	.Y(n_12219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258219 (
-	.A1(FE_OCPN16306_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
-	.B1(FE_OFN16020_n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
-	.Y(n_12218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258220 (
-	.A1(FE_OFN16062_n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
-	.B1(FE_OFN16045_n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
-	.X(n_12217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258221 (
-	.A1(FE_OFN16062_n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
-	.B1(FE_OFN15974_n_15704),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
-	.Y(n_12216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258222 (
-	.A1(FE_OFN15957_n_15699),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
-	.B1(FE_OFN15905_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
-	.Y(n_12215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258223 (
-	.A1(n_15693),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
-	.B1(FE_OFN16812_n_15710),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
-	.X(n_12214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258224 (
-	.A1(FE_PSN3880_FE_OFN16059_n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
-	.B1(FE_OFN16034_n_15695),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
-	.Y(n_12213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258225 (
-	.A1(FE_OFN16084_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
-	.B1(n_15706),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
-	.Y(n_12212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258226 (
-	.A1(FE_OFN16085_n_15692),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
-	.B1(FE_OFN16087_n_15712),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
-	.Y(n_12211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258227 (
-	.A1(FE_OFN15967_n_15711),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
-	.B1(FE_OFN15979_n_15705),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
-	.Y(n_12210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258228 (
-	.A1(FE_OFN15996_n_15691),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
-	.B1(FE_OFN15918_n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
-	.Y(n_12209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258229 (
-	.A1(FE_OFN15961_n_15697),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
-	.B1(FE_OFN15905_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
-	.Y(n_12208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258230 (
-	.A1(FE_OCPN16349_n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
-	.B1(FE_OFN16034_n_15695),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
-	.Y(n_12207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258231 (
-	.A1(FE_OCPN16306_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
-	.B1(FE_OFN16020_n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
-	.Y(n_12206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258232 (
-	.A1(FE_OCPN16296_n_11907),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
-	.Y(n_12205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258233 (
-	.A1(FE_OFN16062_n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
-	.B1(FE_OFN16811_n_15710),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
-	.Y(n_12204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258234 (
-	.A1(n_15693),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
-	.B1(FE_OFN16045_n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
-	.X(n_12203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258235 (
-	.A1(FE_OCPN16296_n_11907),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
-	.B1(FE_OFN15846_n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
-	.Y(n_12202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258236 (
-	.A1(FE_OFN16062_n_15694),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
-	.B1(FE_OFN16812_n_15710),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
-	.X(n_12201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258237 (
-	.A1(FE_OFN16061_n_15700),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
-	.B1(FE_OFN15905_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
-	.Y(n_12200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258238 (
-	.A1(FE_OFN16054_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
-	.B1(n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
-	.Y(n_12199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258239 (
-	.A1(FE_OCPN16296_n_11907),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
-	.B1(n_15689),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
-	.Y(n_12198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258240 (
-	.A1(n_15688),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
-	.B1(FE_OFN15918_n_15709),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
-	.Y(n_12197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258242 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
-	.A2(FE_OFN1055_n_15826),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
-	.C1(FE_OFN16042_n_15714),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
-	.Y(n_12194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258267 (
-	.A1(FE_OCPN16362_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
-	.Y(n_12169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g258268 (
-	.A1(FE_OFN16049_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
-	.B1(FE_OCPN16312_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
-	.C1(n_11811),
-	.X(n_12168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g258269 (
-	.A1(FE_OFN16049_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
-	.B1(FE_OCPN16312_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
-	.C1(n_11815),
-	.X(n_12167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g258270 (
-	.A1(FE_OFN16049_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
-	.B1(FE_OCPN16312_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
-	.C1(n_11758),
-	.X(n_12166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258271 (
-	.A(n_11775),
-	.B(n_11820),
-	.Y(n_12165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258272 (
-	.A(n_11768),
-	.B(n_11769),
-	.Y(n_12164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g258273 (
-	.A1(FE_OFN16049_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
-	.B1(FE_OCPN16312_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
-	.C1(n_11763),
-	.X(n_12163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g258274 (
-	.A1(FE_OFN16047_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
-	.B1(FE_OCPN16311_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
-	.C1(n_11753),
-	.X(n_12162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g258275 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
-	.B1(FE_OCPN16323_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
-	.C1(n_11676),
-	.Y(n_12161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g258276 (
-	.A1(FE_OFN16049_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
-	.B1(FE_OCPN16312_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
-	.C1(n_11750),
-	.X(n_12160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258277 (
-	.A(n_11736),
-	.B(n_11737),
-	.Y(n_12159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g258278 (
-	.A1(FE_OFN16049_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
-	.B1(FE_OCPN16312_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
-	.C1(n_11728),
-	.X(n_12158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g258279 (
-	.A1(FE_OFN16049_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
-	.B1(FE_OCPN16312_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
-	.C1(n_11723),
-	.X(n_12157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g258280 (
-	.A1(FE_OFN16047_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
-	.B1(FE_OCPN16311_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
-	.C1(n_11719),
-	.X(n_12156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g258281 (
-	.A1(n_15830),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
-	.B1(n_15829),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
-	.C1(n_11710),
-	.Y(n_12155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g258282 (
-	.A1(FE_OFN16049_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
-	.B1(FE_OCPN16312_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
-	.C1(n_11741),
-	.X(n_12154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258285 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
-	.A2(FE_OFN15954_n_11552),
-	.B1(FE_OFN1035_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
-	.C1(n_15728),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
-	.Y(n_12151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258286 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
-	.A2(FE_OFN1037_n_11553),
-	.B1(n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
-	.C1(FE_OFN16041_n_15714),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
-	.Y(n_12150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258287 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
-	.A2(FE_OFN1880_n_15831),
-	.B1(FE_OFN15991_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[27]),
-	.C1(n_15718),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
-	.Y(n_12149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258288 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
-	.A2(FE_OFN1034_n_11550),
-	.B1(n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
-	.C1(FE_OCPN16370_FE_OFN1879_n_15826),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
-	.Y(n_12148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258289 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
-	.A2(FE_OCPN16823_n_15834),
-	.B1(n_15828),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
-	.C1(FE_OCPN16323_n_15827),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
-	.Y(n_12147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258290 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
-	.A2(FE_OFN1876_n_15832),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[26]),
-	.C1(FE_OCPN16338_FE_OFN1034_n_11550),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
-	.Y(n_12146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258291 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
-	.A2(FE_OFN16801_n_11553),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
-	.C1(FE_OFN16042_n_15714),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
-	.Y(n_12145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258292 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
-	.A2(FE_OFN16027_n_15830),
-	.B1(FE_OCPN16264_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
-	.C1(FE_OFN16810_FE_RN_6_0),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
-	.Y(n_12144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258293 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
-	.A2(FE_OCPN16338_FE_OFN1034_n_11550),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[25]),
-	.C1(FE_OFN1051_n_15728),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
-	.Y(n_12143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258294 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
-	.A2(n_15829),
-	.B1(FE_OCPN16264_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
-	.C1(FE_OFN16759_n_15830),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
-	.Y(n_12142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258295 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
-	.A2(FE_OCPN16338_FE_OFN1034_n_11550),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[24]),
-	.C1(FE_OFN1051_n_15728),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
-	.Y(n_12141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258296 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
-	.A2(FE_OFN16810_FE_RN_6_0),
-	.B1(FE_OCPN16264_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
-	.C1(FE_OFN16027_n_15830),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
-	.Y(n_12140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258297 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
-	.A2(FE_OCPN16823_n_15834),
-	.B1(n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
-	.C1(FE_OFN16773_n_15833),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
-	.Y(n_12139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258298 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
-	.A2(FE_OFN1034_n_11550),
-	.B1(FE_OFN15991_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[23]),
-	.C1(n_15728),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
-	.Y(n_12138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258299 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
-	.A2(FE_OFN16777_n_15829),
-	.B1(FE_OCPN16264_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
-	.C1(FE_OCPN16339_n_15830),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
-	.Y(n_12137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258300 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
-	.A2(FE_OFN1876_n_15832),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[22]),
-	.C1(FE_OCPN16338_FE_OFN1034_n_11550),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
-	.Y(n_12136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258301 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
-	.A2(FE_OFN16027_n_15830),
-	.B1(FE_OCPN16264_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
-	.C1(FE_OFN16810_FE_RN_6_0),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
-	.Y(n_12135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258302 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
-	.A2(FE_OFN1876_n_15832),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[21]),
-	.C1(FE_OCPN16338_FE_OFN1034_n_11550),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
-	.Y(n_12134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258303 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
-	.A2(FE_OFN16759_n_15830),
-	.B1(n_15829),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
-	.C1(FE_OCPN16264_n_15834),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
-	.Y(n_12133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258304 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
-	.A2(n_15832),
-	.B1(FE_OFN15991_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[20]),
-	.C1(FE_OFN1034_n_11550),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
-	.Y(n_12132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258305 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
-	.A2(FE_OCPN16339_n_15830),
-	.B1(FE_OCPN16264_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
-	.C1(FE_OFN16777_n_15829),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
-	.Y(n_12131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258306 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
-	.A2(FE_OCPN16338_FE_OFN1034_n_11550),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[19]),
-	.C1(FE_OFN1876_n_15832),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
-	.Y(n_12130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258308 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
-	.A2(n_15830),
-	.B1(FE_OCPN16264_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
-	.C1(n_15829),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
-	.Y(n_12128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258309 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
-	.A2(FE_OCPN16338_FE_OFN1034_n_11550),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[18]),
-	.C1(FE_OFN1051_n_15728),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
-	.Y(n_12127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258310 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
-	.A2(FE_OFN16810_FE_RN_6_0),
-	.B1(FE_OCPN16264_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
-	.C1(FE_OFN16027_n_15830),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
-	.Y(n_12126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258311 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
-	.A2(FE_OFN1876_n_15832),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[17]),
-	.C1(FE_OCPN16338_FE_OFN1034_n_11550),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
-	.Y(n_12125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258312 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
-	.A2(FE_OFN16801_n_11553),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
-	.C1(FE_OFN16042_n_15714),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
-	.Y(n_12124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258313 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
-	.A2(FE_OFN16759_n_15830),
-	.B1(FE_OCPN16264_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
-	.C1(n_15829),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
-	.Y(n_12123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258314 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
-	.A2(n_15832),
-	.B1(FE_OFN15991_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[16]),
-	.C1(FE_OFN1034_n_11550),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
-	.Y(n_12122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258315 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
-	.A2(n_15829),
-	.B1(FE_OCPN16823_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
-	.C1(FE_OCPN16340_n_15830),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
-	.Y(n_12121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258316 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
-	.A2(FE_OCPN16338_FE_OFN1034_n_11550),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[15]),
-	.C1(FE_OFN1051_n_15728),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
-	.Y(n_12120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258317 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
-	.A2(FE_OFN16759_n_15830),
-	.B1(FE_OCPN16264_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
-	.C1(n_15829),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
-	.Y(n_12119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258318 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
-	.A2(FE_OCPN16338_FE_OFN1034_n_11550),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[14]),
-	.C1(FE_OFN1051_n_15728),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
-	.Y(n_12118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258319 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
-	.A2(FE_OFN16759_n_15830),
-	.B1(FE_OCPN16264_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
-	.C1(n_15829),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
-	.Y(n_12117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258320 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
-	.A2(FE_OFN1876_n_15832),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[13]),
-	.C1(FE_OCPN16338_FE_OFN1034_n_11550),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
-	.Y(n_12116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258321 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
-	.A2(FE_OCPN16338_FE_OFN1034_n_11550),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[12]),
-	.C1(FE_OFN1876_n_15832),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
-	.Y(n_12115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258322 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
-	.A2(n_15830),
-	.B1(FE_OCPN16264_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
-	.C1(FE_OFN16777_n_15829),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
-	.Y(n_12114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258323 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
-	.A2(n_15830),
-	.B1(FE_OCPN16264_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
-	.C1(n_15829),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
-	.Y(n_12113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258324 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
-	.A2(FE_OFN16777_n_15829),
-	.B1(n_15830),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
-	.C1(FE_OCPN16264_n_15834),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
-	.Y(n_12112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258325 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
-	.A2(n_15834),
-	.B1(n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
-	.C1(n_15833),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
-	.Y(n_12111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258327 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
-	.A2(n_15830),
-	.B1(FE_OCPN16823_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
-	.C1(n_15829),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
-	.Y(n_12109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258328 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
-	.A2(FE_OCPN16264_n_15834),
-	.B1(FE_OFN16749_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
-	.C1(n_15828),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
-	.Y(n_12108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258329 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
-	.A2(n_15830),
-	.B1(FE_OCPN16823_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
-	.C1(n_15829),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
-	.Y(n_12107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258330 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
-	.A2(n_15828),
-	.B1(FE_OFN16749_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
-	.C1(FE_OCPN16264_n_15834),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
-	.Y(n_12106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258333 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
-	.A2(FE_OFN1880_n_15831),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[31]),
-	.C1(FE_OFN16042_n_15714),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
-	.Y(n_12103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258334 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
-	.A2(FE_OFN1036_n_11552),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
-	.C1(FE_OFN1050_n_15718),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
-	.Y(n_12102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258335 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
-	.A2(FE_OFN16759_n_15830),
-	.B1(FE_OCPN16264_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
-	.C1(n_15829),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
-	.Y(n_12101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258336 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
-	.A2(FE_OFN16759_n_15830),
-	.B1(FE_OCPN16264_n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
-	.C1(n_15829),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
-	.Y(n_12100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258337 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
-	.A2(FE_OFN1056_n_15831),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[30]),
-	.C1(FE_OFN1050_n_15718),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
-	.Y(n_12099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258338 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
-	.A2(FE_OCPN16338_FE_OFN1034_n_11550),
-	.B1(FE_OFN1876_n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
-	.C1(FE_OFN1055_n_15826),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
-	.Y(n_12098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258339 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
-	.A2(FE_OFN1036_n_11552),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
-	.C1(FE_OFN16042_n_15714),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
-	.Y(n_12097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258340 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
-	.A2(FE_OFN16801_n_11553),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
-	.C1(FE_OFN1051_n_15728),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
-	.Y(n_12096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258341 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
-	.A2(FE_OCPN16264_n_15834),
-	.B1(n_15828),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
-	.C1(FE_OFN16749_n_15827),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
-	.Y(n_12095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258342 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
-	.A2(FE_OCPN16827_FE_OFN1880_n_15831),
-	.B1(FE_OFN15991_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[29]),
-	.C1(n_15718),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
-	.Y(n_12094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258343 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
-	.A2(FE_OFN1034_n_11550),
-	.B1(n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
-	.C1(FE_OCPN16370_FE_OFN1879_n_15826),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
-	.Y(n_12093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258344 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
-	.A2(FE_OFN15954_n_11552),
-	.B1(FE_OFN1035_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
-	.C1(FE_OFN16041_n_15714),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
-	.Y(n_12092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258345 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
-	.A2(FE_OFN16802_n_11553),
-	.B1(FE_OFN16778_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
-	.C1(n_15728),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
-	.Y(n_12091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258346 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
-	.A2(FE_OCPN16264_n_15834),
-	.B1(n_15828),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
-	.C1(n_15827),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
-	.Y(n_12090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258347 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
-	.A2(FE_OFN1055_n_15826),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[28]),
-	.C1(FE_OFN1050_n_15718),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
-	.Y(n_12089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258348 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
-	.A2(FE_OFN1876_n_15832),
-	.B1(FE_OFN1056_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
-	.C1(FE_OCPN16338_FE_OFN1034_n_11550),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
-	.Y(n_12088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258349 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
-	.A2(FE_OFN1036_n_11552),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
-	.C1(FE_OFN1051_n_15728),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
-	.Y(n_12087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258350 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
-	.A2(FE_OFN16801_n_11553),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
-	.C1(FE_OFN16042_n_15714),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
-	.Y(n_12086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258351 (
-	.A1(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
-	.A2(n_15834),
-	.B1(n_15828),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
-	.C1(FE_OFN16749_n_15827),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
-	.Y(n_12085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258352 (
-	.A1(n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
-	.Y(n_12084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258353 (
-	.A1(FE_OCPN16833_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
-	.Y(n_12083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258354 (
-	.A1(FE_OCPN16317_n_11633),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
-	.B1(FE_OCPN16282_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
-	.Y(n_12082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258355 (
-	.A1(FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
-	.B1(n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
-	.Y(n_12081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258356 (
-	.A1(FE_OFN16019_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
-	.B1(FE_OCPN16292_n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
-	.Y(n_12080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258357 (
-	.A1(FE_OFN1050_n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
-	.B1(FE_OFN1051_n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
-	.Y(n_12079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258358 (
-	.A1(FE_OCPN16379_FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
-	.B1(FE_OFN16797_n),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
-	.Y(n_12078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258359 (
-	.A1(FE_OFN16016_n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
-	.B1(FE_OFN16804_n),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
-	.Y(n_12077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258360 (
-	.A1(FE_OFN16019_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
-	.Y(n_12076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258361 (
-	.A1(FE_OFN15994_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
-	.B1(FE_OFN1876_n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
-	.Y(n_12075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258362 (
-	.A1(FE_OFN1049_n_15716),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
-	.B1(FE_OFN16042_n_15714),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
-	.Y(n_12074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258363 (
-	.A1(FE_OCPN16379_FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
-	.B1(FE_OFN16011_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
-	.Y(n_12073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258364 (
-	.A1(FE_OFN16015_n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
-	.B1(FE_OFN16006_n_11613),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
-	.Y(n_12072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258365 (
-	.A1(FE_OFN16019_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
-	.B1(FE_OCPN16292_n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
-	.Y(n_12071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258366 (
-	.A1(FE_OCPN16353_FE_OFN15994_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
-	.B1(FE_OFN1876_n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
-	.Y(n_12070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258367 (
-	.A1(FE_OFN16033_n_15716),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
-	.B1(FE_OFN16042_n_15714),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
-	.Y(n_12069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258368 (
-	.A1(n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
-	.B1(n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
-	.Y(n_12068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258369 (
-	.A1(FE_OFN1051_n_15728),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[9]),
-	.Y(n_12067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258370 (
-	.A1(FE_OFN16016_n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
-	.B1(FE_OFN16804_n),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
-	.Y(n_12066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258371 (
-	.A1(FE_OFN16808_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
-	.B1(FE_OFN16770_n),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
-	.Y(n_12065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258373 (
-	.A1(FE_OCPN16353_FE_OFN15994_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
-	.B1(n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
-	.Y(n_12064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258374 (
-	.A1(FE_OFN16748_n_15716),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
-	.B1(FE_OFN16041_n_15714),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
-	.Y(n_12063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258375 (
-	.A1(FE_OFN16037_n_11613),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
-	.B1(FE_OCPN16344_n_11633),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
-	.Y(n_12062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258376 (
-	.A1(FE_OFN16807_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
-	.B1(n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
-	.Y(n_12061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258377 (
-	.A1(FE_OFN1050_n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
-	.B1(FE_OFN1051_n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
-	.Y(n_12060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258378 (
-	.A1(n_15728),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
-	.B1(FE_OFN15991_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[7]),
-	.Y(n_12059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258379 (
-	.A1(FE_OFN16808_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
-	.B1(FE_OFN16770_n),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
-	.Y(n_12058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258380 (
-	.A1(FE_OFN1050_n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
-	.B1(FE_OFN1051_n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
-	.Y(n_12057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258381 (
-	.A1(FE_OCPN16829_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
-	.B1(n_15718),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
-	.Y(n_12056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258382 (
-	.A1(FE_OFN16039_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
-	.B1(FE_OFN16015_n_11642),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
-	.Y(n_12055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258383 (
-	.A1(FE_OFN16037_n_11613),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
-	.B1(n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
-	.Y(n_12054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258384 (
-	.A1(FE_OFN16019_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
-	.B1(FE_OCPN16292_n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
-	.Y(n_12053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258385 (
-	.A1(FE_OCPN16353_FE_OFN15994_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
-	.B1(FE_OFN1051_n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
-	.Y(n_12052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258386 (
-	.A1(FE_OCPN16379_FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
-	.B1(FE_OFN16011_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
-	.Y(n_12051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258387 (
-	.A1(FE_OFN16039_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
-	.B1(FE_OCPN16360_n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
-	.Y(n_12050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258388 (
-	.A1(FE_OFN16019_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
-	.B1(FE_OCPN16292_n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
-	.Y(n_12049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258389 (
-	.A1(FE_OCPN16353_FE_OFN15994_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
-	.B1(FE_OFN1876_n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
-	.Y(n_12048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258390 (
-	.A1(FE_OFN16033_n_15716),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
-	.B1(FE_OFN16042_n_15714),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
-	.Y(n_12047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258391 (
-	.A1(FE_OFN16804_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
-	.B1(FE_OFN16770_n),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
-	.Y(n_12046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258392 (
-	.A1(FE_OFN16808_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
-	.B1(FE_OFN16016_n_11642),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
-	.Y(n_12045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258393 (
-	.A1(FE_OFN16019_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
-	.B1(FE_OCPN16292_n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
-	.Y(n_12044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258394 (
-	.A1(FE_OFN1050_n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
-	.B1(FE_OFN1051_n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
-	.Y(n_12043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258395 (
-	.A1(FE_OCPN16379_FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
-	.B1(FE_OFN16011_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
-	.Y(n_12042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258396 (
-	.A1(FE_OFN16015_n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
-	.B1(FE_OFN16006_n_11613),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
-	.Y(n_12041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258397 (
-	.A1(n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
-	.B1(n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
-	.Y(n_12040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258398 (
-	.A1(FE_OFN16005_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
-	.B1(FE_OFN16008_n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
-	.Y(n_12039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258399 (
-	.A1(n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
-	.B1(FE_OFN1049_n_15716),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
-	.Y(n_12038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258400 (
-	.A1(FE_OFN16019_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
-	.Y(n_12037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258401 (
-	.A1(FE_OFN15994_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
-	.B1(FE_OFN1876_n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
-	.Y(n_12036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258402 (
-	.A1(FE_OFN1049_n_15716),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
-	.B1(FE_OFN16042_n_15714),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
-	.Y(n_12035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258403 (
-	.A1(FE_OCPN16822_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
-	.B1(FE_OFN16007_n_11633),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
-	.X(n_12034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258404 (
-	.A1(n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
-	.B1(n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
-	.Y(n_12033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258405 (
-	.A1(FE_OFN16019_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
-	.Y(n_12032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258406 (
-	.A1(FE_OFN15994_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
-	.B1(FE_OFN1876_n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
-	.Y(n_12031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258407 (
-	.A1(FE_OFN1049_n_15716),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
-	.B1(FE_OFN16042_n_15714),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
-	.Y(n_12030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258408 (
-	.A1(FE_OCPN16379_FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
-	.B1(FE_OFN16011_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
-	.Y(n_12029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258409 (
-	.A1(FE_OFN16015_n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
-	.B1(FE_OFN16006_n_11613),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
-	.Y(n_12028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258410 (
-	.A1(FE_OFN1050_n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
-	.B1(FE_OFN1051_n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
-	.Y(n_12027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258411 (
-	.A1(FE_OFN16015_n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
-	.B1(FE_OFN16006_n_11613),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
-	.Y(n_12026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258412 (
-	.A1(FE_OFN16041_n_15714),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
-	.B1(n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
-	.Y(n_12025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258413 (
-	.A1(FE_OFN1050_n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
-	.B1(FE_OFN1051_n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
-	.Y(n_12024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258414 (
-	.A1(FE_OCPN16282_n_11639),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
-	.B1(n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
-	.X(n_12023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258415 (
-	.A1(FE_OCPN16379_FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
-	.B1(n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
-	.Y(n_12022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258416 (
-	.A1(FE_OFN16007_n_11633),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
-	.B1(n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
-	.Y(n_12021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258417 (
-	.A1(n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
-	.B1(n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
-	.Y(n_12020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258418 (
-	.A1(n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
-	.B1(n_11613),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
-	.Y(n_12019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258419 (
-	.A1(FE_OFN16042_n_15714),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[11]),
-	.Y(n_12018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258420 (
-	.A1(n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
-	.Y(n_12017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258421 (
-	.A1(FE_OFN15994_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
-	.B1(FE_OFN1051_n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
-	.Y(n_12016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258422 (
-	.A1(FE_OFN16039_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
-	.B1(FE_OFN16024_n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
-	.X(n_12015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258423 (
-	.A1(FE_OCPN16379_FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
-	.B1(FE_OFN16011_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
-	.Y(n_12014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258424 (
-	.A1(FE_OFN16007_n_11633),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
-	.B1(FE_OCPN16360_n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
-	.Y(n_12013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258425 (
-	.A1(FE_OFN16039_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
-	.B1(FE_OFN16024_n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
-	.X(n_12012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258426 (
-	.A1(FE_OFN16042_n_15714),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[10]),
-	.Y(n_12011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258427 (
-	.A1(FE_OFN15994_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
-	.B1(FE_OFN1049_n_15716),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
-	.Y(n_12010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258428 (
-	.A1(FE_OFN16019_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
-	.Y(n_12009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258429 (
-	.A1(FE_OFN1050_n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
-	.B1(FE_OFN1051_n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
-	.Y(n_12008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258430 (
-	.A1(FE_OFN1050_n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
-	.B1(FE_OFN1049_n_15716),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
-	.Y(n_12007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258431 (
-	.A1(n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
-	.Y(n_12006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258432 (
-	.A1(FE_OFN15994_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
-	.B1(FE_OFN16042_n_15714),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
-	.Y(n_12005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258433 (
-	.A1(n_11610),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
-	.B1(n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
-	.Y(n_12004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258434 (
-	.A1(n_11613),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
-	.B1(FE_OCPN16317_n_11633),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
-	.Y(n_12003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258435 (
-	.A1(FE_OCPN16819_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
-	.B1(n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
-	.X(n_12002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258436 (
-	.A1(FE_OFN16005_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
-	.B1(n_11642),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
-	.Y(n_12001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258437 (
-	.A1(n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
-	.B1(n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
-	.X(n_12000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258438 (
-	.A1(n_11613),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
-	.B1(FE_OFN16007_n_11633),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
-	.X(n_11999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258439 (
-	.A1(FE_OFN16042_n_15714),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[8]),
-	.Y(n_11998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258440 (
-	.A1(n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
-	.Y(n_11997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258441 (
-	.A1(FE_OFN15994_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
-	.B1(FE_OFN1051_n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
-	.Y(n_11996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258442 (
-	.A1(FE_OFN1051_n_15728),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[3]),
-	.Y(n_11995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258443 (
-	.A1(n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
-	.B1(FE_OFN16748_n_15716),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
-	.Y(n_11994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258444 (
-	.A1(FE_OCPN16833_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
-	.Y(n_11993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258445 (
-	.A1(n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
-	.B1(n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
-	.X(n_11992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258446 (
-	.A1(FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
-	.B1(n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
-	.X(n_11991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258447 (
-	.A1(FE_OFN16005_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
-	.B1(FE_OCPN16317_n_11633),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
-	.X(n_11990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258448 (
-	.A1(FE_OFN16748_n_15716),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
-	.B1(FE_OFN15991_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[6]),
-	.Y(n_11989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258449 (
-	.A1(FE_OCPN16833_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
-	.Y(n_11988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258450 (
-	.A1(FE_OCPN16379_FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
-	.B1(FE_OFN16011_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
-	.Y(n_11987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258451 (
-	.A1(FE_OFN16007_n_11633),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
-	.B1(FE_OCPN16360_n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
-	.Y(n_11986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258452 (
-	.A1(FE_OFN16042_n_15714),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
-	.B1(FE_OFN15990_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[5]),
-	.Y(n_11985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258453 (
-	.A1(FE_OFN1050_n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
-	.B1(FE_OFN16033_n_15716),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
-	.Y(n_11984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258454 (
-	.A1(FE_OFN16018_n_15726),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
-	.B1(FE_OFN16008_n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
-	.Y(n_11983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258455 (
-	.A1(n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
-	.B1(n_11613),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
-	.Y(n_11982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258456 (
-	.A1(FE_OFN16041_n_15714),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
-	.B1(FE_OFN15991_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[4]),
-	.Y(n_11981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258457 (
-	.A1(n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
-	.B1(FE_OFN16748_n_15716),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
-	.Y(n_11980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258458 (
-	.A1(FE_OCPN16833_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
-	.Y(n_11979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258459 (
-	.A1(n_11613),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
-	.B1(FE_OCPN16317_n_11633),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
-	.Y(n_11978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258460 (
-	.A1(FE_OCPN16829_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
-	.B1(FE_OFN16041_n_15714),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
-	.Y(n_11977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258461 (
-	.A1(FE_OFN1050_n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
-	.B1(FE_OFN1049_n_15716),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
-	.Y(n_11976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258462 (
-	.A1(FE_OFN16019_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
-	.Y(n_11975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258463 (
-	.A1(FE_OFN15994_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
-	.B1(FE_OFN16042_n_15714),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
-	.Y(n_11974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258464 (
-	.A1(FE_OFN16011_n_11639),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
-	.B1(FE_OFN16024_n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
-	.Y(n_11973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258465 (
-	.A1(FE_OFN16006_n_11613),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
-	.B1(FE_OCPN16360_n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
-	.Y(n_11972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258467 (
-	.A1(n_15728),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
-	.B1(FE_OFN15991_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[2]),
-	.Y(n_11970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258468 (
-	.A1(n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
-	.B1(FE_OFN16748_n_15716),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
-	.Y(n_11969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258469 (
-	.A1(FE_OFN16005_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
-	.B1(n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
-	.Y(n_11968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258470 (
-	.A1(FE_OFN16005_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
-	.B1(FE_OFN16008_n_11610),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
-	.Y(n_11967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258472 (
-	.A1(FE_OCPN16833_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
-	.Y(n_11965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258473 (
-	.A1(n_11613),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
-	.B1(FE_OFN16007_n_11633),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
-	.Y(n_11964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258474 (
-	.A1(n_11610),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
-	.B1(n_15715),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
-	.Y(n_11963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258475 (
-	.A1(FE_OCPN16821_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
-	.B1(n_11642),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
-	.Y(n_11962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258476 (
-	.A1(FE_OCPN16317_n_11633),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
-	.B1(FE_OCPN16282_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
-	.Y(n_11961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258477 (
-	.A1(FE_OCPN16830_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
-	.B1(n_15718),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
-	.Y(n_11960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258479 (
-	.A1(FE_OFN16015_n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
-	.B1(FE_OFN16006_n_11613),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
-	.Y(n_11958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258480 (
-	.A1(FE_OFN16041_n_15714),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
-	.B1(n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
-	.Y(n_11957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258481 (
-	.A1(FE_OCPN16829_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
-	.B1(n_15716),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
-	.Y(n_11956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258483 (
-	.A1(n_11613),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
-	.B1(n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
-	.Y(n_11954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258484 (
-	.A1(FE_OCPN16829_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
-	.B1(FE_OFN16041_n_15714),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
-	.Y(n_11953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258485 (
-	.A1(FE_OFN1050_n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
-	.B1(FE_OFN1049_n_15716),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
-	.Y(n_11952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258486 (
-	.A1(FE_OFN15994_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
-	.B1(FE_OFN1049_n_15716),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
-	.Y(n_11951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258487 (
-	.A1(FE_OCPN16338_FE_OFN1034_n_11550),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
-	.B1(FE_OFN1051_n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
-	.Y(n_11950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258488 (
-	.A1(FE_OFN16019_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
-	.Y(n_11949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258489 (
-	.A1(FE_OCPN16822_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
-	.B1(FE_OFN16007_n_11633),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
-	.Y(n_11948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258490 (
-	.A1(FE_OCPN16353_FE_OFN15994_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
-	.B1(FE_OFN1051_n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
-	.Y(n_11947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258491 (
-	.A1(FE_OFN16015_n_11642),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
-	.B1(FE_OFN16013_n_15715),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
-	.Y(n_11946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_2 g258492 (
-	.A1(FE_OFN16041_n_15714),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
-	.B1(n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
-	.Y(n_11945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258493 (
-	.A1(FE_OFN16770_n),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
-	.B1(FE_OFN16797_n),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
-	.Y(n_11944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258494 (
-	.A1(FE_OFN16013_n_15715),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
-	.B1(FE_OFN16024_n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
-	.Y(n_11943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258495 (
-	.A1(FE_OCPN16317_n_11633),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
-	.B1(FE_OCPN16282_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
-	.Y(n_11942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258496 (
-	.A1(n_15716),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
-	.B1(n_15717),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
-	.Y(n_11941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258497 (
-	.A1(FE_OCPN16829_n_15713),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
-	.B1(n_15728),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
-	.Y(n_11940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258498 (
-	.A1(FE_OCPN16344_n_11633),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
-	.B1(FE_OFN16011_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
-	.Y(n_11939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258499 (
-	.A1(FE_OFN16013_n_15715),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
-	.B1(FE_OCPN16384_n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
-	.Y(n_11938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258500 (
-	.A1(n_15718),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
-	.B1(FE_OFN15991_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[0]),
-	.X(n_11937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258501 (
-	.A1(FE_OFN16007_n_11633),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
-	.B1(FE_OFN16011_n_11639),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
-	.Y(n_11936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258502 (
-	.A1(FE_OFN16013_n_15715),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
-	.B1(FE_OFN16024_n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
-	.Y(n_11935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258503 (
-	.A1(n_11639),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
-	.B1(n_11612),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
-	.Y(n_11934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258504 (
-	.A1(FE_OFN16019_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
-	.B1(FE_OCPN16292_n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
-	.Y(n_11933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258530 (
-	.A(FE_OFN16748_n_15716),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
-	.Y(n_11901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258531 (
-	.A(FE_OCPN16829_n_15713),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
-	.Y(n_11900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258532 (
-	.A(FE_OCPN16389_n_15715),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
-	.Y(n_11899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258534 (
-	.A(n_11642),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
-	.Y(n_11897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258535 (
-	.A(FE_OCPN16353_FE_OFN15994_n_15713),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
-	.Y(n_11896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258536 (
-	.A(FE_OFN16033_n_15716),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
-	.Y(n_11895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258537 (
-	.A(FE_OCPN16360_n_11610),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
-	.Y(n_11894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258538 (
-	.A(FE_OFN1050_n_15718),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
-	.Y(n_11893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258539 (
-	.A(FE_OCPN16389_n_15715),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
-	.Y(n_11892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258540 (
-	.A(FE_OCPN16360_n_11610),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
-	.Y(n_11891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258541 (
-	.A(FE_OFN1050_n_15718),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
-	.Y(n_11890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258542 (
-	.A(FE_OFN1050_n_15718),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
-	.Y(n_11889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258543 (
-	.A(FE_OCPN16353_FE_OFN15994_n_15713),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
-	.Y(n_11888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258544 (
-	.A(FE_OCPN16360_n_11610),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
-	.Y(n_11887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258545 (
-	.A(n_15718),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
-	.Y(n_11886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258546 (
-	.A(FE_OFN16016_n_11642),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
-	.Y(n_11885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258547 (
-	.A(FE_OCPN16353_FE_OFN15994_n_15713),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
-	.Y(n_11884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258548 (
-	.A(FE_OFN16016_n_11642),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
-	.Y(n_11883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258549 (
-	.A(FE_OFN15994_n_15713),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
-	.Y(n_11882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258550 (
-	.A(FE_OFN16007_n_11633),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
-	.Y(n_11881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258552 (
-	.A(FE_OCPN16344_n_11633),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
-	.Y(n_11879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258553 (
-	.A(FE_OFN1050_n_15718),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
-	.Y(n_11878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258554 (
-	.A(FE_OFN16033_n_15716),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
-	.Y(n_11877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258555 (
-	.A(FE_OFN16015_n_11642),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
-	.Y(n_11876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258556 (
-	.A(FE_OFN1050_n_15718),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
-	.Y(n_11875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258557 (
-	.A(FE_OFN16024_n_11612),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
-	.Y(n_11874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258558 (
-	.A(FE_OFN16013_n_15715),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
-	.Y(n_11873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258559 (
-	.A(FE_OCPN16360_n_11610),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
-	.Y(n_11872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258560 (
-	.A(FE_OCPN16353_FE_OFN15994_n_15713),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
-	.Y(n_11871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258561 (
-	.A(FE_OCPN16360_n_11610),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
-	.Y(n_11870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258562 (
-	.A(FE_OFN1050_n_15718),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
-	.Y(n_11869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258564 (
-	.A(n_11642),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
-	.Y(n_11867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258565 (
-	.A(FE_OCPN16389_n_15715),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
-	.Y(n_11866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258566 (
-	.A(FE_OCPN16829_n_15713),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
-	.Y(n_11865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258567 (
-	.A(FE_OFN16024_n_11612),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
-	.Y(n_11864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258568 (
-	.A(FE_OFN16013_n_15715),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
-	.Y(n_11863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258569 (
-	.A(FE_OCPN16360_n_11610),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
-	.Y(n_11862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258570 (
-	.A(FE_OCPN16360_n_11610),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
-	.Y(n_11861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258571 (
-	.A(FE_OFN15994_n_15713),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
-	.Y(n_11860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258572 (
-	.A(FE_OFN15994_n_15713),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
-	.Y(n_11859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258573 (
-	.A(FE_OCPN16360_n_11610),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
-	.Y(n_11858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258574 (
-	.A(FE_OFN16013_n_15715),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
-	.Y(n_11857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258575 (
-	.A(n_15715),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
-	.Y(n_11856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258576 (
-	.A(n_15715),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
-	.Y(n_11855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258577 (
-	.A(FE_OCPN16389_n_15715),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
-	.Y(n_11854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258578 (
-	.A(FE_OFN1049_n_15716),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
-	.Y(n_11853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258581 (
-	.A(FE_OFN16013_n_15715),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
-	.Y(n_11850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258583 (
-	.A(FE_OFN16013_n_15715),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
-	.Y(n_11848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258584 (
-	.A(FE_OCPN16379_FE_OFN16018_n_15726),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
-	.Y(n_11847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258585 (
-	.A(FE_OFN16033_n_15716),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
-	.Y(n_11846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258588 (
-	.A(FE_OFN16024_n_11612),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
-	.Y(n_11843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258589 (
-	.A(FE_OCPN16379_FE_OFN16018_n_15726),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
-	.Y(n_11842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258590 (
-	.A(FE_OFN16016_n_11642),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
-	.Y(n_11841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258591 (
-	.A(FE_OCPN16379_FE_OFN16018_n_15726),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
-	.Y(n_11840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258592 (
-	.A(FE_OCPN16360_n_11610),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
-	.Y(n_11839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258593 (
-	.A(FE_OCPN16292_n_15727),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
-	.Y(n_11838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258594 (
-	.A(FE_OCPN16353_FE_OFN15994_n_15713),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
-	.Y(n_11837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258595 (
-	.A(FE_OFN16033_n_15716),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
-	.Y(n_11836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258596 (
-	.A(FE_OFN16019_n_15717),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
-	.Y(n_11835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258597 (
-	.A(n_15727),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
-	.Y(n_11834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258598 (
-	.A(FE_OCPN16353_FE_OFN15994_n_15713),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
-	.Y(n_11833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258599 (
-	.A(FE_OFN16748_n_15716),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
-	.Y(n_11832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258600 (
-	.A(FE_OCPN16362_n_15717),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
-	.Y(n_11831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258601 (
-	.A(FE_OCPN16381_FE_OFN16018_n_15726),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
-	.Y(n_11830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258602 (
-	.A(FE_OFN16016_n_11642),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
-	.Y(n_11829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258603 (
-	.A(n_15715),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
-	.Y(n_11828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258604 (
-	.A(FE_OCPN16292_n_15727),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
-	.Y(n_11827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258605 (
-	.A(FE_OCPN16353_FE_OFN15994_n_15713),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
-	.Y(n_11826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258606 (
-	.A(FE_OFN16019_n_15717),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
-	.Y(n_11825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258607 (
-	.A(FE_OFN16016_n_11642),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
-	.Y(n_11824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258608 (
-	.A(FE_OCPN16389_n_15715),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
-	.Y(n_11823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258609 (
-	.A(n_15715),
-	.B(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
-	.Y(n_11822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 g258610 (
-	.A1(n_11570),
-	.A2(n_11374),
-	.B1(u_top_u_core_alu_operator_ex[0]),
-	.Y(n_11821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258611 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
-	.B1(FE_OFN16749_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
-	.Y(n_11820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258612 (
-	.A1(FE_OFN16801_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
-	.Y(n_11819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258613 (
-	.A1(FE_OFN1036_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
-	.B1(FE_OFN1056_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
-	.Y(n_11818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258614 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
-	.Y(n_11817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g258615 (
-	.A1_N(u_top_u_core_instr_rdata_id[7]),
-	.A2_N(n_11590),
-	.B1(FE_OFN16051_n_11145),
-	.B2(n_15739),
-	.Y(n_11816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258616 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
-	.B1(FE_OFN16749_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
-	.X(n_11815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258617 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
-	.Y(n_11814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258618 (
-	.A1(FE_OFN16801_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
-	.Y(n_11813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258619 (
-	.A1(FE_OFN1036_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
-	.B1(FE_OCPN16826_FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
-	.Y(n_11812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258620 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
-	.B1(FE_OFN16749_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
-	.X(n_11811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258621 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
-	.Y(n_11810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258622 (
-	.A1(FE_OFN1036_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
-	.B1(FE_OFN1056_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
-	.Y(n_11809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258623 (
-	.A1(FE_OCPN16340_n_15830),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
-	.B1(n_15829),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
-	.Y(n_11808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258624 (
-	.A1(FE_OFN16773_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
-	.B1(n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
-	.Y(n_11807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258625 (
-	.A1(FE_OFN16049_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
-	.B1(FE_OCPN16312_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
-	.Y(n_11806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258626 (
-	.A1(FE_OFN15954_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
-	.Y(n_11805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258627 (
-	.A1(FE_OFN16763_u_top_u_core_instr_rdata_id_19),
-	.A2(n_15835),
-	.B1(n_11505),
-	.B2(u_top_u_core_pc_id[4]),
-	.C1(n_11503),
-	.C2(u_top_u_core_lsu_addr_last[4]),
-	.Y(n_11804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258628 (
-	.A1(u_top_u_core_lsu_addr_last[3]),
-	.A2(n_11503),
-	.B1(n_15835),
-	.B2(FE_OFN15831_u_top_u_core_instr_rdata_id_18),
-	.C1(n_11505),
-	.C2(u_top_u_core_pc_id[3]),
-	.Y(n_11803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g258630 (
-	.A1(u_top_u_core_lsu_addr_last[2]),
-	.A2(n_11503),
-	.B1(n_15835),
-	.B2(u_top_u_core_instr_rdata_id[17]),
-	.C1(n_11505),
-	.C2(u_top_u_core_pc_id[2]),
-	.Y(n_11801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g258640 (
-	.A(n_15844),
-	.B(n_11210),
-	.X(n_11923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g258656 (
-	.A(n_15847),
-	.B(n_11212),
-	.X(n_11907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g258661 (
-	.A(n_15719),
-	.B(n_11277),
-	.X(n_11902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258662 (
-	.A1(FE_OFN16049_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
-	.B1(FE_OCPN16312_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
-	.Y(n_11775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_2 g258663 (
-	.A1(n_15830),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
-	.B1(FE_OFN15991_n_15734),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[1]),
-	.Y(n_11774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258664 (
-	.A1(FE_OFN1036_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
-	.Y(n_11773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258665 (
-	.A1(FE_OFN16802_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
-	.B1(FE_OFN1035_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
-	.Y(n_11772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258666 (
-	.A1(FE_OFN15954_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
-	.B1(FE_OCPN16827_FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
-	.Y(n_11771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258667 (
-	.A1(FE_OCPN16370_FE_OFN1879_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
-	.B1(FE_OFN16778_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
-	.Y(n_11770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258668 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
-	.B1(n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
-	.Y(n_11769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258669 (
-	.A1(FE_OFN16047_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
-	.B1(FE_OCPN16311_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
-	.Y(n_11768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258670 (
-	.A1(FE_OCPN16338_FE_OFN1034_n_11550),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
-	.B1(FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
-	.Y(n_11767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258671 (
-	.A1(FE_OFN1036_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
-	.B1(FE_OFN1056_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
-	.Y(n_11766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258672 (
-	.A1(FE_OFN16801_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
-	.Y(n_11765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258673 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
-	.Y(n_11764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258674 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
-	.B1(FE_OFN16749_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
-	.X(n_11763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258675 (
-	.A1(FE_OFN15954_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
-	.B1(FE_OFN1035_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
-	.Y(n_11762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258676 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
-	.Y(n_11761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258677 (
-	.A1(FE_OFN1036_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
-	.B1(FE_OFN1056_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
-	.Y(n_11760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258678 (
-	.A1(FE_OFN16801_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
-	.Y(n_11759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258679 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
-	.B1(FE_OFN16749_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
-	.X(n_11758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258680 (
-	.A1(FE_OFN16047_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
-	.B1(FE_OCPN16311_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
-	.Y(n_11757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258681 (
-	.A1(FE_OFN15954_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
-	.B1(FE_OCPN16827_FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
-	.Y(n_11756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258682 (
-	.A1(FE_OFN16802_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
-	.B1(FE_OFN1035_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
-	.Y(n_11755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258683 (
-	.A1(FE_OCPN16370_FE_OFN1879_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
-	.B1(FE_OFN16778_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
-	.Y(n_11754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258684 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
-	.B1(n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
-	.X(n_11753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258685 (
-	.A1(FE_OFN1036_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
-	.B1(FE_OFN1056_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
-	.Y(n_11752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258686 (
-	.A1(FE_OFN16801_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
-	.Y(n_11751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258687 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
-	.B1(FE_OFN16749_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
-	.X(n_11750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258688 (
-	.A1(FE_OFN1036_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
-	.B1(FE_OFN1056_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
-	.Y(n_11749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258689 (
-	.A1(FE_OFN16801_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
-	.Y(n_11748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258690 (
-	.A1(FE_OCPN16338_FE_OFN1034_n_11550),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
-	.Y(n_11747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258691 (
-	.A1(FE_OFN16049_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
-	.B1(FE_OCPN16312_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
-	.Y(n_11746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258692 (
-	.A1(FE_OFN1037_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
-	.B1(n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
-	.Y(n_11745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258693 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
-	.Y(n_11744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258694 (
-	.A1(FE_OFN1036_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
-	.B1(FE_OFN1056_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
-	.Y(n_11743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258695 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
-	.Y(n_11742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258696 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
-	.B1(FE_OFN16749_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
-	.X(n_11741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258697 (
-	.A1(FE_OCPN16370_FE_OFN1879_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
-	.B1(FE_OFN16778_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
-	.Y(n_11740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258698 (
-	.A1(FE_OFN15954_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
-	.B1(FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
-	.Y(n_11739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258699 (
-	.A1(FE_OFN16802_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
-	.B1(FE_OFN1035_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
-	.Y(n_11738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258700 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
-	.B1(FE_OCPN16323_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
-	.Y(n_11737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258701 (
-	.A1(FE_OFN16773_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
-	.B1(n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
-	.Y(n_11736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258702 (
-	.A1(FE_OFN1036_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
-	.B1(FE_OCPN16826_FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
-	.Y(n_11735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258703 (
-	.A1(FE_OFN16801_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
-	.Y(n_11734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258704 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
-	.B1(FE_OFN16749_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
-	.Y(n_11733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258705 (
-	.A1(FE_OFN16049_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
-	.B1(FE_OCPN16312_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
-	.Y(n_11732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258706 (
-	.A1(FE_OFN16801_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
-	.Y(n_11731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258707 (
-	.A1(FE_OFN1036_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
-	.B1(FE_OCPN16826_FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
-	.Y(n_11730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258708 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
-	.Y(n_11729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258709 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
-	.B1(FE_OFN16749_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
-	.X(n_11728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258710 (
-	.A1(FE_OFN1036_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
-	.B1(FE_OCPN16826_FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
-	.Y(n_11727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258711 (
-	.A1(FE_OFN16801_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
-	.Y(n_11726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258712 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
-	.Y(n_11725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258713 (
-	.A1(FE_OCPN16340_n_15830),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
-	.B1(n_15829),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
-	.Y(n_11724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258714 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
-	.B1(FE_OFN16749_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
-	.X(n_11723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258715 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
-	.B1(FE_OFN16749_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
-	.Y(n_11722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258716 (
-	.A1(FE_OFN1036_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
-	.B1(FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
-	.Y(n_11721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258717 (
-	.A1(FE_OFN16801_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
-	.Y(n_11720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258718 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
-	.B1(n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
-	.X(n_11719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258719 (
-	.A1(FE_OCPN16817_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
-	.B1(n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
-	.Y(n_11718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258720 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
-	.B1(FE_OFN1876_n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
-	.Y(n_11717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258721 (
-	.A1(FE_OCPN16338_FE_OFN1034_n_11550),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
-	.Y(n_11716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258722 (
-	.A1(FE_OFN16801_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
-	.Y(n_11715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258723 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
-	.B1(FE_OFN1876_n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
-	.Y(n_11714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258724 (
-	.A1(FE_OFN1036_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
-	.B1(FE_OFN1035_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
-	.Y(n_11713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258725 (
-	.A1(FE_OFN16801_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
-	.Y(n_11712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258726 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
-	.B1(FE_OFN1876_n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
-	.Y(n_11711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258727 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
-	.B1(n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
-	.X(n_11710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258728 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
-	.B1(n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
-	.Y(n_11709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258729 (
-	.A1(FE_OCPN16817_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
-	.B1(n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
-	.Y(n_11708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258730 (
-	.A1(FE_OCPN16338_FE_OFN1034_n_11550),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
-	.B1(FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
-	.Y(n_11707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258731 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
-	.B1(FE_OFN1876_n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
-	.Y(n_11706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258732 (
-	.A1(FE_OFN15954_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
-	.B1(FE_OFN1035_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
-	.Y(n_11705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258733 (
-	.A1(FE_OFN1037_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
-	.B1(n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
-	.Y(n_11704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258734 (
-	.A1(FE_OFN1034_n_11550),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
-	.B1(FE_OCPN16825_FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
-	.Y(n_11703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258735 (
-	.A1(FE_OCPN16370_FE_OFN1879_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
-	.B1(n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
-	.Y(n_11702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258736 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
-	.B1(FE_OCPN16323_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
-	.Y(n_11701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258737 (
-	.A1(FE_OFN16773_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
-	.B1(n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
-	.Y(n_11700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258738 (
-	.A1(FE_OFN1034_n_11550),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
-	.B1(FE_OCPN16825_FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
-	.Y(n_11699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258739 (
-	.A1(FE_OFN15954_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
-	.B1(FE_OFN1035_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
-	.Y(n_11698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258740 (
-	.A1(FE_OCPN16370_FE_OFN1879_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
-	.B1(n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
-	.Y(n_11697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258741 (
-	.A1(FE_OFN16027_n_15830),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
-	.B1(FE_OFN16810_FE_RN_6_0),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
-	.Y(n_11696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258742 (
-	.A1(FE_OFN16801_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
-	.Y(n_11695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258743 (
-	.A1(FE_OCPN16338_FE_OFN1034_n_11550),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
-	.B1(FE_OFN1056_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
-	.Y(n_11694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258744 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
-	.B1(FE_OFN1876_n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
-	.Y(n_11693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258746 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
-	.B1(FE_OCPN16323_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
-	.Y(n_11691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258747 (
-	.A1(FE_OFN16773_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
-	.B1(n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
-	.Y(n_11690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258748 (
-	.A1(FE_OFN15954_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
-	.B1(FE_OFN1035_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
-	.Y(n_11689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258749 (
-	.A1(FE_OFN1034_n_11550),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
-	.B1(FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
-	.Y(n_11688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258750 (
-	.A1(FE_OFN1037_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
-	.B1(n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
-	.Y(n_11687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258751 (
-	.A1(FE_OCPN16370_FE_OFN1879_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
-	.B1(n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
-	.Y(n_11686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258753 (
-	.A1(FE_OFN1036_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
-	.Y(n_11684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258754 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
-	.B1(FE_OFN1876_n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
-	.Y(n_11683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258755 (
-	.A1(FE_OFN16801_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
-	.B1(FE_OCPN16826_FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
-	.Y(n_11682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258756 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
-	.Y(n_11681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258757 (
-	.A1(FE_OFN1036_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
-	.Y(n_11680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258758 (
-	.A1(FE_OFN16759_n_15830),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
-	.B1(n_15829),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
-	.X(n_11679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258759 (
-	.A1(FE_OFN1034_n_11550),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
-	.B1(FE_OCPN16825_FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
-	.Y(n_11678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258760 (
-	.A1(FE_OCPN16370_FE_OFN1879_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
-	.B1(n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
-	.Y(n_11677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g258761 (
-	.A1(n_15830),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
-	.B1(n_15829),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
-	.X(n_11676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_4 g258764 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
-	.B1(n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
-	.Y(n_11673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258765 (
-	.A1(n_15834),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
-	.B1(n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
-	.Y(n_11672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_2 g258766 (
-	.A1(FE_OFN1034_n_11550),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
-	.B1(n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
-	.Y(n_11671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258767 (
-	.A1(FE_OFN1037_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
-	.B1(n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
-	.Y(n_11670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258768 (
-	.A1(FE_OFN1034_n_11550),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
-	.B1(FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
-	.Y(n_11669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258769 (
-	.A1(FE_OCPN16370_FE_OFN1879_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
-	.B1(n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
-	.Y(n_11668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258771 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
-	.B1(n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
-	.Y(n_11666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258772 (
-	.A1(n_15830),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
-	.B1(n_15829),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
-	.Y(n_11665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258773 (
-	.A1(FE_OFN16801_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
-	.B1(FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
-	.Y(n_11664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258774 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
-	.B1(FE_OCPN16323_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
-	.Y(n_11663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258775 (
-	.A1(FE_OFN1036_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
-	.B1(FE_OFN16789_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
-	.Y(n_11662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258776 (
-	.A1(FE_OFN15954_n_11552),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
-	.B1(FE_OFN1035_n_11551),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
-	.Y(n_11661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258777 (
-	.A1(FE_OFN16047_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
-	.B1(FE_OCPN16311_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
-	.Y(n_11660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258778 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
-	.B1(FE_OFN16749_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
-	.Y(n_11659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258779 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
-	.Y(n_11658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258780 (
-	.A1(FE_OFN1034_n_11550),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
-	.B1(FE_OFN1880_n_15831),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
-	.Y(n_11657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258781 (
-	.A1(FE_OFN16801_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
-	.Y(n_11656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258782 (
-	.A1(FE_OFN1055_n_15826),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
-	.B1(FE_OFN1876_n_15832),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
-	.Y(n_11655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258783 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
-	.B1(FE_OFN16749_n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
-	.Y(n_11654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258784 (
-	.A1(FE_OFN16049_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
-	.B1(FE_OCPN16312_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
-	.Y(n_11653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258785 (
-	.A1(n_15828),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
-	.B1(n_15827),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
-	.Y(n_11652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258787 (
-	.A1(FE_OFN16049_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
-	.B1(FE_OCPN16312_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
-	.Y(n_11650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258788 (
-	.A1(FE_OFN16027_n_15830),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
-	.B1(FE_OFN16810_FE_RN_6_0),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
-	.Y(n_11649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258789 (
-	.A1(FE_OFN1037_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
-	.B1(n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
-	.Y(n_11648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258790 (
-	.A1(FE_OFN16047_n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
-	.B1(FE_OCPN16311_n_11554),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
-	.Y(n_11647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258791 (
-	.A1(FE_OCPN16339_n_15830),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
-	.B1(FE_OFN16777_n_15829),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
-	.Y(n_11646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258792 (
-	.A1(FE_OFN16801_n_11553),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
-	.B1(FE_OFN16023_n_15825),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
-	.Y(n_11645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258793 (
-	.A1(FE_OCPN16339_n_15830),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
-	.B1(FE_OFN16777_n_15829),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
-	.Y(n_11644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258802 (
-	.A(n_11590),
-	.B(u_top_u_core_instr_rdata_id[9]),
-	.Y(n_11630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g258805 (
-	.A(n_11567),
-	.B(n_11548),
-	.Y(u_top_u_core_trigger_match), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258807 (
-	.A(n_11588),
-	.B(FE_OCPN16268_FE_OFN16050_u_top_u_core_instr_rdata_id_21),
-	.Y(n_11643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g258808 (
-	.A(n_15729),
-	.B(n_11361),
-	.X(n_11642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g258812 (
-	.A(n_15729),
-	.B(FE_PSN3967_n_15731),
-	.X(n_11638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g258817 (
-	.A(n_15729),
-	.B(n_11362),
-	.X(n_11633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258828 (
-	.A1(n_15835),
-	.A2(FE_OCPN16565_u_top_u_core_instr_rdata_id_15),
-	.B1(n_11503),
-	.B2(u_top_u_core_lsu_addr_last[0]),
-	.Y(n_11607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g258830 (
-	.A_N(n_15733),
-	.B(n_11526),
-	.C(n_11412),
-	.Y(n_11605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g258831 (
-	.A(n_11546),
-	.B(n_11373),
-	.Y(n_11604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258832 (
-	.A1(n_11436),
-	.A2(n_11230),
-	.B1(n_11547),
-	.Y(n_11603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g258833 (
-	.A1(n_11475),
-	.A2(n_11231),
-	.B1(n_11449),
-	.C1(n_11497),
-	.Y(n_11602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g258841 (
-	.A(n_15729),
-	.B(n_11364),
-	.X(n_11613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g258842 (
-	.A(n_15729),
-	.B(n_11363),
-	.X(n_11612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g258844 (
-	.A(n_15729),
-	.B(FE_OFN16044_n_11358),
-	.X(n_11610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258851 (
-	.A(FE_OFN16075_n),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
-	.Y(n_11582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258852 (
-	.A(FE_OFN16075_n),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
-	.Y(n_11581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258853 (
-	.A(FE_OFN16075_n),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
-	.Y(n_11580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258854 (
-	.A(FE_OFN16075_n),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
-	.Y(n_11579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258855 (
-	.A(FE_OFN16075_n),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
-	.Y(n_11578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258856 (
-	.A(FE_OFN16075_n),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
-	.Y(n_11577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258857 (
-	.A(FE_OFN16075_n),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
-	.Y(n_11576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258858 (
-	.A(FE_OFN16075_n),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
-	.Y(n_11575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258859 (
-	.A(n_11541),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
-	.Y(n_11574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258860 (
-	.A(FE_OFN16075_n),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
-	.Y(n_11573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258861 (
-	.A(FE_OFN16075_n),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
-	.Y(n_11572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258862 (
-	.A(FE_OFN16075_n),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
-	.Y(n_11571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258863 (
-	.A(n_11535),
-	.B(n_11181),
-	.Y(n_11570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258864 (
-	.A(FE_OFN16075_n),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
-	.Y(n_11569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g258866 (
-	.A(n_11494),
-	.B(n_11400),
-	.C(n_11405),
-	.D(n_11401),
-	.Y(n_11567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g258868 (
-	.A(n_11524),
-	.B(u_top_u_core_id_stage_i_imm_b_mux_sel_dec[0]),
-	.X(n_11590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258871 (
-	.A(n_11524),
-	.B(u_top_u_core_instr_rdata_id[31]),
-	.Y(n_11585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258874 (
-	.A1(n_11505),
-	.A2(u_top_u_core_pc_id[6]),
-	.B1(n_11503),
-	.B2(u_top_u_core_lsu_addr_last[6]),
-	.Y(n_11549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g258875 (
-	.A(n_11495),
-	.B(n_11402),
-	.C(n_11286),
-	.D(n_11399),
-	.Y(n_11548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g258876 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [4]),
-	.B2(n_11390),
-	.C1(n_11539),
-	.Y(n_11547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258877 (
-	.A(n_11534),
-	.B(FE_RN_172_0),
-	.Y(n_11546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258878 (
-	.A1(n_11505),
-	.A2(u_top_u_core_pc_id[5]),
-	.B1(n_11503),
-	.B2(u_top_u_core_lsu_addr_last[5]),
-	.Y(n_11545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258879 (
-	.A1(n_11505),
-	.A2(u_top_u_core_pc_id[10]),
-	.B1(n_11503),
-	.B2(u_top_u_core_lsu_addr_last[10]),
-	.Y(n_11544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g258880 (
-	.A1(n_11505),
-	.A2(u_top_u_core_pc_id[11]),
-	.B1(n_11503),
-	.B2(u_top_u_core_lsu_addr_last[11]),
-	.Y(n_11543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g258892 (
-	.A(FE_OFN15989_n_15734),
-	.B(n_11385),
-	.X(n_11554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g258893 (
-	.A(FE_OFN15989_n_15734),
-	.B(n_11360),
-	.C(FE_OCPN16574_n_11215),
-	.X(n_11553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g258894 (
-	.A(FE_OFN15989_n_15734),
-	.B(n_11360),
-	.C(n_11214),
-	.X(n_11552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g258895 (
-	.A(FE_OFN15989_n_15734),
-	.B(n_11363),
-	.C(FE_OCPN16574_n_11215),
-	.X(n_11551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g258896 (
-	.A(FE_OFN15989_n_15734),
-	.B(n_11214),
-	.C(FE_PSN3967_n_15731),
-	.X(n_11550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258897 (
-	.A1(n_11436),
-	.A2(n_11233),
-	.B1(n_11454),
-	.Y(n_11540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g258898 (
-	.A_N(n_11230),
-	.B(n_11475),
-	.Y(n_11539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258900 (
-	.A(n_11503),
-	.B(u_top_u_core_lsu_addr_last[8]),
-	.Y(n_11537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258901 (
-	.A(n_11503),
-	.B(u_top_u_core_lsu_addr_last[9]),
-	.Y(n_11536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258902 (
-	.A(n_13269),
-	.B(n_11510),
-	.Y(n_11535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g258903 (
-	.A1(n_11407),
-	.A2(n_13269),
-	.B1(n_11510),
-	.Y(n_11534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258904 (
-	.A1(n_11436),
-	.A2(n_11247),
-	.B1(n_11467),
-	.Y(n_11533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258905 (
-	.A1(n_11436),
-	.A2(n_11250),
-	.B1(n_11472),
-	.Y(n_11532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258906 (
-	.A1(n_11436),
-	.A2(n_11237),
-	.B1(n_11469),
-	.Y(n_11531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258907 (
-	.A1(n_11436),
-	.A2(n_11242),
-	.B1(n_11466),
-	.Y(n_11530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258908 (
-	.A1(n_11436),
-	.A2(n_11204),
-	.B1(n_11446),
-	.Y(n_11529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258909 (
-	.A1(n_11436),
-	.A2(n_11246),
-	.B1(n_11458),
-	.Y(n_11528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g258910 (
-	.A(n_11475),
-	.B(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.X(n_11541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g258915 (
-	.A1(n_15737),
-	.A2(n_11226),
-	.B1(FE_OFN1807_n_198),
-	.C1(n_11408),
-	.Y(n_11518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258916 (
-	.A1(n_11436),
-	.A2(n_11202),
-	.B1(n_11456),
-	.Y(n_11517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g258917 (
-	.A(n_11499),
-	.B(n_11480),
-	.Y(n_11516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g258918 (
-	.A(n_11463),
-	.B(n_11479),
-	.Y(n_11515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258919 (
-	.A1(n_11436),
-	.A2(n_11244),
-	.B1(n_11451),
-	.Y(n_11514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258920 (
-	.A1(n_11436),
-	.A2(n_11205),
-	.B1(n_11453),
-	.Y(n_11513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258921 (
-	.A1(n_11436),
-	.A2(n_11234),
-	.B1(n_11473),
-	.Y(n_11512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258922 (
-	.A1(n_11436),
-	.A2(n_11245),
-	.B1(n_11455),
-	.Y(n_11511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g258924 (
-	.A(n_11506),
-	.B(u_top_u_core_id_stage_i_imm_b_mux_sel_dec[0]),
-	.C(n_11328),
-	.Y(n_11526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g258926 (
-	.A(n_11506),
-	.B(n_11201),
-	.X(n_11524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g258927 (
-	.A_N(u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
-	.B(n_11506),
-	.C(u_top_u_core_id_stage_i_imm_b_mux_sel_dec[0]),
-	.D(u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]),
-	.Y(n_11521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258935 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [3]),
-	.B2(n_11390),
-	.Y(n_11499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g258937 (
-	.A(n_11231),
-	.B(n_11437),
-	.Y(n_11497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258938 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [27]),
-	.B2(FE_OFN15997_n_11390),
-	.Y(n_11496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g258939 (
-	.A(n_11289),
-	.B(n_11313),
-	.C(n_11370),
-	.D(n_11398),
-	.Y(n_11495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g258940 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
-	.B(n_11285),
-	.C(n_11301),
-	.D(n_11309),
-	.Y(n_11494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258941 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
-	.B1(FE_OFN15946_n_11437),
-	.Y(n_11493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258942 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
-	.B1(n_11437),
-	.Y(n_11492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258943 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
-	.B1(FE_OFN15946_n_11437),
-	.Y(n_11491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258944 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
-	.B1(FE_OFN15946_n_11437),
-	.Y(n_11490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258945 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
-	.B1(FE_OFN15946_n_11437),
-	.Y(n_11489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258946 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
-	.B1(FE_OFN15946_n_11437),
-	.Y(n_11488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258947 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
-	.B1(FE_OFN15946_n_11437),
-	.Y(n_11487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258948 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
-	.B1(FE_OFN15946_n_11437),
-	.Y(n_11486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258949 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
-	.B1(FE_OFN15946_n_11437),
-	.Y(n_11485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258950 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
-	.B1(FE_OFN15946_n_11437),
-	.Y(n_11484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258951 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
-	.B1(n_11437),
-	.Y(n_11483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258952 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
-	.B1(FE_OFN15946_n_11437),
-	.Y(n_11482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258953 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
-	.B1(n_11437),
-	.Y(n_11481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258954 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
-	.B1(n_11437),
-	.Y(n_11480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258955 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
-	.B1(n_11437),
-	.Y(n_11479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258956 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
-	.B1(FE_OFN15946_n_11437),
-	.Y(n_11478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258957 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
-	.B1(FE_OFN15946_n_11437),
-	.Y(n_11477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g258958 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
-	.B1(FE_OFN15946_n_11437),
-	.Y(n_11476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g258960 (
-	.A(n_11397),
-	.B(n_11403),
-	.Y(n_13191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g258961 (
-	.A(n_11382),
-	.B(n_11410),
-	.Y(n_11506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g258962 (
-	.A(n_11439),
-	.B(n_11444),
-	.X(n_11505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g258963 (
-	.A(n_11438),
-	.B(n_15742),
-	.X(n_11503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g258964 (
-	.A(FE_OFN15932_n_11438),
-	.B(n_11444),
-	.Y(n_11501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258965 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [29]),
-	.B2(FE_OFN15997_n_11390),
-	.Y(n_11474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258966 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [8]),
-	.B2(n_11390),
-	.Y(n_11473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258967 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
-	.A2(FE_OFN15858_n),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [20]),
-	.B2(FE_OFN15980_n_11390),
-	.Y(n_11472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258968 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [25]),
-	.B2(FE_OFN15997_n_11390),
-	.Y(n_11471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258969 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
-	.A2(FE_OFN15858_n),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [23]),
-	.B2(FE_OFN15980_n_11390),
-	.Y(n_11470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258970 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
-	.A2(FE_OFN15858_n),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [22]),
-	.B2(FE_OFN15980_n_11390),
-	.Y(n_11469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258971 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
-	.A2(FE_OFN15858_n),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [17]),
-	.B2(FE_OFN15980_n_11390),
-	.Y(n_11468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258972 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
-	.A2(FE_OFN15858_n),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [21]),
-	.B2(FE_OFN15980_n_11390),
-	.Y(n_11467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258973 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
-	.A2(FE_OFN15858_n),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [16]),
-	.B2(FE_OFN15980_n_11390),
-	.Y(n_11466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258974 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
-	.A2(FE_OFN15858_n),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [18]),
-	.B2(FE_OFN15980_n_11390),
-	.Y(n_11465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258975 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
-	.A2(FE_OFN15858_n),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [14]),
-	.B2(FE_OFN15980_n_11390),
-	.Y(n_11464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258976 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [1]),
-	.B2(n_11390),
-	.Y(n_11463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258977 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
-	.A2(FE_OFN15858_n),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [19]),
-	.B2(FE_OFN15980_n_11390),
-	.Y(n_11462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258978 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
-	.A2(FE_OFN15858_n),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [15]),
-	.B2(FE_OFN15980_n_11390),
-	.Y(n_11461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258979 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [2]),
-	.B2(n_11390),
-	.Y(n_11460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258980 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [9]),
-	.B2(n_11390),
-	.Y(n_11459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258981 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [12]),
-	.B2(FE_OFN15980_n_11390),
-	.Y(n_11458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258982 (
-	.A1(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [0]),
-	.B2(n_11390),
-	.Y(n_11457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258983 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [6]),
-	.B2(n_11390),
-	.Y(n_11456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258984 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [10]),
-	.B2(FE_OFN15997_n_11390),
-	.Y(n_11455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258985 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [11]),
-	.B2(n_11390),
-	.Y(n_11454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258986 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [7]),
-	.B2(n_11390),
-	.Y(n_11453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258987 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [28]),
-	.B2(FE_OFN15997_n_11390),
-	.Y(n_11452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258988 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [5]),
-	.B2(n_11390),
-	.Y(n_11451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258989 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [24]),
-	.B2(FE_OFN15997_n_11390),
-	.Y(n_11450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258990 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [31]),
-	.B2(n_11390),
-	.Y(n_11449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258991 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [26]),
-	.B2(FE_OFN15997_n_11390),
-	.Y(n_11448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258992 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [30]),
-	.B2(FE_OFN15997_n_11390),
-	.Y(n_11447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g258993 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
-	.A2(FE_OFN1058_n_15839),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [13]),
-	.B2(FE_OFN15980_n_11390),
-	.Y(n_11446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g259028 (
-	.A(n_11226),
-	.B(n_15737),
-	.Y(n_11408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g259029 (
-	.A(u_top_u_core_alu_operator_ex[3]),
-	.B(n_11280),
-	.Y(n_11407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259030 (
-	.A(n_11375),
-	.B(n_11144),
-	.Y(n_11406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g259032 (
-	.A_N(n_11382),
-	.B(u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]),
-	.Y(n_11438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g259033 (
-	.A(n_13666),
-	.B(n_11393),
-	.X(n_11437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g259034 (
-	.A(n_11382),
-	.B(u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec),
-	.Y(n_11410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g259035 (
-	.A(n_11304),
-	.B(n_11295),
-	.C(n_11298),
-	.D(n_11297),
-	.Y(n_11405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g259037 (
-	.A(n_11379),
-	.B(n_11371),
-	.Y(n_11403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g259038 (
-	.A(n_11311),
-	.B(n_11294),
-	.C(n_11296),
-	.D(n_11312),
-	.Y(n_11402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g259039 (
-	.A(n_11315),
-	.B(n_11291),
-	.C(n_11303),
-	.D(n_11314),
-	.Y(n_11401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g259040 (
-	.A(n_11330),
-	.B(n_11299),
-	.C(n_11302),
-	.D(n_11329),
-	.Y(n_11400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g259041 (
-	.A(n_11290),
-	.B(n_11292),
-	.C(n_11293),
-	.D(n_11300),
-	.Y(n_11399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g259042 (
-	.A(n_11305),
-	.B(n_11288),
-	.C(n_11287),
-	.D(n_11306),
-	.Y(n_11398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g259048 (
-	.A_N(\u_top_u_core_imd_val_q_ex[0] [0]),
-	.B(FE_OFN1534_n_11331),
-	.Y(n_13667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g259052 (
-	.A(FE_OFN1863_n_11276),
-	.B(n_11357),
-	.Y(n_11385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g259055 (
-	.A(n_15741),
-	.B(n_11284),
-	.Y(n_11396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259057 (
-	.A(n_11331),
-	.B(u_top_u_core_multdiv_operator_ex[0]),
-	.Y(n_11393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g259058 (
-	.A(n_11366),
-	.B(n_679),
-	.Y(n_13269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g259059 (
-	.A(n_13285),
-	.B(n_197),
-	.X(n_11392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g259060 (
-	.A(n_13278),
-	.B(n_197),
-	.X(n_11390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259066 (
-	.A(n_11324),
-	.B(n_11183),
-	.Y(n_11375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259067 (
-	.A(n_11319),
-	.B(FE_RN_172_0),
-	.Y(n_11374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259068 (
-	.A(n_11318),
-	.B(n_11181),
-	.Y(n_11373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g259071 (
-	.A1(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
-	.A2(n_11163),
-	.B1(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
-	.B2(n_11158),
-	.C1(u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
-	.Y(n_11370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g259074 (
-	.A1(n_13282),
-	.A2(u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
-	.B1(n_11310),
-	.Y(n_11382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g259103 (
-	.A1(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
-	.A2(n_11167),
-	.B1(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
-	.B2(n_11160),
-	.Y(n_11330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g259104 (
-	.A1(u_top_u_core_pc_if[4]),
-	.A2(n_11159),
-	.B1(u_top_u_core_pc_if[5]),
-	.B2(n_11188),
-	.Y(n_11329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g259105 (
-	.A(n_11207),
-	.B(u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]),
-	.Y(n_11328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259109 (
-	.A(n_11196),
-	.B(n_11278),
-	.Y(n_11324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g259114 (
-	.A(FE_PSN3878_n_11278),
-	.B(n_11144),
-	.X(n_11367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259115 (
-	.A(n_11220),
-	.B(n_15740),
-	.Y(n_11319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259116 (
-	.A(n_11220),
-	.B(n_13268),
-	.Y(n_11318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g259118 (
-	.A_N(n_13283),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.Y(n_13278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g259119 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.B(n_13283),
-	.X(n_13285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g259121 (
-	.A(n_11220),
-	.B(u_top_u_core_alu_operator_ex[3]),
-	.Y(n_11366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g259122 (
-	.A(n_11280),
-	.B(n_11243),
-	.Y(n_11365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g259123 (
-	.A(u_top_u_core_instr_rdata_id[20]),
-	.B(n_11228),
-	.Y(n_11364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g259124 (
-	.A(n_11281),
-	.B(FE_OCPN16818_n_11145),
-	.Y(n_11363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g259125 (
-	.A(u_top_u_core_instr_rdata_id[20]),
-	.B(n_11229),
-	.Y(n_11362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 g259126 (
-	.A(u_top_u_core_instr_rdata_id[22]),
-	.B(u_top_u_core_instr_rdata_id[23]),
-	.C(FE_OCPN16818_n_11145),
-	.Y(n_11361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g259127 (
-	.A(u_top_u_core_instr_rdata_id[20]),
-	.B(n_11281),
-	.Y(n_11360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g259129 (
-	.A(FE_OCPN16818_n_11145),
-	.B(n_11228),
-	.Y(n_11358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g259130 (
-	.A_N(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.B(n_13277),
-	.Y(n_13666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g259131 (
-	.A(n_11282),
-	.B(n_197),
-	.X(n_11355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g259132 (
-	.A(FE_OFN1807_n_198),
-	.B(n_10772),
-	.Y(n_11331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g259133 (
-	.A1(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
-	.A2(n_11166),
-	.B1(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
-	.B2(n_11162),
-	.Y(n_11315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g259134 (
-	.A1(u_top_u_core_pc_if[9]),
-	.A2(n_11192),
-	.B1(u_top_u_core_pc_if[10]),
-	.B2(n_11190),
-	.Y(n_11314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g259135 (
-	.A1(n_11158),
-	.A2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
-	.B1(n_11163),
-	.B2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
-	.X(n_11313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g259136 (
-	.A1(u_top_u_core_pc_if[24]),
-	.A2(n_11161),
-	.B1(u_top_u_core_pc_if[26]),
-	.B2(n_11189),
-	.Y(n_11312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g259137 (
-	.A1(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
-	.A2(n_11165),
-	.B1(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
-	.B2(n_11191),
-	.Y(n_11311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g259138 (
-	.A1(u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
-	.A2(u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
-	.B1(u_top_u_core_load_store_unit_i_handle_misaligned_q),
-	.B2(n_10759),
-	.Y(n_11310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259139 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
-	.B(u_top_u_core_pc_if[3]),
-	.X(n_11309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g259141 (
-	.A(FE_OFN1852_u_top_u_core_rf_waddr_wb_2),
-	.B(u_top_u_core_instr_rdata_id[17]),
-	.Y(n_11307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g259142 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
-	.B(u_top_u_core_pc_if[16]),
-	.Y(n_11306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g259143 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
-	.B(u_top_u_core_pc_if[17]),
-	.Y(n_11305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259144 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
-	.B(u_top_u_core_pc_if[15]),
-	.X(n_11304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259145 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
-	.B(u_top_u_core_pc_if[8]),
-	.X(n_11303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259146 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
-	.B(u_top_u_core_pc_if[6]),
-	.X(n_11302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259147 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
-	.B(FE_OFN823_u_top_u_core_pc_if_1),
-	.X(n_11301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259148 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
-	.B(u_top_u_core_pc_if[29]),
-	.X(n_11300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259149 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
-	.B(u_top_u_core_pc_if[7]),
-	.X(n_11299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259150 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
-	.B(u_top_u_core_pc_if[13]),
-	.X(n_11298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259151 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
-	.B(u_top_u_core_pc_if[12]),
-	.X(n_11297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259152 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
-	.B(u_top_u_core_pc_if[25]),
-	.X(n_11296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259153 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
-	.B(u_top_u_core_pc_if[14]),
-	.X(n_11295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259154 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
-	.B(u_top_u_core_pc_if[27]),
-	.X(n_11294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259155 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
-	.B(u_top_u_core_pc_if[28]),
-	.X(n_11293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259156 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
-	.B(u_top_u_core_pc_if[30]),
-	.X(n_11292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259157 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
-	.B(u_top_u_core_pc_if[11]),
-	.X(n_11291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259158 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
-	.B(u_top_u_core_pc_if[31]),
-	.X(n_11290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259159 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
-	.B(u_top_u_core_pc_if[20]),
-	.X(n_11289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g259160 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
-	.B(u_top_u_core_pc_if[18]),
-	.Y(n_11288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g259161 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
-	.B(u_top_u_core_pc_if[19]),
-	.Y(n_11287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g259162 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
-	.B(u_top_u_core_pc_if[23]),
-	.Y(n_11286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g259163 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
-	.B(u_top_u_core_pc_if[2]),
-	.X(n_11285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259192 (
-	.A(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
-	.Y(n_11250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259193 (
-	.A(FE_OFN15937_u_top_u_core_rf_waddr_wb_4),
-	.B(u_top_u_core_instr_rdata_id[24]),
-	.Y(n_11249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259195 (
-	.A(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
-	.Y(n_11247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259196 (
-	.A(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
-	.Y(n_11246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259197 (
-	.A(n_197),
-	.B(n_11178),
-	.Y(n_13289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259198 (
-	.A(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
-	.Y(n_11245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259199 (
-	.A(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
-	.Y(n_11244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259200 (
-	.A(u_top_u_core_alu_operator_ex[5]),
-	.B(u_top_u_core_alu_operator_ex[4]),
-	.Y(n_11243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259201 (
-	.A(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
-	.Y(n_11242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259202 (
-	.A(n_11175),
-	.B(FE_OFN1859_u_top_u_core_rf_waddr_wb_4),
-	.Y(n_11241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g259203 (
-	.A(n_11184),
-	.B(u_top_u_core_instr_rdata_id[23]),
-	.Y(n_11240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259204 (
-	.A(u_top_u_core_instr_rdata_id[18]),
-	.B(n_11184),
-	.Y(n_11239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259205 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.Y(n_13264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259207 (
-	.A(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
-	.Y(n_11237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259209 (
-	.A(n_11156),
-	.B(u_top_u_core_rf_waddr_wb[1]),
-	.Y(n_11235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259210 (
-	.A(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
-	.Y(n_11234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259211 (
-	.A(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
-	.Y(n_11233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g259212 (
-	.A(n_11174),
-	.B(u_top_u_core_rf_waddr_wb[3]),
-	.Y(n_11232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g259213 (
-	.A(\u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-	.B(\u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
-	.Y(n_11284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259214 (
-	.A(u_top_u_core_alu_operator_ex[2]),
-	.B(u_top_u_core_alu_operator_ex[3]),
-	.Y(n_13268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g259215 (
-	.A_N(u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
-	.B(n_10759),
-	.Y(n_13282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259216 (
-	.A(u_top_u_core_instr_rdata_id[19]),
-	.B(FE_OCPN16314_u_top_u_core_instr_rdata_id_16),
-	.Y(n_11283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g259217 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.X(n_11282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259218 (
-	.A(u_top_u_core_instr_rdata_id[23]),
-	.B(u_top_u_core_instr_rdata_id[22]),
-	.Y(n_11281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g259219 (
-	.A(n_11187),
-	.B(FE_RN_110_0),
-	.Y(n_11280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g259220 (
-	.A(u_top_u_core_instr_rdata_id[16]),
-	.B(u_top_u_core_instr_rdata_id[19]),
-	.Y(n_11278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g259221 (
-	.A(u_top_u_core_instr_rdata_id[17]),
-	.B(u_top_u_core_instr_rdata_id[18]),
-	.X(n_11277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259222 (
-	.A(u_top_u_core_instr_rdata_id[24]),
-	.B(u_top_u_core_instr_rdata_id[21]),
-	.Y(n_11276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g259223 (
-	.A(u_top_u_core_mult_sel_ex),
-	.B(FE_OFN1807_n_198),
-	.Y(n_10772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g259227 (
-	.A(n_11220),
-	.Y(n_11221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259233 (
-	.A(n_11172),
-	.B(u_top_u_core_rf_waddr_wb[3]),
-	.Y(n_11209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259234 (
-	.A(n_197),
-	.B(u_top_u_core_multdiv_operator_ex[0]),
-	.Y(n_13402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g259236 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
-	.X(n_13286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259237 (
-	.A(u_top_u_core_instr_is_compressed_id),
-	.B(u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
-	.Y(n_11207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259239 (
-	.A(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
-	.Y(n_11205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259240 (
-	.A(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
-	.Y(n_11204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g259241 (
-	.A(n_11146),
-	.B(u_top_u_core_rf_waddr_wb[2]),
-	.Y(n_11203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259242 (
-	.A(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
-	.Y(n_11202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g259243 (
-	.A(u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
-	.B(u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]),
-	.Y(n_11201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259244 (
-	.A(n_703),
-	.B(u_top_u_core_instr_rdata_id[16]),
-	.Y(n_11200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259245 (
-	.A(n_11176),
-	.B(FE_OFN1859_u_top_u_core_rf_waddr_wb_4),
-	.Y(n_11199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259246 (
-	.A(n_703),
-	.B(u_top_u_core_instr_rdata_id[21]),
-	.Y(n_11198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259247 (
-	.A(n_262),
-	.B(u_top_u_core_instr_rdata_id[22]),
-	.Y(n_11197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259248 (
-	.A(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
-	.Y(n_11231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259250 (
-	.A(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
-	.Y(n_11230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259251 (
-	.A(n_11172),
-	.B(u_top_u_core_instr_rdata_id[22]),
-	.Y(n_11229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g259252 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
-	.B_N(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.Y(n_13277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259253 (
-	.A(n_11146),
-	.B(u_top_u_core_instr_rdata_id[23]),
-	.Y(n_11228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259254 (
-	.A(n_11173),
-	.B(u_top_u_core_instr_rdata_id[19]),
-	.Y(n_11227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g259255 (
-	.A(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
-	.X(n_11226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g259256 (
-	.A(n_11175),
-	.B(FE_OCPN16314_u_top_u_core_instr_rdata_id_16),
-	.Y(n_11225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g259257 (
-	.A(u_top_u_core_instr_rdata_id[21]),
-	.B(n_11176),
-	.Y(n_11224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g259258 (
-	.A(FE_RN_110_0),
-	.B(u_top_u_core_alu_operator_ex[5]),
-	.Y(n_11220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g259259 (
-	.A_N(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
-	.Y(n_13283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g259260 (
-	.A(u_top_u_core_instr_rdata_id[21]),
-	.B(u_top_u_core_instr_rdata_id[24]),
-	.Y(n_11215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g259261 (
-	.A(u_top_u_core_instr_rdata_id[24]),
-	.B(n_11156),
-	.Y(n_11214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g259262 (
-	.A(u_top_u_core_instr_rdata_id[17]),
-	.B(u_top_u_core_instr_rdata_id[18]),
-	.Y(n_11196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g259263 (
-	.A(u_top_u_core_instr_rdata_id[18]),
-	.B(u_top_u_core_instr_rdata_id[17]),
-	.Y(n_11212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g259264 (
-	.A(u_top_u_core_instr_rdata_id[17]),
-	.B(n_11174),
-	.Y(n_11211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g259265 (
-	.A(n_11174),
-	.B(u_top_u_core_instr_rdata_id[17]),
-	.X(n_11210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g259267 (
-	.A(\u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
-	.Y(n_10765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g259269 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
-	.Y(n_11192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g259270 (
-	.A(u_top_u_core_pc_if[24]),
-	.Y(n_11191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g259271 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
-	.Y(n_11190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g259272 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
-	.Y(n_11189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g259273 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
-	.Y(n_11188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g259274 (
-	.A(u_top_u_core_alu_operator_ex[5]),
-	.Y(n_11187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g259277 (
-	.A(u_top_u_core_rf_waddr_wb[3]),
-	.Y(n_11184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g259279 (
-	.A(u_top_u_core_rf_waddr_wb[1]),
-	.Y(n_703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g259283 (
-	.A(u_top_u_core_multdiv_operator_ex[0]),
-	.Y(n_11178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g259293 (
-	.A(u_top_u_core_lsu_addr_last[7]),
-	.Y(n_11168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g259294 (
-	.A(u_top_u_core_pc_if[5]),
-	.Y(n_11167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g259295 (
-	.A(u_top_u_core_pc_if[10]),
-	.Y(n_11166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g259296 (
-	.A(u_top_u_core_pc_if[26]),
-	.Y(n_11165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g259298 (
-	.A(u_top_u_core_pc_if[22]),
-	.Y(n_11163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g259299 (
-	.A(u_top_u_core_pc_if[9]),
-	.Y(n_11162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g259300 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
-	.Y(n_11161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g259301 (
-	.A(u_top_u_core_pc_if[4]),
-	.Y(n_11160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g259302 (
-	.A(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
-	.Y(n_11159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g259303 (
-	.A(u_top_u_core_pc_if[21]),
-	.Y(n_11158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g259318 (
-	.A(n_13270),
-	.B(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
-	.X(\u_top_u_core_irqs[irq_external] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g259319 (
-	.A(intr_u_rx),
-	.B(intr_u_tx),
-	.X(n_13270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst_reg  (
-	.CLK(CTS_81),
-	.D(logic_0_1_net),
-	.Q(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
-	.SET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g209405 (
-	.A(n_11141),
-	.B(u_top_u_core_alu_operator_ex[0]),
-	.Y(n_11143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g209408 (
-	.A(n_11136),
-	.B(n_11181),
-	.Y(n_11140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g209409 (
-	.A(n_11138),
-	.Y(n_11139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4bb_1 g209410 (
-	.A(u_top_u_core_id_stage_i_id_fsm_q),
-	.B(n_13210),
-	.C_N(u_top_u_core_id_stage_i_jump_in_dec),
-	.D_N(u_top_u_core_id_stage_i_jump_set_dec),
-	.Y(u_top_u_core_id_stage_i_jump_set), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 g209411 (
-	.A(n_11120),
-	.B(n_11131),
-	.C(n_11135),
-	.Y(n_11138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g209414 (
-	.A_N(n_13291),
-	.B(n_13232),
-	.C(u_top_u_core_id_stage_i_controller_run),
-	.D(FE_PSN3966_u_top_u_core_instr_valid_id),
-	.Y(n_13210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 g209415 (
-	.A(u_top_u_core_alu_operator_ex[3]),
-	.B(n_679),
-	.C(n_11134),
-	.Y(n_11135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 g209416 (
-	.A1(n_11130),
-	.A2(n_11365),
-	.B1(n_11124),
-	.Y(n_11134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g209417 (
-	.A_N(\u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
-	.B(n_13233),
-	.C(\u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-	.Y(n_13232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g209419 (
-	.A1(n_11130),
-	.A2(n_11221),
-	.B1(n_11126),
-	.Y(n_11133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g209420 (
-	.A(FE_OFN17002_xbar_to_lsu_d_valid),
-	.B(n_11095),
-	.Y(n_13233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_8 g209423 (
-	.A(n_11129),
-	.B(n_11122),
-	.Y(n_11130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g209424 (
-	.A1_N(main_swith_host_lsu_dev_select_outstanding[0]),
-	.A2_N(n_11128),
-	.B1(main_swith_host_lsu_dev_select_outstanding[0]),
-	.B2(n_11127),
-	.Y(\xbar_to_lsu[d_valid] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_4 g209425 (
-	.A(FE_OFN16120_n_16023),
-	.B(u_uart_u_uart_core_n_9),
-	.C(\lsu_to_xbar[a_address] [18]),
-	.D(n_11125),
-	.X(n_11129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g209426 (
-	.A1_N(\main_swith_host_lsu_tl_u_i[3][d_valid] ),
-	.A2_N(main_swith_host_lsu_dev_select_outstanding[1]),
-	.B1(main_swith_host_lsu_dev_select_outstanding[1]),
-	.B2(n_13275),
-	.Y(n_11128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g209427 (
-	.A1_N(main_swith_host_lsu_dev_select_outstanding[1]),
-	.A2_N(n_13276),
-	.B1(\uart_to_xbar[d_valid] ),
-	.B2(main_swith_host_lsu_dev_select_outstanding[1]),
-	.Y(n_11127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g209428 (
-	.A(n_11221),
-	.B(n_11123),
-	.Y(n_11126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g209429 (
-	.A_N(\lsu_to_xbar[a_address] [17]),
-	.B(n_11094),
-	.C(n_11121),
-	.Y(n_11125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g209430 (
-	.A1(u_tcam_rvalid_o),
-	.A2(u_tcam_u_tcam_adapter_u_rspfifo_n_638),
-	.A3(n_11110),
-	.B1(n_11118),
-	.Y(n_13275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g209431 (
-	.A1(dccm_adapter_rvalid_o),
-	.A2(dccm_adapter_data_mem_u_rspfifo_n_638),
-	.A3(n_11111),
-	.B1(n_11117),
-	.Y(n_13276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g209432 (
-	.A1(n_11221),
-	.A2(n_11115),
-	.B1(n_11119),
-	.Y(n_11124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g209433 (
-	.A1_N(u_top_u_core_alu_operator_ex[3]),
-	.A2_N(n_11116),
-	.B1(u_top_u_core_alu_operator_ex[3]),
-	.B2(n_11116),
-	.Y(n_11123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g209434 (
-	.A(n_11118),
-	.B(u_tcam_rvalid_o),
-	.Y(n_13267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g209435 (
-	.A(n_11117),
-	.B(dccm_adapter_rvalid_o),
-	.Y(n_13266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g209438 (
-	.A(FE_OFN1815_u_top_u_core_alu_operator_ex_2),
-	.B(n_11366),
-	.C(n_11116),
-	.Y(n_11120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g209440 (
-	.A(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
-	.B(n_11114),
-	.Y(n_11118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g209441 (
-	.A(n_11116),
-	.Y(n_11115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g209442 (
-	.A(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
-	.B(n_11113),
-	.Y(n_11117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g209444 (
-	.A(n_13237),
-	.B_N(n_13236),
-	.Y(n_11114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g209445 (
-	.A(n_13235),
-	.B_N(n_13234),
-	.Y(n_11113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g209446 (
-	.A(n_13209),
-	.B(\xbar_to_dccm[a_address] [12]),
-	.C(\xbar_to_dccm[a_address] [13]),
-	.X(n_11112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g209447 (
-	.A(n_13219),
-	.Y(n_11111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g209448 (
-	.A(n_13220),
-	.Y(n_11110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux4_2 g209449 (
-	.A0(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
-	.A1(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] [11]),
-	.A2(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
-	.A3(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] [11]),
-	.S0(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.S1(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.X(n_13219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g209450 (
-	.A(u_tcam_u_tcam_adapter_u_rspfifo_n_125),
-	.B(u_tcam_u_tcam_adapter_u_rspfifo_n_628),
-	.C(u_tcam_u_tcam_adapter_u_rspfifo_n_629),
-	.Y(u_tcam_u_tcam_adapter_u_rspfifo_n_638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g209451 (
-	.A(dccm_adapter_data_mem_u_rspfifo_n_125),
-	.B(dccm_adapter_data_mem_u_rspfifo_n_628),
-	.C(dccm_adapter_data_mem_u_rspfifo_n_629),
-	.Y(dccm_adapter_data_mem_u_rspfifo_n_638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux4_2 g209452 (
-	.A0(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0] [11]),
-	.A1(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2] [11]),
-	.A2(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1] [11]),
-	.A3(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3] [11]),
-	.S0(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.S1(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.X(n_13220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g209454 (
-	.A(n_767),
-	.B(n_13330),
-	.COUT(n_11108),
-	.SUM(n_11109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g209455 (
-	.A1(n_11097),
-	.A2(n_11093),
-	.B1(n_15741),
-	.Y(n_13291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g209456 (
-	.A(n_11098),
-	.B(n_11099),
-	.Y(n_13237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g209457 (
-	.A(n_11101),
-	.B(n_11100),
-	.Y(n_13235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 g209458 (
-	.A(n_11107),
-	.Y(n_13211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g209459 (
-	.A(n_16024),
-	.B(\lsu_to_xbar[a_address] [23]),
-	.C(\lsu_to_xbar[a_address] [21]),
-	.D(\lsu_to_xbar[a_address] [25]),
-	.Y(n_11106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_4 g209461 (
-	.A(\xbar_to_dccm[a_address] [8]),
-	.B(\xbar_to_dccm[a_address] [9]),
-	.C(\xbar_to_dccm[a_address] [11]),
-	.D(\xbar_to_dccm[a_address] [10]),
-	.X(n_11104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g209462 (
-	.A(n_11103),
-	.B(\lsu_to_xbar[a_address] [31]),
-	.Y(n_11107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g209465 (
-	.A1_N(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.A2_N(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(dccm_adapter_data_mem_u_rspfifo_n_629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g209466 (
-	.A1_N(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2_N(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(dccm_adapter_data_mem_u_rspfifo_n_628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g209467 (
-	.A1_N(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
-	.A2_N(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B1(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
-	.B2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
-	.Y(u_tcam_u_tcam_adapter_u_rspfifo_n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g209468 (
-	.A1_N(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2_N(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B1(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(u_tcam_u_tcam_adapter_u_rspfifo_n_628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g209469 (
-	.A1_N(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
-	.A2_N(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
-	.Y(dccm_adapter_data_mem_u_rspfifo_n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g209472 (
-	.A(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(n_11101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g209473 (
-	.A(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_11100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g209474 (
-	.A(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_11099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g209475 (
-	.A(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(n_11098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g209476 (
-	.A(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.Y(n_13234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g209477 (
-	.A(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.Y(n_13236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g209478 (
-	.A1_N(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.A2_N(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B1(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(u_tcam_u_tcam_adapter_u_rspfifo_n_629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g209479 (
-	.A(u_top_u_core_alu_operand_b_ex[31]),
-	.B(n_13330),
-	.X(n_13403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g209480 (
-	.A_N(n_13192),
-	.B(FE_OFN1388_u_top_u_core_id_stage_i_rf_ren_a),
-	.Y(n_11097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_4 g209483 (
-	.A(\xbar_to_dccm[a_address] [6]),
-	.B(\xbar_to_dccm[a_address] [7]),
-	.X(n_13208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g209484 (
-	.A(n_11095),
-	.Y(n_13733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g209485 (
-	.A(\lsu_to_xbar[a_address] [16]),
-	.B(\lsu_to_xbar[a_address] [19]),
-	.Y(n_11094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g209486 (
-	.A_N(n_13191),
-	.B(u_top_u_core_id_stage_i_rf_ren_b),
-	.Y(n_11093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g209487 (
-	.A(FE_OFN852_xbar_to_dccm_a_address__2),
-	.B(FE_OFN851_xbar_to_dccm_a_address__3),
-	.X(u_uart_u_uart_core_n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g209488 (
-	.A(u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
-	.B(n_13282),
-	.Y(n_11095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g208445 (
-	.A1(n_11083),
-	.A2(n_13257),
-	.B1(n_11090),
-	.Y(n_13342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g208446 (
-	.A1(u_top_u_core_instr_is_compressed_id),
-	.A2(n_13363),
-	.B1(n_11086),
-	.C1(n_11089),
-	.Y(n_13343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208447 (
-	.A1(n_11088),
-	.A2(u_top_u_core_instr_rdata_id[10]),
-	.B1(n_11084),
-	.B2(FE_OFN818_u_top_u_core_instr_rdata_id_23),
-	.X(n_13345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208448 (
-	.A1(n_11088),
-	.A2(u_top_u_core_instr_rdata_id[11]),
-	.B1(n_11084),
-	.B2(FE_OFN1872_u_top_u_core_instr_rdata_id_24),
-	.X(n_13346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g208449 (
-	.A1(n_11087),
-	.A2(u_top_u_core_instr_is_compressed_id),
-	.B1(n_11084),
-	.B2(FE_OCPN16268_FE_OFN16050_u_top_u_core_instr_rdata_id_21),
-	.Y(n_11090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g208450 (
-	.A(n_11087),
-	.B_N(u_top_u_core_instr_rdata_id[29]),
-	.Y(n_13351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g208451 (
-	.A(n_11088),
-	.B(u_top_u_core_instr_rdata_id[9]),
-	.Y(n_11089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g208453 (
-	.A(n_11087),
-	.B_N(FE_OFN1851_u_top_u_core_instr_rdata_id_26),
-	.Y(n_13348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g208454 (
-	.A(n_11087),
-	.B_N(FE_OFN16768_u_top_u_core_instr_rdata_id_27),
-	.Y(n_13349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g208455 (
-	.A(n_11087),
-	.B_N(FE_OFN16798_u_top_u_core_instr_rdata_id_28),
-	.Y(n_13350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g208456 (
-	.A(n_11087),
-	.B_N(FE_OFN817_u_top_u_core_instr_rdata_id_25),
-	.Y(n_13347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g208457 (
-	.A(n_13257),
-	.Y(n_11088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g208458 (
-	.A(n_13363),
-	.Y(n_11087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208459 (
-	.A1(n_13706),
-	.A2(FE_OFN15914_n_11078),
-	.B1(u_top_u_core_pc_id[6]),
-	.B2(u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
-	.X(n_13573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208460 (
-	.A1(FE_OFN925_n_310),
-	.A2(FE_OFN15914_n_11078),
-	.B1(u_top_u_core_pc_id[3]),
-	.B2(n_11078),
-	.X(n_13570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g208461 (
-	.A(n_11084),
-	.B(FE_OCPN16265_u_top_u_core_instr_rdata_id_22),
-	.Y(n_11086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g208462 (
-	.A_N(u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
-	.B(n_11084),
-	.Y(n_13262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g208463 (
-	.A(n_11085),
-	.B(FE_OFN15934_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
-	.Y(n_13257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g208464 (
-	.A(n_13265),
-	.B(n_13263),
-	.Y(n_13363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208465 (
-	.A1(FE_OFN16783_n_285),
-	.A2(FE_OFN15914_n_11078),
-	.B1(u_top_u_core_pc_id[4]),
-	.B2(n_11078),
-	.X(n_13571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208466 (
-	.A1(n_13705),
-	.A2(FE_OFN15914_n_11078),
-	.B1(u_top_u_core_pc_id[5]),
-	.B2(u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
-	.X(n_13572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208467 (
-	.A1(FE_OFN1791_n_271),
-	.A2(FE_OFN15914_n_11078),
-	.B1(u_top_u_core_pc_id[1]),
-	.B2(n_11078),
-	.X(n_13568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208468 (
-	.A1(FE_OFN924_n_303),
-	.A2(FE_OFN15914_n_11078),
-	.B1(n_11078),
-	.B2(FE_OFN1825_u_top_u_core_pc_id_9),
-	.X(n_13576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208469 (
-	.A1(n_13708),
-	.A2(FE_OFN15914_n_11078),
-	.B1(n_11078),
-	.B2(FE_OFN1835_u_top_u_core_pc_id_8),
-	.X(n_13575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208470 (
-	.A1(FE_OFN1545_n_13707),
-	.A2(FE_OFN15914_n_11078),
-	.B1(FE_OFN1842_u_top_u_core_pc_id_7),
-	.B2(n_11078),
-	.X(n_13574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208471 (
-	.A1(n_13702),
-	.A2(FE_OFN15914_n_11078),
-	.B1(u_top_u_core_pc_id[2]),
-	.B2(n_11078),
-	.X(n_13569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g208474 (
-	.A(n_11084),
-	.Y(n_13263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g208475 (
-	.A(u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
-	.B(u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
-	.Y(n_11085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g208476 (
-	.A(FE_OFN15934_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
-	.B(u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
-	.Y(n_11084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g208477 (
-	.A(u_top_u_core_instr_rdata_id[8]),
-	.Y(n_11083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g208668 (
-	.A1(n_11079),
-	.A2(FE_OCPN16565_u_top_u_core_instr_rdata_id_15),
-	.B1(n_11080),
-	.X(n_13357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g208669 (
-	.A1(n_11079),
-	.A2(FE_OFN13709_u_top_u_core_instr_rdata_id_16),
-	.B1(n_11080),
-	.X(n_13358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g208670 (
-	.A1(n_11079),
-	.A2(FE_OFN16763_u_top_u_core_instr_rdata_id_19),
-	.B1(n_11080),
-	.X(n_13361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g208671 (
-	.A1(n_11079),
-	.A2(FE_OFN15998_u_top_u_core_instr_rdata_id_14),
-	.B1(n_11080),
-	.X(n_13356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g208672 (
-	.A1(n_11079),
-	.A2(FE_OFN15831_u_top_u_core_instr_rdata_id_18),
-	.B1(n_11080),
-	.X(n_13360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g208673 (
-	.A1(n_11079),
-	.A2(FE_OFN16744_u_top_u_core_instr_rdata_id_12),
-	.B1(n_11080),
-	.X(n_13354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g208674 (
-	.A1(n_11079),
-	.A2(FE_OFN16775_n),
-	.B1(n_11080),
-	.X(n_13355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g208675 (
-	.A1(n_11079),
-	.A2(FE_OCPN16816_u_top_u_core_instr_rdata_id_17),
-	.B1(n_11080),
-	.X(n_13359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g208676 (
-	.A1(n_11079),
-	.A2(FE_OFN1870_u_top_u_core_instr_rdata_id_20),
-	.B1(n_11077),
-	.B2(u_top_u_core_instr_rdata_id[31]),
-	.C1(n_11081),
-	.X(n_13353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208677 (
-	.A1(FE_OFN1549_n_13716),
-	.A2(FE_OFN15914_n_11078),
-	.B1(u_top_u_core_pc_id[16]),
-	.B2(n_11078),
-	.X(n_13583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208678 (
-	.A1(n_13725),
-	.A2(FE_OFN15914_n_11078),
-	.B1(n_11078),
-	.B2(u_top_u_core_pc_id[25]),
-	.X(n_13592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208679 (
-	.A1(n_13728),
-	.A2(FE_OFN15914_n_11078),
-	.B1(n_11078),
-	.B2(u_top_u_core_pc_id[28]),
-	.X(n_13595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208680 (
-	.A1(FE_OFN1550_n_13717),
-	.A2(FE_OFN15914_n_11078),
-	.B1(u_top_u_core_pc_id[17]),
-	.B2(n_11078),
-	.X(n_13584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208681 (
-	.A1(n_13724),
-	.A2(FE_OFN15914_n_11078),
-	.B1(n_11078),
-	.B2(u_top_u_core_pc_id[24]),
-	.X(n_13591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208682 (
-	.A1(n_13718),
-	.A2(FE_OFN15914_n_11078),
-	.B1(n_11078),
-	.B2(u_top_u_core_pc_id[18]),
-	.X(n_13585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208683 (
-	.A1(n_13727),
-	.A2(FE_OFN15914_n_11078),
-	.B1(n_11078),
-	.B2(u_top_u_core_pc_id[27]),
-	.X(n_13594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208684 (
-	.A1(n_13723),
-	.A2(FE_OFN15914_n_11078),
-	.B1(n_11078),
-	.B2(u_top_u_core_pc_id[23]),
-	.X(n_13590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208685 (
-	.A1(FE_OFN1548_n_13715),
-	.A2(FE_OFN15914_n_11078),
-	.B1(u_top_u_core_pc_id[15]),
-	.B2(n_11078),
-	.X(n_13582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208686 (
-	.A1(FE_OFN1547_n_13714),
-	.A2(FE_OFN15914_n_11078),
-	.B1(u_top_u_core_pc_id[14]),
-	.B2(n_11078),
-	.X(n_13581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208687 (
-	.A1(n_13722),
-	.A2(FE_OFN15914_n_11078),
-	.B1(n_11078),
-	.B2(u_top_u_core_pc_id[22]),
-	.X(n_13589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208688 (
-	.A1(FE_OFN1553_n_13721),
-	.A2(FE_OFN15914_n_11078),
-	.B1(n_11078),
-	.B2(u_top_u_core_pc_id[21]),
-	.X(n_13588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208689 (
-	.A1(n_13729),
-	.A2(FE_OFN15914_n_11078),
-	.B1(n_11078),
-	.B2(u_top_u_core_pc_id[29]),
-	.X(n_13596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208690 (
-	.A1(FE_OFN1886_n_13712),
-	.A2(FE_OFN15914_n_11078),
-	.B1(u_top_u_core_pc_id[12]),
-	.B2(n_11078),
-	.X(n_13579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208691 (
-	.A1(n_13711),
-	.A2(FE_OFN15914_n_11078),
-	.B1(u_top_u_core_pc_id[11]),
-	.B2(n_11078),
-	.X(n_13578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208692 (
-	.A1(n_13726),
-	.A2(FE_OFN15914_n_11078),
-	.B1(n_11078),
-	.B2(u_top_u_core_pc_id[26]),
-	.X(n_13593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208693 (
-	.A1(FE_OFN1546_n_13713),
-	.A2(FE_OFN15914_n_11078),
-	.B1(u_top_u_core_pc_id[13]),
-	.B2(n_11078),
-	.X(n_13580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208694 (
-	.A1(n_13710),
-	.A2(FE_OFN15914_n_11078),
-	.B1(u_top_u_core_pc_id[10]),
-	.B2(n_11078),
-	.X(n_13577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208695 (
-	.A1(n_13730),
-	.A2(FE_OFN15914_n_11078),
-	.B1(n_11078),
-	.B2(u_top_u_core_pc_id[30]),
-	.X(n_13597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208696 (
-	.A1(FE_OFN1552_n_13720),
-	.A2(FE_OFN15914_n_11078),
-	.B1(n_11078),
-	.B2(u_top_u_core_pc_id[20]),
-	.X(n_13587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208697 (
-	.A1(FE_OFN1551_n_13719),
-	.A2(FE_OFN15914_n_11078),
-	.B1(n_11078),
-	.B2(u_top_u_core_pc_id[19]),
-	.X(n_13586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g208698 (
-	.A1(n_13731),
-	.A2(FE_OFN15914_n_11078),
-	.B1(n_11078),
-	.B2(u_top_u_core_pc_id[31]),
-	.X(n_13598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g208699 (
-	.A(n_13257),
-	.B_N(u_top_u_core_instr_rdata_id[7]),
-	.Y(n_11081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g208700 (
-	.A(n_13363),
-	.B(FE_OFN1810_u_top_u_core_instr_rdata_id_30),
-	.X(n_13352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g208701 (
-	.A(n_13363),
-	.B(u_top_u_core_instr_rdata_id[31]),
-	.X(n_13362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g208702 (
-	.A(n_13265),
-	.B_N(u_top_u_core_instr_rdata_id[31]),
-	.Y(n_11080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g208703 (
-	.A(n_13263),
-	.B_N(u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
-	.Y(n_11079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g208705 (
-	.A(n_13262),
-	.Y(n_11077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_addr_o_reg[0]  (
-	.CLK_N(CTS_83),
-	.D(n_11015),
-	.Q(data_addr[0]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_addr_o_reg[1]  (
-	.CLK_N(CTS_83),
-	.D(n_11009),
-	.Q(data_addr[1]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_addr_o_reg[2]  (
-	.CLK_N(CTS_83),
-	.D(n_11014),
-	.Q(data_addr[2]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_addr_o_reg[3]  (
-	.CLK_N(CTS_83),
-	.D(n_11013),
-	.Q(data_addr[3]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_addr_o_reg[4]  (
-	.CLK_N(CTS_69),
-	.D(n_11012),
-	.Q(data_addr[4]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_addr_o_reg[5]  (
-	.CLK_N(CTS_83),
-	.D(n_11011),
-	.Q(data_addr[5]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_addr_o_reg[6]  (
-	.CLK_N(CTS_83),
-	.D(n_11010),
-	.Q(data_addr[6]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_addr_o_reg[7]  (
-	.CLK_N(CTS_83),
-	.D(n_11008),
-	.Q(data_addr[7]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfbbn_1 dccm_adapter_csb_reg (
-	.CLK_N(CTS_81),
-	.D(dccm_adapter_data_csbD),
-	.Q_N(data_csb),
-	.RESET_B(n_17951),
-	.SET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[0]  (
-	.CLK_N(CTS_84),
-	.D(n_11055),
-	.Q(data_wdata[0]),
-	.RESET_B(FE_OFN93_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[1]  (
-	.CLK_N(CTS_84),
-	.D(n_11054),
-	.Q(data_wdata[1]),
-	.RESET_B(FE_OFN93_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[2]  (
-	.CLK_N(CTS_84),
-	.D(n_11053),
-	.Q(data_wdata[2]),
-	.RESET_B(FE_OFN93_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[3]  (
-	.CLK_N(CTS_84),
-	.D(n_11052),
-	.Q(data_wdata[3]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[4]  (
-	.CLK_N(CTS_84),
-	.D(n_11051),
-	.Q(data_wdata[4]),
-	.RESET_B(FE_OFN93_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[5]  (
-	.CLK_N(CTS_84),
-	.D(n_11050),
-	.Q(data_wdata[5]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[6]  (
-	.CLK_N(CTS_84),
-	.D(n_11049),
-	.Q(data_wdata[6]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[7]  (
-	.CLK_N(CTS_84),
-	.D(n_11048),
-	.Q(data_wdata[7]),
-	.RESET_B(FE_OFN93_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[8]  (
-	.CLK_N(CTS_84),
-	.D(n_11057),
-	.Q(data_wdata[8]),
-	.RESET_B(FE_OFN93_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[9]  (
-	.CLK_N(CTS_84),
-	.D(n_11026),
-	.Q(data_wdata[9]),
-	.RESET_B(FE_OFN93_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[10]  (
-	.CLK_N(CTS_84),
-	.D(n_11028),
-	.Q(data_wdata[10]),
-	.RESET_B(FE_OFN93_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[11]  (
-	.CLK_N(CTS_84),
-	.D(n_11047),
-	.Q(data_wdata[11]),
-	.RESET_B(FE_OFN93_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[12]  (
-	.CLK_N(CTS_84),
-	.D(n_11046),
-	.Q(data_wdata[12]),
-	.RESET_B(FE_OFN93_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[13]  (
-	.CLK_N(CTS_84),
-	.D(n_11030),
-	.Q(data_wdata[13]),
-	.RESET_B(FE_OFN93_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[14]  (
-	.CLK_N(CTS_84),
-	.D(n_11045),
-	.Q(data_wdata[14]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[15]  (
-	.CLK_N(CTS_84),
-	.D(n_11044),
-	.Q(data_wdata[15]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[16]  (
-	.CLK_N(CTS_84),
-	.D(n_11043),
-	.Q(data_wdata[16]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[17]  (
-	.CLK_N(CTS_84),
-	.D(n_11042),
-	.Q(data_wdata[17]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[18]  (
-	.CLK_N(CTS_84),
-	.D(n_11041),
-	.Q(data_wdata[18]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[19]  (
-	.CLK_N(CTS_84),
-	.D(n_11040),
-	.Q(data_wdata[19]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[20]  (
-	.CLK_N(CTS_84),
-	.D(n_11027),
-	.Q(data_wdata[20]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[21]  (
-	.CLK_N(CTS_84),
-	.D(n_11039),
-	.Q(data_wdata[21]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[22]  (
-	.CLK_N(CTS_84),
-	.D(n_11038),
-	.Q(data_wdata[22]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[23]  (
-	.CLK_N(CTS_84),
-	.D(n_11037),
-	.Q(data_wdata[23]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[24]  (
-	.CLK_N(CTS_83),
-	.D(n_11036),
-	.Q(data_wdata[24]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[25]  (
-	.CLK_N(CTS_83),
-	.D(n_11035),
-	.Q(data_wdata[25]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[26]  (
-	.CLK_N(CTS_83),
-	.D(n_11034),
-	.Q(data_wdata[26]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[27]  (
-	.CLK_N(CTS_83),
-	.D(n_11029),
-	.Q(data_wdata[27]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[28]  (
-	.CLK_N(CTS_83),
-	.D(n_11033),
-	.Q(data_wdata[28]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[29]  (
-	.CLK_N(CTS_83),
-	.D(n_11032),
-	.Q(data_wdata[29]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[30]  (
-	.CLK_N(CTS_83),
-	.D(n_11031),
-	.Q(data_wdata[30]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wdata_o_reg[31]  (
-	.CLK_N(CTS_83),
-	.D(n_11056),
-	.Q(data_wdata[31]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 dccm_adapter_we_o_reg (
-	.CLK_N(CTS_81),
-	.D(FE_OFN16752_dccm_adapter_data_weD),
-	.Q(data_we),
-	.RESET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wmask_o_reg[0]  (
-	.CLK_N(CTS_83),
-	.D(n_11001),
-	.Q(data_wmask[0]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wmask_o_reg[1]  (
-	.CLK_N(CTS_83),
-	.D(n_11005),
-	.Q(data_wmask[1]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wmask_o_reg[2]  (
-	.CLK_N(CTS_83),
-	.D(n_11004),
-	.Q(data_wmask[2]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_wmask_o_reg[3]  (
-	.CLK_N(CTS_83),
-	.D(n_11002),
-	.Q(data_wmask[3]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_addrD_reg[0]  (
-	.CLK_N(CTS_87),
-	.D(n_10988),
-	.Q(instr_addr[0]),
-	.RESET_B(n_17952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_addrD_reg[1]  (
-	.CLK_N(CTS_92),
-	.D(n_10989),
-	.Q(instr_addr[1]),
-	.RESET_B(n_17953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_addrD_reg[2]  (
-	.CLK_N(CTS_92),
-	.D(n_10991),
-	.Q(instr_addr[2]),
-	.RESET_B(n_17954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_addrD_reg[3]  (
-	.CLK_N(CTS_92),
-	.D(n_10990),
-	.Q(instr_addr[3]),
-	.RESET_B(n_17955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_addrD_reg[4]  (
-	.CLK_N(CTS_92),
-	.D(n_10987),
-	.Q(instr_addr[4]),
-	.RESET_B(n_17956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_addrD_reg[5]  (
-	.CLK_N(CTS_94),
-	.D(n_10986),
-	.Q(instr_addr[5]),
-	.RESET_B(n_17957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_addrD_reg[6]  (
-	.CLK_N(CTS_94),
-	.D(n_10995),
-	.Q(instr_addr[6]),
-	.RESET_B(n_17958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_addrD_reg[7]  (
-	.CLK_N(FE_USKN3985_CTS_87),
-	.D(n_10996),
-	.Q(instr_addr[7]),
-	.RESET_B(n_17959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 iccm_adapter_instr_csbD_reg (
-	.CLK_N(CTS_94),
-	.D(n_10984),
-	.Q(iccm_adapter_instr_csbD),
-	.RESET_B(n_17962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[0]  (
-	.CLK_N(CTS_93),
-	.D(n_10819),
-	.Q(instr_wdata[0]),
-	.RESET_B(n_17963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[1]  (
-	.CLK_N(CTS_96),
-	.D(n_10778),
-	.Q(instr_wdata[1]),
-	.RESET_B(n_17974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[2]  (
-	.CLK_N(CTS_94),
-	.D(n_10779),
-	.Q(instr_wdata[2]),
-	.RESET_B(n_17985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[3]  (
-	.CLK_N(CTS_95),
-	.D(n_10783),
-	.Q(instr_wdata[3]),
-	.RESET_B(n_17988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[4]  (
-	.CLK_N(CTS_95),
-	.D(n_10806),
-	.Q(instr_wdata[4]),
-	.RESET_B(n_17989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[5]  (
-	.CLK_N(CTS_95),
-	.D(n_10822),
-	.Q(instr_wdata[5]),
-	.RESET_B(FE_PDN3746_n_17990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[6]  (
-	.CLK_N(CTS_96),
-	.D(FE_OFN1032_n_10825),
-	.Q(instr_wdata[6]),
-	.RESET_B(n_17991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[7]  (
-	.CLK_N(CTS_96),
-	.D(FE_OFN1029_n_10809),
-	.Q(instr_wdata[7]),
-	.RESET_B(n_17992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[8]  (
-	.CLK_N(CTS_96),
-	.D(FE_OFN1030_n_10818),
-	.Q(instr_wdata[8]),
-	.RESET_B(n_17993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[9]  (
-	.CLK_N(CTS_96),
-	.D(FE_OFN1031_n_10824),
-	.Q(instr_wdata[9]),
-	.RESET_B(n_17994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[10]  (
-	.CLK_N(CTS_93),
-	.D(n_10774),
-	.Q(instr_wdata[10]),
-	.RESET_B(n_17964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[11]  (
-	.CLK_N(CTS_93),
-	.D(n_10816),
-	.Q(instr_wdata[11]),
-	.RESET_B(n_17965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[12]  (
-	.CLK_N(CTS_93),
-	.D(n_10775),
-	.Q(instr_wdata[12]),
-	.RESET_B(n_17966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[13]  (
-	.CLK_N(CTS_93),
-	.D(n_10828),
-	.Q(instr_wdata[13]),
-	.RESET_B(n_17967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[14]  (
-	.CLK_N(CTS_93),
-	.D(n_10813),
-	.Q(instr_wdata[14]),
-	.RESET_B(n_17968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[15]  (
-	.CLK_N(CTS_93),
-	.D(n_10810),
-	.Q(instr_wdata[15]),
-	.RESET_B(n_17969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[16]  (
-	.CLK_N(CTS_96),
-	.D(n_10829),
-	.Q(instr_wdata[16]),
-	.RESET_B(n_17970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[17]  (
-	.CLK_N(CTS_96),
-	.D(n_10811),
-	.Q(instr_wdata[17]),
-	.RESET_B(n_17971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[18]  (
-	.CLK_N(CTS_96),
-	.D(n_10817),
-	.Q(instr_wdata[18]),
-	.RESET_B(n_17972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[19]  (
-	.CLK_N(CTS_96),
-	.D(n_10781),
-	.Q(instr_wdata[19]),
-	.RESET_B(n_17973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[20]  (
-	.CLK_N(CTS_96),
-	.D(n_10827),
-	.Q(instr_wdata[20]),
-	.RESET_B(n_17975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[21]  (
-	.CLK_N(CTS_96),
-	.D(n_10814),
-	.Q(instr_wdata[21]),
-	.RESET_B(n_17976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[22]  (
-	.CLK_N(CTS_96),
-	.D(n_10786),
-	.Q(instr_wdata[22]),
-	.RESET_B(n_17977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[23]  (
-	.CLK_N(CTS_96),
-	.D(n_10788),
-	.Q(instr_wdata[23]),
-	.RESET_B(n_17978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[24]  (
-	.CLK_N(CTS_93),
-	.D(n_10807),
-	.Q(instr_wdata[24]),
-	.RESET_B(n_17979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[25]  (
-	.CLK_N(CTS_94),
-	.D(n_10785),
-	.Q(instr_wdata[25]),
-	.RESET_B(n_17980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[26]  (
-	.CLK_N(CTS_94),
-	.D(n_10812),
-	.Q(instr_wdata[26]),
-	.RESET_B(n_17981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[27]  (
-	.CLK_N(CTS_92),
-	.D(n_10787),
-	.Q(instr_wdata[27]),
-	.RESET_B(n_17982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[28]  (
-	.CLK_N(CTS_92),
-	.D(n_10808),
-	.Q(instr_wdata[28]),
-	.RESET_B(n_17983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[29]  (
-	.CLK_N(CTS_94),
-	.D(n_10790),
-	.Q(instr_wdata[29]),
-	.RESET_B(n_17984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[30]  (
-	.CLK_N(CTS_94),
-	.D(n_10776),
-	.Q(instr_wdata[30]),
-	.RESET_B(n_17986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wdataD_reg[31]  (
-	.CLK_N(CTS_93),
-	.D(n_10820),
-	.Q(instr_wdata[31]),
-	.RESET_B(n_17987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 iccm_adapter_instr_weD_reg (
-	.CLK_N(CTS_96),
-	.D(n_10840),
-	.Q(iccm_adapter_instr_weD),
-	.RESET_B(n_17995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_wmaskD_reg[0]  (
-	.CLK_N(CTS_95),
-	.D(n_10966),
-	.Q(instr_wmask[0]),
-	.RESET_B(n_17996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 u_top_u_core_core_busy_q_reg (
-	.CLK(CTS_87),
-	.D(n_10969),
-	.Q(u_top_u_core_core_busy_q),
-	.RESET_B(FE_OFN21_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 u_top_u_core_fetch_enable_q_reg (
-	.CLK(CTS_93),
-	.D(n_18005),
-	.Q(u_top_u_core_fetch_enable_q),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g215064 (
-	.A1(n_13207),
-	.A2(n_11076),
-	.B1(FE_OFN1872_u_top_u_core_instr_rdata_id_24),
-	.B2(n_11074),
-	.Y(u_top_u_core_id_stage_i_csr_pipe_flush), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g215065 (
-	.A(n_11075),
-	.B(FE_OFN817_u_top_u_core_instr_rdata_id_25),
-	.C(FE_OFN16768_u_top_u_core_instr_rdata_id_27),
-	.D(FE_OFN1872_u_top_u_core_instr_rdata_id_24),
-	.Y(n_11076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g215066 (
-	.A1(u_top_u_core_id_stage_i_illegal_insn_dec),
-	.A2(u_top_u_core_illegal_csr_insn_id),
-	.B1(FE_PSN3966_u_top_u_core_instr_valid_id),
-	.X(u_top_u_core_id_stage_i_n_932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g215067 (
-	.A1(n_11073),
-	.A2(u_top_u_core_csr_access),
-	.B1(n_10842),
-	.X(u_top_u_core_illegal_csr_insn_id), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g215068 (
-	.A(FE_OCPN16265_u_top_u_core_instr_rdata_id_22),
-	.B(n_10932),
-	.C(n_11071),
-	.D_N(FE_OFN1810_u_top_u_core_instr_rdata_id_30),
-	.Y(n_11075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4bb_1 g215069 (
-	.A_N(n_10932),
-	.B_N(FE_OFN1810_u_top_u_core_instr_rdata_id_30),
-	.C(n_11071),
-	.D(n_10902),
-	.Y(n_11074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g215070 (
-	.A1(u_top_u_core_debug_mode),
-	.A2(n_13222),
-	.B1(n_11058),
-	.C1(n_11072),
-	.Y(n_11073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g215071 (
-	.A_N(n_13207),
-	.B(FE_OFN15986_u_top_u_core_alu_operand_b_ex_10),
-	.C(FE_OFN15861_u_top_u_core_alu_operand_b_ex_11),
-	.Y(n_11072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g215072 (
-	.A1(u_top_u_core_csr_op[1]),
-	.A2(u_top_u_core_csr_op[0]),
-	.B1(n_13207),
-	.Y(n_11071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g215073 (
-	.A1(u_top_u_core_csr_op[0]),
-	.A2(u_top_u_core_csr_op[1]),
-	.B1(u_top_u_core_csr_access),
-	.C1(u_top_u_core_ready_wb),
-	.D1(FE_OFN1776_n_13259),
-	.Y(n_13207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215074 (
-	.A(u_top_u_core_ready_wb),
-	.B(n_13259),
-	.Y(u_top_u_core_id_stage_i_stall_wb), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g215076 (
-	.A(u_top_u_core_id_stage_i_flush_id),
-	.B(n_13210),
-	.C(u_top_u_core_id_stage_i_stall_id),
-	.X(n_13259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g215077 (
-	.A1(n_10841),
-	.A2(n_13274),
-	.B1(n_13206),
-	.C1(n_11069),
-	.Y(u_top_u_core_id_stage_i_stall_id), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g215078 (
-	.A1(n_11068),
-	.A2(FE_PSN3966_u_top_u_core_instr_valid_id),
-	.B1(n_13291),
-	.Y(n_11069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215079 (
-	.A(n_15843),
-	.B(n_13232),
-	.Y(n_11068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 g215082 (
-	.A(n_13260),
-	.B(n_11066),
-	.Y(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_addr_incr_two ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 g215083 (
-	.A(n_13398),
-	.B(u_top_u_core_pc_if[1]),
-	.Y(n_11066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g215084 (
-	.A1(n_10962),
-	.A2(n_623),
-	.B1(n_13204),
-	.C1(n_11065),
-	.Y(u_top_u_core_load_store_unit_i_n_938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_4 g215085 (
-	.A1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata [1]),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata [0]),
-	.B1(u_top_u_core_pc_if[1]),
-	.X(n_13260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 g215086 (
-	.A(n_13400),
-	.B(n_13399),
-	.Y(n_13398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g215087 (
-	.A1(n_623),
-	.A2(u_top_u_core_load_store_unit_i_split_misaligned_access),
-	.A3(n_10854),
-	.B1(n_15751),
-	.B2(u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
-	.Y(n_11065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g215088 (
-	.A1(n_10759),
-	.A2(n_11060),
-	.B1(n_10939),
-	.B2(n_623),
-	.Y(u_top_u_core_load_store_unit_i_n_939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_4 g215089 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [17]),
-	.A2_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.B1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.B2(FE_PSN3837_n_11064),
-	.Y(n_13400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_4 g215090 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [16]),
-	.A2_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.B1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.B2(n_11063),
-	.Y(n_13399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_4 g215091 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [1]),
-	.A2_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.B1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.B2(FE_PSBN11069_n_11062),
-	.Y(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_4 g215092 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [0]),
-	.A2_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.B1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.B2(FE_OFN1371_n_11061),
-	.Y(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215113 (
-	.A(FE_PSN3837_n_11064),
-	.Y(\iccm_to_xbar[d_data] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215114 (
-	.A(n_11063),
-	.Y(\iccm_to_xbar[d_data] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g215115 (
-	.A(FE_PSN3836_FE_OCPN16328_n_11062),
-	.Y(\iccm_to_xbar[d_data] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g215116 (
-	.A(FE_OFN1371_n_11061),
-	.Y(\iccm_to_xbar[d_data] [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215117 (
-	.A(n_15751),
-	.Y(n_11060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215130 (
-	.A(u_uart_u_uart_core_n_69),
-	.B(n_13253),
-	.Y(u_uart_u_uart_core_tx_fifo_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g215131 (
-	.A1(FE_OFN13725_n_15686),
-	.A2(n_15750),
-	.B1(n_13221),
-	.C1(n_10967),
-	.D1(n_11016),
-	.Y(n_11058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g215134 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
-	.A2(n_13298),
-	.B1(FE_OFN1043_n_13297),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
-	.C1(FE_PSBN11070_n_10979),
-	.C2(n_13296),
-	.Y(n_11062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g215135 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
-	.A2(n_13298),
-	.B1(FE_OFN1043_n_13297),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
-	.C1(FE_OFN1042_n_13296),
-	.C2(FE_OFN13730_n_10980),
-	.Y(n_11061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g215136 (
-	.A1(n_623),
-	.A2(n_10931),
-	.B1(n_10860),
-	.X(u_top_u_core_load_store_unit_i_n_937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215138 (
-	.A(n_11017),
-	.B(\xbar_to_dccm[a_data] [8]),
-	.X(n_11057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215139 (
-	.A(n_13601),
-	.B_N(FE_OFN854_data_addr_6),
-	.Y(u_dccm_addr4[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215140 (
-	.A(n_13601),
-	.B_N(FE_OFN855_data_addr_5),
-	.Y(u_dccm_addr4[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215141 (
-	.A(n_13601),
-	.B_N(data_addr[4]),
-	.Y(u_dccm_addr4[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215142 (
-	.A(n_13601),
-	.B_N(data_addr[3]),
-	.Y(u_dccm_addr4[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215143 (
-	.A(n_13601),
-	.B_N(data_addr[2]),
-	.Y(u_dccm_addr4[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215144 (
-	.A(n_13601),
-	.B_N(data_addr[1]),
-	.Y(u_dccm_addr4[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215145 (
-	.A(n_13601),
-	.B_N(data_addr[0]),
-	.Y(u_dccm_addr4[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215146 (
-	.A(n_13273),
-	.B_N(FE_OFN853_data_addr_7),
-	.Y(u_dccm_addr1[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215147 (
-	.A(n_13273),
-	.B_N(FE_OFN854_data_addr_6),
-	.Y(u_dccm_addr1[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215148 (
-	.A(n_13273),
-	.B_N(FE_OFN855_data_addr_5),
-	.Y(u_dccm_addr1[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215149 (
-	.A(n_13273),
-	.B_N(FE_OFN856_data_addr_4),
-	.Y(u_dccm_addr1[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215150 (
-	.A(n_13273),
-	.B_N(FE_OFN857_data_addr_3),
-	.Y(u_dccm_addr1[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215151 (
-	.A(n_13273),
-	.B_N(FE_OFN858_data_addr_2),
-	.Y(u_dccm_addr1[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215152 (
-	.A(n_13273),
-	.B_N(FE_OFN859_data_addr_1),
-	.Y(u_dccm_addr1[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215153 (
-	.A(n_13273),
-	.B_N(FE_OFN860_data_addr_0),
-	.Y(u_dccm_addr1[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215154 (
-	.A(n_13271),
-	.B_N(FE_OFN853_data_addr_7),
-	.Y(u_dccm_addr3[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215155 (
-	.A(n_13271),
-	.B_N(FE_OFN854_data_addr_6),
-	.Y(u_dccm_addr3[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215156 (
-	.A(n_13271),
-	.B_N(FE_OFN855_data_addr_5),
-	.Y(u_dccm_addr3[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g215157 (
-	.A(n_13271),
-	.B_N(FE_OFN856_data_addr_4),
-	.Y(u_dccm_addr3[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g215158 (
-	.A(n_13271),
-	.B_N(FE_OFN857_data_addr_3),
-	.Y(u_dccm_addr3[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g215159 (
-	.A(n_13271),
-	.B_N(FE_OFN858_data_addr_2),
-	.Y(u_dccm_addr3[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g215160 (
-	.A(n_13271),
-	.B_N(FE_OFN859_data_addr_1),
-	.Y(u_dccm_addr3[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g215161 (
-	.A(n_13271),
-	.B_N(FE_OFN860_data_addr_0),
-	.Y(u_dccm_addr3[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215162 (
-	.A(n_13272),
-	.B_N(data_addr[7]),
-	.Y(u_dccm_addr2[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215163 (
-	.A(n_13272),
-	.B_N(data_addr[6]),
-	.Y(u_dccm_addr2[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215164 (
-	.A(n_13272),
-	.B_N(data_addr[5]),
-	.Y(u_dccm_addr2[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215165 (
-	.A(n_13272),
-	.B_N(data_addr[4]),
-	.Y(u_dccm_addr2[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215166 (
-	.A(n_13272),
-	.B_N(data_addr[3]),
-	.Y(u_dccm_addr2[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215167 (
-	.A(n_13272),
-	.B_N(data_addr[2]),
-	.Y(u_dccm_addr2[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215168 (
-	.A(n_13272),
-	.B_N(data_addr[1]),
-	.Y(u_dccm_addr2[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215169 (
-	.A(n_13272),
-	.B_N(data_addr[0]),
-	.Y(u_dccm_addr2[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215170 (
-	.A(n_13601),
-	.B_N(FE_OFN853_data_addr_7),
-	.Y(u_dccm_addr4[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215171 (
-	.A(n_11022),
-	.B(\xbar_to_dccm[a_data] [7]),
-	.X(u_tcam_data_wdata[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215172 (
-	.A(n_11022),
-	.B(\xbar_to_dccm[a_data] [6]),
-	.X(u_tcam_data_wdata[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215173 (
-	.A(n_11022),
-	.B(\xbar_to_dccm[a_data] [5]),
-	.X(u_tcam_data_wdata[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g215174 (
-	.A(n_11022),
-	.B(\xbar_to_dccm[a_data] [4]),
-	.X(u_tcam_data_wdata[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215175 (
-	.A(n_11022),
-	.B(\xbar_to_dccm[a_data] [3]),
-	.X(u_tcam_data_wdata[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215176 (
-	.A(n_11022),
-	.B(\xbar_to_dccm[a_data] [2]),
-	.X(u_tcam_data_wdata[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g215177 (
-	.A(n_11022),
-	.B(\xbar_to_dccm[a_data] [1]),
-	.X(u_tcam_data_wdata[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g215178 (
-	.A(n_11022),
-	.B(\xbar_to_dccm[a_data] [0]),
-	.X(u_tcam_data_wdata[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215179 (
-	.A(n_11021),
-	.B(\xbar_to_dccm[a_data] [31]),
-	.X(n_11056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215180 (
-	.A(n_11024),
-	.B(\xbar_to_dccm[a_data] [0]),
-	.X(n_11055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215181 (
-	.A(n_11024),
-	.B(\xbar_to_dccm[a_data] [1]),
-	.X(n_11054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215182 (
-	.A(n_11024),
-	.B(\xbar_to_dccm[a_data] [2]),
-	.X(n_11053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215183 (
-	.A(n_11024),
-	.B(\xbar_to_dccm[a_data] [3]),
-	.X(n_11052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215184 (
-	.A(n_11024),
-	.B(\xbar_to_dccm[a_data] [4]),
-	.X(n_11051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215185 (
-	.A(n_11024),
-	.B(\xbar_to_dccm[a_data] [5]),
-	.X(n_11050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215186 (
-	.A(n_11024),
-	.B(\xbar_to_dccm[a_data] [6]),
-	.X(n_11049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215187 (
-	.A(n_11024),
-	.B(\xbar_to_dccm[a_data] [7]),
-	.X(n_11048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g215188 (
-	.A(n_13209),
-	.B(u_uart_we),
-	.X(n_13253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215189 (
-	.A(n_11023),
-	.B(\xbar_to_dccm[a_data] [26]),
-	.X(u_tcam_data_wdata[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215190 (
-	.A(n_11017),
-	.B(\xbar_to_dccm[a_data] [11]),
-	.X(n_11047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215191 (
-	.A(n_11017),
-	.B(\xbar_to_dccm[a_data] [12]),
-	.X(n_11046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215192 (
-	.A(n_11017),
-	.B(\xbar_to_dccm[a_data] [14]),
-	.X(n_11045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215193 (
-	.A(n_11017),
-	.B(\xbar_to_dccm[a_data] [15]),
-	.X(n_11044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215194 (
-	.A(n_11020),
-	.B(\xbar_to_dccm[a_data] [16]),
-	.X(n_11043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215195 (
-	.A(n_11020),
-	.B(\xbar_to_dccm[a_data] [17]),
-	.X(n_11042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215196 (
-	.A(n_11020),
-	.B(\xbar_to_dccm[a_data] [18]),
-	.X(n_11041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215197 (
-	.A(n_11020),
-	.B(\xbar_to_dccm[a_data] [19]),
-	.X(n_11040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215198 (
-	.A(n_11020),
-	.B(\xbar_to_dccm[a_data] [21]),
-	.X(n_11039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215199 (
-	.A(n_11020),
-	.B(\xbar_to_dccm[a_data] [22]),
-	.X(n_11038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215200 (
-	.A(n_11020),
-	.B(\xbar_to_dccm[a_data] [23]),
-	.X(n_11037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215201 (
-	.A(n_11021),
-	.B(\xbar_to_dccm[a_data] [24]),
-	.X(n_11036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215202 (
-	.A(n_11021),
-	.B(\xbar_to_dccm[a_data] [25]),
-	.X(n_11035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215203 (
-	.A(n_11021),
-	.B(\xbar_to_dccm[a_data] [26]),
-	.X(n_11034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215204 (
-	.A(n_11021),
-	.B(\xbar_to_dccm[a_data] [28]),
-	.X(n_11033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215205 (
-	.A(n_11021),
-	.B(\xbar_to_dccm[a_data] [29]),
-	.X(n_11032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215206 (
-	.A(n_11021),
-	.B(\xbar_to_dccm[a_data] [30]),
-	.X(n_11031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215207 (
-	.A(n_11017),
-	.B(\xbar_to_dccm[a_data] [13]),
-	.X(n_11030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215208 (
-	.A(n_11023),
-	.B(\xbar_to_dccm[a_data] [31]),
-	.X(u_tcam_data_wdata[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215209 (
-	.A(n_11023),
-	.B(\xbar_to_dccm[a_data] [30]),
-	.X(u_tcam_data_wdata[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215210 (
-	.A(n_11021),
-	.B(\xbar_to_dccm[a_data] [27]),
-	.X(n_11029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215211 (
-	.A(n_11023),
-	.B(\xbar_to_dccm[a_data] [29]),
-	.X(u_tcam_data_wdata[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215212 (
-	.A(n_11023),
-	.B(\xbar_to_dccm[a_data] [28]),
-	.X(u_tcam_data_wdata[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215213 (
-	.A(n_11023),
-	.B(\xbar_to_dccm[a_data] [27]),
-	.X(u_tcam_data_wdata[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215214 (
-	.A(n_11017),
-	.B(\xbar_to_dccm[a_data] [10]),
-	.X(n_11028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215215 (
-	.A(n_11023),
-	.B(\xbar_to_dccm[a_data] [25]),
-	.X(u_tcam_data_wdata[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215216 (
-	.A(n_11023),
-	.B(\xbar_to_dccm[a_data] [24]),
-	.X(u_tcam_data_wdata[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215217 (
-	.A(n_11019),
-	.B(\xbar_to_dccm[a_data] [23]),
-	.X(u_tcam_data_wdata[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215218 (
-	.A(n_11019),
-	.B(\xbar_to_dccm[a_data] [22]),
-	.X(u_tcam_data_wdata[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g215219 (
-	.A(n_11019),
-	.B(\xbar_to_dccm[a_data] [21]),
-	.X(u_tcam_data_wdata[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215220 (
-	.A(n_11019),
-	.B(\xbar_to_dccm[a_data] [20]),
-	.X(u_tcam_data_wdata[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g215221 (
-	.A(n_11019),
-	.B(\xbar_to_dccm[a_data] [19]),
-	.X(u_tcam_data_wdata[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215222 (
-	.A(n_11019),
-	.B(\xbar_to_dccm[a_data] [18]),
-	.X(u_tcam_data_wdata[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215223 (
-	.A(n_11019),
-	.B(\xbar_to_dccm[a_data] [17]),
-	.X(u_tcam_data_wdata[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215224 (
-	.A(n_11019),
-	.B(\xbar_to_dccm[a_data] [16]),
-	.X(u_tcam_data_wdata[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215225 (
-	.A(n_11018),
-	.B(\xbar_to_dccm[a_data] [15]),
-	.X(u_tcam_data_wdata[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215226 (
-	.A(n_11018),
-	.B(\xbar_to_dccm[a_data] [14]),
-	.X(u_tcam_data_wdata[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215227 (
-	.A(n_11018),
-	.B(\xbar_to_dccm[a_data] [13]),
-	.X(u_tcam_data_wdata[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215228 (
-	.A(n_11018),
-	.B(\xbar_to_dccm[a_data] [12]),
-	.X(u_tcam_data_wdata[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215229 (
-	.A(n_11018),
-	.B(\xbar_to_dccm[a_data] [11]),
-	.X(u_tcam_data_wdata[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215230 (
-	.A(n_11018),
-	.B(\xbar_to_dccm[a_data] [10]),
-	.X(u_tcam_data_wdata[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215231 (
-	.A(n_11020),
-	.B(\xbar_to_dccm[a_data] [20]),
-	.X(n_11027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215232 (
-	.A(n_11018),
-	.B(\xbar_to_dccm[a_data] [9]),
-	.X(u_tcam_data_wdata[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215233 (
-	.A(n_11018),
-	.B(\xbar_to_dccm[a_data] [8]),
-	.X(u_tcam_data_wdata[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215234 (
-	.A(n_10834),
-	.B(FE_OFN16852_data_csb),
-	.Y(u_dccm_csb1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215235 (
-	.A(n_10796),
-	.B(FE_OFN16852_data_csb),
-	.Y(u_dccm_csb4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215236 (
-	.A(n_10835),
-	.B(data_csb),
-	.Y(u_dccm_csb2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215237 (
-	.A(n_10797),
-	.B(FE_OFN16852_data_csb),
-	.Y(u_dccm_csb3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215238 (
-	.A(n_11017),
-	.B(\xbar_to_dccm[a_data] [9]),
-	.X(n_11026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g215239 (
-	.A(u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
-	.B(n_10759),
-	.C(FE_OFN1774_n_623),
-	.X(n_13204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215241 (
-	.A(n_10833),
-	.B_N(instr_addr[6]),
-	.Y(u_iccm_addr4[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215256 (
-	.A(n_10929),
-	.B(n_15744),
-	.Y(n_623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_4 g215257 (
-	.A(FE_OFN1869_n_10795),
-	.B_N(FE_OFN886_instr_addr_7),
-	.Y(u_iccm_addr3[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215258 (
-	.A(FE_OFN1869_n_10795),
-	.B_N(instr_addr[6]),
-	.Y(u_iccm_addr3[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_4 g215259 (
-	.A(FE_OFN1862_n_10832),
-	.B_N(instr_addr[7]),
-	.Y(u_iccm_addr2[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215260 (
-	.A(FE_OFN1862_n_10832),
-	.B_N(instr_addr[6]),
-	.Y(u_iccm_addr2[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215261 (
-	.A(FE_OFN1847_n_10794),
-	.B_N(FE_OFN886_instr_addr_7),
-	.Y(u_iccm_addr1[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215262 (
-	.A(FE_OFN1847_n_10794),
-	.B_N(instr_addr[6]),
-	.Y(u_iccm_addr1[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221a_1 g215263 (
-	.A1(FE_OCPN16286_u_top_u_core_alu_operand_b_ex_2),
-	.A2(n_10964),
-	.B1(n_13239),
-	.B2(n_10992),
-	.C1(n_10994),
-	.X(n_11016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215264 (
-	.A(FE_OFN1812_u_iccm_n_193),
-	.B_N(instr_addr[7]),
-	.Y(u_iccm_addr4[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215265 (
-	.A(n_172),
-	.B(FE_OFN1062_u_top_data_we),
-	.Y(u_uart_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215266 (
-	.A(FE_OFN16547_n_10948),
-	.B(FE_OFN16752_dccm_adapter_data_weD),
-	.Y(n_11024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g215267 (
-	.A(n_10947),
-	.B(u_tcam_n_27),
-	.Y(n_11023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g215268 (
-	.A(FE_OFN16547_n_10948),
-	.B(u_tcam_n_27),
-	.Y(n_11022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215269 (
-	.A(n_10947),
-	.B(FE_OFN16752_dccm_adapter_data_weD),
-	.Y(n_11021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215270 (
-	.A(FE_OFN15871_n_10965),
-	.B(FE_OFN16752_dccm_adapter_data_weD),
-	.Y(n_11020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g215271 (
-	.A(FE_OFN15871_n_10965),
-	.B(u_tcam_n_27),
-	.Y(n_11019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g215272 (
-	.A(FE_OFN1369_n_10949),
-	.B(u_tcam_n_27),
-	.Y(n_11018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215273 (
-	.A(FE_OFN1369_n_10949),
-	.B(FE_OFN16752_dccm_adapter_data_weD),
-	.Y(n_11017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215274 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN1846_lsu_to_xbar_a_address__24),
-	.Y(u_tcam_data_addr[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215275 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN829_lsu_to_xbar_a_address__25),
-	.Y(u_tcam_data_addr[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215276 (
-	.A(\xbar_to_dccm[a_valid] ),
-	.B_N(FE_OFN852_xbar_to_dccm_a_address__2),
-	.Y(n_11015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215277 (
-	.A(\xbar_to_dccm[a_valid] ),
-	.B_N(FE_OFN1832_xbar_to_dccm_a_address__4),
-	.Y(n_11014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215278 (
-	.A(\xbar_to_dccm[a_valid] ),
-	.B_N(FE_OFN16787_xbar_to_dccm_a_address__5),
-	.Y(n_11013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215279 (
-	.A(\xbar_to_dccm[a_valid] ),
-	.B_N(FE_OFN848_xbar_to_dccm_a_address__6),
-	.Y(n_11012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215280 (
-	.A(\xbar_to_dccm[a_valid] ),
-	.B_N(FE_OFN847_xbar_to_dccm_a_address__7),
-	.Y(n_11011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215281 (
-	.A(\xbar_to_dccm[a_valid] ),
-	.B_N(FE_OFN846_xbar_to_dccm_a_address__8),
-	.Y(n_11010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215282 (
-	.A(\xbar_to_dccm[a_valid] ),
-	.B_N(FE_OFN851_xbar_to_dccm_a_address__3),
-	.Y(n_11009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215283 (
-	.A(n_10833),
-	.B_N(instr_addr[4]),
-	.Y(u_iccm_addr4[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215284 (
-	.A(n_10833),
-	.B_N(instr_addr[3]),
-	.Y(u_iccm_addr4[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215285 (
-	.A(n_10833),
-	.B_N(instr_addr[2]),
-	.Y(u_iccm_addr4[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215286 (
-	.A(n_10833),
-	.B_N(instr_addr[1]),
-	.Y(u_iccm_addr4[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215287 (
-	.A(n_10833),
-	.B_N(instr_addr[0]),
-	.Y(u_iccm_addr4[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215288 (
-	.A(FE_OFN1869_n_10795),
-	.B_N(instr_addr[5]),
-	.Y(u_iccm_addr3[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215289 (
-	.A(FE_OFN1869_n_10795),
-	.B_N(instr_addr[4]),
-	.Y(u_iccm_addr3[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g215290 (
-	.A(FE_OFN1869_n_10795),
-	.B_N(instr_addr[3]),
-	.Y(u_iccm_addr3[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g215291 (
-	.A(FE_OFN1869_n_10795),
-	.B_N(instr_addr[2]),
-	.Y(u_iccm_addr3[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215292 (
-	.A(FE_OFN1869_n_10795),
-	.B_N(instr_addr[1]),
-	.Y(u_iccm_addr3[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215293 (
-	.A(FE_OFN1869_n_10795),
-	.B_N(instr_addr[0]),
-	.Y(u_iccm_addr3[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215294 (
-	.A(FE_OFN1862_n_10832),
-	.B_N(instr_addr[5]),
-	.Y(u_iccm_addr2[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g215295 (
-	.A(FE_OFN1862_n_10832),
-	.B_N(instr_addr[4]),
-	.Y(u_iccm_addr2[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g215296 (
-	.A(FE_OFN1862_n_10832),
-	.B_N(instr_addr[3]),
-	.Y(u_iccm_addr2[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g215297 (
-	.A(FE_OFN1862_n_10832),
-	.B_N(instr_addr[2]),
-	.Y(u_iccm_addr2[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g215298 (
-	.A(FE_OFN1862_n_10832),
-	.B_N(instr_addr[1]),
-	.Y(u_iccm_addr2[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215299 (
-	.A(FE_OFN1862_n_10832),
-	.B_N(instr_addr[0]),
-	.Y(u_iccm_addr2[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215300 (
-	.A(FE_OFN1847_n_10794),
-	.B_N(instr_addr[5]),
-	.Y(u_iccm_addr1[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215301 (
-	.A(FE_OFN1847_n_10794),
-	.B_N(instr_addr[4]),
-	.Y(u_iccm_addr1[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215302 (
-	.A(FE_OFN1847_n_10794),
-	.B_N(instr_addr[3]),
-	.Y(u_iccm_addr1[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215303 (
-	.A(FE_OFN1847_n_10794),
-	.B_N(instr_addr[2]),
-	.Y(u_iccm_addr1[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215304 (
-	.A(FE_OFN1847_n_10794),
-	.B_N(instr_addr[1]),
-	.Y(u_iccm_addr1[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215305 (
-	.A(FE_OFN1847_n_10794),
-	.B_N(instr_addr[0]),
-	.Y(u_iccm_addr1[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215306 (
-	.A(\xbar_to_dccm[a_valid] ),
-	.B_N(FE_OFN845_xbar_to_dccm_a_address__9),
-	.Y(n_11008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215307 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN827_lsu_to_xbar_a_address__27),
-	.Y(u_tcam_data_addr[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g215308 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN828_lsu_to_xbar_a_address__26),
-	.Y(u_tcam_data_addr[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g215311 (
-	.A(n_172),
-	.Y(n_13751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215312 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN831_lsu_to_xbar_a_address__23),
-	.Y(u_tcam_data_addr[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215313 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN16792_n),
-	.Y(u_tcam_data_addr[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215314 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN16746_lsu_to_xbar_a_address__21),
-	.Y(u_tcam_data_addr[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215315 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN834_lsu_to_xbar_a_address__20),
-	.Y(u_tcam_data_addr[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215316 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN1790_lsu_to_xbar_a_address__19),
-	.Y(u_tcam_data_addr[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215317 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN15966_lsu_to_xbar_a_address__18),
-	.Y(u_tcam_data_addr[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215318 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN15955_lsu_to_xbar_a_address__17),
-	.Y(u_tcam_data_addr[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215319 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN838_lsu_to_xbar_a_address__16),
-	.Y(u_tcam_data_addr[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215320 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN839_lsu_to_xbar_a_address__15),
-	.Y(u_tcam_data_addr[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215321 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN840_lsu_to_xbar_a_address__14),
-	.Y(u_tcam_data_addr[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215322 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN841_xbar_to_dccm_a_address__13),
-	.Y(u_tcam_data_addr[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215323 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN842_xbar_to_dccm_a_address__12),
-	.Y(u_tcam_data_addr[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215324 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN1858_xbar_to_dccm_a_address__11),
-	.Y(u_tcam_data_addr[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215325 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN844_xbar_to_dccm_a_address__10),
-	.Y(u_tcam_data_addr[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215326 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN845_xbar_to_dccm_a_address__9),
-	.Y(u_tcam_data_addr[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215327 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN846_xbar_to_dccm_a_address__8),
-	.Y(u_tcam_data_addr[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215328 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN847_xbar_to_dccm_a_address__7),
-	.Y(u_tcam_data_addr[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215329 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN848_xbar_to_dccm_a_address__6),
-	.Y(u_tcam_data_addr[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215330 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN16787_xbar_to_dccm_a_address__5),
-	.Y(u_tcam_data_addr[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215331 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN851_xbar_to_dccm_a_address__3),
-	.Y(u_tcam_data_addr[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215332 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN1832_xbar_to_dccm_a_address__4),
-	.Y(u_tcam_data_addr[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215333 (
-	.A(\xbar_to_tcam[a_valid] ),
-	.B_N(FE_OFN852_xbar_to_dccm_a_address__2),
-	.Y(u_tcam_data_addr[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215334 (
-	.A(n_10833),
-	.B_N(instr_addr[5]),
-	.Y(u_iccm_addr4[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215335 (
-	.A(FE_OFN1369_n_10949),
-	.B(\xbar_to_dccm[a_valid] ),
-	.Y(n_11005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215336 (
-	.A(FE_OFN15871_n_10965),
-	.B(\xbar_to_dccm[a_valid] ),
-	.Y(n_11004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215337 (
-	.A(FE_OFN1369_n_10949),
-	.B(\xbar_to_tcam[a_valid] ),
-	.Y(\u_tcam_u_tcam_adapter_wmask_int[0] [14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215339 (
-	.A(FE_OFN15871_n_10965),
-	.B(\xbar_to_tcam[a_valid] ),
-	.Y(\u_tcam_u_tcam_adapter_wmask_int[0] [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215340 (
-	.A(n_11000),
-	.B(n_10857),
-	.Y(u_tcam_n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215341 (
-	.A(n_10947),
-	.B(\xbar_to_dccm[a_valid] ),
-	.Y(n_11002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215342 (
-	.A(FE_OFN16547_n_10948),
-	.B(\xbar_to_dccm[a_valid] ),
-	.Y(n_11001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215343 (
-	.A(FE_OFN16547_n_10948),
-	.B(\xbar_to_tcam[a_valid] ),
-	.Y(\u_tcam_u_tcam_adapter_wmask_int[0] [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215344 (
-	.A(n_10947),
-	.B(\xbar_to_tcam[a_valid] ),
-	.Y(\u_tcam_u_tcam_adapter_wmask_int[0] [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215345 (
-	.A(n_10997),
-	.B(n_10863),
-	.Y(dccm_adapter_data_csbD), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215346 (
-	.A(n_10929),
-	.B(n_10998),
-	.Y(n_172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 g215347 (
-	.A(n_11000),
-	.B(FE_OFN1062_u_top_data_we),
-	.Y(u_tcam_n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215348 (
-	.A(n_13249),
-	.B_N(n_10924),
-	.Y(n_13297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215349 (
-	.A(n_10997),
-	.B(FE_OFN1062_u_top_data_we),
-	.Y(dccm_adapter_data_weD), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215351 (
-	.A(u_iccm_n_17),
-	.B(iccm_adapter_instr_csbD),
-	.Y(u_iccm_csb1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215352 (
-	.A(FE_OFN15860_u_iccm_n_193),
-	.B(iccm_adapter_instr_csbD),
-	.Y(u_iccm_csb4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_8 g215359 (
-	.A(n_13211),
-	.B(n_13252),
-	.Y(n_11000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g215361 (
-	.A(n_10997),
-	.Y(\xbar_to_dccm[a_valid] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g215363 (
-	.A(u_iccm_n_190),
-	.B(iccm_adapter_instr_csbD),
-	.Y(u_iccm_csb3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215364 (
-	.A(u_iccm_n_187),
-	.B(iccm_adapter_instr_csbD),
-	.Y(u_iccm_csb2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o311a_1 g215366 (
-	.A1(n_10799),
-	.A2(n_13227),
-	.A3(n_10943),
-	.B1(n_10971),
-	.C1(n_10985),
-	.X(n_10994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g215367 (
-	.A_N(n_13254),
-	.B(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_13249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g215368 (
-	.A_N(\uart_to_xbar[d_valid] ),
-	.B(n_10983),
-	.C(n_10925),
-	.Y(n_10998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_2 g215369 (
-	.A_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B(n_10993),
-	.C(n_10924),
-	.X(n_13298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215370 (
-	.A(n_10924),
-	.B(n_13254),
-	.Y(n_13296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215371 (
-	.A(n_10923),
-	.B(n_13252),
-	.Y(n_10997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g215372 (
-	.A(n_10993),
-	.Y(n_13254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g215373 (
-	.A1(n_10974),
-	.A2(u_top_u_core_alu_operand_b_ex[4]),
-	.B1_N(n_13247),
-	.Y(n_10992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_4 g215375 (
-	.A_N(n_10929),
-	.B(n_10983),
-	.Y(n_13252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215376 (
-	.A(n_10859),
-	.B(n_13364),
-	.Y(n_10993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215383 (
-	.A(n_10963),
-	.B(n_10973),
-	.Y(n_10985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g215384 (
-	.A1(n_10757),
-	.A2(n_13750),
-	.B1(n_10839),
-	.Y(n_10984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g215385 (
-	.A1(n_10924),
-	.A2(n_10968),
-	.B1(n_10938),
-	.Y(n_13364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g215386 (
-	.A1(n_10925),
-	.A2(n_13195),
-	.B1(n_10975),
-	.Y(n_10982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g215387 (
-	.A(n_10900),
-	.B(n_10977),
-	.Y(\ifu_to_xbar[a_address] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g215388 (
-	.A(n_10865),
-	.B(n_10976),
-	.Y(\ifu_to_xbar[a_address] [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215390 (
-	.A(n_10981),
-	.Y(n_13201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215391 (
-	.A(FE_OFN13730_n_10980),
-	.Y(n_13198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215392 (
-	.A(FE_PSBN11070_n_10979),
-	.Y(n_13202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215393 (
-	.A(FE_OFN16780_n_10978),
-	.Y(n_13200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g215394 (
-	.A(FE_OCPN16262_n_13193),
-	.B(n_13331),
-	.Y(n_10977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g215397 (
-	.A(FE_OCPN16262_n_13193),
-	.B(n_13332),
-	.Y(n_10976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g215399 (
-	.A1(n_10879),
-	.A2(n_10877),
-	.B1_N(FE_OFN1044_n_13599),
-	.Y(n_10980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_2 g215400 (
-	.A1(n_10886),
-	.A2(n_10889),
-	.B1_N(FE_OFN1044_n_13599),
-	.Y(n_10979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_2 g215401 (
-	.A1(n_10896),
-	.A2(n_10908),
-	.B1_N(FE_OFN1045_n_13600),
-	.Y(n_10978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g215402 (
-	.A_N(n_13224),
-	.B(u_top_u_core_alu_operand_b_ex[4]),
-	.Y(n_13222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g215403 (
-	.A1(n_10922),
-	.A2(\dccm_to_xbar[a_ready] ),
-	.B1(n_10970),
-	.Y(n_10975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g215404 (
-	.A(FE_OFN15968_u_top_u_core_alu_operand_b_ex_7),
-	.B(u_top_u_core_alu_operand_b_ex[3]),
-	.C(n_13230),
-	.D_N(FE_OCPN16286_u_top_u_core_alu_operand_b_ex_2),
-	.Y(n_10974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g215405 (
-	.A1(n_10937),
-	.A2(n_10943),
-	.B1(n_10782),
-	.B2(n_13229),
-	.Y(n_10973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g215407 (
-	.A1(FE_OFN16219_n_10954),
-	.A2(n_13193),
-	.B1(n_10909),
-	.Y(\ifu_to_xbar[a_address] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g215408 (
-	.A1(FE_OFN16174_n_10955),
-	.A2(n_13193),
-	.B1(n_10919),
-	.Y(\ifu_to_xbar[a_address] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g215409 (
-	.A1(FE_OFN16159_n_10950),
-	.A2(n_13193),
-	.B1(n_10920),
-	.Y(\ifu_to_xbar[a_address] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g215410 (
-	.A1(FE_OFN16546_n_10952),
-	.A2(n_13193),
-	.B1(n_10914),
-	.Y(\ifu_to_xbar[a_address] [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g215411 (
-	.A1(FE_OFN16175_n_10951),
-	.A2(n_13193),
-	.B1(n_10912),
-	.Y(\ifu_to_xbar[a_address] [7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g215413 (
-	.A_N(n_13226),
-	.B(FE_OFN15968_u_top_u_core_alu_operand_b_ex_7),
-	.Y(n_10971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215414 (
-	.A(n_13211),
-	.B_N(\tcam_to_xbar[a_ready] ),
-	.Y(n_10970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215415 (
-	.A(FE_OCPN16814_n_10959),
-	.B(n_10903),
-	.Y(n_10969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g215416 (
-	.A_N(n_10859),
-	.B(n_13250),
-	.Y(n_10968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g215417 (
-	.A1(n_10837),
-	.A2(u_top_u_core_alu_operand_b_ex[0]),
-	.B1(n_13229),
-	.X(n_10967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 g215418 (
-	.A(n_10959),
-	.B(prog_rst_ni),
-	.Y(n_10966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215419 (
-	.A(n_10918),
-	.B(n_10946),
-	.Y(n_13332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g215420 (
-	.A(n_716),
-	.B(n_10940),
-	.C(u_top_u_core_id_stage_i_id_fsm_q),
-	.Y(n_13274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g215421 (
-	.A(n_10838),
-	.B(n_13226),
-	.X(n_13224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 g215422 (
-	.A(\iccm_adapter_inst_mem_wmask_int[0] [30]),
-	.B(prog_rst_ni),
-	.Y(n_13199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g215423 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_10943),
-	.C_N(n_10864),
-	.X(n_10964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g215424 (
-	.A(FE_OFN15970_u_top_u_core_alu_operand_b_ex_5),
-	.B(FE_OFN13725_n_15686),
-	.C(n_10935),
-	.Y(n_10963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215425 (
-	.A(n_13295),
-	.B(n_10956),
-	.Y(n_10962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_2 g215427 (
-	.A1_N(main_swith_host_lsu_dev_select_outstanding[1]),
-	.A2_N(n_13195),
-	.B1(main_swith_host_lsu_dev_select_outstanding[1]),
-	.B2(n_13195),
-	.Y(n_10960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g215428 (
-	.A(FE_OFN5762_iccm_adapter_inst_mem_wmask_int_0__30),
-	.B(n_10933),
-	.Y(n_13750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215429 (
-	.A(n_10917),
-	.B(n_10945),
-	.Y(n_13331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g215430 (
-	.A1(n_10763),
-	.A2(n_13214),
-	.B1(n_10958),
-	.Y(n_13599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g215431 (
-	.A1(n_10764),
-	.A2(n_13214),
-	.B1(n_10957),
-	.Y(n_13600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g215432 (
-	.A1(n_10800),
-	.A2(n_10901),
-	.B1(n_13216),
-	.B2(n_10792),
-	.C1(n_10936),
-	.Y(n_10965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215434 (
-	.A(n_10944),
-	.B(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
-	.Y(n_10958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215435 (
-	.A(n_10944),
-	.B(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
-	.Y(n_10957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215436 (
-	.A(n_13196),
-	.B(u_top_u_core_load_store_unit_i_split_misaligned_access),
-	.Y(n_10956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215438 (
-	.A(n_10863),
-	.B(n_13261),
-	.X(\dccm_to_xbar[a_ready] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215439 (
-	.A(n_10938),
-	.B(iccm_adapter_rvalid),
-	.Y(n_13250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215440 (
-	.A(n_10857),
-	.B(n_13218),
-	.X(\tcam_to_xbar[a_ready] ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g215441 (
-	.A_N(n_13223),
-	.B(n_10928),
-	.Y(n_13221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g215442 (
-	.A_N(n_10943),
-	.B(n_10799),
-	.Y(n_13226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g215443 (
-	.A(FE_OFN15986_u_top_u_core_alu_operand_b_ex_10),
-	.B(n_13230),
-	.X(n_13229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g215444 (
-	.A(n_13197),
-	.B(n_10766),
-	.Y(\iccm_adapter_inst_mem_wmask_int[0] [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215450 (
-	.A(FE_OFN16159_n_10950),
-	.Y(n_13333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g215451 (
-	.A1(u_top_u_core_csr_depc[9]),
-	.A2(n_13339),
-	.B1(n_13340),
-	.B2(u_top_u_core_csr_mepc[9]),
-	.C1(n_15685),
-	.C2(u_top_u_core_csr_mtvec[9]),
-	.Y(n_10946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g215452 (
-	.A1(u_top_u_core_csr_depc[8]),
-	.A2(n_13339),
-	.B1(n_13340),
-	.B2(u_top_u_core_csr_mepc[8]),
-	.C1(n_15685),
-	.C2(u_top_u_core_csr_mtvec[8]),
-	.Y(n_10945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g215453 (
-	.A1(u_top_u_core_csr_depc[3]),
-	.A2(n_13339),
-	.B1(n_13340),
-	.B2(u_top_u_core_csr_mepc[3]),
-	.C1(n_13538),
-	.C2(n_13341),
-	.Y(n_10955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g215454 (
-	.A1(u_top_u_core_csr_depc[4]),
-	.A2(n_13339),
-	.B1(n_13340),
-	.B2(u_top_u_core_csr_mepc[4]),
-	.C1(n_13539),
-	.C2(n_13341),
-	.Y(n_10954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g215455 (
-	.A1(u_top_u_core_csr_depc[5]),
-	.A2(n_13339),
-	.B1(n_13340),
-	.B2(u_top_u_core_csr_mepc[5]),
-	.C1(n_13540),
-	.C2(n_13341),
-	.Y(n_10953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g215456 (
-	.A1(u_top_u_core_csr_depc[6]),
-	.A2(n_13339),
-	.B1(n_13340),
-	.B2(u_top_u_core_csr_mepc[6]),
-	.C1(n_13541),
-	.C2(n_13341),
-	.Y(n_10952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g215457 (
-	.A(n_10927),
-	.B(n_10928),
-	.C(FE_OFN1365_n_10762),
-	.Y(n_13247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g215458 (
-	.A1(u_top_u_core_csr_depc[7]),
-	.A2(n_13339),
-	.B1(n_13340),
-	.B2(u_top_u_core_csr_mepc[7]),
-	.C1(n_13542),
-	.C2(n_13341),
-	.Y(n_10951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g215459 (
-	.A1(u_top_u_core_csr_depc[2]),
-	.A2(n_13339),
-	.B1(n_13340),
-	.B2(u_top_u_core_csr_mepc[2]),
-	.C1(n_13537),
-	.C2(n_13341),
-	.Y(n_10950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g215460 (
-	.A1(n_10800),
-	.A2(n_10930),
-	.B1(n_13216),
-	.B2(n_15755),
-	.Y(n_10949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g215461 (
-	.A1(n_10800),
-	.A2(n_10904),
-	.B1(n_13216),
-	.B2(n_10791),
-	.Y(n_10948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g215462 (
-	.A1(n_10831),
-	.A2(u_top_u_core_lsu_type[1]),
-	.B1(n_10941),
-	.Y(n_10947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215463 (
-	.A(n_10944),
-	.Y(n_13215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215464 (
-	.A(n_10931),
-	.B_N(n_13287),
-	.Y(n_10942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g215465 (
-	.A1(u_top_u_core_lsu_type[1]),
-	.A2(n_10847),
-	.B1(FE_OFN1062_u_top_data_we),
-	.Y(n_10941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g215466 (
-	.A1(u_top_u_core_id_stage_i_lsu_req_dec),
-	.A2(n_10856),
-	.B1(u_top_u_core_ready_wb),
-	.Y(n_10940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215467 (
-	.A(n_13295),
-	.B(n_10854),
-	.Y(n_10939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215468 (
-	.A(n_10927),
-	.B(n_10799),
-	.Y(n_13223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g215469 (
-	.A(dccm_adapter_data_mem_u_sramreqfifo_n_69),
-	.B(n_13245),
-	.X(n_13261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g215470 (
-	.A(u_tcam_u_tcam_adapter_u_sramreqfifo_n_69),
-	.B(n_13246),
-	.X(n_13218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g215471 (
-	.A1(n_10849),
-	.A2(n_10830),
-	.B1(u_top_u_core_lsu_type[1]),
-	.Y(u_top_u_core_load_store_unit_i_split_misaligned_access), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215472 (
-	.A(n_13248),
-	.B(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_13214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g215473 (
-	.A_N(FE_OFN15970_u_top_u_core_alu_operand_b_ex_5),
-	.B(n_10862),
-	.C(FE_OFN15861_u_top_u_core_alu_operand_b_ex_11),
-	.Y(n_13230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_2 g215474 (
-	.A_N(n_10925),
-	.B(n_10923),
-	.Y(n_13293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215475 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(n_13645),
-	.B1(n_10831),
-	.B2(FE_OCPN16824_n_13637),
-	.C1(n_10887),
-	.X(\xbar_to_dccm[a_data] [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215476 (
-	.A(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B_N(n_13248),
-	.Y(n_10944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215477 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN16072_n_13657),
-	.B1(n_10831),
-	.B2(n_13649),
-	.C1(n_10910),
-	.X(\xbar_to_dccm[a_data] [7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g215478 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN16745_n_13656),
-	.B1(n_10831),
-	.B2(FE_OFN1373_n_13648),
-	.C1(n_10911),
-	.X(\xbar_to_dccm[a_data] [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g215479 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN15842_n_13655),
-	.B1(n_10831),
-	.B2(FE_OFN1540_n_13647),
-	.C1(n_10916),
-	.X(\xbar_to_dccm[a_data] [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215480 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN1542_n_13654),
-	.B1(n_10831),
-	.B2(FE_OFN15855_n_13646),
-	.C1(n_10921),
-	.X(\xbar_to_dccm[a_data] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g215481 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN16800_n_13653),
-	.B1(n_10831),
-	.B2(n_13645),
-	.C1(n_10899),
-	.X(\xbar_to_dccm[a_data] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g215482 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(n_13652),
-	.B1(n_10831),
-	.B2(FE_OFN1538_n_13644),
-	.C1(n_10898),
-	.X(\xbar_to_dccm[a_data] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215483 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN15862_n_13651),
-	.B1(n_10831),
-	.B2(FE_OFN1372_n_13643),
-	.C1(n_10897),
-	.X(\xbar_to_dccm[a_data] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215484 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN15838_n_13650),
-	.B1(n_10831),
-	.B2(FE_OFN15845_n_13642),
-	.C1(n_10895),
-	.X(\xbar_to_dccm[a_data] [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215485 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(n_13649),
-	.B1(n_10831),
-	.B2(FE_OFN16754_n_13641),
-	.C1(n_10892),
-	.X(\xbar_to_dccm[a_data] [31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215486 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN1373_n_13648),
-	.B1(n_10831),
-	.B2(FE_OFN16764_n_13640),
-	.C1(n_10891),
-	.X(\xbar_to_dccm[a_data] [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215487 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN1540_n_13647),
-	.B1(n_10831),
-	.B2(FE_OFN16765_n),
-	.C1(n_10890),
-	.X(\xbar_to_dccm[a_data] [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215488 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN15855_n_13646),
-	.B1(n_10831),
-	.B2(FE_OFN15960_n_13638),
-	.C1(n_10888),
-	.X(\xbar_to_dccm[a_data] [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g215489 (
-	.A(n_10923),
-	.B(n_13211),
-	.Y(n_13195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g215490 (
-	.A_N(n_13231),
-	.B(FE_OFN15970_u_top_u_core_alu_operand_b_ex_5),
-	.Y(n_10943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g215491 (
-	.A1(n_10761),
-	.A2(u_top_u_core_alu_operand_b_ex[4]),
-	.B1(n_10905),
-	.Y(n_10937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4b_1 g215492 (
-	.A_N(u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
-	.B(n_10858),
-	.C(\u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
-	.D(\u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-	.X(n_13468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g215493 (
-	.A_N(n_10930),
-	.B(FE_OFN1061_n_16024),
-	.C(FE_OFN1062_u_top_data_we),
-	.Y(n_10936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g215494 (
-	.A(n_10928),
-	.B(n_10864),
-	.C(n_10799),
-	.Y(n_10935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g215495 (
-	.A(n_10848),
-	.B(n_10845),
-	.C(n_10801),
-	.Y(n_10934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215496 (
-	.A(FE_PDN3931_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo_under_rst),
-	.B(n_17517),
-	.Y(n_10933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g215498 (
-	.A1(n_10855),
-	.A2(iccm_adapter_inst_mem_u_reqfifo_n_68),
-	.B1(FE_PDN3931_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo_under_rst),
-	.Y(n_10938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g215499 (
-	.A_N(u_top_u_core_id_stage_i_id_fsm_q),
-	.B(n_716),
-	.C(n_13534),
-	.D(n_13290),
-	.Y(n_13206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215500 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN15833_n_13635),
-	.B1(n_10831),
-	.B2(FE_OFN1376_n_13659),
-	.C1(n_10874),
-	.X(\xbar_to_dccm[a_data] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215501 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(n_13665),
-	.B1(n_10831),
-	.B2(FE_OFN16072_n_13657),
-	.C1(n_10872),
-	.X(\xbar_to_dccm[a_data] [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215502 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(n_13664),
-	.B1(n_10831),
-	.B2(FE_OFN16745_n_13656),
-	.C1(n_10871),
-	.X(\xbar_to_dccm[a_data] [14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215503 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(n_13663),
-	.B1(n_10831),
-	.B2(FE_OFN15842_n_13655),
-	.C1(n_10870),
-	.X(\xbar_to_dccm[a_data] [13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215504 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(n_13662),
-	.B1(n_10831),
-	.B2(FE_OFN1542_n_13654),
-	.C1(n_10869),
-	.X(\xbar_to_dccm[a_data] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215505 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(n_13661),
-	.B1(n_10831),
-	.B2(FE_OFN16800_n_13653),
-	.C1(n_10868),
-	.X(\xbar_to_dccm[a_data] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215506 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN1377_n_13660),
-	.B1(n_10831),
-	.B2(n_13652),
-	.C1(n_10867),
-	.X(\xbar_to_dccm[a_data] [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215507 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN1376_n_13659),
-	.B1(n_10831),
-	.B2(FE_OFN15862_n_13651),
-	.C1(n_10866),
-	.X(\xbar_to_dccm[a_data] [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215508 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(n_13658),
-	.B1(n_10831),
-	.B2(FE_OFN15838_n_13650),
-	.C1(n_10906),
-	.X(\xbar_to_dccm[a_data] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215509 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OCPN16835_u_top_u_core_multdiv_operand_b_ex_0),
-	.B1(n_10831),
-	.B2(n_13658),
-	.C1(n_10873),
-	.X(\xbar_to_dccm[a_data] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215510 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN15965_n_13636),
-	.B1(n_10831),
-	.B2(FE_OFN1377_n_13660),
-	.C1(n_10875),
-	.X(\xbar_to_dccm[a_data] [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215511 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OCPN16824_n_13637),
-	.B1(n_10831),
-	.B2(n_13661),
-	.C1(n_10876),
-	.X(\xbar_to_dccm[a_data] [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215512 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN15960_n_13638),
-	.B1(n_10831),
-	.B2(n_13662),
-	.C1(n_10878),
-	.X(\xbar_to_dccm[a_data] [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215513 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN16765_n),
-	.B1(n_10831),
-	.B2(n_13663),
-	.C1(n_10880),
-	.X(\xbar_to_dccm[a_data] [21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215514 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN16764_n_13640),
-	.B1(n_10831),
-	.B2(n_13664),
-	.C1(n_10881),
-	.X(\xbar_to_dccm[a_data] [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215515 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN16754_n_13641),
-	.B1(n_10831),
-	.B2(n_13665),
-	.C1(n_10882),
-	.X(\xbar_to_dccm[a_data] [23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215516 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN15845_n_13642),
-	.B1(n_10831),
-	.B2(FE_OCPN16835_u_top_u_core_multdiv_operand_b_ex_0),
-	.C1(n_10883),
-	.X(\xbar_to_dccm[a_data] [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215517 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN1538_n_13644),
-	.B1(n_10831),
-	.B2(FE_OFN15965_n_13636),
-	.C1(n_10885),
-	.X(\xbar_to_dccm[a_data] [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g215518 (
-	.A1(FE_OFN1368_n_10792),
-	.A2(FE_OFN1372_n_13643),
-	.B1(n_10831),
-	.B2(FE_OFN15833_n_13635),
-	.C1(n_10884),
-	.X(\xbar_to_dccm[a_data] [25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215521 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN15960_n_13638),
-	.B1(n_15755),
-	.B2(n_13662),
-	.X(n_10921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g215523 (
-	.A1(n_10798),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [3]),
-	.B1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [3]),
-	.Y(n_10919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215524 (
-	.A(n_13544),
-	.B(n_13341),
-	.Y(n_10918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215525 (
-	.A(n_13543),
-	.B(n_13341),
-	.Y(n_10917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215526 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN15971_n_13639),
-	.B1(n_15755),
-	.B2(n_13663),
-	.X(n_10916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215532 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN16764_n_13640),
-	.B1(n_15755),
-	.B2(n_13664),
-	.X(n_10911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215533 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN16754_n_13641),
-	.B1(n_15755),
-	.B2(n_13665),
-	.X(n_10910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g215535 (
-	.A1(u_iccm_n_17),
-	.A2(FE_PDN3695_FE_OFN723_u_iccm_rdata1_17),
-	.B1(u_iccm_n_187),
-	.B2(FE_OFN755_u_iccm_rdata2_17),
-	.Y(n_10908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g215536 (
-	.A(main_swith_host_lsu_num_req_outstanding[8]),
-	.B(main_swith_host_lsu_num_req_outstanding[16]),
-	.C(n_13243),
-	.D(n_10846),
-	.X(n_10907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215537 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN15845_n_13642),
-	.B1(n_15755),
-	.B2(FE_OCPN16835_u_top_u_core_multdiv_operand_b_ex_0),
-	.X(n_10906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g215538 (
-	.A1(n_13228),
-	.A2(n_13239),
-	.B1(n_13227),
-	.Y(n_10905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32a_1 g215539 (
-	.A1(n_10767),
-	.A2(u_top_u_core_lsu_type[0]),
-	.A3(n_13255),
-	.B1(u_top_u_core_load_store_unit_i_handle_misaligned_q),
-	.B2(n_10791),
-	.X(n_10904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g215540 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [1]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [0]),
-	.C(n_13733),
-	.D(u_top_u_core_ctrl_busy),
-	.Y(n_10903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g215541 (
-	.A(FE_OFN16768_u_top_u_core_instr_rdata_id_27),
-	.B(FE_OCPN16268_FE_OFN16050_u_top_u_core_instr_rdata_id_21),
-	.C(FE_OFN1870_u_top_u_core_instr_rdata_id_20),
-	.D(FE_OFN817_u_top_u_core_instr_rdata_id_25),
-	.Y(n_10902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g215542 (
-	.A_N(FE_OFN1851_u_top_u_core_instr_rdata_id_26),
-	.B(n_10844),
-	.C(FE_OFN16798_u_top_u_core_instr_rdata_id_28),
-	.Y(n_10932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g215543 (
-	.A(u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
-	.B(u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
-	.C(FE_OFN17002_xbar_to_lsu_d_valid),
-	.D_N(u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
-	.Y(n_10931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g215544 (
-	.A1(n_10784),
-	.A2(u_top_u_core_load_store_unit_i_handle_misaligned_q),
-	.B1(n_15756),
-	.Y(n_10930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215545 (
-	.A(n_10850),
-	.B(n_10853),
-	.Y(n_13245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215546 (
-	.A(n_10851),
-	.B(n_10852),
-	.Y(n_13246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g215547 (
-	.A_N(FE_OFN15861_u_top_u_core_alu_operand_b_ex_11),
-	.B(n_10862),
-	.Y(n_13231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215548 (
-	.A(iccm_adapter_inst_mem_u_sramreqfifo_n_136),
-	.B(iccm_adapter_inst_mem_u_sramreqfifo_n_52),
-	.Y(n_13248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g215549 (
-	.A1(n_13733),
-	.A2(n_10760),
-	.B1(n_10854),
-	.Y(n_10929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g215550 (
-	.A(u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
-	.B(u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
-	.C(n_10780),
-	.Y(n_13295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g215551 (
-	.A(FE_OFN15861_u_top_u_core_alu_operand_b_ex_11),
-	.B(n_10777),
-	.C(n_13238),
-	.Y(n_10928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215553 (
-	.A(FE_OFN15970_u_top_u_core_alu_operand_b_ex_5),
-	.B(n_13227),
-	.Y(n_10927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g215555 (
-	.A_N(n_10858),
-	.B(\u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-	.Y(u_top_u_core_ready_wb), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215556 (
-	.A(iccm_adapter_inst_mem_u_rspfifo_n_390),
-	.B(iccm_adapter_inst_mem_u_rspfifo_n_108),
-	.Y(n_10924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_4 g215557 (
-	.A(FE_OCPN16573_FE_OFN825_lsu_to_xbar_a_address__29),
-	.B(FE_OFN824_lsu_to_xbar_a_address__30),
-	.C(FE_OFN5763_lsu_to_xbar_a_address__31),
-	.D_N(FE_OFN826_lsu_to_xbar_a_address__28),
-	.Y(n_10922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g215558 (
-	.A1_N(n_10767),
-	.A2_N(FE_OFN1061_n_16024),
-	.B1(u_top_u_core_lsu_type[0]),
-	.B2(n_10791),
-	.Y(n_10901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215560 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(n_13637),
-	.B1(n_15755),
-	.B2(n_13661),
-	.X(n_10899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215561 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN15965_n_13636),
-	.B1(n_15755),
-	.B2(FE_OFN1377_n_13660),
-	.X(n_10898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215562 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN15833_n_13635),
-	.B1(n_15755),
-	.B2(FE_OFN1376_n_13659),
-	.X(n_10897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_4 g215563 (
-	.A1(u_iccm_n_190),
-	.A2(FE_PSN3840_FE_PDN17122_n),
-	.B1(FE_OFN15860_u_iccm_n_193),
-	.B2(u_iccm_rdata4[17]),
-	.Y(n_10896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215564 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OCPN16835_u_top_u_core_multdiv_operand_b_ex_0),
-	.B1(n_15755),
-	.B2(n_13658),
-	.X(n_10895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g215565 (
-	.A1(u_iccm_n_190),
-	.A2(FE_OFN788_u_iccm_rdata3_16),
-	.B1(FE_OFN15860_u_iccm_n_193),
-	.B2(u_iccm_rdata4[16]),
-	.Y(n_10894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_2 g215566 (
-	.A1(u_iccm_n_17),
-	.A2(FE_PDN3691_FE_OFN724_u_iccm_rdata1_16),
-	.B1(u_iccm_n_187),
-	.B2(FE_PDN3928_FE_OFN756_u_iccm_rdata2_16),
-	.Y(n_10893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215567 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(n_13665),
-	.B1(n_15755),
-	.B2(FE_OFN16072_n_13657),
-	.X(n_10892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215568 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(n_13664),
-	.B1(n_15755),
-	.B2(FE_OFN16745_n_13656),
-	.X(n_10891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215569 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(n_13663),
-	.B1(n_15755),
-	.B2(FE_OFN15842_n_13655),
-	.X(n_10890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_4 g215570 (
-	.A1(FE_PSN3839_FE_PDN3830_FE_OFN803_u_iccm_rdata3_1),
-	.A2(u_iccm_n_190),
-	.B1(FE_OFN15860_u_iccm_n_193),
-	.B2(u_iccm_rdata4[1]),
-	.Y(n_10889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215571 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(n_13662),
-	.B1(n_15755),
-	.B2(FE_OFN1542_n_13654),
-	.X(n_10888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215572 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(n_13661),
-	.B1(n_15755),
-	.B2(FE_OFN16800_n_13653),
-	.X(n_10887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_4 g215573 (
-	.A1(u_iccm_n_17),
-	.A2(FE_PDN3757_FE_OFN739_u_iccm_rdata1_1),
-	.B1(FE_PDN17117_FE_OFN771_u_iccm_rdata2_1),
-	.B2(u_iccm_n_187),
-	.Y(n_10886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215574 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN1377_n_13660),
-	.B1(n_15755),
-	.B2(n_13652),
-	.X(n_10885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215575 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN1376_n_13659),
-	.B1(n_15755),
-	.B2(FE_OFN15862_n_13651),
-	.X(n_10884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215576 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(n_13658),
-	.B1(n_15755),
-	.B2(FE_OFN15838_n_13650),
-	.X(n_10883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215577 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN16072_n_13657),
-	.B1(n_15755),
-	.B2(n_13649),
-	.X(n_10882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215578 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN16745_n_13656),
-	.B1(n_15755),
-	.B2(FE_OFN1373_n_13648),
-	.X(n_10881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215579 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN15842_n_13655),
-	.B1(n_15755),
-	.B2(FE_OFN1540_n_13647),
-	.X(n_10880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g215580 (
-	.A1(u_iccm_n_190),
-	.A2(FE_OFN804_u_iccm_rdata3_0),
-	.B1(FE_OFN15860_u_iccm_n_193),
-	.B2(u_iccm_rdata4[0]),
-	.Y(n_10879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215581 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN1542_n_13654),
-	.B1(n_15755),
-	.B2(FE_OFN15855_n_13646),
-	.X(n_10878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g215582 (
-	.A1(u_iccm_n_17),
-	.A2(FE_PDN3770_FE_OFN740_u_iccm_rdata1_0),
-	.B1(u_iccm_n_187),
-	.B2(FE_PDN3697_FE_OFN772_u_iccm_rdata2_0),
-	.Y(n_10877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215583 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN16800_n_13653),
-	.B1(n_15755),
-	.B2(n_13645),
-	.X(n_10876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215584 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(n_13652),
-	.B1(n_15755),
-	.B2(FE_OFN1538_n_13644),
-	.X(n_10875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215585 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN15862_n_13651),
-	.B1(n_15755),
-	.B2(FE_OFN1372_n_13643),
-	.X(n_10874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215586 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN15838_n_13650),
-	.B1(n_15755),
-	.B2(FE_OFN15845_n_13642),
-	.X(n_10873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215587 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(n_13649),
-	.B1(n_15755),
-	.B2(FE_OFN16754_n_13641),
-	.X(n_10872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215588 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN1373_n_13648),
-	.B1(n_15755),
-	.B2(FE_OFN16764_n_13640),
-	.X(n_10871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215589 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN1540_n_13647),
-	.B1(n_15755),
-	.B2(FE_OFN16765_n),
-	.X(n_10870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215590 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN15855_n_13646),
-	.B1(n_15755),
-	.B2(FE_OFN15960_n_13638),
-	.X(n_10869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215591 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(n_13645),
-	.B1(n_15755),
-	.B2(n_13637),
-	.X(n_10868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215592 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN1538_n_13644),
-	.B1(n_15755),
-	.B2(FE_OFN15965_n_13636),
-	.X(n_10867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g215593 (
-	.A1(FE_OFN1367_n_10791),
-	.A2(FE_OFN1372_n_13643),
-	.B1(n_15755),
-	.B2(FE_OFN15833_n_13635),
-	.X(n_10866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_2 g215594 (
-	.A1(n_10798),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [9]),
-	.B1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [9]),
-	.Y(n_10865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 g215595 (
-	.A(iccm_adapter_instr_weD),
-	.Y(instr_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215629 (
-	.A(u_top_u_core_alu_operand_b_ex[4]),
-	.B(n_10838),
-	.Y(n_10864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g215631 (
-	.A(n_13290),
-	.Y(n_10856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215632 (
-	.A(n_10854),
-	.Y(n_13196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g215633 (
-	.A(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_10853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g215634 (
-	.A(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_10852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g215635 (
-	.A(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(n_10851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g215636 (
-	.A(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(n_10850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215637 (
-	.A(n_13255),
-	.B(n_10770),
-	.Y(n_10849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g215638 (
-	.A(main_swith_host_lsu_num_req_outstanding[9]),
-	.B(main_swith_host_lsu_num_req_outstanding[1]),
-	.C(main_swith_host_lsu_num_req_outstanding[5]),
-	.D(main_swith_host_lsu_num_req_outstanding[2]),
-	.Y(n_10848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215639 (
-	.A(n_10805),
-	.B(n_15756),
-	.Y(n_10847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g215640 (
-	.A(main_swith_host_lsu_num_req_outstanding[6]),
-	.B(main_swith_host_lsu_num_req_outstanding[15]),
-	.C(main_swith_host_lsu_num_req_outstanding[7]),
-	.D(main_swith_host_lsu_num_req_outstanding[14]),
-	.X(n_10846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g215641 (
-	.A(main_swith_host_lsu_num_req_outstanding[3]),
-	.B(main_swith_host_lsu_num_req_outstanding[4]),
-	.C(main_swith_host_lsu_num_req_outstanding[0]),
-	.Y(n_10845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g215642 (
-	.A(FE_OFN818_u_top_u_core_instr_rdata_id_23),
-	.B(u_top_u_core_instr_rdata_id[31]),
-	.C_N(u_top_u_core_instr_rdata_id[29]),
-	.Y(n_10844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g215643 (
-	.A1(u_uart_u_uart_core_n_196),
-	.A2(u_uart_u_uart_core_tx_done),
-	.B1(u_uart_u_uart_core_tx_fifo_init),
-	.X(u_uart_u_uart_core_tx_fifo_re), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g215644 (
-	.A1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [1]),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [0]),
-	.B1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [0]),
-	.Y(n_10843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g215645 (
-	.A1(u_top_u_core_priv_mode_id[0]),
-	.A2(u_top_u_core_priv_mode_id[1]),
-	.B1(n_13238),
-	.Y(n_10842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g215646 (
-	.A(u_top_u_core_id_stage_i_branch_in_dec),
-	.B(u_top_u_core_id_stage_i_jump_in_dec),
-	.C(n_13534),
-	.Y(n_10841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g215647 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(iccm_adapter_inst_mem_u_rspfifo_n_390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215648 (
-	.A(u_top_u_core_pc_mux_id[1]),
-	.B(n_10802),
-	.Y(n_13241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215649 (
-	.A(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
-	.B(n_10826),
-	.Y(n_10863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g215650 (
-	.A(FE_OFN15985_u_top_u_core_alu_operand_b_ex_6),
-	.B(n_13238),
-	.C_N(FE_OFN15854_u_top_u_core_alu_operand_b_ex_8),
-	.Y(n_10862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g215651 (
-	.A(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.B(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.Y(dccm_adapter_data_mem_u_sramreqfifo_n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g215652 (
-	.A(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(iccm_adapter_inst_mem_u_sramreqfifo_n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g215653 (
-	.A1_N(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2_N(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B1(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B2(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(iccm_adapter_inst_mem_u_sramreqfifo_n_136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g215654 (
-	.A1_N(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.A2_N(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B1(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.B2(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.Y(u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g215655 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.A2_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(iccm_adapter_inst_mem_u_rspfifo_n_108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215656 (
-	.A(n_13288),
-	.B(FE_OFN17002_xbar_to_lsu_d_valid),
-	.Y(n_10860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 g215657 (
-	.A0(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
-	.A1(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
-	.S(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_10859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g215658 (
-	.A1(\u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
-	.A2(n_10765),
-	.B1(n_13233),
-	.Y(n_10858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215659 (
-	.A(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
-	.B(n_10773),
-	.Y(n_10857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g215660 (
-	.A(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(iccm_adapter_inst_mem_u_reqfifo_n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g215661 (
-	.A1(n_13277),
-	.A2(n_10772),
-	.B1(n_13217),
-	.Y(n_13290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g215662 (
-	.A1_N(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2_N(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B1(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B2(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_10855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g215663 (
-	.A(u_top_u_core_alu_operand_b_ex[4]),
-	.B(n_13240),
-	.X(n_13227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g215664 (
-	.A(n_13733),
-	.B(n_13210),
-	.C_N(u_top_u_core_id_stage_i_lsu_req_dec),
-	.Y(n_10854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215665 (
-	.A(n_10803),
-	.B_N(u_top_u_core_pc_mux_id[1]),
-	.Y(n_13340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215666 (
-	.A(n_10803),
-	.B(u_top_u_core_pc_mux_id[1]),
-	.Y(n_13341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215667 (
-	.A(n_10789),
-	.B(u_top_u_core_pc_mux_id[1]),
-	.Y(n_13339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215668 (
-	.A(n_10839),
-	.Y(n_10840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215671 (
-	.A(n_13272),
-	.Y(n_10835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g215672 (
-	.A(n_10834),
-	.Y(n_13273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g215675 (
-	.A(n_10830),
-	.Y(n_10831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215677 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[16]),
-	.Y(n_10829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215678 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[13]),
-	.Y(n_10828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215679 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[20]),
-	.Y(n_10827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215680 (
-	.A(n_13234),
-	.B(n_13235),
-	.Y(n_10826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215681 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[6]),
-	.Y(n_10825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215682 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[9]),
-	.Y(n_10824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215683 (
-	.A(FE_OCPN16573_FE_OFN825_lsu_to_xbar_a_address__29),
-	.B(FE_OFN826_lsu_to_xbar_a_address__28),
-	.Y(n_10823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215684 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[5]),
-	.Y(n_10822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215685 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [1]),
-	.B_N(FE_OFN1064_u_top_u_core_instr_req_int),
-	.Y(n_10821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215686 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[31]),
-	.Y(n_10820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215687 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[0]),
-	.Y(n_10819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g215689 (
-	.A(u_uart_u_uart_core_n_196),
-	.B(u_uart_u_uart_core_tx_en),
-	.X(u_uart_u_uart_core_tx_en_sel), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215690 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[8]),
-	.Y(n_10818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215691 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[18]),
-	.Y(n_10817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215692 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[11]),
-	.Y(n_10816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215693 (
-	.A(n_13733),
-	.B(n_716),
-	.Y(n_10815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215694 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[21]),
-	.Y(n_10814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215695 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[14]),
-	.Y(n_10813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215696 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[26]),
-	.Y(n_10812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215697 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[17]),
-	.Y(n_10811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215698 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[15]),
-	.Y(n_10810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215699 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[7]),
-	.Y(n_10809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215700 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[28]),
-	.Y(n_10808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215701 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[24]),
-	.Y(n_10807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215702 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[4]),
-	.Y(n_10806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g215703 (
-	.A_N(FE_OFN15968_u_top_u_core_alu_operand_b_ex_7),
-	.B(n_10761),
-	.Y(n_13240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g215704 (
-	.A_N(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.B(FE_OFN1807_n_198),
-	.Y(n_13217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215705 (
-	.A(n_10757),
-	.B(iccm_ctrl_we),
-	.Y(n_10839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215706 (
-	.A(FE_OFN15986_u_top_u_core_alu_operand_b_ex_10),
-	.B(FE_OFN15968_u_top_u_core_alu_operand_b_ex_7),
-	.Y(n_10838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215707 (
-	.A(u_top_u_core_alu_operand_b_ex[3]),
-	.B(FE_OFN13725_n_15686),
-	.Y(n_10837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g215708 (
-	.A(u_top_u_core_id_stage_i_div_en_dec),
-	.B(u_top_u_core_id_stage_i_mult_en_dec),
-	.X(n_13534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g215709 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(FE_OFN13725_n_15686),
-	.X(n_13239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215710 (
-	.A(FE_OFN1062_u_top_data_we),
-	.B(u_top_u_core_lsu_type[1]),
-	.Y(n_13216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g215711 (
-	.A(u_top_u_core_pc_set),
-	.B(n_10766),
-	.Y(n_13193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_4 g215712 (
-	.A_N(data_addr[9]),
-	.B(data_addr[8]),
-	.Y(n_13272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215713 (
-	.A(data_addr[8]),
-	.B(data_addr[9]),
-	.Y(n_10834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215714 (
-	.A(FE_OCPN16572_instr_addr_8),
-	.B(FE_OCPN16570_instr_addr_9),
-	.Y(u_iccm_n_193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215715 (
-	.A(FE_OCPN16570_instr_addr_9),
-	.B_N(FE_OCPN16572_instr_addr_8),
-	.Y(n_10832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215716 (
-	.A(FE_OFN1060_n_16023),
-	.B(FE_OFN1061_n_16024),
-	.Y(n_10830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215719 (
-	.A(n_10801),
-	.Y(n_13244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215721 (
-	.A(n_13271),
-	.Y(n_10797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215722 (
-	.A(n_13601),
-	.Y(n_10796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215726 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[29]),
-	.Y(n_10790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g215727 (
-	.A_N(uart_rx),
-	.B(u_uart_u_uart_core_rx_en),
-	.Y(u_uart_u_uart_core_n_140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g215728 (
-	.A_N(u_top_u_core_pc_mux_id[0]),
-	.B(u_top_u_core_pc_mux_id[2]),
-	.Y(n_10789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215729 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[23]),
-	.Y(n_10788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215730 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[27]),
-	.Y(n_10787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215731 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[22]),
-	.Y(n_10786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215732 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[25]),
-	.Y(n_10785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215733 (
-	.A(FE_OFN1060_n_16023),
-	.B(n_10770),
-	.Y(n_10784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215734 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[3]),
-	.Y(n_10783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215735 (
-	.A(u_top_u_core_alu_operand_b_ex[4]),
-	.B(FE_OCPN16286_u_top_u_core_alu_operand_b_ex_2),
-	.Y(n_10782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215736 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[19]),
-	.Y(n_10781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215737 (
-	.A(FE_OFN17002_xbar_to_lsu_d_valid),
-	.B(u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
-	.Y(n_10780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215738 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[2]),
-	.Y(n_10779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215739 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[1]),
-	.Y(n_10778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215740 (
-	.A(FE_OFN15854_u_top_u_core_alu_operand_b_ex_8),
-	.B(FE_OFN15985_u_top_u_core_alu_operand_b_ex_6),
-	.Y(n_10777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215741 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[30]),
-	.Y(n_10776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215742 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[12]),
-	.Y(n_10775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215743 (
-	.A(prog_rst_ni),
-	.B_N(iccm_ctrl_data[10]),
-	.Y(n_10774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g215744 (
-	.A(u_top_u_core_core_clock_gate_i_en_latch),
-	.B(CTS_27),
-	.X(FE_USKN3845_u_top_u_core_clk), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215745 (
-	.A(n_13236),
-	.B(n_13237),
-	.Y(n_10773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215746 (
-	.A(n_10760),
-	.B(u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
-	.Y(n_13287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215747 (
-	.A(u_top_u_core_load_store_unit_i_handle_misaligned_q),
-	.B(u_top_u_core_lsu_type[0]),
-	.Y(n_10805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g215749 (
-	.A_N(u_top_u_core_pc_mux_id[2]),
-	.B(u_top_u_core_pc_mux_id[0]),
-	.Y(n_10803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g215750 (
-	.A(n_10760),
-	.B(n_13282),
-	.X(n_13288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g215751 (
-	.A(main_swith_host_lsu_num_req_outstanding[10]),
-	.B(main_swith_host_lsu_num_req_outstanding[11]),
-	.X(n_13243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215752 (
-	.A(u_top_u_core_pc_mux_id[0]),
-	.B(u_top_u_core_pc_mux_id[2]),
-	.Y(n_10802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215753 (
-	.A(main_swith_host_lsu_num_req_outstanding[12]),
-	.B(main_swith_host_lsu_num_req_outstanding[13]),
-	.Y(n_10801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g215754 (
-	.A_N(FE_OFN851_xbar_to_dccm_a_address__3),
-	.B(FE_OFN852_xbar_to_dccm_a_address__2),
-	.Y(u_uart_u_uart_core_n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215755 (
-	.A(FE_OFN13725_n_15686),
-	.B(u_top_u_core_alu_operand_b_ex[0]),
-	.Y(n_13228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215756 (
-	.A(FE_OFN1062_u_top_data_we),
-	.B(n_10758),
-	.Y(n_10800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g215757 (
-	.A(u_top_u_core_alu_operand_b_ex[9]),
-	.B(u_top_u_core_csr_access),
-	.Y(n_13238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215758 (
-	.A(u_top_u_core_alu_operand_b_ex[3]),
-	.B(FE_OCPN16286_u_top_u_core_alu_operand_b_ex_2),
-	.Y(n_10799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_8 g215759 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.B(u_top_u_core_pc_set),
-	.Y(n_10798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_4 g215760 (
-	.A_N(data_addr[8]),
-	.B(data_addr[9]),
-	.Y(n_13271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g215761 (
-	.A(data_addr[9]),
-	.B(data_addr[8]),
-	.Y(n_13601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215762 (
-	.A(FE_OCPN16572_instr_addr_8),
-	.B_N(FE_OCPN16570_instr_addr_9),
-	.Y(n_10795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215763 (
-	.A(FE_OCPN16570_instr_addr_9),
-	.B(FE_OCPN16572_instr_addr_8),
-	.Y(n_10794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g215765 (
-	.A(FE_OFN1061_n_16024),
-	.B_N(FE_OFN1060_n_16023),
-	.Y(n_10792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g215766 (
-	.A(FE_OFN1061_n_16024),
-	.B(FE_OFN1060_n_16023),
-	.Y(n_10791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g215768 (
-	.A(n_13210),
-	.Y(n_716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215769 (
-	.A(u_top_u_core_lsu_type[0]),
-	.Y(n_10770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215775 (
-	.A(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
-	.Y(n_10764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215776 (
-	.A(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
-	.Y(n_10763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g215778 (
-	.A(FE_OFN15986_u_top_u_core_alu_operand_b_ex_10),
-	.Y(n_10761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g215781 (
-	.A(u_top_u_core_lsu_type[1]),
-	.Y(n_10758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g215782 (
-	.A(prog_rst_ni),
-	.Y(n_10757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_addr_o_reg[8]  (
-	.CLK_N(CTS_82),
-	.D(n_15795),
-	.Q(data_addr[8]),
-	.RESET_B(FE_OFN23_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \dccm_adapter_addr_o_reg[9]  (
-	.CLK_N(CTS_82),
-	.D(n_15794),
-	.Q(data_addr[9]),
-	.RESET_B(FE_OFN23_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_81),
-	.D(n_3421),
-	.Q(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.RESET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
-	.CLK(CTS_81),
-	.D(n_8021),
-	.Q(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.RESET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_81),
-	.D(n_2004),
-	.Q(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.RESET_B(FE_OFN25_system_rst_ni),
-	.SCD(n_1271),
-	.SCE(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_81),
-	.D(n_3033),
-	.Q(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
-	.CLK(CTS_81),
-	.D(n_3433),
-	.Q(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.RESET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[0][11]  (
-	.CLK(CTS_81),
-	.D(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
-	.Q(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
-	.SCD(n_1071),
-	.SCE(n_15773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[1][11]  (
-	.CLK(CTS_81),
-	.D(n_1071),
-	.Q(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
-	.SCD(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
-	.SCE(n_2902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[2][11]  (
-	.CLK(CTS_81),
-	.D(n_1071),
-	.Q(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] [11]),
-	.SCD(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] [11]),
-	.SCE(n_15793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[3][11]  (
-	.CLK(CTS_81),
-	.D(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] [11]),
-	.Q(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] [11]),
-	.SCD(n_1071),
-	.SCE(n_2207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_81),
-	.D(n_4239),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
-	.CLK(CTS_81),
-	.D(n_8417),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
-	.CLK(CTS_81),
-	.D(n_5651),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][1]  (
-	.CLK(CTS_81),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
-	.SCD(n_9026),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][2]  (
-	.CLK(CTS_81),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
-	.SCD(n_9043),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][3]  (
-	.CLK(CTS_81),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
-	.SCD(n_9042),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][4]  (
-	.CLK(CTS_81),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
-	.SCD(n_9041),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][5]  (
-	.CLK(CTS_81),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
-	.SCD(n_9040),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][6]  (
-	.CLK(CTS_81),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
-	.SCD(n_9039),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][7]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
-	.SCD(n_9038),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][8]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
-	.SCD(n_9037),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][9]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
-	.SCD(n_9036),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][10]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
-	.SCD(n_9035),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][11]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
-	.SCD(n_9034),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][12]  (
-	.CLK(CTS_87),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
-	.SCD(n_9033),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][13]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
-	.SCD(n_9032),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][14]  (
-	.CLK(CTS_87),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
-	.SCD(n_9031),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][15]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
-	.SCD(n_9030),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][16]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
-	.SCD(n_9029),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][17]  (
-	.CLK(CTS_87),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
-	.SCD(n_9028),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][18]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
-	.SCD(n_9027),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][19]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
-	.SCD(n_9044),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][20]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
-	.SCD(n_9025),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][21]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
-	.SCD(n_9023),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][22]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
-	.SCD(n_9024),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][23]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
-	.SCD(n_9022),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][24]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
-	.SCD(n_9020),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][25]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
-	.SCD(n_9021),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][26]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
-	.SCD(n_9019),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][27]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
-	.SCD(n_9018),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][28]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
-	.SCD(n_9017),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][29]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
-	.SCD(n_9016),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][30]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
-	.SCD(n_9015),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][31]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
-	.SCD(n_9014),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][32]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
-	.SCD(n_9013),
-	.SCE(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][1]  (
-	.CLK(CTS_81),
-	.D(n_9026),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
-	.SCE(n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][2]  (
-	.CLK(CTS_81),
-	.D(n_9043),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
-	.SCE(n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][3]  (
-	.CLK(CTS_81),
-	.D(n_9042),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
-	.SCE(n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][4]  (
-	.CLK(CTS_81),
-	.D(n_9041),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
-	.SCE(n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][5]  (
-	.CLK(CTS_81),
-	.D(n_9040),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
-	.SCE(n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][6]  (
-	.CLK(CTS_81),
-	.D(n_9039),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
-	.SCE(n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][7]  (
-	.CLK(CTS_82),
-	.D(n_9038),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][8]  (
-	.CLK(CTS_82),
-	.D(n_9037),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][9]  (
-	.CLK(CTS_82),
-	.D(n_9036),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][10]  (
-	.CLK(CTS_82),
-	.D(n_9035),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][11]  (
-	.CLK(CTS_82),
-	.D(n_9034),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][12]  (
-	.CLK(CTS_87),
-	.D(n_9033),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][13]  (
-	.CLK(CTS_90),
-	.D(n_9032),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][14]  (
-	.CLK(CTS_88),
-	.D(n_9031),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][15]  (
-	.CLK(CTS_88),
-	.D(n_9030),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][16]  (
-	.CLK(CTS_88),
-	.D(n_9029),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][17]  (
-	.CLK(CTS_87),
-	.D(n_9028),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][18]  (
-	.CLK(CTS_90),
-	.D(n_9027),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][19]  (
-	.CLK(CTS_88),
-	.D(n_9044),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][20]  (
-	.CLK(CTS_88),
-	.D(n_9025),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][21]  (
-	.CLK(CTS_90),
-	.D(n_9023),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][22]  (
-	.CLK(CTS_90),
-	.D(n_9024),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][23]  (
-	.CLK(CTS_90),
-	.D(n_9022),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][24]  (
-	.CLK(CTS_90),
-	.D(n_9020),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][25]  (
-	.CLK(CTS_82),
-	.D(n_9021),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][26]  (
-	.CLK(CTS_82),
-	.D(n_9019),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
-	.SCE(n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][27]  (
-	.CLK(CTS_82),
-	.D(n_9018),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][28]  (
-	.CLK(CTS_82),
-	.D(n_9017),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][29]  (
-	.CLK(CTS_82),
-	.D(n_9016),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
-	.SCE(n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][30]  (
-	.CLK(CTS_82),
-	.D(n_9015),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
-	.SCE(n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][31]  (
-	.CLK(CTS_82),
-	.D(n_9014),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][32]  (
-	.CLK(CTS_82),
-	.D(n_9013),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
-	.SCD(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
-	.SCE(FE_OFN987_n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][1]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [1]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [1]),
-	.SCD(n_9026),
-	.SCE(n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][2]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]),
-	.SCD(n_9043),
-	.SCE(n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][3]  (
-	.CLK(CTS_81),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]),
-	.SCD(n_9042),
-	.SCE(n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][4]  (
-	.CLK(CTS_81),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]),
-	.SCD(n_9041),
-	.SCE(n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][5]  (
-	.CLK(CTS_81),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]),
-	.SCD(n_9040),
-	.SCE(n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][6]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]),
-	.SCD(n_9039),
-	.SCE(n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][7]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]),
-	.SCD(n_9038),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][8]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]),
-	.SCD(n_9037),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][9]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]),
-	.SCD(n_9036),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][10]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
-	.SCD(n_9035),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][11]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]),
-	.SCD(n_9034),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][12]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]),
-	.SCD(n_9033),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][13]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [13]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [13]),
-	.SCD(n_9032),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][14]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]),
-	.SCD(n_9031),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][15]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
-	.SCD(n_9030),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][16]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]),
-	.SCD(n_9029),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][17]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
-	.SCD(n_9028),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][18]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]),
-	.SCD(n_9027),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][19]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]),
-	.SCD(n_9044),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][20]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
-	.SCD(n_9025),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][21]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
-	.SCD(n_9023),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][22]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
-	.SCD(n_9024),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][23]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
-	.SCD(n_9022),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][24]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]),
-	.SCD(n_9020),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][25]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
-	.SCD(n_9021),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][26]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
-	.SCD(n_9019),
-	.SCE(n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][27]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
-	.SCD(n_9018),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][28]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]),
-	.SCD(n_9017),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][29]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
-	.SCD(n_9016),
-	.SCE(n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][30]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
-	.SCD(n_9015),
-	.SCE(n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][31]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
-	.SCD(n_9014),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][32]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]),
-	.SCD(n_9013),
-	.SCE(FE_OFN1470_n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][1]  (
-	.CLK(CTS_81),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [1]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [1]),
-	.SCD(n_9026),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][2]  (
-	.CLK(CTS_81),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]),
-	.SCD(n_9043),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][3]  (
-	.CLK(CTS_81),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]),
-	.SCD(n_9042),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][4]  (
-	.CLK(CTS_81),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]),
-	.SCD(n_9041),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][5]  (
-	.CLK(CTS_81),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]),
-	.SCD(n_9040),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][6]  (
-	.CLK(CTS_81),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]),
-	.SCD(n_9039),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][7]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [7]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [7]),
-	.SCD(n_9038),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][8]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [8]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [8]),
-	.SCD(n_9037),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][9]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [9]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [9]),
-	.SCD(n_9036),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][10]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
-	.SCD(n_9035),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][11]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [11]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [11]),
-	.SCD(n_9034),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][12]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [12]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [12]),
-	.SCD(n_9033),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][13]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
-	.SCD(n_9032),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][14]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [14]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [14]),
-	.SCD(n_9031),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][15]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
-	.SCD(n_9030),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][16]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [16]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [16]),
-	.SCD(n_9029),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][17]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
-	.SCD(n_9028),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][18]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]),
-	.SCD(n_9027),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][19]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]),
-	.SCD(n_9044),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][20]  (
-	.CLK(CTS_88),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
-	.SCD(n_9025),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][21]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
-	.SCD(n_9023),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][22]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
-	.SCD(n_9024),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][23]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
-	.SCD(n_9022),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][24]  (
-	.CLK(CTS_90),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]),
-	.SCD(n_9020),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][25]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
-	.SCD(n_9021),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][26]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
-	.SCD(n_9019),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][27]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
-	.SCD(n_9018),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][28]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]),
-	.SCD(n_9017),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][29]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
-	.SCD(n_9016),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][30]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
-	.SCD(n_9015),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][31]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
-	.SCD(n_9014),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][32]  (
-	.CLK(CTS_82),
-	.D(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]),
-	.SCD(n_9013),
-	.SCE(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
-	.CLK(CTS_87),
-	.D(n_4225),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.RESET_B(FE_OFN21_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_87),
-	.D(n_2312),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.RESET_B(FE_OFN21_system_rst_ni),
-	.SCD(FE_OFN1309_n_1727),
-	.SCE(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_87),
-	.D(n_3435),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.RESET_B(FE_OFN21_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
-	.CLK(CTS_87),
-	.D(n_4065),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.RESET_B(FE_OFN21_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]  (
-	.CLK(CTS_87),
-	.D(n_5708),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][2]  (
-	.CLK(CTS_87),
-	.D(n_5686),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][3]  (
-	.CLK(CTS_87),
-	.D(n_5683),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][4]  (
-	.CLK(CTS_87),
-	.D(n_5685),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]  (
-	.CLK(CTS_87),
-	.D(n_5677),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][2]  (
-	.CLK(CTS_87),
-	.D(n_5674),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][3]  (
-	.CLK(CTS_87),
-	.D(n_5680),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][4]  (
-	.CLK(CTS_87),
-	.D(n_5681),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][1]  (
-	.CLK(CTS_87),
-	.D(n_5672),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][2]  (
-	.CLK(CTS_87),
-	.D(n_5676),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][3]  (
-	.CLK(CTS_87),
-	.D(n_5673),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][4]  (
-	.CLK(CTS_87),
-	.D(n_5675),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][1]  (
-	.CLK(CTS_87),
-	.D(n_4103),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][2]  (
-	.CLK(CTS_87),
-	.D(n_4102),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][3]  (
-	.CLK(CTS_87),
-	.D(n_4094),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][4]  (
-	.CLK(CTS_87),
-	.D(n_4095),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 dccm_adapter_rvalid_o_reg (
-	.CLK(CTS_81),
-	.D(n_1045),
-	.Q(dccm_adapter_rvalid_o),
-	.RESET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_94),
-	.D(n_3490),
-	.Q(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_94),
-	.D(n_8542),
-	.Q(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage_reg[0][11]  (
-	.CLK(CTS_94),
-	.D(n_7704),
-	.Q(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage_reg[1][11]  (
-	.CLK(CTS_92),
-	.D(n_8794),
-	.Q(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_94),
-	.D(n_1362),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_94),
-	.D(n_1341),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_94),
-	.D(n_3029),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_94),
-	.D(n_5235),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][1]  (
-	.CLK(CTS_95),
-	.D(n_5185),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][2]  (
-	.CLK(CTS_94),
-	.D(n_5354),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][3]  (
-	.CLK(CTS_93),
-	.D(n_5741),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][4]  (
-	.CLK(CTS_93),
-	.D(n_5733),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][5]  (
-	.CLK(CTS_95),
-	.D(n_5069),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][6]  (
-	.CLK(CTS_95),
-	.D(n_5742),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][7]  (
-	.CLK(CTS_93),
-	.D(n_5737),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][8]  (
-	.CLK(CTS_95),
-	.D(n_5743),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][9]  (
-	.CLK(CTS_95),
-	.D(n_8092),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][10]  (
-	.CLK(CTS_93),
-	.D(n_6818),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][11]  (
-	.CLK(CTS_95),
-	.D(n_6790),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][12]  (
-	.CLK(CTS_95),
-	.D(n_6744),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][13]  (
-	.CLK(CTS_95),
-	.D(n_7435),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][14]  (
-	.CLK(CTS_95),
-	.D(n_6733),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][15]  (
-	.CLK(CTS_93),
-	.D(n_6725),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][16]  (
-	.CLK(CTS_95),
-	.D(n_6704),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][17]  (
-	.CLK(CTS_94),
-	.D(n_5750),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][18]  (
-	.CLK(CTS_94),
-	.D(n_5637),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][19]  (
-	.CLK(CTS_93),
-	.D(n_5751),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][20]  (
-	.CLK(CTS_93),
-	.D(n_5608),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][21]  (
-	.CLK(CTS_93),
-	.D(n_5133),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][22]  (
-	.CLK(CTS_93),
-	.D(n_5755),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][23]  (
-	.CLK(CTS_93),
-	.D(n_5364),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][24]  (
-	.CLK(CTS_93),
-	.D(n_5105),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][25]  (
-	.CLK(CTS_93),
-	.D(n_7753),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][26]  (
-	.CLK(CTS_95),
-	.D(n_7755),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][27]  (
-	.CLK(CTS_95),
-	.D(n_7759),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][28]  (
-	.CLK(CTS_93),
-	.D(n_7761),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][29]  (
-	.CLK(CTS_95),
-	.D(n_7765),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][30]  (
-	.CLK(CTS_93),
-	.D(n_7764),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][31]  (
-	.CLK(CTS_95),
-	.D(n_7766),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][32]  (
-	.CLK(CTS_95),
-	.D(n_7767),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][1]  (
-	.CLK(CTS_95),
-	.D(n_5717),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][2]  (
-	.CLK(CTS_93),
-	.D(n_5633),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][3]  (
-	.CLK(CTS_94),
-	.D(n_5270),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][4]  (
-	.CLK(CTS_94),
-	.D(n_5276),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][5]  (
-	.CLK(CTS_93),
-	.D(n_5268),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][6]  (
-	.CLK(CTS_94),
-	.D(n_5626),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][7]  (
-	.CLK(CTS_93),
-	.D(n_5210),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][8]  (
-	.CLK(CTS_93),
-	.D(n_5156),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][9]  (
-	.CLK(CTS_95),
-	.D(n_7780),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][10]  (
-	.CLK(CTS_93),
-	.D(n_7786),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][11]  (
-	.CLK(CTS_95),
-	.D(n_8107),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][12]  (
-	.CLK(CTS_93),
-	.D(n_7789),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][13]  (
-	.CLK(CTS_95),
-	.D(n_7790),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][14]  (
-	.CLK(CTS_95),
-	.D(n_7792),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][15]  (
-	.CLK(CTS_93),
-	.D(n_7793),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][16]  (
-	.CLK(CTS_95),
-	.D(n_6902),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][17]  (
-	.CLK(CTS_94),
-	.D(n_5752),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][18]  (
-	.CLK(CTS_94),
-	.D(n_5245),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][19]  (
-	.CLK(CTS_93),
-	.D(n_5242),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][20]  (
-	.CLK(CTS_93),
-	.D(n_5240),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][21]  (
-	.CLK(CTS_93),
-	.D(n_5064),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][22]  (
-	.CLK(CTS_93),
-	.D(n_5614),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][23]  (
-	.CLK(CTS_93),
-	.D(n_5607),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][24]  (
-	.CLK(CTS_93),
-	.D(n_5075),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][25]  (
-	.CLK(CTS_93),
-	.D(n_7823),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][26]  (
-	.CLK(CTS_95),
-	.D(n_8076),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][27]  (
-	.CLK(CTS_95),
-	.D(n_8070),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][28]  (
-	.CLK(CTS_93),
-	.D(n_8008),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][29]  (
-	.CLK(CTS_95),
-	.D(n_8053),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][30]  (
-	.CLK(CTS_93),
-	.D(n_8036),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][31]  (
-	.CLK(CTS_95),
-	.D(n_7856),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][32]  (
-	.CLK(CTS_95),
-	.D(n_7876),
-	.Q(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_94),
-	.D(n_2085),
-	.Q(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_94),
-	.D(n_4244),
-	.Q(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_94),
-	.D(n_4062),
-	.Q(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_94),
-	.D(n_8400),
-	.Q(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]  (
-	.CLK(CTS_93),
-	.D(n_7656),
-	.Q(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][2]  (
-	.CLK(CTS_93),
-	.D(n_7657),
-	.Q(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][3]  (
-	.CLK(CTS_93),
-	.D(n_7655),
-	.Q(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][4]  (
-	.CLK(CTS_94),
-	.D(n_7654),
-	.Q(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]  (
-	.CLK(CTS_94),
-	.D(n_8796),
-	.Q(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][2]  (
-	.CLK(CTS_94),
-	.D(n_8798),
-	.Q(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][3]  (
-	.CLK(CTS_94),
-	.D(n_8799),
-	.Q(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][4]  (
-	.CLK(CTS_94),
-	.D(n_8797),
-	.Q(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_addrD_reg[8]  (
-	.CLK_N(CTS_94),
-	.D(n_8293),
-	.Q(instr_addr[8]),
-	.RESET_B(n_17960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtn_1 \iccm_adapter_instr_addrD_reg[9]  (
-	.CLK_N(CTS_87),
-	.D(n_8294),
-	.Q(instr_addr[9]),
-	.RESET_B(n_17961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 iccm_adapter_rvalid_reg (
-	.CLK(CTS_94),
-	.D(n_1211),
-	.Q(iccm_adapter_rvalid),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \main_swith_host_lsu_err_resp_err_opcode_reg[0]  (
-	.CLK(CTS_81),
-	.D(main_swith_host_lsu_err_resp_err_opcode[0]),
-	.Q(main_swith_host_lsu_err_resp_err_opcode[0]),
-	.RESET_B(FE_OFN26_system_rst_ni),
-	.SCD(n_2209),
-	.SCE(n_1728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \main_swith_host_lsu_err_resp_err_opcode_reg[2]  (
-	.CLK(CTS_81),
-	.D(main_swith_host_lsu_err_resp_err_opcode[2]),
-	.Q(main_swith_host_lsu_err_resp_err_opcode[2]),
-	.SCD(n_649),
-	.SCE(n_1728),
-	.SET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 main_swith_host_lsu_err_resp_err_req_pending_reg (
-	.CLK(CTS_81),
-	.D(n_1728),
-	.Q(\main_swith_host_lsu_tl_u_i[3][d_valid] ),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \main_swith_host_lsu_num_req_outstanding_reg[0]  (
-	.CLK(CTS_69),
-	.D(n_1714),
-	.Q(main_swith_host_lsu_num_req_outstanding[0]),
-	.RESET_B(FE_OFN86_system_rst_ni),
-	.SCD(n_1715),
-	.SCE(main_swith_host_lsu_num_req_outstanding[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \main_swith_host_lsu_num_req_outstanding_reg[1]  (
-	.CLK(CTS_83),
-	.D(n_4241),
-	.Q(main_swith_host_lsu_num_req_outstanding[1]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \main_swith_host_lsu_num_req_outstanding_reg[2]  (
-	.CLK(CTS_83),
-	.D(n_7722),
-	.Q(main_swith_host_lsu_num_req_outstanding[2]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \main_swith_host_lsu_num_req_outstanding_reg[3]  (
-	.CLK(CTS_69),
-	.D(n_8398),
-	.Q(main_swith_host_lsu_num_req_outstanding[3]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \main_swith_host_lsu_num_req_outstanding_reg[4]  (
-	.CLK(CTS_69),
-	.D(n_8746),
-	.Q(main_swith_host_lsu_num_req_outstanding[4]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \main_swith_host_lsu_num_req_outstanding_reg[5]  (
-	.CLK(CTS_69),
-	.D(n_8876),
-	.Q(main_swith_host_lsu_num_req_outstanding[5]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \main_swith_host_lsu_num_req_outstanding_reg[6]  (
-	.CLK(CTS_69),
-	.D(n_9096),
-	.Q(main_swith_host_lsu_num_req_outstanding[6]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \main_swith_host_lsu_num_req_outstanding_reg[7]  (
-	.CLK(CTS_69),
-	.D(n_9196),
-	.Q(main_swith_host_lsu_num_req_outstanding[7]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \main_swith_host_lsu_num_req_outstanding_reg[8]  (
-	.CLK(CTS_69),
-	.D(n_9430),
-	.Q(main_swith_host_lsu_num_req_outstanding[8]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \main_swith_host_lsu_num_req_outstanding_reg[9]  (
-	.CLK(CTS_69),
-	.D(n_9453),
-	.Q(main_swith_host_lsu_num_req_outstanding[9]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \main_swith_host_lsu_num_req_outstanding_reg[10]  (
-	.CLK(CTS_83),
-	.D(n_9502),
-	.Q(main_swith_host_lsu_num_req_outstanding[10]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \main_swith_host_lsu_num_req_outstanding_reg[11]  (
-	.CLK(CTS_83),
-	.D(n_9697),
-	.Q(main_swith_host_lsu_num_req_outstanding[11]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \main_swith_host_lsu_num_req_outstanding_reg[12]  (
-	.CLK(CTS_69),
-	.D(n_9691),
-	.Q(main_swith_host_lsu_num_req_outstanding[12]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \main_swith_host_lsu_num_req_outstanding_reg[13]  (
-	.CLK(CTS_76),
-	.D(n_9921),
-	.Q(main_swith_host_lsu_num_req_outstanding[13]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \main_swith_host_lsu_num_req_outstanding_reg[14]  (
-	.CLK(CTS_76),
-	.D(n_9813),
-	.Q(main_swith_host_lsu_num_req_outstanding[14]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \main_swith_host_lsu_num_req_outstanding_reg[15]  (
-	.CLK(CTS_76),
-	.D(n_10019),
-	.Q(main_swith_host_lsu_num_req_outstanding[15]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \main_swith_host_lsu_num_req_outstanding_reg[16]  (
-	.CLK(CTS_69),
-	.D(n_10009),
-	.Q(main_swith_host_lsu_num_req_outstanding[16]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 reset_manager_rst_q_reg (
-	.CLK(CTS_92),
-	.D(prog_rst_ni),
-	.Q(reset_manager_rst_q),
-	.RESET_B(FE_PDN17118_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 u_tcam_rvalid_o_reg (
-	.CLK(CTS_69),
-	.D(n_1203),
-	.Q(u_tcam_rvalid_o),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_69),
-	.D(n_3422),
-	.Q(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
-	.CLK(CTS_69),
-	.D(n_7914),
-	.Q(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_69),
-	.D(n_2007),
-	.Q(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.RESET_B(FE_OFN86_system_rst_ni),
-	.SCD(n_1274),
-	.SCE(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_69),
-	.D(n_3030),
-	.Q(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
-	.CLK(CTS_69),
-	.D(n_3434),
-	.Q(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[0][11]  (
-	.CLK(CTS_69),
-	.D(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0] [11]),
-	.Q(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0] [11]),
-	.SCD(n_1071),
-	.SCE(n_15774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[1][11]  (
-	.CLK(CTS_69),
-	.D(n_1071),
-	.Q(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1] [11]),
-	.SCD(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1] [11]),
-	.SCE(n_2903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[2][11]  (
-	.CLK(CTS_69),
-	.D(n_1071),
-	.Q(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2] [11]),
-	.SCD(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2] [11]),
-	.SCE(n_15799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[3][11]  (
-	.CLK(CTS_69),
-	.D(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3] [11]),
-	.Q(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3] [11]),
-	.SCD(n_1071),
-	.SCE(n_2206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_69),
-	.D(n_2097),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
-	.CLK(CTS_69),
-	.D(n_8408),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_83),
-	.D(n_4258),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
-	.CLK(CTS_83),
-	.D(n_5646),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][1]  (
-	.CLK(CTS_81),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [1]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [1]),
-	.SCD(n_8251),
-	.SCE(n_4945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][2]  (
-	.CLK(CTS_81),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [2]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [2]),
-	.SCD(n_8246),
-	.SCE(n_4945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][3]  (
-	.CLK(CTS_81),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [3]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [3]),
-	.SCD(n_8250),
-	.SCE(n_4945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][4]  (
-	.CLK(CTS_81),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [4]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [4]),
-	.SCD(n_8249),
-	.SCE(n_4945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][5]  (
-	.CLK(CTS_81),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [5]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [5]),
-	.SCD(n_8248),
-	.SCE(n_4945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][6]  (
-	.CLK(CTS_81),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [6]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [6]),
-	.SCD(n_8247),
-	.SCE(n_4945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][1]  (
-	.CLK(CTS_81),
-	.D(n_8251),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [1]),
-	.SCD(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [1]),
-	.SCE(n_4946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][2]  (
-	.CLK(CTS_81),
-	.D(n_8246),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [2]),
-	.SCD(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [2]),
-	.SCE(n_4946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][3]  (
-	.CLK(CTS_81),
-	.D(n_8250),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [3]),
-	.SCD(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [3]),
-	.SCE(n_4946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][4]  (
-	.CLK(CTS_81),
-	.D(n_8249),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [4]),
-	.SCD(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [4]),
-	.SCE(n_4946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][5]  (
-	.CLK(CTS_81),
-	.D(n_8248),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [5]),
-	.SCD(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [5]),
-	.SCE(n_4946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][6]  (
-	.CLK(CTS_81),
-	.D(n_8247),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [6]),
-	.SCD(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [6]),
-	.SCE(n_4946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][1]  (
-	.CLK(CTS_81),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [1]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [1]),
-	.SCD(n_8251),
-	.SCE(n_4273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][2]  (
-	.CLK(CTS_83),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [2]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [2]),
-	.SCD(n_8246),
-	.SCE(n_4273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][3]  (
-	.CLK(CTS_81),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [3]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [3]),
-	.SCD(n_8250),
-	.SCE(n_4273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][4]  (
-	.CLK(CTS_81),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [4]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [4]),
-	.SCD(n_8249),
-	.SCE(n_4273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][5]  (
-	.CLK(CTS_81),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [5]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [5]),
-	.SCD(n_8248),
-	.SCE(n_4273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][6]  (
-	.CLK(CTS_81),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [6]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [6]),
-	.SCD(n_8247),
-	.SCE(n_4273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][1]  (
-	.CLK(CTS_81),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [1]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [1]),
-	.SCD(n_8251),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][2]  (
-	.CLK(CTS_83),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [2]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [2]),
-	.SCD(n_8246),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][3]  (
-	.CLK(CTS_81),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [3]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [3]),
-	.SCD(n_8250),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][4]  (
-	.CLK(CTS_83),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [4]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [4]),
-	.SCD(n_8249),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][5]  (
-	.CLK(CTS_81),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [5]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [5]),
-	.SCD(n_8248),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][6]  (
-	.CLK(CTS_81),
-	.D(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [6]),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [6]),
-	.SCD(n_8247),
-	.SCE(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
-	.CLK(CTS_83),
-	.D(n_4052),
-	.Q(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_83),
-	.D(n_1723),
-	.Q(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.RESET_B(FE_OFN183_system_rst_ni),
-	.SCD(n_1724),
-	.SCE(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
-	.CLK(CTS_83),
-	.D(n_3505),
-	.Q(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]  (
-	.CLK(CTS_83),
-	.D(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
-	.Q(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
-	.SCD(FE_OFN183_system_rst_ni),
-	.SCE(n_15771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]  (
-	.CLK(CTS_83),
-	.D(FE_OFN183_system_rst_ni),
-	.Q(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
-	.SCD(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
-	.SCE(n_3415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[2][1]  (
-	.CLK(CTS_83),
-	.D(FE_OFN183_system_rst_ni),
-	.Q(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
-	.SCD(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
-	.SCE(n_3719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[3][1]  (
-	.CLK(CTS_83),
-	.D(n_4097),
-	.Q(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dlxtn_1 u_top_u_core_core_clock_gate_i_en_latch_reg (
-	.D(FE_OFN16720_n_1346),
-	.GATE_N(CTS_100),
-	.Q(u_top_u_core_core_clock_gate_i_en_latch), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_control_csr_rdata_q_reg[0]  (
-	.CLK(CTS_7),
-	.D(u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
-	.Q(u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(n_10024),
-	.SCE(n_5869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[0]  (
-	.CLK(CTS_12),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(n_9944),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[1]  (
-	.CLK(CTS_12),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(n_9878),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[2]  (
-	.CLK(CTS_7),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(n_10024),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[3]  (
-	.CLK(CTS_12),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(n_10261),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[4]  (
-	.CLK(CTS_2),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(n_9779),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[5]  (
-	.CLK(CTS_2),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(n_9542),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[6]  (
-	.CLK(CTS_2),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(n_9880),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[7]  (
-	.CLK(CTS_12),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(n_9942),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[8]  (
-	.CLK(CTS_2),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(n_10354),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[9]  (
-	.CLK(CTS_2),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(n_10193),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[10]  (
-	.CLK(CTS_2),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(n_10191),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[11]  (
-	.CLK(CTS_12),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(n_10253),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[12]  (
-	.CLK(CTS_7),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(n_10259),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[13]  (
-	.CLK(CTS_7),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
-	.RESET_B(FE_OFN7_system_rst_ni),
-	.SCD(n_10336),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[14]  (
-	.CLK(CTS_7),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
-	.RESET_B(FE_OFN7_system_rst_ni),
-	.SCD(n_10189),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[15]  (
-	.CLK(CTS_11),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
-	.RESET_B(FE_OFN7_system_rst_ni),
-	.SCD(n_10352),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[16]  (
-	.CLK(CTS_15),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(n_10346),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[17]  (
-	.CLK(CTS_15),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(n_10257),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[18]  (
-	.CLK(CTS_14),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(n_10344),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[19]  (
-	.CLK(CTS_14),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(n_10342),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[20]  (
-	.CLK(CTS_13),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(n_10350),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[21]  (
-	.CLK(CTS_15),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(n_10255),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[22]  (
-	.CLK(CTS_13),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(n_10348),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[23]  (
-	.CLK(CTS_13),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(n_10334),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[24]  (
-	.CLK(CTS_14),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(n_10332),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[25]  (
-	.CLK(CTS_14),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(n_10326),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[26]  (
-	.CLK(CTS_14),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(n_10328),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[27]  (
-	.CLK(CTS_15),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(n_10338),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[28]  (
-	.CLK(CTS_15),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(n_10330),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[29]  (
-	.CLK(CTS_15),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(n_10340),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[30]  (
-	.CLK(CTS_15),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(n_10187),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[31]  (
-	.CLK(CTS_11),
-	.D(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
-	.Q(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
-	.RESET_B(FE_OFN7_system_rst_ni),
-	.SCD(n_10356),
-	.SCE(FE_OFN1583_n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_mcountinhibit_q_reg[0]  (
-	.CLK(CTS_7),
-	.D(n_9944),
-	.Q(u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
-	.SCE(n_2178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_mcountinhibit_q_reg[2]  (
-	.CLK(CTS_7),
-	.D(n_10024),
-	.Q(u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
-	.SCE(n_2178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[0]  (
-	.CLK(CTS_12),
-	.D(n_10167),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[1]  (
-	.CLK(CTS_12),
-	.D(n_10084),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[2]  (
-	.CLK(CTS_12),
-	.D(n_10209),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[3]  (
-	.CLK(CTS_12),
-	.D(n_10603),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[4]  (
-	.CLK(CTS_2),
-	.D(n_10014),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[5]  (
-	.CLK(CTS_2),
-	.D(n_9914),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[6]  (
-	.CLK(CTS_2),
-	.D(n_10075),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[7]  (
-	.CLK(CTS_2),
-	.D(n_10169),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[8]  (
-	.CLK(CTS_2),
-	.D(n_10675),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[9]  (
-	.CLK(CTS_2),
-	.D(n_10428),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[10]  (
-	.CLK(CTS_2),
-	.D(n_10427),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[11]  (
-	.CLK(CTS_12),
-	.D(n_10613),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[12]  (
-	.CLK(CTS_12),
-	.D(n_10609),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[13]  (
-	.CLK(CTS_11),
-	.D(n_10665),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[14]  (
-	.CLK(CTS_12),
-	.D(n_10426),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[15]  (
-	.CLK(CTS_11),
-	.D(n_10666),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[16]  (
-	.CLK(CTS_11),
-	.D(n_10643),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[17]  (
-	.CLK(CTS_11),
-	.D(n_10608),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[18]  (
-	.CLK(CTS_11),
-	.D(n_10644),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[19]  (
-	.CLK(CTS_13),
-	.D(n_10645),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[20]  (
-	.CLK(CTS_13),
-	.D(n_10667),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[21]  (
-	.CLK(CTS_13),
-	.D(n_10607),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[22]  (
-	.CLK(CTS_13),
-	.D(n_10647),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[23]  (
-	.CLK(CTS_13),
-	.D(n_10646),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[24]  (
-	.CLK(CTS_13),
-	.D(n_10648),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[25]  (
-	.CLK(CTS_13),
-	.D(n_10649),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[26]  (
-	.CLK(CTS_11),
-	.D(n_10650),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[27]  (
-	.CLK(CTS_11),
-	.D(n_10668),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[28]  (
-	.CLK(CTS_11),
-	.D(n_10651),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[29]  (
-	.CLK(CTS_11),
-	.D(n_10669),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[30]  (
-	.CLK(CTS_11),
-	.D(n_10430),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[31]  (
-	.CLK(CTS_11),
-	.D(n_10676),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[32]  (
-	.CLK(CTS_12),
-	.D(n_10063),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[33]  (
-	.CLK(CTS_12),
-	.D(n_10003),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[34]  (
-	.CLK(CTS_12),
-	.D(n_10146),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[35]  (
-	.CLK(CTS_12),
-	.D(n_10416),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[36]  (
-	.CLK(CTS_12),
-	.D(n_9933),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[37]  (
-	.CLK(CTS_12),
-	.D(n_9822),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[38]  (
-	.CLK(CTS_12),
-	.D(n_10002),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[39]  (
-	.CLK(CTS_2),
-	.D(n_10062),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[40]  (
-	.CLK(CTS_2),
-	.D(n_10586),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[41]  (
-	.CLK(CTS_12),
-	.D(n_10269),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[42]  (
-	.CLK(CTS_12),
-	.D(n_10270),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[43]  (
-	.CLK(CTS_12),
-	.D(n_10415),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[44]  (
-	.CLK(CTS_12),
-	.D(n_10414),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[45]  (
-	.CLK(CTS_12),
-	.D(n_10585),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[46]  (
-	.CLK(CTS_11),
-	.D(n_10271),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[47]  (
-	.CLK(CTS_11),
-	.D(n_10584),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
-	.RESET_B(FE_OFN7_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[48]  (
-	.CLK(CTS_11),
-	.D(n_10583),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[49]  (
-	.CLK(CTS_11),
-	.D(n_10413),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[50]  (
-	.CLK(CTS_11),
-	.D(n_10582),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[51]  (
-	.CLK(CTS_11),
-	.D(n_10581),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[52]  (
-	.CLK(CTS_11),
-	.D(n_10580),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[53]  (
-	.CLK(CTS_11),
-	.D(n_10412),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[54]  (
-	.CLK(CTS_11),
-	.D(n_10577),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[55]  (
-	.CLK(CTS_13),
-	.D(n_10575),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[56]  (
-	.CLK(CTS_13),
-	.D(n_10579),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[57]  (
-	.CLK(CTS_11),
-	.D(n_10578),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[58]  (
-	.CLK(CTS_11),
-	.D(n_10576),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[59]  (
-	.CLK(CTS_11),
-	.D(n_10573),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[60]  (
-	.CLK(CTS_11),
-	.D(n_10574),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
-	.RESET_B(FE_OFN7_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[61]  (
-	.CLK(CTS_11),
-	.D(n_10572),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
-	.RESET_B(FE_OFN7_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[62]  (
-	.CLK(CTS_11),
-	.D(n_10272),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
-	.RESET_B(FE_OFN7_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[63]  (
-	.CLK(CTS_12),
-	.D(n_10629),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
-	.RESET_B(FE_OFN7_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[0]  (
-	.CLK(CTS_12),
-	.D(n_10166),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[1]  (
-	.CLK(CTS_12),
-	.D(n_10083),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[2]  (
-	.CLK(CTS_12),
-	.D(n_10208),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[3]  (
-	.CLK(CTS_12),
-	.D(n_10602),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[4]  (
-	.CLK(CTS_12),
-	.D(n_10013),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[5]  (
-	.CLK(CTS_12),
-	.D(n_9913),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[6]  (
-	.CLK(CTS_12),
-	.D(n_10074),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[7]  (
-	.CLK(CTS_2),
-	.D(n_10168),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[8]  (
-	.CLK(CTS_2),
-	.D(n_10677),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[9]  (
-	.CLK(CTS_12),
-	.D(n_10425),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[10]  (
-	.CLK(CTS_12),
-	.D(n_10424),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[11]  (
-	.CLK(CTS_12),
-	.D(n_10612),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[12]  (
-	.CLK(CTS_12),
-	.D(n_10606),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[13]  (
-	.CLK(CTS_11),
-	.D(n_10670),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[14]  (
-	.CLK(CTS_11),
-	.D(n_10423),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[15]  (
-	.CLK(CTS_11),
-	.D(n_10671),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[16]  (
-	.CLK(CTS_11),
-	.D(n_10652),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[17]  (
-	.CLK(CTS_11),
-	.D(n_10605),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[18]  (
-	.CLK(CTS_11),
-	.D(n_10653),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[19]  (
-	.CLK(CTS_13),
-	.D(n_10654),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[20]  (
-	.CLK(CTS_13),
-	.D(n_10672),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[21]  (
-	.CLK(CTS_11),
-	.D(n_10604),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[22]  (
-	.CLK(CTS_13),
-	.D(n_10655),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[23]  (
-	.CLK(CTS_13),
-	.D(n_10656),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
-	.RESET_B(FE_OFN100_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[24]  (
-	.CLK(CTS_13),
-	.D(n_10657),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
-	.RESET_B(FE_OFN14_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[25]  (
-	.CLK(CTS_13),
-	.D(n_10658),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[26]  (
-	.CLK(CTS_11),
-	.D(n_10659),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[27]  (
-	.CLK(CTS_11),
-	.D(n_10673),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[28]  (
-	.CLK(CTS_11),
-	.D(n_10660),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[29]  (
-	.CLK(CTS_11),
-	.D(n_10674),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[30]  (
-	.CLK(CTS_11),
-	.D(n_10469),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[31]  (
-	.CLK(CTS_11),
-	.D(n_10678),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[32]  (
-	.CLK(CTS_12),
-	.D(n_10061),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[33]  (
-	.CLK(CTS_12),
-	.D(n_10001),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[34]  (
-	.CLK(CTS_12),
-	.D(n_10145),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[35]  (
-	.CLK(CTS_12),
-	.D(n_10411),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[36]  (
-	.CLK(CTS_12),
-	.D(n_9934),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[37]  (
-	.CLK(CTS_12),
-	.D(n_9821),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[38]  (
-	.CLK(CTS_12),
-	.D(n_10000),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[39]  (
-	.CLK(CTS_12),
-	.D(n_10060),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[40]  (
-	.CLK(CTS_12),
-	.D(n_10570),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
-	.RESET_B(FE_OFN82_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[41]  (
-	.CLK(CTS_12),
-	.D(n_10273),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[42]  (
-	.CLK(CTS_12),
-	.D(n_10274),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[43]  (
-	.CLK(CTS_12),
-	.D(n_10410),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[44]  (
-	.CLK(CTS_12),
-	.D(n_10409),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[45]  (
-	.CLK(CTS_12),
-	.D(n_10569),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
-	.RESET_B(FE_OFN29_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[46]  (
-	.CLK(CTS_12),
-	.D(n_10275),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[47]  (
-	.CLK(CTS_11),
-	.D(n_10568),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
-	.RESET_B(FE_OFN7_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[48]  (
-	.CLK(CTS_11),
-	.D(n_10567),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[49]  (
-	.CLK(CTS_11),
-	.D(n_10408),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[50]  (
-	.CLK(CTS_11),
-	.D(n_10566),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[51]  (
-	.CLK(CTS_11),
-	.D(n_10565),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[52]  (
-	.CLK(CTS_11),
-	.D(n_10564),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[53]  (
-	.CLK(CTS_11),
-	.D(n_10407),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[54]  (
-	.CLK(CTS_11),
-	.D(n_10562),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[55]  (
-	.CLK(CTS_11),
-	.D(n_10563),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[56]  (
-	.CLK(CTS_11),
-	.D(n_10561),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[57]  (
-	.CLK(CTS_11),
-	.D(n_10560),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[58]  (
-	.CLK(CTS_11),
-	.D(n_10559),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[59]  (
-	.CLK(CTS_11),
-	.D(n_10557),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
-	.RESET_B(FE_OFN35_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[60]  (
-	.CLK(CTS_11),
-	.D(n_10558),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[61]  (
-	.CLK(CTS_11),
-	.D(n_10556),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
-	.RESET_B(FE_OFN10_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[62]  (
-	.CLK(CTS_11),
-	.D(n_10276),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[63]  (
-	.CLK(CTS_11),
-	.D(n_10555),
-	.Q(\u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
-	.RESET_B(FE_OFN6_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \u_top_u_core_cs_registers_i_priv_lvl_q_reg[0]  (
-	.CLK(CTS_7),
-	.D(n_3731),
-	.Q(u_top_u_core_priv_mode_id[0]),
-	.SET_B(FE_OFN83_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_2 \u_top_u_core_cs_registers_i_priv_lvl_q_reg[1]  (
-	.CLK(CTS_7),
-	.D(n_3732),
-	.Q(u_top_u_core_priv_mode_id[1]),
-	.SET_B(FE_OFN83_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[0]  (
-	.CLK(CTS_7),
-	.D(\u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
-	.Q(\u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
-	.SCD(n_10174),
-	.SCE(n_8205),
-	.SET_B(FE_OFN81_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[1]  (
-	.CLK(CTS_7),
-	.D(\u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
-	.Q(\u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
-	.SCD(n_10173),
-	.SCE(n_8205),
-	.SET_B(FE_OFN81_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[2]  (
-	.CLK(CTS_7),
-	.D(u_top_u_core_debug_single_step),
-	.Q(u_top_u_core_debug_single_step),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(n_10154),
-	.SCE(n_8205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[6]  (
-	.CLK(CTS_7),
-	.D(n_2080),
-	.Q(\u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(\u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
-	.SCE(n_8204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[7]  (
-	.CLK(CTS_7),
-	.D(n_2081),
-	.Q(\u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(\u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
-	.SCE(n_8204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[8]  (
-	.CLK(CTS_7),
-	.D(n_2082),
-	.Q(\u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(\u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
-	.SCE(n_8204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[11]  (
-	.CLK(CTS_7),
-	.D(\u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
-	.Q(\u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(n_10392),
-	.SCE(n_8205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[12]  (
-	.CLK(CTS_7),
-	.D(u_top_u_core_debug_ebreaku),
-	.Q(u_top_u_core_debug_ebreaku),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(n_10391),
-	.SCE(n_8205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[13]  (
-	.CLK(CTS_7),
-	.D(\u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
-	.Q(\u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(n_10515),
-	.SCE(n_8205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[15]  (
-	.CLK(CTS_7),
-	.D(u_top_u_core_debug_ebreakm),
-	.Q(u_top_u_core_debug_ebreakm),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(n_10514),
-	.SCE(n_8205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[1]  (
-	.CLK(CTS_7),
-	.D(n_10153),
-	.Q(u_top_u_core_csr_depc[1]),
-	.RESET_B(FE_OFN83_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[2]  (
-	.CLK(CTS_7),
-	.D(n_10144),
-	.Q(u_top_u_core_csr_depc[2]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[2]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[3]  (
-	.CLK(CTS_7),
-	.D(n_10406),
-	.Q(u_top_u_core_csr_depc[3]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[3]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[4]  (
-	.CLK(CTS_9),
-	.D(n_9935),
-	.Q(u_top_u_core_csr_depc[4]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[4]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[5]  (
-	.CLK(CTS_9),
-	.D(n_9820),
-	.Q(u_top_u_core_csr_depc[5]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[5]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[6]  (
-	.CLK(CTS_9),
-	.D(n_10022),
-	.Q(u_top_u_core_csr_depc[6]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[6]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[7]  (
-	.CLK(CTS_9),
-	.D(n_10059),
-	.Q(u_top_u_core_csr_depc[7]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[7]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[8]  (
-	.CLK(CTS_9),
-	.D(n_10554),
-	.Q(u_top_u_core_csr_depc[8]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[8]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[9]  (
-	.CLK(CTS_9),
-	.D(n_10277),
-	.Q(u_top_u_core_csr_depc[9]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[9]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[10]  (
-	.CLK(CTS_9),
-	.D(n_10278),
-	.Q(u_top_u_core_csr_depc[10]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[10]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[11]  (
-	.CLK(CTS_7),
-	.D(n_10683),
-	.Q(u_top_u_core_csr_depc[11]),
-	.RESET_B(FE_OFN83_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[12]  (
-	.CLK(CTS_7),
-	.D(n_10405),
-	.Q(u_top_u_core_csr_depc[12]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[12]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[13]  (
-	.CLK(CTS_7),
-	.D(n_10553),
-	.Q(u_top_u_core_csr_depc[13]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[13]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[14]  (
-	.CLK(CTS_15),
-	.D(n_10283),
-	.Q(u_top_u_core_csr_depc[14]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[14]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[15]  (
-	.CLK(CTS_15),
-	.D(n_10552),
-	.Q(u_top_u_core_csr_depc[15]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[15]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[16]  (
-	.CLK(CTS_15),
-	.D(n_10687),
-	.Q(u_top_u_core_csr_depc[16]),
-	.RESET_B(FE_OFN30_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[17]  (
-	.CLK(CTS_14),
-	.D(n_10404),
-	.Q(u_top_u_core_csr_depc[17]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[17]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[18]  (
-	.CLK(CTS_14),
-	.D(n_10686),
-	.Q(u_top_u_core_csr_depc[18]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[19]  (
-	.CLK(CTS_14),
-	.D(n_10712),
-	.Q(u_top_u_core_csr_depc[19]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[20]  (
-	.CLK(CTS_14),
-	.D(n_10551),
-	.Q(u_top_u_core_csr_depc[20]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[20]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[21]  (
-	.CLK(CTS_14),
-	.D(n_10403),
-	.Q(u_top_u_core_csr_depc[21]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[21]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[22]  (
-	.CLK(CTS_14),
-	.D(n_10706),
-	.Q(u_top_u_core_csr_depc[22]),
-	.RESET_B(FE_OFN38_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[23]  (
-	.CLK(CTS_14),
-	.D(n_10707),
-	.Q(u_top_u_core_csr_depc[23]),
-	.RESET_B(FE_OFN38_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[24]  (
-	.CLK(CTS_14),
-	.D(n_10708),
-	.Q(u_top_u_core_csr_depc[24]),
-	.RESET_B(FE_OFN38_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[25]  (
-	.CLK(CTS_14),
-	.D(n_10709),
-	.Q(u_top_u_core_csr_depc[25]),
-	.RESET_B(FE_OFN38_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[26]  (
-	.CLK(CTS_14),
-	.D(n_10710),
-	.Q(u_top_u_core_csr_depc[26]),
-	.RESET_B(FE_OFN11_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[27]  (
-	.CLK(CTS_10),
-	.D(n_10550),
-	.Q(u_top_u_core_csr_depc[27]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[27]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[28]  (
-	.CLK(CTS_15),
-	.D(n_10711),
-	.Q(u_top_u_core_csr_depc[28]),
-	.RESET_B(FE_OFN30_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[29]  (
-	.CLK(CTS_15),
-	.D(n_10549),
-	.Q(u_top_u_core_csr_depc[29]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[29]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[30]  (
-	.CLK(CTS_15),
-	.D(n_10516),
-	.Q(u_top_u_core_csr_depc[30]),
-	.RESET_B(FE_OFN81_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[31]  (
-	.CLK(CTS_15),
-	.D(n_10548),
-	.Q(u_top_u_core_csr_depc[31]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_depc[31]),
-	.SCE(FE_OFN1648_n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[0]  (
-	.CLK(CTS_12),
-	.D(n_9944),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[0]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[0]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[1]  (
-	.CLK(CTS_12),
-	.D(n_9878),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[1]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[1]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[2]  (
-	.CLK(CTS_12),
-	.D(n_10024),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[2]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[2]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[3]  (
-	.CLK(CTS_12),
-	.D(n_10261),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[3]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[3]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[4]  (
-	.CLK(CTS_2),
-	.D(n_9779),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[4]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[4]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[5]  (
-	.CLK(CTS_2),
-	.D(n_9542),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[5]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[5]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[6]  (
-	.CLK(CTS_2),
-	.D(n_9880),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[6]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[6]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[7]  (
-	.CLK(CTS_12),
-	.D(n_9942),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[7]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[7]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[8]  (
-	.CLK(CTS_12),
-	.D(n_10354),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[8]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[8]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[9]  (
-	.CLK(CTS_2),
-	.D(n_10193),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[9]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[9]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[10]  (
-	.CLK(CTS_2),
-	.D(n_10191),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[10]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[10]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[11]  (
-	.CLK(CTS_12),
-	.D(n_10253),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[11]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[11]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[12]  (
-	.CLK(CTS_7),
-	.D(n_10259),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[12]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[12]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[13]  (
-	.CLK(CTS_12),
-	.D(n_10336),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[13]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[13]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[14]  (
-	.CLK(CTS_7),
-	.D(n_10189),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[14]),
-	.RESET_B(FE_OFN7_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[14]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[15]  (
-	.CLK(CTS_15),
-	.D(n_10352),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[15]),
-	.RESET_B(FE_OFN7_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[15]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[16]  (
-	.CLK(CTS_11),
-	.D(n_10346),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[16]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[16]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[17]  (
-	.CLK(CTS_15),
-	.D(n_10257),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[17]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[17]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[18]  (
-	.CLK(CTS_13),
-	.D(n_10344),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[18]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[18]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[19]  (
-	.CLK(CTS_13),
-	.D(n_10342),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[19]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[19]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[20]  (
-	.CLK(CTS_13),
-	.D(n_10350),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[20]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[20]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[21]  (
-	.CLK(CTS_15),
-	.D(n_10255),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[21]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[21]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[22]  (
-	.CLK(CTS_13),
-	.D(n_10348),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[22]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[22]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[23]  (
-	.CLK(CTS_13),
-	.D(n_10334),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[23]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[23]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[24]  (
-	.CLK(CTS_13),
-	.D(n_10332),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[24]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[24]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[25]  (
-	.CLK(CTS_13),
-	.D(n_10326),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[25]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[25]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[26]  (
-	.CLK(CTS_13),
-	.D(n_10328),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[26]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[26]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[27]  (
-	.CLK(CTS_15),
-	.D(n_10338),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[27]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[27]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[28]  (
-	.CLK(CTS_11),
-	.D(n_10330),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[28]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[28]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[29]  (
-	.CLK(CTS_11),
-	.D(n_10340),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[29]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[29]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[30]  (
-	.CLK(CTS_15),
-	.D(n_10187),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[30]),
-	.RESET_B(FE_OFN7_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[30]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[31]  (
-	.CLK(CTS_7),
-	.D(n_10356),
-	.Q(u_top_u_core_cs_registers_i_dscratch0_q[31]),
-	.RESET_B(FE_OFN7_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch0_q[31]),
-	.SCE(FE_OFN1622_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[0]  (
-	.CLK(CTS_12),
-	.D(n_9944),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[0]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[0]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[1]  (
-	.CLK(CTS_12),
-	.D(n_9878),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[1]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[1]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[2]  (
-	.CLK(CTS_7),
-	.D(n_10024),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[2]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[2]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[3]  (
-	.CLK(CTS_12),
-	.D(n_10261),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[3]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[3]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[4]  (
-	.CLK(CTS_2),
-	.D(n_9779),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[4]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[4]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[5]  (
-	.CLK(CTS_2),
-	.D(n_9542),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[5]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[5]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[6]  (
-	.CLK(CTS_2),
-	.D(n_9880),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[6]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[6]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[7]  (
-	.CLK(CTS_12),
-	.D(n_9942),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[7]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[7]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[8]  (
-	.CLK(CTS_12),
-	.D(n_10354),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[8]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[8]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[9]  (
-	.CLK(CTS_2),
-	.D(n_10193),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[9]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[9]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[10]  (
-	.CLK(CTS_2),
-	.D(n_10191),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[10]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[10]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[11]  (
-	.CLK(CTS_12),
-	.D(n_10253),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[11]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[11]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[12]  (
-	.CLK(CTS_7),
-	.D(n_10259),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[12]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[12]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[13]  (
-	.CLK(CTS_12),
-	.D(n_10336),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[13]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[13]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[14]  (
-	.CLK(CTS_12),
-	.D(n_10189),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[14]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[14]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[15]  (
-	.CLK(CTS_15),
-	.D(n_10352),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[15]),
-	.RESET_B(FE_OFN7_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[15]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[16]  (
-	.CLK(CTS_11),
-	.D(n_10346),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[16]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[16]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[17]  (
-	.CLK(CTS_15),
-	.D(n_10257),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[17]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[17]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[18]  (
-	.CLK(CTS_13),
-	.D(n_10344),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[18]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[18]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[19]  (
-	.CLK(CTS_13),
-	.D(n_10342),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[19]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[19]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[20]  (
-	.CLK(CTS_13),
-	.D(n_10350),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[20]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[20]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[21]  (
-	.CLK(CTS_15),
-	.D(n_10255),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[21]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[21]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[22]  (
-	.CLK(CTS_13),
-	.D(n_10348),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[22]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[22]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[23]  (
-	.CLK(CTS_13),
-	.D(n_10334),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[23]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[23]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[24]  (
-	.CLK(CTS_13),
-	.D(n_10332),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[24]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[24]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[25]  (
-	.CLK(CTS_13),
-	.D(n_10326),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[25]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[25]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[26]  (
-	.CLK(CTS_13),
-	.D(n_10328),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[26]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[26]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[27]  (
-	.CLK(CTS_11),
-	.D(n_10338),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[27]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[27]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[28]  (
-	.CLK(CTS_11),
-	.D(n_10330),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[28]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[28]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[29]  (
-	.CLK(CTS_11),
-	.D(n_10340),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[29]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[29]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[30]  (
-	.CLK(CTS_11),
-	.D(n_10187),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[30]),
-	.RESET_B(FE_OFN7_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[30]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[31]  (
-	.CLK(CTS_15),
-	.D(n_10356),
-	.Q(u_top_u_core_cs_registers_i_dscratch1_q[31]),
-	.RESET_B(FE_OFN7_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_dscratch1_q[31]),
-	.SCE(FE_OFN1645_n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[0]  (
-	.CLK(CTS_7),
-	.D(n_10049),
-	.Q(u_top_u_core_cs_registers_i_mcause_q[0]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mcause_q[0]),
-	.SCE(n_3289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[1]  (
-	.CLK(CTS_7),
-	.D(n_10037),
-	.Q(u_top_u_core_cs_registers_i_mcause_q[1]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mcause_q[1]),
-	.SCE(n_3289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[2]  (
-	.CLK(CTS_7),
-	.D(n_10135),
-	.Q(u_top_u_core_cs_registers_i_mcause_q[2]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mcause_q[2]),
-	.SCE(n_3289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[3]  (
-	.CLK(CTS_7),
-	.D(n_10446),
-	.Q(u_top_u_core_cs_registers_i_mcause_q[3]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mcause_q[3]),
-	.SCE(n_3289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[4]  (
-	.CLK(CTS_7),
-	.D(n_9908),
-	.Q(u_top_u_core_cs_registers_i_mcause_q[4]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mcause_q[4]),
-	.SCE(n_3289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[5]  (
-	.CLK(CTS_7),
-	.D(n_10571),
-	.Q(u_top_u_core_cs_registers_i_mcause_q[5]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mcause_q[5]),
-	.SCE(n_3289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[1]  (
-	.CLK(CTS_7),
-	.D(n_10018),
-	.Q(u_top_u_core_csr_mepc[1]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[1]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[2]  (
-	.CLK(CTS_7),
-	.D(n_10172),
-	.Q(u_top_u_core_csr_mepc[2]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[2]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[3]  (
-	.CLK(CTS_7),
-	.D(n_10432),
-	.Q(u_top_u_core_csr_mepc[3]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[3]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[4]  (
-	.CLK(CTS_9),
-	.D(n_9919),
-	.Q(u_top_u_core_csr_mepc[4]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[4]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[5]  (
-	.CLK(CTS_9),
-	.D(n_9819),
-	.Q(u_top_u_core_csr_mepc[5]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[5]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[6]  (
-	.CLK(CTS_9),
-	.D(n_9998),
-	.Q(u_top_u_core_csr_mepc[6]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[6]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[7]  (
-	.CLK(CTS_9),
-	.D(n_10058),
-	.Q(u_top_u_core_csr_mepc[7]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[7]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[8]  (
-	.CLK(CTS_9),
-	.D(n_10547),
-	.Q(u_top_u_core_csr_mepc[8]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[8]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[9]  (
-	.CLK(CTS_9),
-	.D(n_10284),
-	.Q(u_top_u_core_csr_mepc[9]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[9]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[10]  (
-	.CLK(CTS_9),
-	.D(n_10285),
-	.Q(u_top_u_core_csr_mepc[10]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[10]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[11]  (
-	.CLK(CTS_7),
-	.D(n_10402),
-	.Q(u_top_u_core_csr_mepc[11]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[11]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[12]  (
-	.CLK(CTS_7),
-	.D(n_10401),
-	.Q(u_top_u_core_csr_mepc[12]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[12]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[13]  (
-	.CLK(CTS_7),
-	.D(n_10546),
-	.Q(u_top_u_core_csr_mepc[13]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[13]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[14]  (
-	.CLK(CTS_10),
-	.D(n_10286),
-	.Q(u_top_u_core_csr_mepc[14]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[14]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[15]  (
-	.CLK(CTS_10),
-	.D(n_10545),
-	.Q(u_top_u_core_csr_mepc[15]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[15]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[16]  (
-	.CLK(CTS_10),
-	.D(n_10544),
-	.Q(u_top_u_core_csr_mepc[16]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[16]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[17]  (
-	.CLK(CTS_10),
-	.D(n_10400),
-	.Q(u_top_u_core_csr_mepc[17]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[17]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[18]  (
-	.CLK(CTS_10),
-	.D(n_10543),
-	.Q(u_top_u_core_csr_mepc[18]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[18]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[19]  (
-	.CLK(CTS_14),
-	.D(n_10542),
-	.Q(u_top_u_core_csr_mepc[19]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[19]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[20]  (
-	.CLK(CTS_14),
-	.D(n_10541),
-	.Q(u_top_u_core_csr_mepc[20]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[20]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[21]  (
-	.CLK(CTS_10),
-	.D(n_10399),
-	.Q(u_top_u_core_csr_mepc[21]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[21]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[22]  (
-	.CLK(CTS_14),
-	.D(n_10540),
-	.Q(u_top_u_core_csr_mepc[22]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[22]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[23]  (
-	.CLK(CTS_14),
-	.D(n_10539),
-	.Q(u_top_u_core_csr_mepc[23]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[23]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[24]  (
-	.CLK(CTS_14),
-	.D(n_10538),
-	.Q(u_top_u_core_csr_mepc[24]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[24]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[25]  (
-	.CLK(CTS_14),
-	.D(n_10537),
-	.Q(u_top_u_core_csr_mepc[25]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[25]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[26]  (
-	.CLK(CTS_14),
-	.D(n_10536),
-	.Q(u_top_u_core_csr_mepc[26]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[26]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[27]  (
-	.CLK(CTS_10),
-	.D(n_10535),
-	.Q(u_top_u_core_csr_mepc[27]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[27]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[28]  (
-	.CLK(CTS_10),
-	.D(n_10534),
-	.Q(u_top_u_core_csr_mepc[28]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[28]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[29]  (
-	.CLK(CTS_10),
-	.D(n_10533),
-	.Q(u_top_u_core_csr_mepc[29]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[29]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[30]  (
-	.CLK(CTS_10),
-	.D(n_10287),
-	.Q(u_top_u_core_csr_mepc[30]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[30]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[31]  (
-	.CLK(CTS_10),
-	.D(n_10619),
-	.Q(u_top_u_core_csr_mepc[31]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_mepc[31]),
-	.SCE(FE_OFN1649_n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[0]  (
-	.CLK(CTS_11),
-	.D(n_10346),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[1]  (
-	.CLK(CTS_11),
-	.D(n_10257),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[2]  (
-	.CLK(CTS_11),
-	.D(n_10344),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[3]  (
-	.CLK(CTS_13),
-	.D(n_10342),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[4]  (
-	.CLK(CTS_13),
-	.D(n_10350),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[5]  (
-	.CLK(CTS_11),
-	.D(n_10255),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[6]  (
-	.CLK(CTS_13),
-	.D(n_10348),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[7]  (
-	.CLK(CTS_13),
-	.D(n_10334),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[8]  (
-	.CLK(CTS_13),
-	.D(n_10332),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[9]  (
-	.CLK(CTS_13),
-	.D(n_10326),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[10]  (
-	.CLK(CTS_13),
-	.D(n_10328),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[11]  (
-	.CLK(CTS_15),
-	.D(n_10338),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[12]  (
-	.CLK(CTS_11),
-	.D(n_10330),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[13]  (
-	.CLK(CTS_11),
-	.D(n_10340),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[14]  (
-	.CLK(CTS_15),
-	.D(n_10187),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[15]  (
-	.CLK(CTS_12),
-	.D(n_10253),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[16]  (
-	.CLK(CTS_12),
-	.D(n_9942),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[17]  (
-	.CLK(CTS_12),
-	.D(n_10261),
-	.Q(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
-	.SCE(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[0]  (
-	.CLK(CTS_7),
-	.D(n_9944),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[0]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[0]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[1]  (
-	.CLK(CTS_12),
-	.D(n_9878),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[1]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[1]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[2]  (
-	.CLK(CTS_12),
-	.D(n_10024),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[2]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[2]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[3]  (
-	.CLK(CTS_12),
-	.D(n_10261),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[3]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[3]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[4]  (
-	.CLK(CTS_2),
-	.D(n_9779),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[4]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[4]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[5]  (
-	.CLK(CTS_2),
-	.D(n_9542),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[5]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[5]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[6]  (
-	.CLK(CTS_2),
-	.D(n_9880),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[6]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[6]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[7]  (
-	.CLK(CTS_12),
-	.D(n_9942),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[7]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[7]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[8]  (
-	.CLK(CTS_2),
-	.D(n_10354),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[8]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[8]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[9]  (
-	.CLK(CTS_2),
-	.D(n_10193),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[9]),
-	.RESET_B(FE_OFN82_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[9]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[10]  (
-	.CLK(CTS_2),
-	.D(n_10191),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[10]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[10]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[11]  (
-	.CLK(CTS_12),
-	.D(n_10253),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[11]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[11]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[12]  (
-	.CLK(CTS_7),
-	.D(n_10259),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[12]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[12]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[13]  (
-	.CLK(CTS_12),
-	.D(n_10336),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[13]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[13]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[14]  (
-	.CLK(CTS_7),
-	.D(n_10189),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[14]),
-	.RESET_B(FE_OFN7_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[14]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[15]  (
-	.CLK(CTS_7),
-	.D(n_10352),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[15]),
-	.RESET_B(FE_OFN7_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[15]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[16]  (
-	.CLK(CTS_15),
-	.D(n_10346),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[16]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[16]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[17]  (
-	.CLK(CTS_15),
-	.D(n_10257),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[17]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[17]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[18]  (
-	.CLK(CTS_13),
-	.D(n_10344),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[18]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[18]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[19]  (
-	.CLK(CTS_13),
-	.D(n_10342),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[19]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[19]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[20]  (
-	.CLK(CTS_13),
-	.D(n_10350),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[20]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[20]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[21]  (
-	.CLK(CTS_15),
-	.D(n_10255),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[21]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[21]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[22]  (
-	.CLK(CTS_13),
-	.D(n_10348),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[22]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[22]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[23]  (
-	.CLK(CTS_13),
-	.D(n_10334),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[23]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[23]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[24]  (
-	.CLK(CTS_14),
-	.D(n_10332),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[24]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[24]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[25]  (
-	.CLK(CTS_13),
-	.D(n_10326),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[25]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[25]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[26]  (
-	.CLK(CTS_13),
-	.D(n_10328),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[26]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[26]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[27]  (
-	.CLK(CTS_15),
-	.D(n_10338),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[27]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[27]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[28]  (
-	.CLK(CTS_15),
-	.D(n_10330),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[28]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[28]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[29]  (
-	.CLK(CTS_15),
-	.D(n_10340),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[29]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[29]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[30]  (
-	.CLK(CTS_11),
-	.D(n_10187),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[30]),
-	.RESET_B(FE_OFN7_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[30]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[31]  (
-	.CLK(CTS_11),
-	.D(n_10356),
-	.Q(u_top_u_core_cs_registers_i_mscratch_q[31]),
-	.RESET_B(FE_OFN7_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mscratch_q[31]),
-	.SCE(FE_OFN1646_n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[0]  (
-	.CLK(CTS_11),
-	.D(u_top_u_core_csr_mstatus_tw),
-	.Q(u_top_u_core_csr_mstatus_tw),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(n_10390),
-	.SCE(n_9010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[1]  (
-	.CLK(CTS_11),
-	.D(u_top_u_core_cs_registers_i_csr_rdata_int[17]),
-	.Q(u_top_u_core_cs_registers_i_csr_rdata_int[17]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(n_10422),
-	.SCE(n_9010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[2]  (
-	.CLK(CTS_7),
-	.D(u_top_u_core_cs_registers_i_csr_rdata_int[11]),
-	.Q(u_top_u_core_cs_registers_i_csr_rdata_int[11]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(n_10621),
-	.SCE(n_9010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[3]  (
-	.CLK(CTS_7),
-	.D(u_top_u_core_cs_registers_i_csr_rdata_int[12]),
-	.Q(u_top_u_core_cs_registers_i_csr_rdata_int[12]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(n_10620),
-	.SCE(n_9010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 \u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[4]  (
-	.CLK(CTS_7),
-	.D(n_10248),
-	.Q(u_top_u_core_cs_registers_i_csr_rdata_int[7]),
-	.SCD(u_top_u_core_cs_registers_i_csr_rdata_int[7]),
-	.SCE(n_9009),
-	.SET_B(FE_OFN83_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[0]  (
-	.CLK(CTS_7),
-	.D(n_10057),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[0]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[0]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[1]  (
-	.CLK(CTS_7),
-	.D(n_9997),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[1]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[1]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[2]  (
-	.CLK(CTS_7),
-	.D(n_10143),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[2]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[2]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[3]  (
-	.CLK(CTS_9),
-	.D(n_10398),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[3]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[3]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[4]  (
-	.CLK(CTS_2),
-	.D(n_9936),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[4]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[4]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[5]  (
-	.CLK(CTS_2),
-	.D(n_9818),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[5]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[5]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[6]  (
-	.CLK(CTS_2),
-	.D(n_9996),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[6]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[6]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[7]  (
-	.CLK(CTS_9),
-	.D(n_10056),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[7]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[7]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[8]  (
-	.CLK(CTS_2),
-	.D(n_10532),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[8]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[8]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[9]  (
-	.CLK(CTS_2),
-	.D(n_10288),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[9]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[9]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[10]  (
-	.CLK(CTS_2),
-	.D(n_10289),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[10]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[10]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[11]  (
-	.CLK(CTS_7),
-	.D(n_10397),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[11]),
-	.RESET_B(FE_OFN83_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[11]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[12]  (
-	.CLK(CTS_7),
-	.D(n_10396),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[12]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[12]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[13]  (
-	.CLK(CTS_7),
-	.D(n_10531),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[13]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[13]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[14]  (
-	.CLK(CTS_7),
-	.D(n_10290),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[14]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[14]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[15]  (
-	.CLK(CTS_15),
-	.D(n_10530),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[15]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[15]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[16]  (
-	.CLK(CTS_15),
-	.D(n_10529),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[16]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[16]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[17]  (
-	.CLK(CTS_15),
-	.D(n_10394),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[17]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[17]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[18]  (
-	.CLK(CTS_14),
-	.D(n_10528),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[18]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[18]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[19]  (
-	.CLK(CTS_14),
-	.D(n_10527),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[19]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[19]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[20]  (
-	.CLK(CTS_13),
-	.D(n_10526),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[20]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[20]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[21]  (
-	.CLK(CTS_15),
-	.D(n_10393),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[21]),
-	.RESET_B(FE_OFN14_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[21]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[22]  (
-	.CLK(CTS_13),
-	.D(n_10525),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[22]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[22]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[23]  (
-	.CLK(CTS_14),
-	.D(n_10524),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[23]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[23]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[24]  (
-	.CLK(CTS_13),
-	.D(n_10523),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[24]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[24]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[25]  (
-	.CLK(CTS_14),
-	.D(n_10522),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[25]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[25]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[26]  (
-	.CLK(CTS_14),
-	.D(n_10521),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[26]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[26]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[27]  (
-	.CLK(CTS_15),
-	.D(n_10520),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[27]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[27]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[28]  (
-	.CLK(CTS_15),
-	.D(n_10519),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[28]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[28]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[29]  (
-	.CLK(CTS_15),
-	.D(n_10518),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[29]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[29]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[30]  (
-	.CLK(CTS_15),
-	.D(n_10291),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[30]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[30]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[31]  (
-	.CLK(CTS_7),
-	.D(n_10517),
-	.Q(u_top_u_core_cs_registers_i_mtval_q[31]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_cs_registers_i_mtval_q[31]),
-	.SCE(FE_OFN1647_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[8]  (
-	.CLK(CTS_9),
-	.D(n_10472),
-	.Q(u_top_u_core_csr_mtvec[8]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[8]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[9]  (
-	.CLK(CTS_2),
-	.D(n_10246),
-	.Q(u_top_u_core_csr_mtvec[9]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[9]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[10]  (
-	.CLK(CTS_9),
-	.D(n_10245),
-	.Q(u_top_u_core_csr_mtvec[10]),
-	.RESET_B(FE_OFN22_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[10]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[11]  (
-	.CLK(CTS_7),
-	.D(n_10364),
-	.Q(u_top_u_core_csr_mtvec[11]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[11]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[12]  (
-	.CLK(CTS_7),
-	.D(n_10376),
-	.Q(u_top_u_core_csr_mtvec[12]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[12]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[13]  (
-	.CLK(CTS_7),
-	.D(n_10474),
-	.Q(u_top_u_core_csr_mtvec[13]),
-	.RESET_B(FE_OFN6_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[13]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[14]  (
-	.CLK(CTS_15),
-	.D(n_10244),
-	.Q(u_top_u_core_csr_mtvec[14]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[14]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[15]  (
-	.CLK(CTS_15),
-	.D(n_10475),
-	.Q(u_top_u_core_csr_mtvec[15]),
-	.RESET_B(FE_OFN7_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[15]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[16]  (
-	.CLK(CTS_15),
-	.D(n_10500),
-	.Q(u_top_u_core_csr_mtvec[16]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[16]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[17]  (
-	.CLK(CTS_14),
-	.D(n_10375),
-	.Q(u_top_u_core_csr_mtvec[17]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[17]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[18]  (
-	.CLK(CTS_10),
-	.D(n_10501),
-	.Q(u_top_u_core_csr_mtvec[18]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[18]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[19]  (
-	.CLK(CTS_14),
-	.D(n_10502),
-	.Q(u_top_u_core_csr_mtvec[19]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[19]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[20]  (
-	.CLK(CTS_14),
-	.D(n_10482),
-	.Q(u_top_u_core_csr_mtvec[20]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[20]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[21]  (
-	.CLK(CTS_14),
-	.D(n_10374),
-	.Q(u_top_u_core_csr_mtvec[21]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[21]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[22]  (
-	.CLK(CTS_14),
-	.D(n_10487),
-	.Q(u_top_u_core_csr_mtvec[22]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[22]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[23]  (
-	.CLK(CTS_14),
-	.D(n_10429),
-	.Q(u_top_u_core_csr_mtvec[23]),
-	.RESET_B(FE_OFN38_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[23]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[24]  (
-	.CLK(CTS_14),
-	.D(n_10468),
-	.Q(u_top_u_core_csr_mtvec[24]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[24]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[25]  (
-	.CLK(CTS_14),
-	.D(n_10467),
-	.Q(u_top_u_core_csr_mtvec[25]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[25]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[26]  (
-	.CLK(CTS_14),
-	.D(n_10466),
-	.Q(u_top_u_core_csr_mtvec[26]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[26]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[27]  (
-	.CLK(CTS_15),
-	.D(n_10483),
-	.Q(u_top_u_core_csr_mtvec[27]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[27]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[28]  (
-	.CLK(CTS_15),
-	.D(n_10465),
-	.Q(u_top_u_core_csr_mtvec[28]),
-	.RESET_B(FE_OFN30_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[28]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[29]  (
-	.CLK(CTS_15),
-	.D(n_10499),
-	.Q(u_top_u_core_csr_mtvec[29]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[29]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[30]  (
-	.CLK(CTS_15),
-	.D(n_10237),
-	.Q(u_top_u_core_csr_mtvec[30]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[30]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[31]  (
-	.CLK(CTS_15),
-	.D(n_10473),
-	.Q(u_top_u_core_csr_mtvec[31]),
-	.RESET_B(FE_OFN7_system_rst_ni),
-	.SCD(u_top_u_core_csr_mtvec[31]),
-	.SCE(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q_reg  (
-	.CLK(CTS_3),
-	.D(n_8719),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[0]  (
-	.CLK(CTS_3),
-	.D(n_10755),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[0]  (
-	.CLK(CTS_4),
-	.D(n_9464),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[1]  (
-	.CLK(CTS_3),
-	.D(n_9792),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[2]  (
-	.CLK(CTS_3),
-	.D(n_9757),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[3]  (
-	.CLK(CTS_3),
-	.D(n_9762),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[4]  (
-	.CLK(CTS_3),
-	.D(n_9745),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[5]  (
-	.CLK(CTS_3),
-	.D(n_9756),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[6]  (
-	.CLK(CTS_3),
-	.D(n_9755),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[7]  (
-	.CLK(CTS_3),
-	.D(n_9774),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[8]  (
-	.CLK(CTS_3),
-	.D(n_9759),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[9]  (
-	.CLK(CTS_3),
-	.D(n_9771),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[10]  (
-	.CLK(CTS_3),
-	.D(n_9775),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[11]  (
-	.CLK(CTS_3),
-	.D(n_9803),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[12]  (
-	.CLK(CTS_3),
-	.D(n_9746),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[13]  (
-	.CLK(CTS_3),
-	.D(n_9754),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[14]  (
-	.CLK(CTS_3),
-	.D(n_9753),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[15]  (
-	.CLK(CTS_3),
-	.D(n_9802),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[16]  (
-	.CLK(CTS_8),
-	.D(n_9773),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[17]  (
-	.CLK(CTS_8),
-	.D(n_9777),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[18]  (
-	.CLK(CTS_8),
-	.D(n_9801),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[19]  (
-	.CLK(CTS_8),
-	.D(n_9793),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[20]  (
-	.CLK(CTS_8),
-	.D(n_9747),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[21]  (
-	.CLK(CTS_8),
-	.D(n_9752),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[22]  (
-	.CLK(CTS_8),
-	.D(n_9761),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[23]  (
-	.CLK(CTS_8),
-	.D(n_9799),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[24]  (
-	.CLK(CTS_8),
-	.D(n_9794),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[25]  (
-	.CLK(CTS_8),
-	.D(n_9796),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[26]  (
-	.CLK(CTS_8),
-	.D(n_9795),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[27]  (
-	.CLK(CTS_8),
-	.D(n_9797),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[28]  (
-	.CLK(CTS_8),
-	.D(n_9751),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[29]  (
-	.CLK(CTS_3),
-	.D(n_9758),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[30]  (
-	.CLK(CTS_3),
-	.D(n_9772),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[31]  (
-	.CLK(CTS_3),
-	.D(n_9798),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[32]  (
-	.CLK(CTS_3),
-	.D(n_9337),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[0]  (
-	.CLK(CTS_4),
-	.D(n_9627),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[1]  (
-	.CLK(CTS_4),
-	.D(n_9626),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[2]  (
-	.CLK(CTS_4),
-	.D(n_9625),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
-	.RESET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[3]  (
-	.CLK(CTS_4),
-	.D(n_9624),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
-	.RESET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[4]  (
-	.CLK(CTS_4),
-	.D(n_9623),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
-	.RESET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[5]  (
-	.CLK(CTS_4),
-	.D(n_9622),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
-	.RESET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[6]  (
-	.CLK(CTS_4),
-	.D(n_9621),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[7]  (
-	.CLK(CTS_4),
-	.D(n_9620),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[8]  (
-	.CLK(CTS_4),
-	.D(n_9619),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
-	.RESET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[9]  (
-	.CLK(CTS_4),
-	.D(n_9617),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[10]  (
-	.CLK(CTS_4),
-	.D(n_9618),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[11]  (
-	.CLK(CTS_4),
-	.D(n_9616),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
-	.RESET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[12]  (
-	.CLK(CTS_4),
-	.D(n_9615),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
-	.RESET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[13]  (
-	.CLK(CTS_4),
-	.D(n_9614),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
-	.RESET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[14]  (
-	.CLK(CTS_4),
-	.D(n_9613),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[15]  (
-	.CLK(CTS_8),
-	.D(n_9611),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[16]  (
-	.CLK(CTS_4),
-	.D(n_9612),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[17]  (
-	.CLK(CTS_8),
-	.D(n_9610),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[18]  (
-	.CLK(CTS_8),
-	.D(n_9609),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[19]  (
-	.CLK(CTS_8),
-	.D(n_9608),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[20]  (
-	.CLK(CTS_8),
-	.D(n_9607),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[21]  (
-	.CLK(CTS_4),
-	.D(n_9604),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[22]  (
-	.CLK(CTS_4),
-	.D(n_9606),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[23]  (
-	.CLK(CTS_4),
-	.D(n_9605),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[24]  (
-	.CLK(CTS_4),
-	.D(n_9603),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[25]  (
-	.CLK(CTS_4),
-	.D(n_9602),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[26]  (
-	.CLK(CTS_4),
-	.D(n_9601),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[27]  (
-	.CLK(CTS_4),
-	.D(n_9600),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[28]  (
-	.CLK(CTS_4),
-	.D(n_9599),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[29]  (
-	.CLK(CTS_4),
-	.D(n_9598),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[30]  (
-	.CLK(CTS_4),
-	.D(n_9597),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][0]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1498_n_10306),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
-	.SCE(n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][1]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1513_n_10321),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
-	.SCE(n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][2]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_10325),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
-	.SCE(n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][3]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1514_n_10322),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][4]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1516_n_10324),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
-	.SCE(n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][5]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1515_n_10323),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][6]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1486_n_10023),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
-	.SCE(n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][7]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1488_n_10106),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
-	.SCE(n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][8]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15958_n_10504),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][9]  (
-	.CLK(CTS_62),
-	.D(FE_OFN5764_n_10507),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
-	.SCE(n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][10]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15959_n_10506),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][11]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15964_n_10509),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][12]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15963_n_10508),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][13]  (
-	.CLK(CTS_74),
-	.D(n_10505),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][14]  (
-	.CLK(CTS_74),
-	.D(n_10251),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][15]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1492_n_10250),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][16]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1499_n_10307),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
-	.SCE(n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][17]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1500_n_10308),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][18]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1501_n_10309),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1502_n_10310),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
-	.RESET_B(FE_OFN147_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][20]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1503_n_10311),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
-	.SCE(n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][21]  (
-	.CLK(CTS_75),
-	.D(FE_OFN13726_n_10312),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][22]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1505_n_10313),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][23]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1506_n_10314),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
-	.SCE(n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][24]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1507_n_10315),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][25]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1508_n_10316),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][26]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1530_n_10386),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][27]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1509_n_10317),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
-	.SCE(n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][28]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1529_n_10385),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][29]  (
-	.CLK(CTS_67),
-	.D(FE_OFN5765_n_10318),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
-	.SCE(n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][30]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1511_n_10319),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][31]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1512_n_10320),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
-	.SCE(FE_OFN1014_n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][0]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1498_n_10306),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][1]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1513_n_10321),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][2]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_10325),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][3]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1514_n_10322),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][4]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1516_n_10324),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
-	.RESET_B(FE_OFN68_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][5]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1515_n_10323),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][6]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1486_n_10023),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][7]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1488_n_10106),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][8]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15958_n_10504),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][9]  (
-	.CLK(CTS_62),
-	.D(FE_OFN5764_n_10507),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][10]  (
-	.CLK(CTS_75),
-	.D(FE_OFN15959_n_10506),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][11]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15964_n_10509),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][12]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15963_n_10508),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][13]  (
-	.CLK(CTS_70),
-	.D(n_10505),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][14]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1364_n_10251),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][15]  (
-	.CLK(CTS_70),
-	.D(n_10250),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][16]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1499_n_10307),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
-	.RESET_B(FE_OFN68_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][17]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1500_n_10308),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][18]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1501_n_10309),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1502_n_10310),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][20]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1503_n_10311),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][21]  (
-	.CLK(CTS_75),
-	.D(FE_OFN13726_n_10312),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][22]  (
-	.CLK(CTS_64),
-	.D(n_10313),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][23]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1506_n_10314),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][24]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1507_n_10315),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][25]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1508_n_10316),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][26]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1530_n_10386),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][27]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1509_n_10317),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
-	.RESET_B(FE_OFN68_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][28]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1529_n_10385),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
-	.RESET_B(FE_OFN198_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][29]  (
-	.CLK(CTS_59),
-	.D(FE_OFN5765_n_10318),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][30]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1511_n_10319),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][31]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1512_n_10320),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
-	.SCE(FE_OFN1016_n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][0]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN1498_n_10306),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][1]  (
-	.CLK(CTS_59),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN1513_n_10321),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][2]  (
-	.CLK(CTS_46),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(FE_OFN1517_n_10325),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][3]  (
-	.CLK(CTS_70),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(FE_OFN1514_n_10322),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][4]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN1516_n_10324),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][5]  (
-	.CLK(CTS_64),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(FE_OFN1515_n_10323),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][6]  (
-	.CLK(CTS_59),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(FE_OFN1486_n_10023),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][7]  (
-	.CLK(CTS_46),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(FE_OFN1488_n_10106),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][8]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15958_n_10504),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][9]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN5764_n_10507),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][10]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
-	.RESET_B(FE_OFN201_system_rst_ni),
-	.SCD(FE_OFN15959_n_10506),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][11]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(FE_OFN15964_n_10509),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][12]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(FE_OFN15963_n_10508),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][13]  (
-	.CLK(CTS_70),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(n_10505),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][14]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(n_10251),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][15]  (
-	.CLK(CTS_70),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
-	.RESET_B(FE_OFN196_system_rst_ni),
-	.SCD(n_10250),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][16]  (
-	.CLK(CTS_59),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(FE_OFN1499_n_10307),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][17]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(FE_OFN1500_n_10308),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][18]  (
-	.CLK(CTS_64),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN1501_n_10309),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][19]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(FE_OFN1502_n_10310),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][20]  (
-	.CLK(CTS_59),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN1503_n_10311),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][21]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(FE_OFN13726_n_10312),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][22]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(n_10313),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][23]  (
-	.CLK(CTS_46),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(FE_OFN1506_n_10314),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][24]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(FE_OFN1507_n_10315),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][25]  (
-	.CLK(CTS_70),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(FE_OFN1508_n_10316),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][26]  (
-	.CLK(CTS_64),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(FE_OFN1530_n_10386),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][27]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN1509_n_10317),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][28]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(FE_OFN1529_n_10385),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][29]  (
-	.CLK(CTS_46),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(FE_OFN5765_n_10318),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][30]  (
-	.CLK(CTS_64),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(n_10319),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][31]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(n_10320),
-	.SCE(FE_OFN1008_n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][0]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1498_n_10306),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][1]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1513_n_10321),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][2]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_10325),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][3]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1514_n_10322),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][4]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1516_n_10324),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][5]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1515_n_10323),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][6]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1486_n_10023),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][7]  (
-	.CLK(CTS_46),
-	.D(FE_OFN1488_n_10106),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][8]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15958_n_10504),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][9]  (
-	.CLK(CTS_62),
-	.D(FE_OFN5764_n_10507),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][10]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15959_n_10506),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][11]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15964_n_10509),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][12]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15963_n_10508),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][13]  (
-	.CLK(CTS_70),
-	.D(n_10505),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][14]  (
-	.CLK(CTS_70),
-	.D(n_10251),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][15]  (
-	.CLK(CTS_70),
-	.D(n_10250),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][16]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1499_n_10307),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][17]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1500_n_10308),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][18]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1501_n_10309),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1502_n_10310),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][20]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1503_n_10311),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][21]  (
-	.CLK(CTS_75),
-	.D(FE_OFN13726_n_10312),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][22]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1505_n_10313),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][23]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1506_n_10314),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][24]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1507_n_10315),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][25]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1508_n_10316),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][26]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1530_n_10386),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][27]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1509_n_10317),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][28]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1529_n_10385),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][29]  (
-	.CLK(CTS_67),
-	.D(FE_OFN5765_n_10318),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][30]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1511_n_10319),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][31]  (
-	.CLK(CTS_75),
-	.D(n_10320),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
-	.SCE(FE_OFN1017_n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][0]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN1498_n_10306),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][1]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN1513_n_10321),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][2]  (
-	.CLK(CTS_59),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(FE_OFN1517_n_10325),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][3]  (
-	.CLK(CTS_70),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1514_n_10322),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][4]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
-	.RESET_B(FE_OFN68_system_rst_ni),
-	.SCD(FE_OFN1516_n_10324),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][5]  (
-	.CLK(CTS_64),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(FE_OFN1515_n_10323),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][6]  (
-	.CLK(CTS_59),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
-	.RESET_B(FE_OFN68_system_rst_ni),
-	.SCD(FE_OFN1486_n_10023),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][7]  (
-	.CLK(CTS_59),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(FE_OFN1488_n_10106),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][8]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15958_n_10504),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][9]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN5764_n_10507),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][10]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15959_n_10506),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][11]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(FE_OFN15964_n_10509),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][12]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
-	.RESET_B(FE_OFN201_system_rst_ni),
-	.SCD(FE_OFN15963_n_10508),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][13]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(n_10505),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][14]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(n_10251),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][15]  (
-	.CLK(CTS_70),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(n_10250),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][16]  (
-	.CLK(CTS_59),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
-	.RESET_B(FE_OFN68_system_rst_ni),
-	.SCD(FE_OFN1499_n_10307),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][17]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN1500_n_10308),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][18]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(FE_OFN1501_n_10309),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][19]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
-	.RESET_B(FE_OFN147_system_rst_ni),
-	.SCD(FE_OFN1502_n_10310),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][20]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1503_n_10311),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][21]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN13726_n_10312),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][22]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(FE_OFN1505_n_10313),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][23]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN1506_n_10314),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][24]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1507_n_10315),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][25]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1508_n_10316),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][26]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(FE_OFN1530_n_10386),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][27]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
-	.RESET_B(FE_OFN68_system_rst_ni),
-	.SCD(FE_OFN1509_n_10317),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][28]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1529_n_10385),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][29]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN5765_n_10318),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][30]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1511_n_10319),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][31]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(n_10320),
-	.SCE(FE_OFN994_n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][0]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN1498_n_10306),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][1]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN1513_n_10321),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][2]  (
-	.CLK(CTS_59),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(FE_OFN1517_n_10325),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][3]  (
-	.CLK(CTS_70),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN1514_n_10322),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][4]  (
-	.CLK(CTS_59),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN1516_n_10324),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][5]  (
-	.CLK(CTS_64),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(FE_OFN1515_n_10323),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][6]  (
-	.CLK(CTS_59),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
-	.RESET_B(FE_OFN1_system_rst_ni),
-	.SCD(FE_OFN1486_n_10023),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][7]  (
-	.CLK(CTS_59),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(FE_OFN1488_n_10106),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][8]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15958_n_10504),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][9]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN5764_n_10507),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][10]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
-	.RESET_B(FE_OFN201_system_rst_ni),
-	.SCD(FE_OFN15959_n_10506),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][11]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15964_n_10509),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][12]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
-	.RESET_B(FE_OFN201_system_rst_ni),
-	.SCD(FE_OFN15963_n_10508),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][13]  (
-	.CLK(CTS_70),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(n_10505),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][14]  (
-	.CLK(CTS_70),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1364_n_10251),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][15]  (
-	.CLK(CTS_70),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN1492_n_10250),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][16]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN1499_n_10307),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][17]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN1500_n_10308),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][18]  (
-	.CLK(CTS_64),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(FE_OFN1501_n_10309),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][19]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
-	.RESET_B(FE_OFN147_system_rst_ni),
-	.SCD(FE_OFN1502_n_10310),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][20]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN1503_n_10311),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][21]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN13726_n_10312),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][22]  (
-	.CLK(CTS_64),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(n_10313),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][23]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(FE_OFN1506_n_10314),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][24]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(FE_OFN1507_n_10315),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][25]  (
-	.CLK(CTS_70),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN1508_n_10316),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][26]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN1530_n_10386),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][27]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN1509_n_10317),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][28]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(FE_OFN1529_n_10385),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][29]  (
-	.CLK(CTS_59),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(FE_OFN5765_n_10318),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][30]  (
-	.CLK(CTS_64),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(n_10319),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][31]  (
-	.CLK(CTS_70),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN1512_n_10320),
-	.SCE(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][0]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1498_n_10306),
-	.SCE(n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][1]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN1513_n_10321),
-	.SCE(FE_OFN1012_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][2]  (
-	.CLK(CTS_59),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(FE_OFN1517_n_10325),
-	.SCE(FE_OFN1012_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][3]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1514_n_10322),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][4]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1516_n_10324),
-	.SCE(FE_OFN1012_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][5]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(FE_OFN1515_n_10323),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][6]  (
-	.CLK(CTS_59),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(FE_OFN1486_n_10023),
-	.SCE(FE_OFN1012_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][7]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(FE_OFN1488_n_10106),
-	.SCE(FE_OFN1012_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][8]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15958_n_10504),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][9]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN5764_n_10507),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][10]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
-	.RESET_B(FE_OFN201_system_rst_ni),
-	.SCD(FE_OFN15959_n_10506),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][11]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(FE_OFN15964_n_10509),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][12]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
-	.RESET_B(FE_OFN201_system_rst_ni),
-	.SCD(FE_OFN15963_n_10508),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][13]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(n_10505),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][14]  (
-	.CLK(CTS_70),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1364_n_10251),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][15]  (
-	.CLK(CTS_70),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN1492_n_10250),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][16]  (
-	.CLK(CTS_59),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
-	.RESET_B(FE_OFN68_system_rst_ni),
-	.SCD(FE_OFN1499_n_10307),
-	.SCE(FE_OFN1012_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][17]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN1500_n_10308),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][18]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(FE_OFN1501_n_10309),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][19]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
-	.RESET_B(FE_OFN147_system_rst_ni),
-	.SCD(FE_OFN1502_n_10310),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][20]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN1503_n_10311),
-	.SCE(FE_OFN1012_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][21]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN13726_n_10312),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][22]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(FE_OFN1505_n_10313),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][23]  (
-	.CLK(CTS_46),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(FE_OFN1506_n_10314),
-	.SCE(FE_OFN1012_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][24]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1507_n_10315),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][25]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1508_n_10316),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][26]  (
-	.CLK(CTS_64),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(FE_OFN1530_n_10386),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][27]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
-	.RESET_B(FE_OFN68_system_rst_ni),
-	.SCD(FE_OFN1509_n_10317),
-	.SCE(FE_OFN1012_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][28]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1529_n_10385),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][29]  (
-	.CLK(CTS_46),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(FE_OFN5765_n_10318),
-	.SCE(FE_OFN1012_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][30]  (
-	.CLK(CTS_64),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(FE_OFN1511_n_10319),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][31]  (
-	.CLK(CTS_70),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN1512_n_10320),
-	.SCE(FE_OFN1011_n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][0]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1498_n_10306),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][1]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1513_n_10321),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][2]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_10325),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][3]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1514_n_10322),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][4]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1516_n_10324),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][5]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1515_n_10323),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][6]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1486_n_10023),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][7]  (
-	.CLK(CTS_46),
-	.D(FE_OFN1488_n_10106),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][8]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15958_n_10504),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][9]  (
-	.CLK(CTS_62),
-	.D(FE_OFN5764_n_10507),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][10]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15959_n_10506),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
-	.RESET_B(FE_OFN201_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][11]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15964_n_10509),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][12]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15963_n_10508),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][13]  (
-	.CLK(CTS_70),
-	.D(n_10505),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][14]  (
-	.CLK(CTS_70),
-	.D(n_10251),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][15]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1492_n_10250),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][16]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1499_n_10307),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][17]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1500_n_10308),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][18]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1501_n_10309),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1502_n_10310),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][20]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1503_n_10311),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][21]  (
-	.CLK(CTS_75),
-	.D(FE_OFN13726_n_10312),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][22]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1505_n_10313),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][23]  (
-	.CLK(CTS_46),
-	.D(FE_OFN1506_n_10314),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][24]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1507_n_10315),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][25]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1508_n_10316),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][26]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1530_n_10386),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][27]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1509_n_10317),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][28]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1529_n_10385),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
-	.RESET_B(FE_OFN198_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][29]  (
-	.CLK(CTS_67),
-	.D(FE_OFN5765_n_10318),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][30]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1511_n_10319),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][31]  (
-	.CLK(CTS_70),
-	.D(n_10320),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
-	.RESET_B(FE_OFN189_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
-	.SCE(FE_OFN1021_n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][0]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1498_n_10306),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
-	.SCE(n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][1]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1513_n_10321),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
-	.SCE(n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][2]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_10325),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
-	.SCE(n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][3]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1514_n_10322),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][4]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1516_n_10324),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
-	.SCE(n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][5]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1515_n_10323),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
-	.SCE(n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][6]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1486_n_10023),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
-	.SCE(n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][7]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1488_n_10106),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
-	.SCE(n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][8]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15958_n_10504),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][9]  (
-	.CLK(CTS_62),
-	.D(FE_OFN5764_n_10507),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][10]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15959_n_10506),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][11]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15964_n_10509),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][12]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15963_n_10508),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][13]  (
-	.CLK(CTS_70),
-	.D(n_10505),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][14]  (
-	.CLK(CTS_74),
-	.D(n_10251),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][15]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1492_n_10250),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][16]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1499_n_10307),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
-	.SCE(n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][17]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1500_n_10308),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][18]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1501_n_10309),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
-	.SCE(n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1502_n_10310),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][20]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1503_n_10311),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
-	.SCE(n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][21]  (
-	.CLK(CTS_75),
-	.D(FE_OFN13726_n_10312),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][22]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1505_n_10313),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][23]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1506_n_10314),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
-	.SCE(n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][24]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1507_n_10315),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][25]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1508_n_10316),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][26]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1530_n_10386),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][27]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1509_n_10317),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
-	.SCE(n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][28]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1529_n_10385),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][29]  (
-	.CLK(CTS_59),
-	.D(FE_OFN5765_n_10318),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
-	.SCE(n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][30]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1511_n_10319),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][31]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1512_n_10320),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
-	.SCE(FE_OFN1023_n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][0]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1498_n_10306),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
-	.RESET_B(FE_OFN68_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
-	.SCE(n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][1]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1513_n_10321),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
-	.SCE(n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][2]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_10325),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
-	.SCE(n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][3]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1514_n_10322),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][4]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1516_n_10324),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
-	.RESET_B(FE_OFN68_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
-	.SCE(n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][5]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1515_n_10323),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][6]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1486_n_10023),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
-	.SCE(n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][7]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1488_n_10106),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
-	.SCE(n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][8]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15958_n_10504),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][9]  (
-	.CLK(CTS_62),
-	.D(FE_OFN5764_n_10507),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][10]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15959_n_10506),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
-	.RESET_B(FE_OFN201_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][11]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15964_n_10509),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][12]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15963_n_10508),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][13]  (
-	.CLK(CTS_66),
-	.D(n_10505),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][14]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1364_n_10251),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][15]  (
-	.CLK(CTS_70),
-	.D(n_10250),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
-	.RESET_B(FE_OFN196_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][16]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1499_n_10307),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
-	.SCE(n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][17]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1500_n_10308),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][18]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1501_n_10309),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][19]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1502_n_10310),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][20]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1503_n_10311),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
-	.SCE(n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][21]  (
-	.CLK(CTS_75),
-	.D(FE_OFN13726_n_10312),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][22]  (
-	.CLK(CTS_65),
-	.D(n_10313),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][23]  (
-	.CLK(CTS_46),
-	.D(FE_OFN1506_n_10314),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
-	.SCE(n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][24]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1507_n_10315),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][25]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1508_n_10316),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][26]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1530_n_10386),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][27]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1509_n_10317),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
-	.RESET_B(FE_OFN68_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
-	.SCE(n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][28]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1529_n_10385),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][29]  (
-	.CLK(CTS_46),
-	.D(FE_OFN5765_n_10318),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
-	.SCE(n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][30]  (
-	.CLK(CTS_64),
-	.D(n_10319),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][31]  (
-	.CLK(CTS_75),
-	.D(n_10320),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
-	.SCE(FE_OFN995_n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][0]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1498_n_10306),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][1]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1513_n_10321),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][2]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_10325),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][3]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1514_n_10322),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][4]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1516_n_10324),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
-	.RESET_B(FE_OFN68_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][5]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1515_n_10323),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][6]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1486_n_10023),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][7]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1488_n_10106),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][8]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15958_n_10504),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][9]  (
-	.CLK(CTS_62),
-	.D(FE_OFN5764_n_10507),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][10]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15959_n_10506),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
-	.RESET_B(FE_OFN201_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][11]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15964_n_10509),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][12]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15963_n_10508),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][13]  (
-	.CLK(CTS_70),
-	.D(n_10505),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][14]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1364_n_10251),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][15]  (
-	.CLK(CTS_70),
-	.D(n_10250),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
-	.RESET_B(FE_OFN196_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][16]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1499_n_10307),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][17]  (
-	.CLK(CTS_66),
-	.D(FE_OFN1500_n_10308),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][18]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1501_n_10309),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][19]  (
-	.CLK(CTS_66),
-	.D(FE_OFN1502_n_10310),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][20]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1503_n_10311),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][21]  (
-	.CLK(CTS_75),
-	.D(FE_OFN13726_n_10312),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][22]  (
-	.CLK(CTS_65),
-	.D(n_10313),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][23]  (
-	.CLK(CTS_46),
-	.D(FE_OFN1506_n_10314),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][24]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1507_n_10315),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][25]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1508_n_10316),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][26]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1530_n_10386),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][27]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1509_n_10317),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][28]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1529_n_10385),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][29]  (
-	.CLK(CTS_46),
-	.D(FE_OFN5765_n_10318),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][30]  (
-	.CLK(CTS_64),
-	.D(n_10319),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][31]  (
-	.CLK(CTS_66),
-	.D(n_10320),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
-	.RESET_B(FE_OFN189_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
-	.SCE(FE_OFN996_n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][0]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1498_n_10306),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][1]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1513_n_10321),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][2]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_10325),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][3]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1514_n_10322),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][4]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1516_n_10324),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
-	.RESET_B(FE_OFN68_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][5]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1515_n_10323),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][6]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1486_n_10023),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][7]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1488_n_10106),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][8]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15958_n_10504),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][9]  (
-	.CLK(CTS_62),
-	.D(FE_OFN5764_n_10507),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][10]  (
-	.CLK(CTS_75),
-	.D(FE_OFN15959_n_10506),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][11]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15964_n_10509),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][12]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15963_n_10508),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][13]  (
-	.CLK(CTS_70),
-	.D(n_10505),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][14]  (
-	.CLK(CTS_70),
-	.D(n_10251),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][15]  (
-	.CLK(CTS_70),
-	.D(n_10250),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
-	.RESET_B(FE_OFN196_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][16]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1499_n_10307),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][17]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1500_n_10308),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][18]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1501_n_10309),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][19]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1502_n_10310),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][20]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1503_n_10311),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][21]  (
-	.CLK(CTS_75),
-	.D(FE_OFN13726_n_10312),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][22]  (
-	.CLK(CTS_64),
-	.D(n_10313),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][23]  (
-	.CLK(CTS_46),
-	.D(FE_OFN1506_n_10314),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][24]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1507_n_10315),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][25]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1508_n_10316),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][26]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1530_n_10386),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][27]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1509_n_10317),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
-	.RESET_B(FE_OFN68_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][28]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1529_n_10385),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][29]  (
-	.CLK(CTS_59),
-	.D(FE_OFN5765_n_10318),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][30]  (
-	.CLK(CTS_64),
-	.D(n_10319),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][31]  (
-	.CLK(CTS_70),
-	.D(n_10320),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
-	.RESET_B(FE_OFN189_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
-	.SCE(FE_OFN997_n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][0]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1498_n_10306),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
-	.SCE(n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][1]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1513_n_10321),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
-	.SCE(n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][2]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_10325),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
-	.SCE(n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][3]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1514_n_10322),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][4]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1516_n_10324),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
-	.SCE(n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][5]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1515_n_10323),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][6]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1486_n_10023),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
-	.SCE(n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][7]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1488_n_10106),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
-	.SCE(n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][8]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15958_n_10504),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][9]  (
-	.CLK(CTS_62),
-	.D(FE_OFN5764_n_10507),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][10]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15959_n_10506),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][11]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15964_n_10509),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][12]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15963_n_10508),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
-	.RESET_B(FE_OFN201_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][13]  (
-	.CLK(CTS_70),
-	.D(n_10505),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][14]  (
-	.CLK(CTS_70),
-	.D(n_10251),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][15]  (
-	.CLK(CTS_70),
-	.D(n_10250),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
-	.RESET_B(FE_OFN196_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][16]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1499_n_10307),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
-	.SCE(n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][17]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1500_n_10308),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][18]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1501_n_10309),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][19]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1502_n_10310),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][20]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1503_n_10311),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
-	.SCE(n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][21]  (
-	.CLK(CTS_75),
-	.D(FE_OFN13726_n_10312),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][22]  (
-	.CLK(CTS_64),
-	.D(n_10313),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][23]  (
-	.CLK(CTS_46),
-	.D(FE_OFN1506_n_10314),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
-	.SCE(n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][24]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1507_n_10315),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][25]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1508_n_10316),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][26]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1530_n_10386),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][27]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1509_n_10317),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
-	.SCE(n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][28]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1529_n_10385),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][29]  (
-	.CLK(CTS_46),
-	.D(FE_OFN5765_n_10318),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
-	.SCE(n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][30]  (
-	.CLK(CTS_64),
-	.D(n_10319),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][31]  (
-	.CLK(CTS_75),
-	.D(n_10320),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
-	.SCE(FE_OFN998_n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][0]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1498_n_10306),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][1]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1513_n_10321),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][2]  (
-	.CLK(CTS_46),
-	.D(FE_OFN1517_n_10325),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][3]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1514_n_10322),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][4]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1516_n_10324),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][5]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1515_n_10323),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][6]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1486_n_10023),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][7]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1488_n_10106),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][8]  (
-	.CLK(CTS_61),
-	.D(FE_OFN15958_n_10504),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][9]  (
-	.CLK(CTS_62),
-	.D(FE_OFN5764_n_10507),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][10]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15959_n_10506),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
-	.RESET_B(FE_OFN201_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][11]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15964_n_10509),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][12]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15963_n_10508),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][13]  (
-	.CLK(CTS_70),
-	.D(n_10505),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][14]  (
-	.CLK(CTS_70),
-	.D(n_10251),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][15]  (
-	.CLK(CTS_70),
-	.D(n_10250),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][16]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1499_n_10307),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][17]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1500_n_10308),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][18]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1501_n_10309),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1502_n_10310),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][20]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1503_n_10311),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][21]  (
-	.CLK(CTS_75),
-	.D(FE_OFN13726_n_10312),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][22]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1505_n_10313),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][23]  (
-	.CLK(CTS_46),
-	.D(FE_OFN1506_n_10314),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][24]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1507_n_10315),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][25]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1508_n_10316),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][26]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1530_n_10386),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][27]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1509_n_10317),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][28]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1529_n_10385),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][29]  (
-	.CLK(CTS_46),
-	.D(FE_OFN5765_n_10318),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][30]  (
-	.CLK(CTS_64),
-	.D(n_10319),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][31]  (
-	.CLK(CTS_75),
-	.D(n_10320),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
-	.SCE(FE_OFN999_n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][0]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1498_n_10306),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
-	.SCE(n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][1]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1513_n_10321),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
-	.SCE(n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][2]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_10325),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
-	.SCE(n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][3]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1514_n_10322),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][4]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1516_n_10324),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
-	.RESET_B(FE_OFN68_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
-	.SCE(n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][5]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1515_n_10323),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][6]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1486_n_10023),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
-	.SCE(n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][7]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1488_n_10106),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
-	.SCE(n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][8]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15958_n_10504),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][9]  (
-	.CLK(CTS_62),
-	.D(FE_OFN5764_n_10507),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
-	.SCE(n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][10]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15959_n_10506),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][11]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15964_n_10509),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][12]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15963_n_10508),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][13]  (
-	.CLK(CTS_70),
-	.D(n_10505),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][14]  (
-	.CLK(CTS_70),
-	.D(n_10251),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][15]  (
-	.CLK(CTS_70),
-	.D(n_10250),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][16]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1499_n_10307),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
-	.RESET_B(FE_OFN20_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
-	.SCE(n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][17]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1500_n_10308),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][18]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1501_n_10309),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1502_n_10310),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][20]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1503_n_10311),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
-	.SCE(n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][21]  (
-	.CLK(CTS_75),
-	.D(FE_OFN13726_n_10312),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][22]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1505_n_10313),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][23]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1506_n_10314),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
-	.SCE(n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][24]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1507_n_10315),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][25]  (
-	.CLK(CTS_70),
-	.D(FE_OFN1508_n_10316),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][26]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1530_n_10386),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][27]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1509_n_10317),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
-	.SCE(n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][28]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1529_n_10385),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][29]  (
-	.CLK(CTS_67),
-	.D(FE_OFN5765_n_10318),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
-	.SCE(n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][30]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1511_n_10319),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][31]  (
-	.CLK(CTS_70),
-	.D(n_10320),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
-	.SCE(FE_OFN1000_n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][0]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1498_n_10306),
-	.SCE(n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][1]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN1513_n_10321),
-	.SCE(n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][2]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1517_n_10325),
-	.SCE(n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][3]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1514_n_10322),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][4]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
-	.RESET_B(FE_OFN13_system_rst_ni),
-	.SCD(FE_OFN1516_n_10324),
-	.SCE(n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][5]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1515_n_10323),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][6]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1486_n_10023),
-	.SCE(n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][7]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
-	.RESET_B(FE_OFN17_system_rst_ni),
-	.SCD(FE_OFN1488_n_10106),
-	.SCE(n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][8]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15958_n_10504),
-	.SCE(n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][9]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(FE_OFN5764_n_10507),
-	.SCE(n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][10]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(FE_OFN15959_n_10506),
-	.SCE(n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][11]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15964_n_10509),
-	.SCE(n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][12]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15963_n_10508),
-	.SCE(n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][13]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(n_10505),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][14]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(n_10251),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][15]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(FE_OFN1492_n_10250),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][16]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
-	.RESET_B(FE_OFN17_system_rst_ni),
-	.SCD(FE_OFN1499_n_10307),
-	.SCE(n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][17]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1500_n_10308),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][18]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1501_n_10309),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][19]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
-	.RESET_B(FE_OFN190_system_rst_ni),
-	.SCD(FE_OFN1502_n_10310),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][20]  (
-	.CLK(CTS_64),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(FE_OFN1503_n_10311),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][21]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN13726_n_10312),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][22]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1505_n_10313),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][23]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN1506_n_10314),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][24]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1507_n_10315),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][25]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1508_n_10316),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][26]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1530_n_10386),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][27]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1509_n_10317),
-	.SCE(n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][28]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(FE_OFN1529_n_10385),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][29]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN5765_n_10318),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][30]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1511_n_10319),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][31]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(FE_OFN1512_n_10320),
-	.SCE(FE_OFN1001_n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][0]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1498_n_10306),
-	.SCE(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][1]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN1513_n_10321),
-	.SCE(FE_OFN1002_n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][2]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1517_n_10325),
-	.SCE(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][3]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1514_n_10322),
-	.SCE(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][4]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1516_n_10324),
-	.SCE(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][5]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1515_n_10323),
-	.SCE(FE_OFN1002_n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][6]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1486_n_10023),
-	.SCE(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][7]  (
-	.CLK(CTS_54),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(FE_OFN1488_n_10106),
-	.SCE(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][8]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN15958_n_10504),
-	.SCE(FE_OFN1002_n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][9]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(FE_OFN5764_n_10507),
-	.SCE(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][10]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(FE_OFN15959_n_10506),
-	.SCE(FE_OFN1002_n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][11]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15964_n_10509),
-	.SCE(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][12]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15963_n_10508),
-	.SCE(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][13]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(n_10505),
-	.SCE(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][14]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(n_10251),
-	.SCE(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][15]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1492_n_10250),
-	.SCE(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][16]  (
-	.CLK(CTS_54),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(FE_OFN1499_n_10307),
-	.SCE(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][17]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
-	.RESET_B(FE_OFN190_system_rst_ni),
-	.SCD(FE_OFN1500_n_10308),
-	.SCE(FE_OFN1002_n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][18]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1501_n_10309),
-	.SCE(FE_OFN1002_n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][19]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1502_n_10310),
-	.SCE(FE_OFN1002_n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][20]  (
-	.CLK(CTS_64),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(FE_OFN1503_n_10311),
-	.SCE(FE_OFN1002_n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][21]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN13726_n_10312),
-	.SCE(FE_OFN1002_n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][22]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1505_n_10313),
-	.SCE(FE_OFN1002_n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][23]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN1506_n_10314),
-	.SCE(FE_OFN1002_n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][24]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1507_n_10315),
-	.SCE(FE_OFN1002_n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][25]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1508_n_10316),
-	.SCE(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][26]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1530_n_10386),
-	.SCE(FE_OFN1002_n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][27]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1509_n_10317),
-	.SCE(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][28]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(FE_OFN1529_n_10385),
-	.SCE(FE_OFN1002_n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][29]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN5765_n_10318),
-	.SCE(FE_OFN1002_n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][30]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1511_n_10319),
-	.SCE(FE_OFN1002_n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][31]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1512_n_10320),
-	.SCE(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][0]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1498_n_10306),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][1]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN1513_n_10321),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][2]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1517_n_10325),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][3]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1514_n_10322),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][4]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
-	.RESET_B(FE_OFN13_system_rst_ni),
-	.SCD(FE_OFN1516_n_10324),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][5]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1515_n_10323),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][6]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1486_n_10023),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][7]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
-	.RESET_B(FE_OFN17_system_rst_ni),
-	.SCD(FE_OFN1488_n_10106),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][8]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN15958_n_10504),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][9]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(FE_OFN5764_n_10507),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][10]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(FE_OFN15959_n_10506),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][11]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15964_n_10509),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][12]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15963_n_10508),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][13]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(n_10505),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][14]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(n_10251),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][15]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(FE_OFN1492_n_10250),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][16]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
-	.RESET_B(FE_OFN17_system_rst_ni),
-	.SCD(FE_OFN1499_n_10307),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][17]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1500_n_10308),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][18]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1501_n_10309),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][19]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1502_n_10310),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][20]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(FE_OFN1503_n_10311),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][21]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN13726_n_10312),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][22]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1505_n_10313),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][23]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN1506_n_10314),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][24]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1507_n_10315),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][25]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(FE_OFN1508_n_10316),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][26]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1530_n_10386),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][27]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1509_n_10317),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][28]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(FE_OFN1529_n_10385),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][29]  (
-	.CLK(CTS_64),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN5765_n_10318),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][30]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1511_n_10319),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][31]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(FE_OFN1512_n_10320),
-	.SCE(FE_OFN1003_n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][0]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1498_n_10306),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][1]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN1513_n_10321),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][2]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
-	.RESET_B(FE_OFN13_system_rst_ni),
-	.SCD(FE_OFN1517_n_10325),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][3]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1514_n_10322),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][4]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
-	.RESET_B(FE_OFN13_system_rst_ni),
-	.SCD(FE_OFN1516_n_10324),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][5]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1515_n_10323),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][6]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1486_n_10023),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][7]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
-	.RESET_B(FE_OFN17_system_rst_ni),
-	.SCD(FE_OFN1488_n_10106),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][8]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN15958_n_10504),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][9]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(FE_OFN5764_n_10507),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][10]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(FE_OFN15959_n_10506),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][11]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15964_n_10509),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][12]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15963_n_10508),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][13]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(n_10505),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][14]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(n_10251),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][15]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(FE_OFN1492_n_10250),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][16]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
-	.RESET_B(FE_OFN17_system_rst_ni),
-	.SCD(FE_OFN1499_n_10307),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][17]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1500_n_10308),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][18]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1501_n_10309),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][19]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1502_n_10310),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][20]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1503_n_10311),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][21]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN13726_n_10312),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][22]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1505_n_10313),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][23]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN1506_n_10314),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][24]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1507_n_10315),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][25]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1508_n_10316),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][26]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1530_n_10386),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][27]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1509_n_10317),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][28]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(FE_OFN1529_n_10385),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][29]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN5765_n_10318),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][30]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1511_n_10319),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][31]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(FE_OFN1512_n_10320),
-	.SCE(FE_OFN1004_n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][0]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1498_n_10306),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][1]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1513_n_10321),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][2]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1517_n_10325),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][3]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1514_n_10322),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][4]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1516_n_10324),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][5]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1515_n_10323),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][6]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1486_n_10023),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][7]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(FE_OFN1488_n_10106),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][8]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN15958_n_10504),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][9]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN5764_n_10507),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][10]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(FE_OFN15959_n_10506),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][11]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15964_n_10509),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][12]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15963_n_10508),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][13]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(n_10505),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][14]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(n_10251),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][15]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(FE_OFN1492_n_10250),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][16]  (
-	.CLK(CTS_54),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(FE_OFN1499_n_10307),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][17]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1500_n_10308),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][18]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1501_n_10309),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][19]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
-	.RESET_B(FE_OFN147_system_rst_ni),
-	.SCD(FE_OFN1502_n_10310),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][20]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(FE_OFN1503_n_10311),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][21]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN13726_n_10312),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][22]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1505_n_10313),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][23]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN1506_n_10314),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][24]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1507_n_10315),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][25]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1508_n_10316),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][26]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1530_n_10386),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][27]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1509_n_10317),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][28]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(FE_OFN1529_n_10385),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][29]  (
-	.CLK(CTS_64),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN5765_n_10318),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][30]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1511_n_10319),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][31]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(FE_OFN1512_n_10320),
-	.SCE(FE_OFN1015_n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][0]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1498_n_10306),
-	.SCE(FE_OFN1006_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][1]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN1513_n_10321),
-	.SCE(FE_OFN1007_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][2]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1517_n_10325),
-	.SCE(FE_OFN1006_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][3]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1514_n_10322),
-	.SCE(FE_OFN1006_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][4]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1516_n_10324),
-	.SCE(FE_OFN1006_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][5]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1515_n_10323),
-	.SCE(FE_OFN1007_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][6]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1486_n_10023),
-	.SCE(FE_OFN1006_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][7]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(FE_OFN1488_n_10106),
-	.SCE(FE_OFN1006_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][8]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15958_n_10504),
-	.SCE(FE_OFN1007_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][9]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN5764_n_10507),
-	.SCE(FE_OFN1006_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][10]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(FE_OFN15959_n_10506),
-	.SCE(FE_OFN1007_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][11]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15964_n_10509),
-	.SCE(FE_OFN1006_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][12]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(FE_OFN15963_n_10508),
-	.SCE(FE_OFN1006_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][13]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(n_10505),
-	.SCE(FE_OFN1006_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][14]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1364_n_10251),
-	.SCE(FE_OFN1006_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][15]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1492_n_10250),
-	.SCE(FE_OFN1006_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][16]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(FE_OFN1499_n_10307),
-	.SCE(FE_OFN1006_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][17]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN1500_n_10308),
-	.SCE(FE_OFN1007_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][18]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1501_n_10309),
-	.SCE(FE_OFN1007_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][19]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
-	.RESET_B(FE_OFN147_system_rst_ni),
-	.SCD(FE_OFN1502_n_10310),
-	.SCE(FE_OFN1007_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][20]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1503_n_10311),
-	.SCE(FE_OFN1007_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][21]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN13726_n_10312),
-	.SCE(FE_OFN1007_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][22]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1505_n_10313),
-	.SCE(FE_OFN1007_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][23]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN1506_n_10314),
-	.SCE(FE_OFN1007_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][24]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
-	.RESET_B(FE_OFN192_system_rst_ni),
-	.SCD(FE_OFN1507_n_10315),
-	.SCE(FE_OFN1007_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][25]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1508_n_10316),
-	.SCE(FE_OFN1006_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][26]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1530_n_10386),
-	.SCE(FE_OFN1007_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][27]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1509_n_10317),
-	.SCE(FE_OFN1006_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][28]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(FE_OFN1529_n_10385),
-	.SCE(FE_OFN1007_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][29]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN5765_n_10318),
-	.SCE(FE_OFN1007_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][30]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1511_n_10319),
-	.SCE(FE_OFN1007_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][31]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1512_n_10320),
-	.SCE(FE_OFN1006_n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][0]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1498_n_10306),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][1]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1513_n_10321),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][2]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1517_n_10325),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][3]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1514_n_10322),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][4]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
-	.RESET_B(FE_OFN13_system_rst_ni),
-	.SCD(FE_OFN1516_n_10324),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][5]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1515_n_10323),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][6]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1486_n_10023),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][7]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
-	.RESET_B(FE_OFN36_system_rst_ni),
-	.SCD(FE_OFN1488_n_10106),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][8]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(FE_OFN15958_n_10504),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][9]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(FE_OFN5764_n_10507),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][10]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(FE_OFN15959_n_10506),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][11]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15964_n_10509),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][12]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
-	.RESET_B(FE_OFN201_system_rst_ni),
-	.SCD(FE_OFN15963_n_10508),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][13]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(n_10505),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][14]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(n_10251),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][15]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(FE_OFN1492_n_10250),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][16]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
-	.RESET_B(FE_OFN17_system_rst_ni),
-	.SCD(FE_OFN1499_n_10307),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][17]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1500_n_10308),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][18]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1501_n_10309),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][19]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1502_n_10310),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][20]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(FE_OFN1503_n_10311),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][21]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN13726_n_10312),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][22]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1505_n_10313),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][23]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN1506_n_10314),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][24]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1507_n_10315),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][25]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1508_n_10316),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][26]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1530_n_10386),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][27]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1509_n_10317),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][28]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1529_n_10385),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][29]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN5765_n_10318),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][30]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1511_n_10319),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][31]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(FE_OFN1512_n_10320),
-	.SCE(FE_OFN1022_n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][0]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1498_n_10306),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][1]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1513_n_10321),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][2]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
-	.RESET_B(FE_OFN13_system_rst_ni),
-	.SCD(FE_OFN1517_n_10325),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][3]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1514_n_10322),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][4]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
-	.RESET_B(FE_OFN13_system_rst_ni),
-	.SCD(FE_OFN1516_n_10324),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][5]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1515_n_10323),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][6]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1486_n_10023),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][7]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
-	.RESET_B(FE_OFN17_system_rst_ni),
-	.SCD(FE_OFN1488_n_10106),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][8]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15958_n_10504),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][9]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(FE_OFN5764_n_10507),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][10]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(FE_OFN15959_n_10506),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][11]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15964_n_10509),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][12]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15963_n_10508),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][13]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(n_10505),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][14]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1364_n_10251),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][15]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1492_n_10250),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][16]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
-	.RESET_B(FE_OFN36_system_rst_ni),
-	.SCD(FE_OFN1499_n_10307),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][17]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1500_n_10308),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][18]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1501_n_10309),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][19]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
-	.RESET_B(FE_OFN147_system_rst_ni),
-	.SCD(FE_OFN1502_n_10310),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][20]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1503_n_10311),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][21]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
-	.RESET_B(FE_OFN190_system_rst_ni),
-	.SCD(FE_OFN13726_n_10312),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][22]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1505_n_10313),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][23]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN1506_n_10314),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][24]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1507_n_10315),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][25]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1508_n_10316),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][26]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1530_n_10386),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][27]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1509_n_10317),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][28]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
-	.RESET_B(FE_OFN194_system_rst_ni),
-	.SCD(FE_OFN1529_n_10385),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][29]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN5765_n_10318),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][30]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1511_n_10319),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][31]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1512_n_10320),
-	.SCE(FE_OFN1020_n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][0]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1498_n_10306),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][1]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1513_n_10321),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][2]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1517_n_10325),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][3]  (
-	.CLK(CTS_73),
-	.D(FE_OFN1514_n_10322),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][4]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1516_n_10324),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
-	.RESET_B(FE_OFN68_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][5]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1515_n_10323),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][6]  (
-	.CLK(CTS_57),
-	.D(FE_OFN1486_n_10023),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][7]  (
-	.CLK(CTS_57),
-	.D(FE_OFN1488_n_10106),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][8]  (
-	.CLK(CTS_61),
-	.D(FE_OFN15958_n_10504),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][9]  (
-	.CLK(CTS_58),
-	.D(FE_OFN5764_n_10507),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][10]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15959_n_10506),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][11]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15964_n_10509),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][12]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15963_n_10508),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
-	.RESET_B(FE_OFN201_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][13]  (
-	.CLK(CTS_72),
-	.D(n_10505),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][14]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1364_n_10251),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][15]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1492_n_10250),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][16]  (
-	.CLK(CTS_57),
-	.D(FE_OFN1499_n_10307),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][17]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1500_n_10308),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][18]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1501_n_10309),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1502_n_10310),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
-	.RESET_B(FE_OFN147_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][20]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1503_n_10311),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][21]  (
-	.CLK(CTS_75),
-	.D(FE_OFN13726_n_10312),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][22]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1505_n_10313),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][23]  (
-	.CLK(CTS_67),
-	.D(n_10314),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][24]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1507_n_10315),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
-	.RESET_B(FE_OFN200_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][25]  (
-	.CLK(CTS_73),
-	.D(FE_OFN1508_n_10316),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][26]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1530_n_10386),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][27]  (
-	.CLK(CTS_57),
-	.D(FE_OFN1509_n_10317),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][28]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1529_n_10385),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][29]  (
-	.CLK(CTS_67),
-	.D(FE_OFN5765_n_10318),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][30]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1511_n_10319),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][31]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1512_n_10320),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
-	.SCE(FE_OFN1009_n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][0]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1498_n_10306),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][1]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1513_n_10321),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][2]  (
-	.CLK(CTS_58),
-	.D(FE_OFN1517_n_10325),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][3]  (
-	.CLK(CTS_73),
-	.D(FE_OFN1514_n_10322),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][4]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1516_n_10324),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][5]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1515_n_10323),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][6]  (
-	.CLK(CTS_57),
-	.D(FE_OFN1486_n_10023),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][7]  (
-	.CLK(CTS_57),
-	.D(FE_OFN1488_n_10106),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][8]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15958_n_10504),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][9]  (
-	.CLK(CTS_62),
-	.D(FE_OFN5764_n_10507),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][10]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15959_n_10506),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][11]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15964_n_10509),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][12]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15963_n_10508),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][13]  (
-	.CLK(CTS_73),
-	.D(n_10505),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][14]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1364_n_10251),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][15]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1492_n_10250),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][16]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1499_n_10307),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][17]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1500_n_10308),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][18]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1501_n_10309),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1502_n_10310),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
-	.RESET_B(FE_OFN147_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][20]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1503_n_10311),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][21]  (
-	.CLK(CTS_75),
-	.D(FE_OFN13726_n_10312),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][22]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1505_n_10313),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][23]  (
-	.CLK(CTS_67),
-	.D(n_10314),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][24]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1507_n_10315),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
-	.RESET_B(FE_OFN200_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][25]  (
-	.CLK(CTS_73),
-	.D(FE_OFN1508_n_10316),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][26]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1530_n_10386),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][27]  (
-	.CLK(CTS_57),
-	.D(FE_OFN1509_n_10317),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][28]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1529_n_10385),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][29]  (
-	.CLK(CTS_67),
-	.D(FE_OFN5765_n_10318),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][30]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1511_n_10319),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][31]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1512_n_10320),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
-	.SCE(FE_OFN1013_n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][0]  (
-	.CLK(CTS_58),
-	.D(FE_OFN1498_n_10306),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][1]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1513_n_10321),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
-	.RESET_B(FE_OFN141_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][2]  (
-	.CLK(CTS_58),
-	.D(FE_OFN1517_n_10325),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][3]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1514_n_10322),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][4]  (
-	.CLK(CTS_58),
-	.D(FE_OFN1516_n_10324),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
-	.RESET_B(FE_OFN13_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][5]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1515_n_10323),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][6]  (
-	.CLK(CTS_57),
-	.D(FE_OFN1486_n_10023),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][7]  (
-	.CLK(CTS_57),
-	.D(FE_OFN1488_n_10106),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][8]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15958_n_10504),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][9]  (
-	.CLK(CTS_58),
-	.D(FE_OFN5764_n_10507),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][10]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15959_n_10506),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][11]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15964_n_10509),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][12]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15963_n_10508),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
-	.RESET_B(FE_OFN201_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][13]  (
-	.CLK(CTS_72),
-	.D(n_10505),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][14]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1364_n_10251),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][15]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1492_n_10250),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][16]  (
-	.CLK(CTS_57),
-	.D(FE_OFN1499_n_10307),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][17]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1500_n_10308),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][18]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1501_n_10309),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][19]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1502_n_10310),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][20]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1503_n_10311),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][21]  (
-	.CLK(CTS_75),
-	.D(FE_OFN13726_n_10312),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
-	.RESET_B(FE_OFN190_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][22]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1505_n_10313),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][23]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1506_n_10314),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][24]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1507_n_10315),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][25]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1508_n_10316),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
-	.RESET_B(FE_OFN190_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][26]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1530_n_10386),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][27]  (
-	.CLK(CTS_57),
-	.D(FE_OFN1509_n_10317),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][28]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1529_n_10385),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][29]  (
-	.CLK(CTS_67),
-	.D(FE_OFN5765_n_10318),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
-	.RESET_B(FE_OFN193_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][30]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1511_n_10319),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][31]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1512_n_10320),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
-	.RESET_B(FE_OFN190_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
-	.SCE(FE_OFN1019_n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][0]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1498_n_10306),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][1]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1513_n_10321),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][2]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1517_n_10325),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][3]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1514_n_10322),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][4]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
-	.RESET_B(FE_OFN13_system_rst_ni),
-	.SCD(FE_OFN1516_n_10324),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][5]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1515_n_10323),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][6]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1486_n_10023),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][7]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1488_n_10106),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][8]  (
-	.CLK(CTS_61),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15958_n_10504),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][9]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN5764_n_10507),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][10]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15959_n_10506),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][11]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15964_n_10509),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][12]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
-	.RESET_B(FE_OFN201_system_rst_ni),
-	.SCD(FE_OFN15963_n_10508),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][13]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(n_10505),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][14]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1364_n_10251),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][15]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1492_n_10250),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][16]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1499_n_10307),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][17]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1500_n_10308),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][18]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1501_n_10309),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][19]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1502_n_10310),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][20]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1503_n_10311),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][21]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
-	.RESET_B(FE_OFN190_system_rst_ni),
-	.SCD(FE_OFN13726_n_10312),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][22]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1505_n_10313),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][23]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(n_10314),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][24]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(FE_OFN1507_n_10315),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][25]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
-	.RESET_B(FE_OFN190_system_rst_ni),
-	.SCD(FE_OFN1508_n_10316),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][26]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1530_n_10386),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][27]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1509_n_10317),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][28]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1529_n_10385),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][29]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN5765_n_10318),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][30]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1511_n_10319),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][31]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
-	.RESET_B(FE_OFN190_system_rst_ni),
-	.SCD(FE_OFN1512_n_10320),
-	.SCE(FE_OFN1005_n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][0]  (
-	.CLK(CTS_58),
-	.D(FE_OFN1498_n_10306),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][1]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1513_n_10321),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][2]  (
-	.CLK(CTS_58),
-	.D(FE_OFN1517_n_10325),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][3]  (
-	.CLK(CTS_73),
-	.D(FE_OFN1514_n_10322),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][4]  (
-	.CLK(CTS_58),
-	.D(FE_OFN1516_n_10324),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][5]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1515_n_10323),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][6]  (
-	.CLK(CTS_58),
-	.D(FE_OFN1486_n_10023),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][7]  (
-	.CLK(CTS_57),
-	.D(FE_OFN1488_n_10106),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][8]  (
-	.CLK(CTS_61),
-	.D(FE_OFN15958_n_10504),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][9]  (
-	.CLK(CTS_62),
-	.D(FE_OFN5764_n_10507),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][10]  (
-	.CLK(CTS_65),
-	.D(FE_OFN15959_n_10506),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][11]  (
-	.CLK(CTS_62),
-	.D(FE_OFN15964_n_10509),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][12]  (
-	.CLK(CTS_66),
-	.D(FE_OFN15963_n_10508),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][13]  (
-	.CLK(CTS_73),
-	.D(n_10505),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][14]  (
-	.CLK(CTS_73),
-	.D(FE_OFN1364_n_10251),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][15]  (
-	.CLK(CTS_73),
-	.D(FE_OFN1492_n_10250),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][16]  (
-	.CLK(CTS_57),
-	.D(FE_OFN1499_n_10307),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][17]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1500_n_10308),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][18]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1501_n_10309),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1502_n_10310),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
-	.RESET_B(FE_OFN147_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][20]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1503_n_10311),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][21]  (
-	.CLK(CTS_75),
-	.D(FE_OFN13726_n_10312),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][22]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1505_n_10313),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][23]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1506_n_10314),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][24]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1507_n_10315),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][25]  (
-	.CLK(CTS_73),
-	.D(FE_OFN1508_n_10316),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][26]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1530_n_10386),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][27]  (
-	.CLK(CTS_57),
-	.D(FE_OFN1509_n_10317),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][28]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1529_n_10385),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][29]  (
-	.CLK(CTS_67),
-	.D(FE_OFN5765_n_10318),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][30]  (
-	.CLK(CTS_71),
-	.D(FE_OFN1511_n_10319),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][31]  (
-	.CLK(CTS_75),
-	.D(FE_OFN1512_n_10320),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
-	.SCE(FE_OFN1018_n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][0]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1498_n_10306),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][1]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1513_n_10321),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][2]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1517_n_10325),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][3]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1514_n_10322),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][4]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1516_n_10324),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][5]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1515_n_10323),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][6]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1486_n_10023),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][7]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(FE_OFN1488_n_10106),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][8]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15958_n_10504),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][9]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN5764_n_10507),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][10]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
-	.RESET_B(FE_OFN188_system_rst_ni),
-	.SCD(FE_OFN15959_n_10506),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][11]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15964_n_10509),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][12]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15963_n_10508),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][13]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(n_10505),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][14]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1364_n_10251),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][15]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1492_n_10250),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][16]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1499_n_10307),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][17]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1500_n_10308),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][18]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1501_n_10309),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][19]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
-	.RESET_B(FE_OFN147_system_rst_ni),
-	.SCD(FE_OFN1502_n_10310),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][20]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1503_n_10311),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][21]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
-	.RESET_B(FE_OFN190_system_rst_ni),
-	.SCD(FE_OFN13726_n_10312),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][22]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1505_n_10313),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][23]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN1506_n_10314),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][24]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1507_n_10315),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][25]  (
-	.CLK(CTS_73),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
-	.RESET_B(FE_OFN88_system_rst_ni),
-	.SCD(FE_OFN1508_n_10316),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][26]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1530_n_10386),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][27]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1509_n_10317),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][28]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
-	.RESET_B(FE_OFN69_system_rst_ni),
-	.SCD(FE_OFN1529_n_10385),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][29]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
-	.RESET_B(FE_OFN70_system_rst_ni),
-	.SCD(FE_OFN5765_n_10318),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][30]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1511_n_10319),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][31]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
-	.RESET_B(FE_OFN190_system_rst_ni),
-	.SCD(FE_OFN1512_n_10320),
-	.SCE(FE_OFN1010_n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][0]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1498_n_10306),
-	.SCE(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][1]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1513_n_10321),
-	.SCE(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][2]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
-	.RESET_B(FE_OFN13_system_rst_ni),
-	.SCD(FE_OFN1517_n_10325),
-	.SCE(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][3]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN1514_n_10322),
-	.SCE(FE_OFN1053_n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][4]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
-	.RESET_B(FE_OFN13_system_rst_ni),
-	.SCD(FE_OFN1516_n_10324),
-	.SCE(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][5]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1515_n_10323),
-	.SCE(FE_OFN1053_n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][6]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
-	.RESET_B(FE_OFN13_system_rst_ni),
-	.SCD(FE_OFN1486_n_10023),
-	.SCE(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][7]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1488_n_10106),
-	.SCE(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][8]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15958_n_10504),
-	.SCE(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][9]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN5764_n_10507),
-	.SCE(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][10]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15959_n_10506),
-	.SCE(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][11]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15964_n_10509),
-	.SCE(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][12]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15963_n_10508),
-	.SCE(FE_OFN1053_n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][13]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(n_10505),
-	.SCE(FE_OFN1053_n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][14]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1364_n_10251),
-	.SCE(FE_OFN1053_n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][15]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1492_n_10250),
-	.SCE(FE_OFN1053_n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][16]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1499_n_10307),
-	.SCE(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][17]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1500_n_10308),
-	.SCE(FE_OFN1053_n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][18]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1501_n_10309),
-	.SCE(FE_OFN1053_n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][19]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1502_n_10310),
-	.SCE(FE_OFN1053_n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][20]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1503_n_10311),
-	.SCE(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][21]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN13726_n_10312),
-	.SCE(FE_OFN1053_n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][22]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1505_n_10313),
-	.SCE(FE_OFN1053_n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][23]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(n_10314),
-	.SCE(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][24]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1507_n_10315),
-	.SCE(FE_OFN1053_n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][25]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
-	.RESET_B(FE_OFN190_system_rst_ni),
-	.SCD(FE_OFN1508_n_10316),
-	.SCE(FE_OFN1053_n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][26]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1530_n_10386),
-	.SCE(FE_OFN1053_n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][27]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1509_n_10317),
-	.SCE(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][28]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1529_n_10385),
-	.SCE(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][29]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN5765_n_10318),
-	.SCE(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][30]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1511_n_10319),
-	.SCE(FE_OFN1053_n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][31]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
-	.RESET_B(FE_OFN190_system_rst_ni),
-	.SCD(FE_OFN1512_n_10320),
-	.SCE(FE_OFN1053_n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][0]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(FE_OFN1498_n_10306),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][1]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1513_n_10321),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][2]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
-	.RESET_B(FE_OFN13_system_rst_ni),
-	.SCD(FE_OFN1517_n_10325),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][3]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN1514_n_10322),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][4]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
-	.RESET_B(FE_OFN13_system_rst_ni),
-	.SCD(FE_OFN1516_n_10324),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][5]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1515_n_10323),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][6]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
-	.RESET_B(FE_OFN13_system_rst_ni),
-	.SCD(FE_OFN1486_n_10023),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][7]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1488_n_10106),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][8]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15958_n_10504),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][9]  (
-	.CLK(CTS_58),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(FE_OFN5764_n_10507),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][10]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15959_n_10506),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][11]  (
-	.CLK(CTS_62),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
-	.RESET_B(FE_OFN178_system_rst_ni),
-	.SCD(FE_OFN15964_n_10509),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][12]  (
-	.CLK(CTS_66),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
-	.RESET_B(FE_OFN202_system_rst_ni),
-	.SCD(FE_OFN15963_n_10508),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][13]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(n_10505),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][14]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1364_n_10251),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][15]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1492_n_10250),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][16]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1499_n_10307),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][17]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1500_n_10308),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][18]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1501_n_10309),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][19]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
-	.RESET_B(FE_OFN191_system_rst_ni),
-	.SCD(FE_OFN1502_n_10310),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][20]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1503_n_10311),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][21]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN13726_n_10312),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][22]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1505_n_10313),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][23]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1506_n_10314),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][24]  (
-	.CLK(CTS_68),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1507_n_10315),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][25]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
-	.RESET_B(FE_OFN190_system_rst_ni),
-	.SCD(FE_OFN1508_n_10316),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][26]  (
-	.CLK(CTS_72),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
-	.RESET_B(FE_OFN197_system_rst_ni),
-	.SCD(FE_OFN1530_n_10386),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][27]  (
-	.CLK(CTS_57),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
-	.RESET_B(FE_OFN37_system_rst_ni),
-	.SCD(FE_OFN1509_n_10317),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][28]  (
-	.CLK(CTS_65),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN1529_n_10385),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][29]  (
-	.CLK(CTS_67),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
-	.RESET_B(FE_OFN67_system_rst_ni),
-	.SCD(FE_OFN5765_n_10318),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][30]  (
-	.CLK(CTS_71),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
-	.RESET_B(FE_OFN199_system_rst_ni),
-	.SCD(FE_OFN1511_n_10319),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][31]  (
-	.CLK(CTS_75),
-	.D(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
-	.Q(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
-	.RESET_B(FE_OFN195_system_rst_ni),
-	.SCD(FE_OFN1512_n_10320),
-	.SCE(FE_OFN993_n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 u_top_u_core_id_stage_i_id_fsm_q_reg (
-	.CLK(CTS_3),
-	.D(n_3023),
-	.Q(u_top_u_core_id_stage_i_id_fsm_q),
-	.RESET_B(FE_OFN24_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][0]  (
-	.CLK(CTS_4),
-	.D(n_10440),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [0]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][1]  (
-	.CLK(CTS_4),
-	.D(n_9970),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [1]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][2]  (
-	.CLK(CTS_3),
-	.D(n_10086),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [2]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][3]  (
-	.CLK(CTS_3),
-	.D(n_9915),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [3]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][4]  (
-	.CLK(CTS_3),
-	.D(n_10102),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [4]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][5]  (
-	.CLK(CTS_3),
-	.D(n_10116),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [5]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][6]  (
-	.CLK(CTS_3),
-	.D(n_9955),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [6]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][7]  (
-	.CLK(CTS_3),
-	.D(n_10110),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [7]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][8]  (
-	.CLK(CTS_3),
-	.D(n_10123),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [8]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][9]  (
-	.CLK(CTS_3),
-	.D(n_9932),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [9]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][10]  (
-	.CLK(CTS_3),
-	.D(n_9929),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [10]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][11]  (
-	.CLK(CTS_3),
-	.D(n_9927),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [11]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][12]  (
-	.CLK(CTS_4),
-	.D(n_10098),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [12]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][13]  (
-	.CLK(CTS_4),
-	.D(n_10117),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [13]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][14]  (
-	.CLK(CTS_8),
-	.D(n_10113),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [14]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][15]  (
-	.CLK(CTS_8),
-	.D(n_9954),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [15]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][16]  (
-	.CLK(CTS_8),
-	.D(n_9963),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [16]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][17]  (
-	.CLK(CTS_8),
-	.D(n_10122),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [17]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][18]  (
-	.CLK(CTS_8),
-	.D(n_10105),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [18]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][19]  (
-	.CLK(CTS_8),
-	.D(n_10099),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [19]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][20]  (
-	.CLK(CTS_8),
-	.D(n_10097),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [20]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][21]  (
-	.CLK(CTS_8),
-	.D(n_10119),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [21]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][22]  (
-	.CLK(CTS_8),
-	.D(n_9959),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [22]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][23]  (
-	.CLK(CTS_8),
-	.D(n_9956),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [23]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][24]  (
-	.CLK(CTS_8),
-	.D(n_10109),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [24]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][25]  (
-	.CLK(CTS_8),
-	.D(n_10112),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [25]),
-	.RESET_B(FE_OFN90_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][26]  (
-	.CLK(CTS_3),
-	.D(n_9957),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [26]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][27]  (
-	.CLK(CTS_3),
-	.D(n_9962),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [27]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][28]  (
-	.CLK(CTS_3),
-	.D(n_10092),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [28]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][29]  (
-	.CLK(CTS_3),
-	.D(n_10124),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [29]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][30]  (
-	.CLK(CTS_3),
-	.D(n_10118),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [30]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][31]  (
-	.CLK(CTS_3),
-	.D(n_10091),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [31]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[0][32]  (
-	.CLK(CTS_3),
-	.D(n_10016),
-	.Q(\u_top_u_core_imd_val_q_ex[0] [32]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][0]  (
-	.CLK(CTS_4),
-	.D(n_8778),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [0]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][1]  (
-	.CLK(CTS_4),
-	.D(n_8792),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [1]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][2]  (
-	.CLK(CTS_4),
-	.D(n_8776),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [2]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][3]  (
-	.CLK(CTS_4),
-	.D(n_8775),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [3]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][4]  (
-	.CLK(CTS_4),
-	.D(n_8774),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [4]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][5]  (
-	.CLK(CTS_4),
-	.D(n_8773),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [5]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][6]  (
-	.CLK(CTS_4),
-	.D(n_8791),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [6]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][7]  (
-	.CLK(CTS_4),
-	.D(n_8771),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [7]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][8]  (
-	.CLK(CTS_4),
-	.D(n_8790),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [8]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][9]  (
-	.CLK(CTS_4),
-	.D(n_8789),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [9]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][10]  (
-	.CLK(CTS_4),
-	.D(n_8768),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [10]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][11]  (
-	.CLK(CTS_4),
-	.D(n_8788),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [11]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][12]  (
-	.CLK(CTS_4),
-	.D(n_8766),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [12]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][13]  (
-	.CLK(CTS_4),
-	.D(n_8765),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [13]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][14]  (
-	.CLK(CTS_4),
-	.D(n_8787),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [14]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][15]  (
-	.CLK(CTS_4),
-	.D(n_8786),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [15]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][16]  (
-	.CLK(CTS_8),
-	.D(n_8762),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [16]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][17]  (
-	.CLK(CTS_8),
-	.D(n_8785),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [17]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][18]  (
-	.CLK(CTS_8),
-	.D(n_8760),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [18]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][19]  (
-	.CLK(CTS_8),
-	.D(n_8759),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [19]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][20]  (
-	.CLK(CTS_8),
-	.D(n_8758),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [20]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][21]  (
-	.CLK(CTS_8),
-	.D(n_8757),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [21]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][22]  (
-	.CLK(CTS_8),
-	.D(n_8756),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [22]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][23]  (
-	.CLK(CTS_4),
-	.D(n_8755),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [23]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][24]  (
-	.CLK(CTS_4),
-	.D(n_8754),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [24]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][25]  (
-	.CLK(CTS_8),
-	.D(n_8753),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [25]),
-	.RESET_B(FE_OFN91_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][26]  (
-	.CLK(CTS_4),
-	.D(n_8784),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [26]),
-	.RESET_B(FE_OFN87_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][27]  (
-	.CLK(CTS_4),
-	.D(n_8751),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [27]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][28]  (
-	.CLK(CTS_4),
-	.D(n_8750),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [28]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][29]  (
-	.CLK(CTS_4),
-	.D(n_8749),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [29]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][30]  (
-	.CLK(CTS_4),
-	.D(n_8783),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [30]),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_id_stage_i_imd_val_q_reg[1][31]  (
-	.CLK(CTS_4),
-	.D(n_8747),
-	.Q(\u_top_u_core_imd_val_q_ex[1] [31]),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q_reg[0]  (
-	.CLK(CTS_6),
-	.D(n_5718),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q [0]),
-	.RESET_B(FE_OFN5_system_rst_ni),
-	.SCD(n_5818),
-	.SCE(n_1265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q_reg[1]  (
-	.CLK(CTS_6),
-	.D(n_7681),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q [1]),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q_reg  (
-	.CLK(CTS_6),
-	.D(n_1741),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q ),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[2]  (
-	.CLK(CTS_2),
-	.D(n_3042),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [2]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [2]),
-	.SCE(n_1981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[3]  (
-	.CLK(CTS_2),
-	.D(n_5671),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [3]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [3]),
-	.SCE(n_1981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[4]  (
-	.CLK(CTS_2),
-	.D(n_8793),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [4]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [4]),
-	.SCE(n_1981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[5]  (
-	.CLK(CTS_2),
-	.D(n_9209),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [5]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [5]),
-	.SCE(n_1981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[6]  (
-	.CLK(CTS_2),
-	.D(n_9748),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [6]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [6]),
-	.SCE(n_1981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[7]  (
-	.CLK(CTS_2),
-	.D(n_10038),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [7]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [7]),
-	.SCE(n_1981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[8]  (
-	.CLK(CTS_2),
-	.D(n_10230),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [8]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [8]),
-	.SCE(n_1981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[9]  (
-	.CLK(CTS_2),
-	.D(n_10628),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [9]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [9]),
-	.SCE(n_1981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[10]  (
-	.CLK(CTS_2),
-	.D(n_10719),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [10]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [10]),
-	.SCE(n_1981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[11]  (
-	.CLK(CTS_9),
-	.D(n_10747),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [11]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [11]),
-	.SCE(n_1981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[2]  (
-	.CLK(CTS_9),
-	.D(n_9001),
-	.Q(u_top_u_core_pc_if[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[3]  (
-	.CLK(CTS_9),
-	.D(n_9005),
-	.Q(u_top_u_core_pc_if[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[4]  (
-	.CLK(CTS_9),
-	.D(n_9004),
-	.Q(u_top_u_core_pc_if[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[5]  (
-	.CLK(CTS_9),
-	.D(n_8907),
-	.Q(u_top_u_core_pc_if[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[6]  (
-	.CLK(CTS_9),
-	.D(n_8971),
-	.Q(u_top_u_core_pc_if[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[7]  (
-	.CLK(CTS_9),
-	.D(n_8970),
-	.Q(u_top_u_core_pc_if[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[8]  (
-	.CLK(CTS_9),
-	.D(n_8969),
-	.Q(u_top_u_core_pc_if[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[9]  (
-	.CLK(CTS_2),
-	.D(n_8968),
-	.Q(u_top_u_core_pc_if[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[10]  (
-	.CLK(CTS_9),
-	.D(n_8946),
-	.Q(u_top_u_core_pc_if[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[11]  (
-	.CLK(CTS_9),
-	.D(n_8945),
-	.Q(u_top_u_core_pc_if[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[12]  (
-	.CLK(CTS_7),
-	.D(n_9192),
-	.Q(u_top_u_core_pc_if[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[13]  (
-	.CLK(CTS_7),
-	.D(n_9191),
-	.Q(u_top_u_core_pc_if[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[14]  (
-	.CLK(CTS_7),
-	.D(n_9190),
-	.Q(u_top_u_core_pc_if[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[15]  (
-	.CLK(CTS_15),
-	.D(n_9189),
-	.Q(u_top_u_core_pc_if[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[16]  (
-	.CLK(CTS_10),
-	.D(n_9188),
-	.Q(u_top_u_core_pc_if[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[17]  (
-	.CLK(CTS_10),
-	.D(n_9187),
-	.Q(u_top_u_core_pc_if[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[18]  (
-	.CLK(CTS_10),
-	.D(n_9186),
-	.Q(u_top_u_core_pc_if[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[19]  (
-	.CLK(CTS_14),
-	.D(n_9185),
-	.Q(u_top_u_core_pc_if[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[20]  (
-	.CLK(CTS_10),
-	.D(n_9184),
-	.Q(u_top_u_core_pc_if[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[21]  (
-	.CLK(CTS_10),
-	.D(n_9183),
-	.Q(u_top_u_core_pc_if[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[22]  (
-	.CLK(CTS_14),
-	.D(n_9182),
-	.Q(u_top_u_core_pc_if[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[23]  (
-	.CLK(CTS_14),
-	.D(n_9181),
-	.Q(u_top_u_core_pc_if[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[24]  (
-	.CLK(CTS_14),
-	.D(n_9180),
-	.Q(u_top_u_core_pc_if[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[25]  (
-	.CLK(CTS_14),
-	.D(n_9179),
-	.Q(u_top_u_core_pc_if[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[26]  (
-	.CLK(CTS_14),
-	.D(n_9178),
-	.Q(u_top_u_core_pc_if[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[27]  (
-	.CLK(CTS_10),
-	.D(n_9177),
-	.Q(u_top_u_core_pc_if[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[28]  (
-	.CLK(FE_USKN3980_CTS_10),
-	.D(n_9193),
-	.Q(u_top_u_core_pc_if[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[29]  (
-	.CLK(CTS_15),
-	.D(n_9575),
-	.Q(u_top_u_core_pc_if[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[30]  (
-	.CLK(FE_USKN3952_CTS_10),
-	.D(n_9194),
-	.Q(u_top_u_core_pc_if[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[31]  (
-	.CLK(FE_USKN3864_CTS_10),
-	.D(n_9195),
-	.Q(u_top_u_core_pc_if[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][0]  (
-	.CLK(CTS_6),
-	.D(n_9664),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][1]  (
-	.CLK(CTS_6),
-	.D(n_9663),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][2]  (
-	.CLK(CTS_17),
-	.D(n_9531),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][3]  (
-	.CLK(CTS_6),
-	.D(n_9530),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][4]  (
-	.CLK(CTS_6),
-	.D(n_9529),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][5]  (
-	.CLK(CTS_6),
-	.D(n_9528),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][6]  (
-	.CLK(CTS_17),
-	.D(n_9527),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][7]  (
-	.CLK(CTS_17),
-	.D(n_9526),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][8]  (
-	.CLK(CTS_6),
-	.D(n_9525),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][9]  (
-	.CLK(CTS_17),
-	.D(n_9524),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][10]  (
-	.CLK(CTS_17),
-	.D(n_9523),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][11]  (
-	.CLK(CTS_17),
-	.D(n_9522),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][12]  (
-	.CLK(CTS_6),
-	.D(n_9521),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][13]  (
-	.CLK(CTS_17),
-	.D(n_9520),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][14]  (
-	.CLK(CTS_17),
-	.D(n_9519),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][15]  (
-	.CLK(CTS_17),
-	.D(n_9518),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][16]  (
-	.CLK(CTS_6),
-	.D(n_9662),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][17]  (
-	.CLK(CTS_6),
-	.D(n_9661),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][18]  (
-	.CLK(CTS_17),
-	.D(n_9517),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][19]  (
-	.CLK(CTS_17),
-	.D(n_9516),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][20]  (
-	.CLK(CTS_6),
-	.D(n_9515),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][21]  (
-	.CLK(CTS_6),
-	.D(n_9514),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][22]  (
-	.CLK(CTS_6),
-	.D(n_9513),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][23]  (
-	.CLK(CTS_17),
-	.D(n_9512),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][24]  (
-	.CLK(CTS_17),
-	.D(n_9511),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][25]  (
-	.CLK(CTS_17),
-	.D(n_9510),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][26]  (
-	.CLK(CTS_17),
-	.D(n_9509),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][27]  (
-	.CLK(CTS_17),
-	.D(n_9508),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][28]  (
-	.CLK(CTS_6),
-	.D(n_9507),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][29]  (
-	.CLK(CTS_17),
-	.D(n_9506),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][30]  (
-	.CLK(CTS_17),
-	.D(n_9505),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][31]  (
-	.CLK(CTS_17),
-	.D(n_9504),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][0]  (
-	.CLK(CTS_6),
-	.D(n_9668),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][1]  (
-	.CLK(CTS_6),
-	.D(n_9667),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][2]  (
-	.CLK(CTS_17),
-	.D(n_9583),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][3]  (
-	.CLK(CTS_6),
-	.D(n_9585),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][4]  (
-	.CLK(CTS_6),
-	.D(n_9586),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][5]  (
-	.CLK(CTS_6),
-	.D(n_9587),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][6]  (
-	.CLK(CTS_17),
-	.D(n_9589),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][7]  (
-	.CLK(CTS_6),
-	.D(n_9590),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][8]  (
-	.CLK(CTS_17),
-	.D(n_9593),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][9]  (
-	.CLK(CTS_17),
-	.D(n_9594),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][10]  (
-	.CLK(CTS_17),
-	.D(n_9628),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][11]  (
-	.CLK(CTS_17),
-	.D(n_9629),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][12]  (
-	.CLK(CTS_6),
-	.D(n_9632),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][13]  (
-	.CLK(CTS_17),
-	.D(n_9577),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][14]  (
-	.CLK(CTS_17),
-	.D(n_9578),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][15]  (
-	.CLK(CTS_17),
-	.D(n_9591),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][16]  (
-	.CLK(CTS_6),
-	.D(n_9666),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][17]  (
-	.CLK(CTS_6),
-	.D(n_9665),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][18]  (
-	.CLK(CTS_17),
-	.D(n_9592),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][19]  (
-	.CLK(CTS_17),
-	.D(n_9595),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][20]  (
-	.CLK(CTS_6),
-	.D(n_9631),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][21]  (
-	.CLK(CTS_6),
-	.D(n_9630),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][22]  (
-	.CLK(CTS_6),
-	.D(n_9541),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][23]  (
-	.CLK(CTS_6),
-	.D(n_9540),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][24]  (
-	.CLK(CTS_17),
-	.D(n_9539),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][25]  (
-	.CLK(CTS_17),
-	.D(n_9538),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][26]  (
-	.CLK(CTS_17),
-	.D(n_9537),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][27]  (
-	.CLK(CTS_17),
-	.D(n_9536),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][28]  (
-	.CLK(CTS_6),
-	.D(n_9535),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][29]  (
-	.CLK(CTS_17),
-	.D(n_9534),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][30]  (
-	.CLK(CTS_17),
-	.D(n_9533),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][31]  (
-	.CLK(CTS_17),
-	.D(n_9532),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][0]  (
-	.CLK(CTS_6),
-	.D(\iccm_to_xbar[d_data] [0]),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [0]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [0]),
-	.SCE(n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][1]  (
-	.CLK(CTS_6),
-	.D(\iccm_to_xbar[d_data] [1]),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [1]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [1]),
-	.SCE(n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][2]  (
-	.CLK(CTS_17),
-	.D(n_4508),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [2]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [2]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][3]  (
-	.CLK(CTS_17),
-	.D(n_4510),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [3]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [3]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][4]  (
-	.CLK(CTS_6),
-	.D(n_4517),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [4]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [4]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][5]  (
-	.CLK(CTS_6),
-	.D(n_4500),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [5]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [5]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][6]  (
-	.CLK(CTS_17),
-	.D(n_4512),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [6]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [6]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][7]  (
-	.CLK(CTS_17),
-	.D(n_4504),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [7]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [7]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][8]  (
-	.CLK(CTS_17),
-	.D(n_8219),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [8]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [8]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][9]  (
-	.CLK(CTS_17),
-	.D(n_8217),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [9]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [9]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][10]  (
-	.CLK(CTS_17),
-	.D(n_8215),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [10]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [10]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][11]  (
-	.CLK(CTS_17),
-	.D(n_8213),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [11]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [11]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][12]  (
-	.CLK(CTS_6),
-	.D(n_8211),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [12]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [12]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][13]  (
-	.CLK(CTS_17),
-	.D(n_8244),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [13]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [13]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][14]  (
-	.CLK(CTS_17),
-	.D(n_8242),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [14]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [14]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][15]  (
-	.CLK(CTS_17),
-	.D(n_8240),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [15]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [15]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][16]  (
-	.CLK(CTS_6),
-	.D(\iccm_to_xbar[d_data] [16]),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [16]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [16]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][17]  (
-	.CLK(CTS_6),
-	.D(\iccm_to_xbar[d_data] [17]),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [17]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [17]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][18]  (
-	.CLK(CTS_17),
-	.D(n_4515),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [18]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [18]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][19]  (
-	.CLK(CTS_17),
-	.D(n_4507),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [19]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [19]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][20]  (
-	.CLK(CTS_6),
-	.D(n_4519),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [20]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [20]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][21]  (
-	.CLK(CTS_6),
-	.D(n_4497),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [21]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [21]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][22]  (
-	.CLK(CTS_6),
-	.D(n_4503),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [22]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [22]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][23]  (
-	.CLK(CTS_6),
-	.D(n_4499),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [23]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [23]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][24]  (
-	.CLK(CTS_17),
-	.D(n_8222),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [24]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [24]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][25]  (
-	.CLK(CTS_17),
-	.D(FE_OFN1338_n_8239),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [25]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [25]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][26]  (
-	.CLK(CTS_17),
-	.D(n_8236),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [26]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [26]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][27]  (
-	.CLK(CTS_17),
-	.D(n_8234),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [27]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [27]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][28]  (
-	.CLK(CTS_6),
-	.D(n_8232),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [28]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [28]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][29]  (
-	.CLK(CTS_17),
-	.D(n_8230),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [29]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [29]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][30]  (
-	.CLK(CTS_17),
-	.D(n_8228),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [30]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [30]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][31]  (
-	.CLK(CTS_17),
-	.D(n_8224),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [31]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [31]),
-	.SCE(FE_OFN980_n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q_reg[0]  (
-	.CLK(CTS_6),
-	.D(n_8932),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q_reg[1]  (
-	.CLK(CTS_6),
-	.D(n_5709),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [1]),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[2]  (
-	.CLK(CTS_2),
-	.D(FE_OFN898_ifu_to_xbar_a_address__2),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [2]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [2]),
-	.SCE(n_3270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[3]  (
-	.CLK(CTS_2),
-	.D(FE_OFN897_ifu_to_xbar_a_address__3),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [3]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [3]),
-	.SCE(n_3270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[4]  (
-	.CLK(CTS_2),
-	.D(FE_OFN896_ifu_to_xbar_a_address__4),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [4]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [4]),
-	.SCE(n_3270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[5]  (
-	.CLK(CTS_2),
-	.D(FE_OFN895_ifu_to_xbar_a_address__5),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [5]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [5]),
-	.SCE(n_3270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[6]  (
-	.CLK(CTS_2),
-	.D(FE_OFN1874_ifu_to_xbar_a_address__6),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [6]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [6]),
-	.SCE(n_3270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[7]  (
-	.CLK(CTS_2),
-	.D(FE_OFN894_ifu_to_xbar_a_address__7),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [7]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [7]),
-	.SCE(n_3270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[8]  (
-	.CLK(CTS_2),
-	.D(\ifu_to_xbar[a_address] [8]),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [8]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [8]),
-	.SCE(n_3270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[9]  (
-	.CLK(CTS_2),
-	.D(\ifu_to_xbar[a_address] [9]),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [9]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [9]),
-	.SCE(n_3270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[10]  (
-	.CLK(CTS_2),
-	.D(n_5859),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [10]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [10]),
-	.SCE(n_3270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[11]  (
-	.CLK(CTS_2),
-	.D(n_5861),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [11]),
-	.SCD(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [11]),
-	.SCE(n_3270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 u_top_u_core_if_stage_i_illegal_c_insn_id_o_reg (
-	.CLK(CTS_5),
-	.D(n_10395),
-	.Q(u_top_u_core_illegal_c_insn_id),
-	.SCD(u_top_u_core_illegal_c_insn_id),
-	.SCE(FE_OFN1781_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 u_top_u_core_if_stage_i_instr_is_compressed_id_o_reg (
-	.CLK(CTS_9),
-	.D(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_addr_incr_two ),
-	.Q(u_top_u_core_instr_is_compressed_id),
-	.SCD(u_top_u_core_instr_is_compressed_id),
-	.SCE(FE_OFN1781_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_4 \u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[0]  (
-	.CLK(CTS_5),
-	.D(n_9940),
-	.Q(u_top_u_core_instr_rdata_id[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_4 \u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[1]  (
-	.CLK(CTS_5),
-	.D(n_10033),
-	.Q(u_top_u_core_instr_rdata_id[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_2 \u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[2]  (
-	.CLK(CTS_5),
-	.D(n_10359),
-	.Q(u_top_u_core_instr_rdata_id[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_2 \u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[3]  (
-	.CLK(CTS_5),
-	.D(n_10089),
-	.Q(u_top_u_core_instr_rdata_id[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[4]  (
-	.CLK(CTS_5),
-	.D(n_10615),
-	.Q(u_top_u_core_instr_rdata_id[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_2 \u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[5]  (
-	.CLK(CTS_5),
-	.D(n_10358),
-	.Q(u_top_u_core_instr_rdata_id[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_2 \u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[6]  (
-	.CLK(CTS_5),
-	.D(n_10198),
-	.Q(u_top_u_core_instr_rdata_id[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_2 \u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[12]  (
-	.CLK(CTS_5),
-	.D(n_10229),
-	.Q(u_top_u_core_instr_rdata_id[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[13]  (
-	.CLK(CTS_9),
-	.D(n_10233),
-	.Q(u_top_u_core_instr_rdata_id[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[14]  (
-	.CLK(CTS_5),
-	.D(n_10088),
-	.Q(u_top_u_core_instr_rdata_id[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[25]  (
-	.CLK(CTS_9),
-	.D(n_10437),
-	.Q(u_top_u_core_instr_rdata_id[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[26]  (
-	.CLK(CTS_9),
-	.D(n_10699),
-	.Q(u_top_u_core_instr_rdata_id[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_2 \u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[27]  (
-	.CLK(CTS_9),
-	.D(n_10378),
-	.Q(u_top_u_core_instr_rdata_id[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_2 \u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[28]  (
-	.CLK(CTS_9),
-	.D(n_10281),
-	.Q(u_top_u_core_instr_rdata_id[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_4 \u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[29]  (
-	.CLK(CTS_9),
-	.D(n_10210),
-	.Q(u_top_u_core_instr_rdata_id[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_4 \u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[30]  (
-	.CLK(CTS_9),
-	.D(n_10266),
-	.Q(u_top_u_core_instr_rdata_id[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_4 \u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[31]  (
-	.CLK(CTS_9),
-	.D(n_10021),
-	.Q(u_top_u_core_instr_rdata_id[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[0]  (
-	.CLK(CTS_9),
-	.D(n_8276),
-	.Q(u_top_u_core_instr_rdata_c_id[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[1]  (
-	.CLK(CTS_9),
-	.D(n_8277),
-	.Q(u_top_u_core_instr_rdata_c_id[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[2]  (
-	.CLK(CTS_9),
-	.D(n_8574),
-	.Q(u_top_u_core_instr_rdata_c_id[2]),
-	.SCD(u_top_u_core_instr_rdata_c_id[2]),
-	.SCE(FE_OFN1782_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[3]  (
-	.CLK(CTS_9),
-	.D(FE_OFN1589_n_8577),
-	.Q(u_top_u_core_instr_rdata_c_id[3]),
-	.SCD(u_top_u_core_instr_rdata_c_id[3]),
-	.SCE(FE_OFN1782_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[4]  (
-	.CLK(CTS_9),
-	.D(n_8580),
-	.Q(u_top_u_core_instr_rdata_c_id[4]),
-	.SCD(u_top_u_core_instr_rdata_c_id[4]),
-	.SCE(FE_OFN1782_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[5]  (
-	.CLK(CTS_9),
-	.D(n_8572),
-	.Q(u_top_u_core_instr_rdata_c_id[5]),
-	.SCD(u_top_u_core_instr_rdata_c_id[5]),
-	.SCE(FE_OFN1782_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[6]  (
-	.CLK(CTS_9),
-	.D(n_8570),
-	.Q(u_top_u_core_instr_rdata_c_id[6]),
-	.SCD(u_top_u_core_instr_rdata_c_id[6]),
-	.SCE(FE_OFN1782_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[7]  (
-	.CLK(CTS_5),
-	.D(n_8578),
-	.Q(u_top_u_core_instr_rdata_c_id[7]),
-	.SCD(u_top_u_core_instr_rdata_c_id[7]),
-	.SCE(FE_OFN1782_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[8]  (
-	.CLK(CTS_9),
-	.D(FE_OFN1597_n_8990),
-	.Q(u_top_u_core_instr_rdata_c_id[8]),
-	.SCD(u_top_u_core_instr_rdata_c_id[8]),
-	.SCE(FE_OFN1782_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[9]  (
-	.CLK(CTS_9),
-	.D(n_8991),
-	.Q(u_top_u_core_instr_rdata_c_id[9]),
-	.SCD(u_top_u_core_instr_rdata_c_id[9]),
-	.SCE(FE_OFN1782_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[10]  (
-	.CLK(CTS_9),
-	.D(n_8985),
-	.Q(u_top_u_core_instr_rdata_c_id[10]),
-	.SCD(u_top_u_core_instr_rdata_c_id[10]),
-	.SCE(FE_OFN1782_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[11]  (
-	.CLK(CTS_9),
-	.D(n_8983),
-	.Q(u_top_u_core_instr_rdata_c_id[11]),
-	.SCD(u_top_u_core_instr_rdata_c_id[11]),
-	.SCE(FE_OFN1782_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[12]  (
-	.CLK(CTS_5),
-	.D(n_8977),
-	.Q(u_top_u_core_instr_rdata_c_id[12]),
-	.SCD(u_top_u_core_instr_rdata_c_id[12]),
-	.SCE(FE_OFN1782_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[13]  (
-	.CLK(CTS_9),
-	.D(n_8981),
-	.Q(u_top_u_core_instr_rdata_c_id[13]),
-	.SCD(u_top_u_core_instr_rdata_c_id[13]),
-	.SCE(FE_OFN1782_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[14]  (
-	.CLK(CTS_9),
-	.D(n_8987),
-	.Q(u_top_u_core_instr_rdata_c_id[14]),
-	.SCD(u_top_u_core_instr_rdata_c_id[14]),
-	.SCE(FE_OFN1782_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[15]  (
-	.CLK(CTS_9),
-	.D(n_8979),
-	.Q(u_top_u_core_instr_rdata_c_id[15]),
-	.SCD(u_top_u_core_instr_rdata_c_id[15]),
-	.SCE(FE_OFN1782_n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_id_o_reg[7]  (
-	.CLK(CTS_5),
-	.D(n_10373),
-	.Q(u_top_u_core_instr_rdata_id[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_id_o_reg[8]  (
-	.CLK(CTS_5),
-	.D(n_10070),
-	.Q(u_top_u_core_instr_rdata_id[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_id_o_reg[9]  (
-	.CLK(CTS_5),
-	.D(n_10178),
-	.Q(u_top_u_core_instr_rdata_id[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_id_o_reg[10]  (
-	.CLK(CTS_5),
-	.D(n_10360),
-	.Q(u_top_u_core_instr_rdata_id[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_if_stage_i_instr_rdata_id_o_reg[11]  (
-	.CLK(CTS_5),
-	.D(n_10215),
-	.Q(u_top_u_core_instr_rdata_id[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_4 \u_top_u_core_if_stage_i_instr_rdata_id_o_reg[17]  (
-	.CLK(FE_USKN3984_CTS_5),
-	.D(n_10716),
-	.Q(u_top_u_core_instr_rdata_id[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[1]  (
-	.CLK(CTS_9),
-	.D(FE_OFN823_u_top_u_core_pc_if_1),
-	.Q(u_top_u_core_pc_id[1]),
-	.SCD(u_top_u_core_pc_id[1]),
-	.SCE(FE_OFN5759_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[2]  (
-	.CLK(CTS_9),
-	.D(u_top_u_core_pc_if[2]),
-	.Q(u_top_u_core_pc_id[2]),
-	.SCD(u_top_u_core_pc_id[2]),
-	.SCE(FE_OFN5759_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[3]  (
-	.CLK(CTS_9),
-	.D(u_top_u_core_pc_if[3]),
-	.Q(u_top_u_core_pc_id[3]),
-	.SCD(u_top_u_core_pc_id[3]),
-	.SCE(FE_OFN5759_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[4]  (
-	.CLK(CTS_9),
-	.D(u_top_u_core_pc_if[4]),
-	.Q(u_top_u_core_pc_id[4]),
-	.SCD(u_top_u_core_pc_id[4]),
-	.SCE(FE_OFN5759_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[5]  (
-	.CLK(CTS_9),
-	.D(u_top_u_core_pc_if[5]),
-	.Q(u_top_u_core_pc_id[5]),
-	.SCD(u_top_u_core_pc_id[5]),
-	.SCE(FE_OFN5759_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[6]  (
-	.CLK(CTS_9),
-	.D(u_top_u_core_pc_if[6]),
-	.Q(u_top_u_core_pc_id[6]),
-	.SCD(u_top_u_core_pc_id[6]),
-	.SCE(FE_OFN5759_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[7]  (
-	.CLK(CTS_9),
-	.D(u_top_u_core_pc_if[7]),
-	.Q(u_top_u_core_pc_id[7]),
-	.SCD(FE_OFN1842_u_top_u_core_pc_id_7),
-	.SCE(FE_OFN5759_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[8]  (
-	.CLK(CTS_9),
-	.D(u_top_u_core_pc_if[8]),
-	.Q(u_top_u_core_pc_id[8]),
-	.SCD(FE_OFN1835_u_top_u_core_pc_id_8),
-	.SCE(FE_OFN5759_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[9]  (
-	.CLK(CTS_9),
-	.D(u_top_u_core_pc_if[9]),
-	.Q(u_top_u_core_pc_id[9]),
-	.SCD(u_top_u_core_pc_id[9]),
-	.SCE(FE_OFN5759_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[10]  (
-	.CLK(CTS_9),
-	.D(u_top_u_core_pc_if[10]),
-	.Q(u_top_u_core_pc_id[10]),
-	.SCD(u_top_u_core_pc_id[10]),
-	.SCE(FE_OFN5759_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[11]  (
-	.CLK(CTS_9),
-	.D(u_top_u_core_pc_if[11]),
-	.Q(u_top_u_core_pc_id[11]),
-	.SCD(u_top_u_core_pc_id[11]),
-	.SCE(FE_OFN5759_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[12]  (
-	.CLK(CTS_7),
-	.D(u_top_u_core_pc_if[12]),
-	.Q(u_top_u_core_pc_id[12]),
-	.SCD(u_top_u_core_pc_id[12]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[13]  (
-	.CLK(CTS_7),
-	.D(u_top_u_core_pc_if[13]),
-	.Q(u_top_u_core_pc_id[13]),
-	.SCD(u_top_u_core_pc_id[13]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[14]  (
-	.CLK(CTS_10),
-	.D(u_top_u_core_pc_if[14]),
-	.Q(u_top_u_core_pc_id[14]),
-	.SCD(u_top_u_core_pc_id[14]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[15]  (
-	.CLK(CTS_10),
-	.D(u_top_u_core_pc_if[15]),
-	.Q(u_top_u_core_pc_id[15]),
-	.SCD(u_top_u_core_pc_id[15]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[16]  (
-	.CLK(CTS_10),
-	.D(u_top_u_core_pc_if[16]),
-	.Q(u_top_u_core_pc_id[16]),
-	.SCD(u_top_u_core_pc_id[16]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[17]  (
-	.CLK(CTS_10),
-	.D(u_top_u_core_pc_if[17]),
-	.Q(u_top_u_core_pc_id[17]),
-	.SCD(u_top_u_core_pc_id[17]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[18]  (
-	.CLK(CTS_10),
-	.D(u_top_u_core_pc_if[18]),
-	.Q(u_top_u_core_pc_id[18]),
-	.SCD(u_top_u_core_pc_id[18]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[19]  (
-	.CLK(CTS_14),
-	.D(u_top_u_core_pc_if[19]),
-	.Q(u_top_u_core_pc_id[19]),
-	.SCD(u_top_u_core_pc_id[19]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[20]  (
-	.CLK(CTS_10),
-	.D(u_top_u_core_pc_if[20]),
-	.Q(u_top_u_core_pc_id[20]),
-	.SCD(u_top_u_core_pc_id[20]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[21]  (
-	.CLK(CTS_10),
-	.D(u_top_u_core_pc_if[21]),
-	.Q(u_top_u_core_pc_id[21]),
-	.SCD(u_top_u_core_pc_id[21]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[22]  (
-	.CLK(CTS_14),
-	.D(u_top_u_core_pc_if[22]),
-	.Q(u_top_u_core_pc_id[22]),
-	.SCD(u_top_u_core_pc_id[22]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[23]  (
-	.CLK(CTS_14),
-	.D(u_top_u_core_pc_if[23]),
-	.Q(u_top_u_core_pc_id[23]),
-	.SCD(u_top_u_core_pc_id[23]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[24]  (
-	.CLK(CTS_14),
-	.D(u_top_u_core_pc_if[24]),
-	.Q(u_top_u_core_pc_id[24]),
-	.SCD(u_top_u_core_pc_id[24]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[25]  (
-	.CLK(CTS_14),
-	.D(u_top_u_core_pc_if[25]),
-	.Q(u_top_u_core_pc_id[25]),
-	.SCD(u_top_u_core_pc_id[25]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[26]  (
-	.CLK(CTS_14),
-	.D(u_top_u_core_pc_if[26]),
-	.Q(u_top_u_core_pc_id[26]),
-	.SCD(u_top_u_core_pc_id[26]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[27]  (
-	.CLK(CTS_10),
-	.D(u_top_u_core_pc_if[27]),
-	.Q(u_top_u_core_pc_id[27]),
-	.SCD(u_top_u_core_pc_id[27]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[28]  (
-	.CLK(CTS_10),
-	.D(u_top_u_core_pc_if[28]),
-	.Q(u_top_u_core_pc_id[28]),
-	.SCD(u_top_u_core_pc_id[28]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[29]  (
-	.CLK(CTS_10),
-	.D(u_top_u_core_pc_if[29]),
-	.Q(u_top_u_core_pc_id[29]),
-	.SCD(u_top_u_core_pc_id[29]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[30]  (
-	.CLK(CTS_10),
-	.D(u_top_u_core_pc_if[30]),
-	.Q(u_top_u_core_pc_id[30]),
-	.SCD(u_top_u_core_pc_id[30]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_if_stage_i_pc_id_o_reg[31]  (
-	.CLK(CTS_10),
-	.D(u_top_u_core_pc_if[31]),
-	.Q(u_top_u_core_pc_id[31]),
-	.SCD(u_top_u_core_pc_id[31]),
-	.SCE(FE_OFN13708_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[0]  (
-	.CLK(CTS_5),
-	.D(n_16024),
-	.Q(u_top_u_core_lsu_addr_last[0]),
-	.RESET_B(FE_OFN24_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[0]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[1]  (
-	.CLK(CTS_5),
-	.D(FE_OFN16120_n_16023),
-	.Q(u_top_u_core_lsu_addr_last[1]),
-	.RESET_B(FE_OFN23_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[1]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[2]  (
-	.CLK(CTS_5),
-	.D(FE_OFN852_xbar_to_dccm_a_address__2),
-	.Q(u_top_u_core_lsu_addr_last[2]),
-	.RESET_B(FE_OFN23_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[2]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[3]  (
-	.CLK(CTS_5),
-	.D(FE_OFN851_xbar_to_dccm_a_address__3),
-	.Q(u_top_u_core_lsu_addr_last[3]),
-	.RESET_B(FE_OFN23_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[3]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[4]  (
-	.CLK(CTS_5),
-	.D(\xbar_to_dccm[a_address] [4]),
-	.Q(u_top_u_core_lsu_addr_last[4]),
-	.RESET_B(FE_OFN23_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[4]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[5]  (
-	.CLK(CTS_5),
-	.D(FE_OFN16787_xbar_to_dccm_a_address__5),
-	.Q(u_top_u_core_lsu_addr_last[5]),
-	.RESET_B(FE_OFN23_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[5]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[6]  (
-	.CLK(CTS_5),
-	.D(\xbar_to_dccm[a_address] [6]),
-	.Q(u_top_u_core_lsu_addr_last[6]),
-	.RESET_B(FE_OFN23_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[6]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[7]  (
-	.CLK(CTS_5),
-	.D(\xbar_to_dccm[a_address] [7]),
-	.Q(u_top_u_core_lsu_addr_last[7]),
-	.RESET_B(FE_OFN23_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[7]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[8]  (
-	.CLK(CTS_5),
-	.D(\xbar_to_dccm[a_address] [8]),
-	.Q(u_top_u_core_lsu_addr_last[8]),
-	.RESET_B(FE_OFN24_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[8]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[9]  (
-	.CLK(CTS_5),
-	.D(\xbar_to_dccm[a_address] [9]),
-	.Q(u_top_u_core_lsu_addr_last[9]),
-	.RESET_B(FE_OFN24_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[9]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[10]  (
-	.CLK(CTS_5),
-	.D(\xbar_to_dccm[a_address] [10]),
-	.Q(u_top_u_core_lsu_addr_last[10]),
-	.RESET_B(FE_OFN24_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[10]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[11]  (
-	.CLK(CTS_5),
-	.D(\xbar_to_dccm[a_address] [11]),
-	.Q(u_top_u_core_lsu_addr_last[11]),
-	.RESET_B(FE_OFN24_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[11]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[12]  (
-	.CLK(CTS_5),
-	.D(\xbar_to_dccm[a_address] [12]),
-	.Q(u_top_u_core_lsu_addr_last[12]),
-	.RESET_B(FE_OFN24_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[12]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[13]  (
-	.CLK(CTS_7),
-	.D(\xbar_to_dccm[a_address] [13]),
-	.Q(u_top_u_core_lsu_addr_last[13]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[13]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[14]  (
-	.CLK(CTS_7),
-	.D(\lsu_to_xbar[a_address] [14]),
-	.Q(u_top_u_core_lsu_addr_last[14]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[14]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[15]  (
-	.CLK(CTS_10),
-	.D(FE_OFN1821_lsu_to_xbar_a_address__15),
-	.Q(u_top_u_core_lsu_addr_last[15]),
-	.RESET_B(FE_OFN81_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[15]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[16]  (
-	.CLK(CTS_10),
-	.D(FE_OFN16769_lsu_to_xbar_a_address__16),
-	.Q(u_top_u_core_lsu_addr_last[16]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[16]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[17]  (
-	.CLK(CTS_10),
-	.D(FE_OFN837_lsu_to_xbar_a_address__17),
-	.Q(u_top_u_core_lsu_addr_last[17]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[17]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[18]  (
-	.CLK(CTS_10),
-	.D(FE_OFN15966_lsu_to_xbar_a_address__18),
-	.Q(u_top_u_core_lsu_addr_last[18]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[18]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[19]  (
-	.CLK(CTS_10),
-	.D(FE_OFN16755_lsu_to_xbar_a_address__19),
-	.Q(u_top_u_core_lsu_addr_last[19]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[19]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[20]  (
-	.CLK(CTS_10),
-	.D(FE_OFN834_lsu_to_xbar_a_address__20),
-	.Q(u_top_u_core_lsu_addr_last[20]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[20]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[21]  (
-	.CLK(CTS_10),
-	.D(FE_OFN833_lsu_to_xbar_a_address__21),
-	.Q(u_top_u_core_lsu_addr_last[21]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[21]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[22]  (
-	.CLK(CTS_10),
-	.D(FE_OFN16791_n),
-	.Q(u_top_u_core_lsu_addr_last[22]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[22]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[23]  (
-	.CLK(CTS_10),
-	.D(FE_OFN831_lsu_to_xbar_a_address__23),
-	.Q(u_top_u_core_lsu_addr_last[23]),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[23]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[24]  (
-	.CLK(CTS_10),
-	.D(FE_OFN1846_lsu_to_xbar_a_address__24),
-	.Q(u_top_u_core_lsu_addr_last[24]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[24]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[25]  (
-	.CLK(CTS_10),
-	.D(FE_OFN829_lsu_to_xbar_a_address__25),
-	.Q(u_top_u_core_lsu_addr_last[25]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[25]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[26]  (
-	.CLK(CTS_10),
-	.D(FE_OFN828_lsu_to_xbar_a_address__26),
-	.Q(u_top_u_core_lsu_addr_last[26]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[26]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[27]  (
-	.CLK(CTS_10),
-	.D(FE_OFN827_lsu_to_xbar_a_address__27),
-	.Q(u_top_u_core_lsu_addr_last[27]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[27]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[28]  (
-	.CLK(CTS_10),
-	.D(FE_OFN826_lsu_to_xbar_a_address__28),
-	.Q(u_top_u_core_lsu_addr_last[28]),
-	.RESET_B(FE_OFN11_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[28]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[29]  (
-	.CLK(CTS_5),
-	.D(FE_OFN1885_n),
-	.Q(u_top_u_core_lsu_addr_last[29]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[29]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[30]  (
-	.CLK(CTS_5),
-	.D(FE_OFN824_lsu_to_xbar_a_address__30),
-	.Q(u_top_u_core_lsu_addr_last[30]),
-	.RESET_B(FE_OFN24_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[30]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_addr_last_q_reg[31]  (
-	.CLK(CTS_3),
-	.D(FE_PSN3951_lsu_to_xbar_a_address_31),
-	.Q(u_top_u_core_lsu_addr_last[31]),
-	.RESET_B(FE_OFN84_system_rst_ni),
-	.SCD(u_top_u_core_lsu_addr_last[31]),
-	.SCE(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 u_top_u_core_load_store_unit_i_data_sign_ext_q_reg (
-	.CLK(CTS_3),
-	.D(u_top_u_core_lsu_sign_ext),
-	.Q(u_top_u_core_load_store_unit_i_data_sign_ext_q),
-	.RESET_B(FE_OFN84_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_data_sign_ext_q),
-	.SCE(n_1685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_data_type_q_reg[0]  (
-	.CLK(CTS_3),
-	.D(u_top_u_core_lsu_type[0]),
-	.Q(u_top_u_core_load_store_unit_i_data_type_q[0]),
-	.RESET_B(FE_OFN84_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_data_type_q[0]),
-	.SCE(n_1685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_data_type_q_reg[1]  (
-	.CLK(CTS_3),
-	.D(u_top_u_core_lsu_type[1]),
-	.Q(u_top_u_core_load_store_unit_i_data_type_q[1]),
-	.RESET_B(FE_OFN84_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_data_type_q[1]),
-	.SCE(n_1685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 u_top_u_core_load_store_unit_i_data_we_q_reg (
-	.CLK(CTS_3),
-	.D(FE_OFN1062_u_top_data_we),
-	.Q(u_top_u_core_load_store_unit_i_data_we_q),
-	.RESET_B(FE_OFN84_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_data_we_q),
-	.SCE(n_1685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[1]  (
-	.CLK(CTS_3),
-	.D(u_top_u_core_load_store_unit_i_n_938),
-	.Q(u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_offset_q_reg[0]  (
-	.CLK(CTS_3),
-	.D(FE_OFN1061_n_16024),
-	.Q(u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
-	.RESET_B(FE_OFN84_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
-	.SCE(n_1685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_offset_q_reg[1]  (
-	.CLK(CTS_3),
-	.D(FE_OFN16120_n_16023),
-	.Q(u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
-	.RESET_B(FE_OFN84_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
-	.SCE(n_1685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[8]  (
-	.CLK(CTS_8),
-	.D(n_9639),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[8]),
-	.RESET_B(FE_OFN91_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[8]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[9]  (
-	.CLK(CTS_4),
-	.D(n_9402),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[9]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[9]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[10]  (
-	.CLK(CTS_4),
-	.D(n_9405),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[10]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[10]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[11]  (
-	.CLK(CTS_4),
-	.D(FE_OFN16679_n_9407),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[11]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[11]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[12]  (
-	.CLK(CTS_8),
-	.D(FE_OFN16721_n_9398),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[12]),
-	.RESET_B(FE_OFN91_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[12]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[13]  (
-	.CLK(CTS_8),
-	.D(FE_OFN1359_n_9403),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[13]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[13]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[14]  (
-	.CLK(CTS_8),
-	.D(n_9399),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[14]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[14]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[15]  (
-	.CLK(CTS_8),
-	.D(FE_OFN17046_n_9384),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[15]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[15]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[16]  (
-	.CLK(CTS_8),
-	.D(FE_OFN1357_n_9400),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[16]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[16]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[17]  (
-	.CLK(CTS_8),
-	.D(FE_OFN1358_n_9401),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[17]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[17]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[18]  (
-	.CLK(CTS_8),
-	.D(FE_OFN16677_n_9406),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[18]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[18]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[19]  (
-	.CLK(CTS_4),
-	.D(FE_OFN16724_n_9408),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[19]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[19]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[20]  (
-	.CLK(CTS_8),
-	.D(FE_OFN16934_n_9397),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[20]),
-	.RESET_B(FE_OFN91_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[20]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[21]  (
-	.CLK(CTS_8),
-	.D(FE_OFN1360_n_9404),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[21]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[21]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[22]  (
-	.CLK(CTS_8),
-	.D(n_9396),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[22]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[22]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[23]  (
-	.CLK(CTS_8),
-	.D(FE_OFN16682_n_9388),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[23]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[23]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[24]  (
-	.CLK(CTS_8),
-	.D(n_9414),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[24]),
-	.RESET_B(FE_OFN91_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[24]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[25]  (
-	.CLK(CTS_8),
-	.D(n_9415),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[25]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[25]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[26]  (
-	.CLK(CTS_8),
-	.D(n_9416),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[26]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[26]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[27]  (
-	.CLK(CTS_8),
-	.D(n_9417),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[27]),
-	.RESET_B(FE_OFN91_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[27]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[28]  (
-	.CLK(CTS_8),
-	.D(n_9418),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[28]),
-	.RESET_B(FE_OFN180_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[28]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[29]  (
-	.CLK(CTS_8),
-	.D(n_9419),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[29]),
-	.RESET_B(FE_OFN87_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[29]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[30]  (
-	.CLK(CTS_8),
-	.D(n_9421),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[30]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[30]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_top_u_core_load_store_unit_i_rdata_q_reg[31]  (
-	.CLK(CTS_8),
-	.D(n_9409),
-	.Q(u_top_u_core_load_store_unit_i_rdata_q[31]),
-	.RESET_B(FE_OFN90_system_rst_ni),
-	.SCD(u_top_u_core_load_store_unit_i_rdata_q[31]),
-	.SCE(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[0]  (
-	.CLK(CTS_5),
-	.D(u_top_u_core_instr_rdata_id[7]),
-	.Q(u_top_u_core_rf_waddr_wb[0]),
-	.SCD(FE_OFN15922_u_top_u_core_rf_waddr_wb_0),
-	.SCE(FE_OFN1039_n_13259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_4 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[1]  (
-	.CLK(CTS_10),
-	.D(u_top_u_core_instr_rdata_id[8]),
-	.Q(u_top_u_core_rf_waddr_wb[1]),
-	.SCD(u_top_u_core_rf_waddr_wb[1]),
-	.SCE(FE_OFN1039_n_13259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_2 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[2]  (
-	.CLK(CTS_5),
-	.D(u_top_u_core_instr_rdata_id[9]),
-	.Q(u_top_u_core_rf_waddr_wb[2]),
-	.SCD(u_top_u_core_rf_waddr_wb[2]),
-	.SCE(FE_OFN1039_n_13259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_2 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[3]  (
-	.CLK(CTS_10),
-	.D(u_top_u_core_instr_rdata_id[10]),
-	.Q(u_top_u_core_rf_waddr_wb[3]),
-	.SCD(u_top_u_core_rf_waddr_wb[3]),
-	.SCE(FE_OFN1039_n_13259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_2 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[4]  (
-	.CLK(CTS_10),
-	.D(u_top_u_core_instr_rdata_id[11]),
-	.Q(u_top_u_core_rf_waddr_wb[4]),
-	.SCD(FE_OFN1859_u_top_u_core_rf_waddr_wb_4),
-	.SCE(FE_OFN1039_n_13259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[0]  (
-	.CLK(CTS_5),
-	.D(n_10020),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[1]  (
-	.CLK(CTS_5),
-	.D(n_10216),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[2]  (
-	.CLK(CTS_5),
-	.D(n_10630),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[3]  (
-	.CLK(CTS_5),
-	.D(n_10635),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[4]  (
-	.CLK(CTS_5),
-	.D(n_10231),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[5]  (
-	.CLK(CTS_5),
-	.D(n_10171),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[6]  (
-	.CLK(CTS_5),
-	.D(n_10381),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[7]  (
-	.CLK(CTS_5),
-	.D(n_10433),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[8]  (
-	.CLK(CTS_5),
-	.D(n_10737),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[9]  (
-	.CLK(CTS_5),
-	.D(n_10701),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[10]  (
-	.CLK(CTS_5),
-	.D(n_10700),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[11]  (
-	.CLK(CTS_5),
-	.D(n_10717),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[12]  (
-	.CLK(CTS_5),
-	.D(n_10718),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[13]  (
-	.CLK(CTS_5),
-	.D(n_10736),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[14]  (
-	.CLK(CTS_5),
-	.D(n_10632),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[15]  (
-	.CLK(CTS_5),
-	.D(n_10714),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[16]  (
-	.CLK(CTS_10),
-	.D(n_10741),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[17]  (
-	.CLK(CTS_10),
-	.D(n_10725),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[18]  (
-	.CLK(CTS_10),
-	.D(n_10740),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[19]  (
-	.CLK(CTS_10),
-	.D(n_10739),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[20]  (
-	.CLK(CTS_10),
-	.D(n_10744),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[21]  (
-	.CLK(CTS_10),
-	.D(n_10715),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[22]  (
-	.CLK(CTS_10),
-	.D(n_10738),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[23]  (
-	.CLK(CTS_10),
-	.D(n_10743),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[24]  (
-	.CLK(CTS_10),
-	.D(n_10720),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[25]  (
-	.CLK(CTS_5),
-	.D(n_10721),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[26]  (
-	.CLK(CTS_5),
-	.D(n_10722),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[27]  (
-	.CLK(CTS_10),
-	.D(n_10724),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[28]  (
-	.CLK(CTS_5),
-	.D(n_10723),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[29]  (
-	.CLK(CTS_5),
-	.D(n_10735),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[30]  (
-	.CLK(CTS_5),
-	.D(n_10688),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[31]  (
-	.CLK(CTS_5),
-	.D(n_10734),
-	.Q(u_top_u_core_rf_wdata_fwd_wb[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q_reg  (
-	.CLK(CTS_5),
-	.D(n_3036),
-	.Q(\u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
-	.SCD(\u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
-	.SCE(n_13259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q_reg  (
-	.CLK(CTS_5),
-	.D(n_2091),
-	.Q(\u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
-	.SCD(\u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
-	.SCE(n_13259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q_reg[0]  (
-	.CLK(CTS_5),
-	.D(n_2079),
-	.Q(\u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q_reg[1]  (
-	.CLK(CTS_5),
-	.D(n_1337),
-	.Q(\u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_2 \u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q_reg  (
-	.CLK(CTS_5),
-	.D(n_1199),
-	.Q(\u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-	.RESET_B(FE_OFN23_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 u_uart_u_reg_if_outstanding_reg (
-	.CLK(CTS_81),
-	.D(n_172),
-	.Q(\uart_to_xbar[d_valid] ),
-	.RESET_B(FE_OFN25_system_rst_ni),
-	.SCD(\uart_to_xbar[d_valid] ),
-	.SCE(n_1185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_reg_if_rdata_reg[0]  (
-	.CLK(CTS_54),
-	.D(n_9931),
-	.Q(\uart_to_xbar[d_data] [0]),
-	.RESET_B(FE_OFN65_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_reg_if_rdata_reg[1]  (
-	.CLK(CTS_40),
-	.D(n_9855),
-	.Q(\uart_to_xbar[d_data] [1]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_reg_if_rdata_reg[2]  (
-	.CLK(CTS_31),
-	.D(n_9826),
-	.Q(\uart_to_xbar[d_data] [2]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_reg_if_rdata_reg[3]  (
-	.CLK(CTS_31),
-	.D(n_9872),
-	.Q(\uart_to_xbar[d_data] [3]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_reg_if_rdata_reg[4]  (
-	.CLK(CTS_37),
-	.D(n_9857),
-	.Q(\uart_to_xbar[d_data] [4]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_reg_if_rdata_reg[5]  (
-	.CLK(CTS_37),
-	.D(n_9829),
-	.Q(\uart_to_xbar[d_data] [5]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_reg_if_rdata_reg[6]  (
-	.CLK(CTS_31),
-	.D(n_9868),
-	.Q(\uart_to_xbar[d_data] [6]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_reg_if_rdata_reg[7]  (
-	.CLK(CTS_56),
-	.D(n_9852),
-	.Q(\uart_to_xbar[d_data] [7]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_reg_if_rdata_reg[8]  (
-	.CLK(CTS_56),
-	.D(n_4259),
-	.Q(\uart_to_xbar[d_data] [8]),
-	.RESET_B(FE_OFN65_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_reg_if_rspop_reg[0]  (
-	.CLK(CTS_81),
-	.D(n_649),
-	.Q(\uart_to_xbar[d_opcode] [0]),
-	.RESET_B(FE_OFN25_system_rst_ni),
-	.SCD(\uart_to_xbar[d_opcode] [0]),
-	.SCE(n_13751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_control_reg[0]  (
-	.CLK(CTS_74),
-	.D(u_uart_u_uart_core_control[0]),
-	.Q(u_uart_u_uart_core_control[0]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(FE_OFN1568_xbar_to_dccm_a_data__0),
-	.SCE(FE_OFN1307_n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_control_reg[1]  (
-	.CLK(CTS_74),
-	.D(u_uart_u_uart_core_control[1]),
-	.Q(u_uart_u_uart_core_control[1]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(\xbar_to_dccm[a_data] [1]),
-	.SCE(FE_OFN1307_n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_control_reg[2]  (
-	.CLK(CTS_74),
-	.D(u_uart_u_uart_core_control[2]),
-	.Q(u_uart_u_uart_core_control[2]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(\xbar_to_dccm[a_data] [2]),
-	.SCE(FE_OFN1307_n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_control_reg[3]  (
-	.CLK(CTS_74),
-	.D(u_uart_u_uart_core_control[3]),
-	.Q(u_uart_u_uart_core_control[3]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(\xbar_to_dccm[a_data] [3]),
-	.SCE(FE_OFN1307_n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_control_reg[4]  (
-	.CLK(CTS_74),
-	.D(u_uart_u_uart_core_control[4]),
-	.Q(u_uart_u_uart_core_control[4]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(FE_OFN1566_xbar_to_dccm_a_data__4),
-	.SCE(FE_OFN1307_n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_control_reg[5]  (
-	.CLK(CTS_74),
-	.D(u_uart_u_uart_core_control[5]),
-	.Q(u_uart_u_uart_core_control[5]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(\xbar_to_dccm[a_data] [5]),
-	.SCE(FE_OFN1307_n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_control_reg[6]  (
-	.CLK(CTS_74),
-	.D(u_uart_u_uart_core_control[6]),
-	.Q(u_uart_u_uart_core_control[6]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(\xbar_to_dccm[a_data] [6]),
-	.SCE(FE_OFN1307_n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_control_reg[7]  (
-	.CLK(CTS_74),
-	.D(u_uart_u_uart_core_control[7]),
-	.Q(u_uart_u_uart_core_control[7]),
-	.RESET_B(FE_OFN89_system_rst_ni),
-	.SCD(FE_OFN1437_xbar_to_dccm_a_data__7),
-	.SCE(FE_OFN1307_n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_control_reg[8]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_control[8]),
-	.Q(u_uart_u_uart_core_control[8]),
-	.RESET_B(FE_OFN181_system_rst_ni),
-	.SCD(\xbar_to_dccm[a_data] [8]),
-	.SCE(FE_OFN1307_n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_control_reg[9]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_control[9]),
-	.Q(u_uart_u_uart_core_control[9]),
-	.RESET_B(FE_OFN181_system_rst_ni),
-	.SCD(\xbar_to_dccm[a_data] [9]),
-	.SCE(FE_OFN1307_n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_control_reg[10]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_control[10]),
-	.Q(u_uart_u_uart_core_control[10]),
-	.RESET_B(FE_OFN181_system_rst_ni),
-	.SCD(\xbar_to_dccm[a_data] [10]),
-	.SCE(FE_OFN1307_n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_control_reg[11]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_control[11]),
-	.Q(u_uart_u_uart_core_control[11]),
-	.RESET_B(FE_OFN181_system_rst_ni),
-	.SCD(\xbar_to_dccm[a_data] [11]),
-	.SCE(FE_OFN1307_n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_control_reg[12]  (
-	.CLK(CTS_74),
-	.D(u_uart_u_uart_core_control[12]),
-	.Q(u_uart_u_uart_core_control[12]),
-	.RESET_B(FE_OFN181_system_rst_ni),
-	.SCD(\xbar_to_dccm[a_data] [12]),
-	.SCE(FE_OFN1307_n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_control_reg[13]  (
-	.CLK(CTS_74),
-	.D(u_uart_u_uart_core_control[13]),
-	.Q(u_uart_u_uart_core_control[13]),
-	.RESET_B(FE_OFN181_system_rst_ni),
-	.SCD(\xbar_to_dccm[a_data] [13]),
-	.SCE(FE_OFN1307_n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_control_reg[14]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_control[14]),
-	.Q(u_uart_u_uart_core_control[14]),
-	.RESET_B(FE_OFN86_system_rst_ni),
-	.SCD(\xbar_to_dccm[a_data] [14]),
-	.SCE(FE_OFN1307_n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_control_reg[15]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_control[15]),
-	.Q(u_uart_u_uart_core_control[15]),
-	.RESET_B(FE_OFN181_system_rst_ni),
-	.SCD(\xbar_to_dccm[a_data] [15]),
-	.SCE(FE_OFN1307_n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 u_uart_u_uart_core_intr_tx_reg (
-	.CLK(CTS_20),
-	.D(n_1224),
-	.Q(intr_u_tx),
-	.RESET_B(FE_OFN41_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 u_uart_u_uart_core_read_fifo_buffer_empty_reg (
-	.CLK(CTS_54),
-	.D(u_uart_u_uart_core_read_fifo_buffer_empty),
-	.Q(u_uart_u_uart_core_read_fifo_buffer_empty),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(n_613),
-	.SCE(n_7652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 u_uart_u_uart_core_read_fifo_buffer_full_reg (
-	.CLK(CTS_31),
-	.D(n_8289),
-	.Q(u_uart_u_uart_core_read_fifo_buffer_full_217),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[0][0]  (
-	.CLK(CTS_37),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [0]),
-	.RESET_B(FE_OFN162_system_rst_ni),
-	.SCD(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_5798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[0][1]  (
-	.CLK(CTS_40),
-	.D(n_8460),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [1]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[0][2]  (
-	.CLK(CTS_40),
-	.D(n_8461),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [2]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[0][3]  (
-	.CLK(CTS_37),
-	.D(n_8462),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [3]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[0][4]  (
-	.CLK(CTS_40),
-	.D(n_8463),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [4]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[0][5]  (
-	.CLK(CTS_40),
-	.D(n_8465),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [5]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[0][6]  (
-	.CLK(CTS_37),
-	.D(n_8466),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [6]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[0][7]  (
-	.CLK(CTS_37),
-	.D(n_8467),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [7]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[1][0]  (
-	.CLK(CTS_40),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [0]),
-	.RESET_B(FE_OFN66_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [0]),
-	.SCE(n_5802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[1][1]  (
-	.CLK(CTS_49),
-	.D(n_8469),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [1]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[1][2]  (
-	.CLK(CTS_49),
-	.D(n_8470),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [2]),
-	.RESET_B(FE_OFN73_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[1][3]  (
-	.CLK(CTS_40),
-	.D(n_8471),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [3]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[1][4]  (
-	.CLK(CTS_49),
-	.D(n_8472),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [4]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[1][5]  (
-	.CLK(CTS_49),
-	.D(n_8473),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [5]),
-	.RESET_B(FE_OFN73_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[1][6]  (
-	.CLK(CTS_49),
-	.D(n_8474),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [6]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[1][7]  (
-	.CLK(CTS_56),
-	.D(n_8476),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [7]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[2][0]  (
-	.CLK(CTS_37),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [0]),
-	.RESET_B(FE_OFN162_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [0]),
-	.SCE(n_5800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[2][1]  (
-	.CLK(CTS_40),
-	.D(n_8477),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [1]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[2][2]  (
-	.CLK(CTS_40),
-	.D(n_8478),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [2]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[2][3]  (
-	.CLK(CTS_37),
-	.D(n_8479),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [3]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[2][4]  (
-	.CLK(CTS_40),
-	.D(n_8480),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [4]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[2][5]  (
-	.CLK(CTS_40),
-	.D(n_8482),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [5]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[2][6]  (
-	.CLK(CTS_37),
-	.D(n_8483),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [6]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[2][7]  (
-	.CLK(CTS_37),
-	.D(n_8484),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [7]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[3][0]  (
-	.CLK(CTS_40),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [0]),
-	.RESET_B(FE_OFN162_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [0]),
-	.SCE(n_5801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[3][1]  (
-	.CLK(CTS_49),
-	.D(n_8485),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [1]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[3][2]  (
-	.CLK(CTS_40),
-	.D(n_8487),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [2]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[3][3]  (
-	.CLK(CTS_40),
-	.D(n_8488),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [3]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[3][4]  (
-	.CLK(CTS_37),
-	.D(n_8489),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [4]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[3][5]  (
-	.CLK(CTS_40),
-	.D(n_8490),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [5]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[3][6]  (
-	.CLK(CTS_37),
-	.D(n_8491),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [6]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[3][7]  (
-	.CLK(CTS_37),
-	.D(n_8492),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [7]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[4][0]  (
-	.CLK(CTS_49),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [0]),
-	.RESET_B(FE_OFN158_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [0]),
-	.SCE(n_4356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[4][1]  (
-	.CLK(CTS_49),
-	.D(n_8113),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [1]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[4][2]  (
-	.CLK(CTS_49),
-	.D(n_8114),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [2]),
-	.RESET_B(FE_OFN73_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[4][3]  (
-	.CLK(CTS_49),
-	.D(n_8115),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [3]),
-	.RESET_B(FE_OFN73_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[4][4]  (
-	.CLK(CTS_49),
-	.D(n_8116),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [4]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[4][5]  (
-	.CLK(CTS_49),
-	.D(n_8117),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [5]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[4][6]  (
-	.CLK(CTS_49),
-	.D(n_8118),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [6]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[4][7]  (
-	.CLK(CTS_49),
-	.D(n_8119),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [7]),
-	.RESET_B(FE_OFN73_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[5][0]  (
-	.CLK(CTS_49),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [0]),
-	.RESET_B(FE_OFN73_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [0]),
-	.SCE(n_4368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[5][1]  (
-	.CLK(CTS_49),
-	.D(n_8122),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [1]),
-	.RESET_B(FE_OFN73_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[5][2]  (
-	.CLK(CTS_49),
-	.D(n_8123),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [2]),
-	.RESET_B(FE_OFN73_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[5][3]  (
-	.CLK(CTS_49),
-	.D(n_8124),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [3]),
-	.RESET_B(FE_OFN73_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[5][4]  (
-	.CLK(CTS_49),
-	.D(n_8125),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [4]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[5][5]  (
-	.CLK(CTS_49),
-	.D(n_8126),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [5]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[5][6]  (
-	.CLK(CTS_49),
-	.D(n_8127),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [6]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[5][7]  (
-	.CLK(CTS_49),
-	.D(n_8128),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [7]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[6][0]  (
-	.CLK(CTS_40),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [0]),
-	.RESET_B(FE_OFN72_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [0]),
-	.SCE(n_4367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[6][1]  (
-	.CLK(CTS_49),
-	.D(n_8129),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [1]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[6][2]  (
-	.CLK(CTS_49),
-	.D(n_8130),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [2]),
-	.RESET_B(FE_OFN73_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[6][3]  (
-	.CLK(CTS_40),
-	.D(n_8131),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [3]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[6][4]  (
-	.CLK(CTS_49),
-	.D(n_8153),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [4]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[6][5]  (
-	.CLK(CTS_49),
-	.D(n_8132),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [5]),
-	.RESET_B(FE_OFN73_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[6][6]  (
-	.CLK(CTS_49),
-	.D(n_8134),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [6]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[6][7]  (
-	.CLK(CTS_49),
-	.D(n_8121),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [7]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[7][0]  (
-	.CLK(CTS_40),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [0]),
-	.RESET_B(FE_OFN162_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [0]),
-	.SCE(n_4293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[7][1]  (
-	.CLK(CTS_40),
-	.D(n_8136),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [1]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[7][2]  (
-	.CLK(CTS_40),
-	.D(n_7843),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [2]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[7][3]  (
-	.CLK(CTS_40),
-	.D(n_8138),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [3]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[7][4]  (
-	.CLK(CTS_40),
-	.D(n_8139),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [4]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[7][5]  (
-	.CLK(CTS_40),
-	.D(n_8140),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [5]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[7][6]  (
-	.CLK(CTS_40),
-	.D(n_8141),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [6]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[7][7]  (
-	.CLK(CTS_40),
-	.D(n_8142),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [7]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[8][0]  (
-	.CLK(CTS_49),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [0]),
-	.RESET_B(FE_OFN159_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [0]),
-	.SCE(n_5017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[8][1]  (
-	.CLK(CTS_49),
-	.D(n_7958),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [1]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[8][2]  (
-	.CLK(CTS_49),
-	.D(n_8143),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [2]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[8][3]  (
-	.CLK(CTS_49),
-	.D(n_8144),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [3]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[8][4]  (
-	.CLK(CTS_42),
-	.D(n_8145),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [4]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[8][5]  (
-	.CLK(CTS_42),
-	.D(n_8146),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [5]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[8][6]  (
-	.CLK(CTS_49),
-	.D(n_8147),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [6]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[8][7]  (
-	.CLK(CTS_49),
-	.D(n_8148),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [7]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[9][0]  (
-	.CLK(CTS_44),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [0]),
-	.RESET_B(FE_OFN71_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [0]),
-	.SCE(n_4324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[9][1]  (
-	.CLK(CTS_44),
-	.D(n_8149),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [1]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[9][2]  (
-	.CLK(CTS_42),
-	.D(n_8150),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [2]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[9][3]  (
-	.CLK(CTS_44),
-	.D(n_8151),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [3]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[9][4]  (
-	.CLK(CTS_42),
-	.D(n_8152),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [4]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[9][5]  (
-	.CLK(CTS_42),
-	.D(n_8154),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [5]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[9][6]  (
-	.CLK(CTS_42),
-	.D(n_8155),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [6]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[9][7]  (
-	.CLK(CTS_44),
-	.D(n_8156),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [7]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[10][0]  (
-	.CLK(CTS_42),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [0]),
-	.RESET_B(FE_OFN153_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [0]),
-	.SCE(n_4325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[10][1]  (
-	.CLK(CTS_42),
-	.D(n_8157),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [1]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[10][2]  (
-	.CLK(CTS_49),
-	.D(n_8158),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [2]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[10][3]  (
-	.CLK(CTS_49),
-	.D(n_8159),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [3]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[10][4]  (
-	.CLK(CTS_42),
-	.D(n_8160),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [4]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[10][5]  (
-	.CLK(CTS_42),
-	.D(n_8162),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [5]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[10][6]  (
-	.CLK(CTS_42),
-	.D(n_8163),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [6]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[10][7]  (
-	.CLK(CTS_49),
-	.D(n_8164),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [7]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[11][0]  (
-	.CLK(CTS_44),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [0]),
-	.RESET_B(FE_OFN152_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [0]),
-	.SCE(n_4444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[11][1]  (
-	.CLK(CTS_42),
-	.D(n_8165),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [1]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[11][2]  (
-	.CLK(CTS_42),
-	.D(n_8166),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [2]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[11][3]  (
-	.CLK(CTS_42),
-	.D(n_8167),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [3]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[11][4]  (
-	.CLK(CTS_42),
-	.D(n_8168),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [4]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[11][5]  (
-	.CLK(CTS_42),
-	.D(n_7912),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [5]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[11][6]  (
-	.CLK(CTS_42),
-	.D(n_8169),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [6]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[11][7]  (
-	.CLK(CTS_42),
-	.D(n_8170),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [7]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[12][0]  (
-	.CLK(CTS_44),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [0]),
-	.RESET_B(FE_OFN71_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [0]),
-	.SCE(n_4354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[12][1]  (
-	.CLK(CTS_42),
-	.D(n_8171),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [1]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[12][2]  (
-	.CLK(CTS_42),
-	.D(n_8172),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [2]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[12][3]  (
-	.CLK(CTS_42),
-	.D(n_8173),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [3]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[12][4]  (
-	.CLK(CTS_42),
-	.D(n_8174),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [4]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[12][5]  (
-	.CLK(CTS_42),
-	.D(n_8175),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [5]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[12][6]  (
-	.CLK(CTS_42),
-	.D(n_8177),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [6]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[12][7]  (
-	.CLK(CTS_44),
-	.D(n_8178),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [7]),
-	.RESET_B(FE_OFN152_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[13][0]  (
-	.CLK(CTS_44),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [0]),
-	.RESET_B(FE_OFN152_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [0]),
-	.SCE(n_4472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[13][1]  (
-	.CLK(CTS_42),
-	.D(n_8179),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [1]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[13][2]  (
-	.CLK(CTS_42),
-	.D(n_8180),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [2]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[13][3]  (
-	.CLK(CTS_44),
-	.D(n_8181),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [3]),
-	.RESET_B(FE_OFN152_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[13][4]  (
-	.CLK(CTS_42),
-	.D(n_8182),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [4]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[13][5]  (
-	.CLK(CTS_42),
-	.D(n_8183),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [5]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[13][6]  (
-	.CLK(CTS_42),
-	.D(n_7763),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [6]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[13][7]  (
-	.CLK(CTS_44),
-	.D(n_8184),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [7]),
-	.RESET_B(FE_OFN152_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[14][0]  (
-	.CLK(CTS_44),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [0]),
-	.RESET_B(FE_OFN152_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [0]),
-	.SCE(n_4473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[14][1]  (
-	.CLK(CTS_42),
-	.D(n_7350),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [1]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[14][2]  (
-	.CLK(CTS_42),
-	.D(n_8185),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [2]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[14][3]  (
-	.CLK(CTS_42),
-	.D(n_8186),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [3]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[14][4]  (
-	.CLK(CTS_42),
-	.D(n_8187),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [4]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[14][5]  (
-	.CLK(CTS_42),
-	.D(n_6926),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [5]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[14][6]  (
-	.CLK(CTS_42),
-	.D(n_8188),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [6]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[14][7]  (
-	.CLK(CTS_42),
-	.D(n_8189),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [7]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[15][0]  (
-	.CLK(CTS_44),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [0]),
-	.RESET_B(FE_OFN152_system_rst_ni),
-	.SCD(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[15][1]  (
-	.CLK(CTS_42),
-	.D(n_7651),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [1]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[15][2]  (
-	.CLK(CTS_42),
-	.D(n_7650),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [2]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[15][3]  (
-	.CLK(CTS_42),
-	.D(n_7649),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [3]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[15][4]  (
-	.CLK(CTS_42),
-	.D(n_7648),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [4]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[15][5]  (
-	.CLK(CTS_42),
-	.D(n_7900),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [5]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[15][6]  (
-	.CLK(CTS_42),
-	.D(n_7647),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [6]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[15][7]  (
-	.CLK(CTS_44),
-	.D(n_7646),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [7]),
-	.RESET_B(FE_OFN152_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[16][0]  (
-	.CLK(CTS_56),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [0]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [0]),
-	.SCE(n_5027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[16][1]  (
-	.CLK(CTS_56),
-	.D(n_7645),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [1]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[16][2]  (
-	.CLK(CTS_56),
-	.D(n_7644),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [2]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[16][3]  (
-	.CLK(CTS_56),
-	.D(n_7643),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [3]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[16][4]  (
-	.CLK(CTS_56),
-	.D(n_7642),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [4]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[16][5]  (
-	.CLK(CTS_56),
-	.D(n_7641),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [5]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[16][6]  (
-	.CLK(CTS_56),
-	.D(n_7640),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [6]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[16][7]  (
-	.CLK(CTS_56),
-	.D(n_7639),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[17][0]  (
-	.CLK(CTS_40),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [0]),
-	.RESET_B(FE_OFN18_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [0]),
-	.SCE(n_4337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[17][1]  (
-	.CLK(CTS_56),
-	.D(n_7638),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [1]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[17][2]  (
-	.CLK(CTS_56),
-	.D(n_7637),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [2]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[17][3]  (
-	.CLK(CTS_40),
-	.D(n_8048),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [3]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[17][4]  (
-	.CLK(CTS_40),
-	.D(n_7636),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [4]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[17][5]  (
-	.CLK(CTS_40),
-	.D(n_7635),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [5]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[17][6]  (
-	.CLK(CTS_40),
-	.D(n_7634),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [6]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[17][7]  (
-	.CLK(CTS_40),
-	.D(n_7633),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [7]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[18][0]  (
-	.CLK(CTS_56),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [0]),
-	.RESET_B(FE_OFN65_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [0]),
-	.SCE(n_4338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[18][1]  (
-	.CLK(CTS_56),
-	.D(n_7632),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [1]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[18][2]  (
-	.CLK(CTS_56),
-	.D(n_7631),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [2]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[18][3]  (
-	.CLK(CTS_56),
-	.D(n_7630),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [3]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[18][4]  (
-	.CLK(CTS_56),
-	.D(n_7629),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [4]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[18][5]  (
-	.CLK(CTS_56),
-	.D(n_7628),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [5]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[18][6]  (
-	.CLK(CTS_56),
-	.D(n_7906),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [6]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[18][7]  (
-	.CLK(CTS_56),
-	.D(n_7627),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[19][0]  (
-	.CLK(CTS_56),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [0]),
-	.RESET_B(FE_OFN66_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [0]),
-	.SCE(n_4462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[19][1]  (
-	.CLK(CTS_56),
-	.D(n_7626),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [1]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[19][2]  (
-	.CLK(CTS_56),
-	.D(n_7625),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [2]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[19][3]  (
-	.CLK(CTS_56),
-	.D(n_7624),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [3]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[19][4]  (
-	.CLK(CTS_56),
-	.D(n_7623),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [4]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[19][5]  (
-	.CLK(CTS_56),
-	.D(n_7622),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [5]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[19][6]  (
-	.CLK(CTS_56),
-	.D(n_7621),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [6]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[19][7]  (
-	.CLK(CTS_56),
-	.D(n_7620),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [7]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[20][0]  (
-	.CLK(CTS_56),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [0]),
-	.RESET_B(FE_OFN66_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [0]),
-	.SCE(n_4369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[20][1]  (
-	.CLK(CTS_56),
-	.D(n_7922),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [1]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[20][2]  (
-	.CLK(CTS_56),
-	.D(n_7618),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [2]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[20][3]  (
-	.CLK(CTS_56),
-	.D(n_7616),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [3]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[20][4]  (
-	.CLK(CTS_56),
-	.D(n_7615),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [4]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[20][5]  (
-	.CLK(CTS_56),
-	.D(n_7614),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [5]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[20][6]  (
-	.CLK(CTS_56),
-	.D(n_7613),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [6]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[20][7]  (
-	.CLK(CTS_56),
-	.D(n_7921),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [7]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[21][0]  (
-	.CLK(CTS_56),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [0]),
-	.RESET_B(FE_OFN66_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [0]),
-	.SCE(n_4491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[21][1]  (
-	.CLK(CTS_56),
-	.D(n_7611),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [1]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[21][2]  (
-	.CLK(CTS_56),
-	.D(n_7610),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [2]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[21][3]  (
-	.CLK(CTS_56),
-	.D(n_7609),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [3]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[21][4]  (
-	.CLK(CTS_56),
-	.D(n_7608),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [4]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[21][5]  (
-	.CLK(CTS_40),
-	.D(n_7607),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [5]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[21][6]  (
-	.CLK(CTS_56),
-	.D(n_7606),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [6]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[21][7]  (
-	.CLK(CTS_56),
-	.D(n_7605),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [7]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[22][0]  (
-	.CLK(CTS_40),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [0]),
-	.RESET_B(FE_OFN66_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [0]),
-	.SCE(n_4490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[22][1]  (
-	.CLK(CTS_56),
-	.D(n_7604),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [1]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[22][2]  (
-	.CLK(CTS_56),
-	.D(n_7603),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [2]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[22][3]  (
-	.CLK(CTS_40),
-	.D(n_7602),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [3]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[22][4]  (
-	.CLK(CTS_40),
-	.D(n_7601),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [4]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[22][5]  (
-	.CLK(CTS_40),
-	.D(n_7600),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [5]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[22][6]  (
-	.CLK(CTS_40),
-	.D(n_7599),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [6]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[22][7]  (
-	.CLK(CTS_40),
-	.D(n_7598),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [7]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[23][0]  (
-	.CLK(CTS_56),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [0]),
-	.RESET_B(FE_OFN66_system_rst_ni),
-	.SCD(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[23][1]  (
-	.CLK(CTS_56),
-	.D(n_7597),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [1]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[23][2]  (
-	.CLK(CTS_56),
-	.D(n_7596),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [2]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[23][3]  (
-	.CLK(CTS_56),
-	.D(n_7595),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [3]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[23][4]  (
-	.CLK(CTS_56),
-	.D(n_7594),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [4]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[23][5]  (
-	.CLK(CTS_56),
-	.D(n_7593),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [5]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[23][6]  (
-	.CLK(CTS_56),
-	.D(n_7592),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [6]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[23][7]  (
-	.CLK(CTS_56),
-	.D(n_7591),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[24][0]  (
-	.CLK(CTS_49),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [0]),
-	.RESET_B(FE_OFN72_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [0]),
-	.SCE(n_4334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[24][1]  (
-	.CLK(CTS_46),
-	.D(n_7590),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [1]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[24][2]  (
-	.CLK(CTS_49),
-	.D(n_7589),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [2]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[24][3]  (
-	.CLK(CTS_46),
-	.D(n_7588),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [3]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[24][4]  (
-	.CLK(CTS_49),
-	.D(n_7587),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [4]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[24][5]  (
-	.CLK(CTS_49),
-	.D(n_7586),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [5]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[24][6]  (
-	.CLK(CTS_49),
-	.D(n_7585),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [6]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[24][7]  (
-	.CLK(CTS_49),
-	.D(n_7584),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [7]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[25][0]  (
-	.CLK(CTS_56),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [0]),
-	.RESET_B(FE_OFN72_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [0]),
-	.SCE(n_4448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[25][1]  (
-	.CLK(CTS_56),
-	.D(n_7583),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [1]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[25][2]  (
-	.CLK(CTS_56),
-	.D(n_7582),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [2]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[25][3]  (
-	.CLK(CTS_56),
-	.D(n_7581),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [3]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[25][4]  (
-	.CLK(CTS_56),
-	.D(n_7580),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [4]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[25][5]  (
-	.CLK(CTS_56),
-	.D(n_7579),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [5]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[25][6]  (
-	.CLK(CTS_56),
-	.D(n_7926),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [6]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[25][7]  (
-	.CLK(CTS_40),
-	.D(n_7578),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [7]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[26][0]  (
-	.CLK(CTS_49),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [0]),
-	.RESET_B(FE_OFN72_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [0]),
-	.SCE(n_4447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[26][1]  (
-	.CLK(CTS_46),
-	.D(n_7577),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [1]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[26][2]  (
-	.CLK(CTS_49),
-	.D(n_7576),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [2]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[26][3]  (
-	.CLK(CTS_46),
-	.D(n_6742),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [3]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[26][4]  (
-	.CLK(CTS_49),
-	.D(n_7575),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [4]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[26][5]  (
-	.CLK(CTS_49),
-	.D(n_7574),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [5]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[26][6]  (
-	.CLK(CTS_49),
-	.D(n_7573),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [6]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[26][7]  (
-	.CLK(CTS_49),
-	.D(n_7572),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [7]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[27][0]  (
-	.CLK(CTS_46),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [0]),
-	.RESET_B(FE_OFN158_system_rst_ni),
-	.SCD(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[27][1]  (
-	.CLK(CTS_46),
-	.D(n_7571),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [1]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[27][2]  (
-	.CLK(CTS_46),
-	.D(n_7570),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [2]),
-	.RESET_B(FE_OFN70_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[27][3]  (
-	.CLK(CTS_46),
-	.D(n_7569),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [3]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[27][4]  (
-	.CLK(CTS_46),
-	.D(n_7568),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [4]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[27][5]  (
-	.CLK(CTS_46),
-	.D(n_7567),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [5]),
-	.RESET_B(FE_OFN70_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[27][6]  (
-	.CLK(CTS_46),
-	.D(n_7566),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [6]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[27][7]  (
-	.CLK(CTS_46),
-	.D(n_7565),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [7]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[28][0]  (
-	.CLK(CTS_46),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [0]),
-	.RESET_B(FE_OFN72_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [0]),
-	.SCE(n_4475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[28][1]  (
-	.CLK(CTS_46),
-	.D(n_7564),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [1]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[28][2]  (
-	.CLK(CTS_46),
-	.D(n_7562),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [2]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[28][3]  (
-	.CLK(CTS_46),
-	.D(n_7561),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [3]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[28][4]  (
-	.CLK(CTS_46),
-	.D(n_8106),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [4]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[28][5]  (
-	.CLK(CTS_46),
-	.D(n_7560),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [5]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[28][6]  (
-	.CLK(CTS_46),
-	.D(n_7559),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [6]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[28][7]  (
-	.CLK(CTS_46),
-	.D(n_7558),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [7]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[29][0]  (
-	.CLK(CTS_46),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [0]),
-	.RESET_B(FE_OFN72_system_rst_ni),
-	.SCD(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[29][1]  (
-	.CLK(CTS_46),
-	.D(n_7557),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [1]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[29][2]  (
-	.CLK(CTS_46),
-	.D(n_7556),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [2]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[29][3]  (
-	.CLK(CTS_46),
-	.D(n_7555),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [3]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[29][4]  (
-	.CLK(CTS_46),
-	.D(n_7554),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [4]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[29][5]  (
-	.CLK(CTS_46),
-	.D(n_7553),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [5]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[29][6]  (
-	.CLK(CTS_46),
-	.D(n_7552),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [6]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[29][7]  (
-	.CLK(CTS_46),
-	.D(n_7551),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [7]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[30][0]  (
-	.CLK(CTS_46),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [0]),
-	.RESET_B(FE_OFN72_system_rst_ni),
-	.SCD(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[30][1]  (
-	.CLK(CTS_46),
-	.D(n_7549),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [1]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[30][2]  (
-	.CLK(CTS_56),
-	.D(n_7548),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [2]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[30][3]  (
-	.CLK(CTS_56),
-	.D(n_7547),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [3]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[30][4]  (
-	.CLK(CTS_46),
-	.D(n_7546),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [4]),
-	.RESET_B(FE_OFN72_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[30][5]  (
-	.CLK(CTS_56),
-	.D(n_7545),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [5]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[30][6]  (
-	.CLK(CTS_56),
-	.D(n_7544),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [6]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[30][7]  (
-	.CLK(CTS_56),
-	.D(n_8001),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [7]),
-	.RESET_B(FE_OFN66_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[31][0]  (
-	.CLK(CTS_46),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [0]),
-	.RESET_B(FE_OFN158_system_rst_ni),
-	.SCD(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[31][1]  (
-	.CLK(CTS_46),
-	.D(n_7541),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [1]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[31][2]  (
-	.CLK(CTS_46),
-	.D(n_7540),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [2]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[31][3]  (
-	.CLK(CTS_46),
-	.D(n_7962),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [3]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[31][4]  (
-	.CLK(CTS_46),
-	.D(n_7539),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [4]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[31][5]  (
-	.CLK(CTS_46),
-	.D(n_7538),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [5]),
-	.RESET_B(FE_OFN70_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[31][6]  (
-	.CLK(CTS_46),
-	.D(n_7537),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [6]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[31][7]  (
-	.CLK(CTS_46),
-	.D(n_7536),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [7]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[32][0]  (
-	.CLK(CTS_40),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [0]),
-	.RESET_B(FE_OFN77_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [0]),
-	.SCE(n_5023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[32][1]  (
-	.CLK(CTS_40),
-	.D(n_7535),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [1]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[32][2]  (
-	.CLK(CTS_40),
-	.D(n_7534),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [2]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[32][3]  (
-	.CLK(CTS_40),
-	.D(n_7533),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [3]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[32][4]  (
-	.CLK(CTS_40),
-	.D(n_7532),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [4]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[32][5]  (
-	.CLK(CTS_40),
-	.D(n_7531),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [5]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[32][6]  (
-	.CLK(CTS_40),
-	.D(n_7530),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [6]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[32][7]  (
-	.CLK(CTS_40),
-	.D(n_7529),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [7]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[33][0]  (
-	.CLK(CTS_40),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [0]),
-	.RESET_B(FE_OFN77_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [0]),
-	.SCE(n_4365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[33][1]  (
-	.CLK(CTS_40),
-	.D(n_7934),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [1]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[33][2]  (
-	.CLK(CTS_31),
-	.D(n_7528),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [2]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[33][3]  (
-	.CLK(CTS_40),
-	.D(n_7527),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [3]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[33][4]  (
-	.CLK(CTS_31),
-	.D(n_7526),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [4]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[33][5]  (
-	.CLK(CTS_40),
-	.D(n_7525),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [5]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[33][6]  (
-	.CLK(CTS_40),
-	.D(n_7524),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [6]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[33][7]  (
-	.CLK(CTS_40),
-	.D(n_7523),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [7]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[34][0]  (
-	.CLK(CTS_40),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [0]),
-	.RESET_B(FE_OFN77_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [0]),
-	.SCE(n_4366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[34][1]  (
-	.CLK(CTS_40),
-	.D(n_7522),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [1]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[34][2]  (
-	.CLK(CTS_31),
-	.D(n_7521),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [2]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[34][3]  (
-	.CLK(CTS_40),
-	.D(n_7520),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [3]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[34][4]  (
-	.CLK(CTS_37),
-	.D(n_7519),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [4]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[34][5]  (
-	.CLK(CTS_40),
-	.D(n_7518),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [5]),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[34][6]  (
-	.CLK(CTS_40),
-	.D(n_7517),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [6]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[34][7]  (
-	.CLK(CTS_40),
-	.D(n_7516),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [7]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[35][0]  (
-	.CLK(CTS_60),
-	.D(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [0]),
-	.RESET_B(FE_OFN227_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [0]),
-	.SCE(n_4298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[35][1]  (
-	.CLK(CTS_60),
-	.D(n_7515),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [1]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[35][2]  (
-	.CLK(CTS_60),
-	.D(n_7514),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [2]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[35][3]  (
-	.CLK(CTS_60),
-	.D(n_7513),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [3]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[35][4]  (
-	.CLK(CTS_60),
-	.D(n_8041),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [4]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[35][5]  (
-	.CLK(CTS_60),
-	.D(n_7512),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [5]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[35][6]  (
-	.CLK(CTS_60),
-	.D(n_7511),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [6]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[35][7]  (
-	.CLK(CTS_60),
-	.D(n_7510),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [7]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[36][0]  (
-	.CLK(CTS_38),
-	.D(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [0]),
-	.RESET_B(FE_OFN227_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [0]),
-	.SCE(n_4411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[36][1]  (
-	.CLK(CTS_38),
-	.D(n_7509),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [1]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[36][2]  (
-	.CLK(CTS_38),
-	.D(n_7508),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [2]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[36][3]  (
-	.CLK(CTS_31),
-	.D(n_7507),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [3]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[36][4]  (
-	.CLK(CTS_31),
-	.D(n_7506),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [4]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[36][5]  (
-	.CLK(CTS_31),
-	.D(n_7505),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [5]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[36][6]  (
-	.CLK(CTS_31),
-	.D(n_7504),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [6]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[36][7]  (
-	.CLK(CTS_60),
-	.D(n_7503),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [7]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[37][0]  (
-	.CLK(CTS_60),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [0]),
-	.RESET_B(FE_OFN227_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[37][1]  (
-	.CLK(CTS_38),
-	.D(n_7502),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [1]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[37][2]  (
-	.CLK(CTS_31),
-	.D(n_7501),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [2]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[37][3]  (
-	.CLK(CTS_60),
-	.D(n_7500),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [3]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[37][4]  (
-	.CLK(CTS_31),
-	.D(n_7499),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [4]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[37][5]  (
-	.CLK(CTS_60),
-	.D(n_7498),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [5]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[37][6]  (
-	.CLK(CTS_38),
-	.D(n_8018),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [6]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[37][7]  (
-	.CLK(CTS_60),
-	.D(n_7496),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [7]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[38][0]  (
-	.CLK(CTS_40),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [0]),
-	.RESET_B(FE_OFN77_system_rst_ni),
-	.SCD(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[38][1]  (
-	.CLK(CTS_40),
-	.D(n_7495),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [1]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[38][2]  (
-	.CLK(CTS_31),
-	.D(n_7494),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [2]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[38][3]  (
-	.CLK(CTS_60),
-	.D(n_7493),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [3]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[38][4]  (
-	.CLK(CTS_31),
-	.D(n_7492),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [4]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[38][5]  (
-	.CLK(CTS_40),
-	.D(n_7491),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [5]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[38][6]  (
-	.CLK(CTS_40),
-	.D(n_7490),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [6]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[38][7]  (
-	.CLK(CTS_60),
-	.D(n_7005),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [7]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[39][0]  (
-	.CLK(CTS_60),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [0]),
-	.RESET_B(FE_OFN227_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[39][1]  (
-	.CLK(CTS_60),
-	.D(n_7489),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [1]),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[39][2]  (
-	.CLK(CTS_60),
-	.D(n_7488),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [2]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[39][3]  (
-	.CLK(CTS_60),
-	.D(n_7487),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [3]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[39][4]  (
-	.CLK(CTS_60),
-	.D(n_7486),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [4]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[39][5]  (
-	.CLK(CTS_60),
-	.D(n_7485),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [5]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[39][6]  (
-	.CLK(CTS_60),
-	.D(n_7484),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [6]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[39][7]  (
-	.CLK(CTS_60),
-	.D(n_7984),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [7]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[40][0]  (
-	.CLK(CTS_46),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [0]),
-	.RESET_B(FE_OFN203_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [0]),
-	.SCE(n_4358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[40][1]  (
-	.CLK(CTS_49),
-	.D(n_7482),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [1]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[40][2]  (
-	.CLK(CTS_49),
-	.D(n_7481),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [2]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[40][3]  (
-	.CLK(CTS_46),
-	.D(n_7480),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [3]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[40][4]  (
-	.CLK(CTS_49),
-	.D(n_7479),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [4]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[40][5]  (
-	.CLK(CTS_49),
-	.D(n_7478),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [5]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[40][6]  (
-	.CLK(CTS_49),
-	.D(n_7477),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [6]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[40][7]  (
-	.CLK(CTS_49),
-	.D(n_7476),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [7]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[41][0]  (
-	.CLK(CTS_46),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [0]),
-	.RESET_B(FE_OFN203_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [0]),
-	.SCE(n_4477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[41][1]  (
-	.CLK(CTS_44),
-	.D(n_7475),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [1]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[41][2]  (
-	.CLK(CTS_44),
-	.D(n_7474),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [2]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[41][3]  (
-	.CLK(CTS_46),
-	.D(n_7473),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [3]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[41][4]  (
-	.CLK(CTS_44),
-	.D(n_8135),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [4]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[41][5]  (
-	.CLK(CTS_46),
-	.D(n_7471),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [5]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[41][6]  (
-	.CLK(CTS_44),
-	.D(n_7470),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [6]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[41][7]  (
-	.CLK(CTS_44),
-	.D(n_7469),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [7]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[42][0]  (
-	.CLK(CTS_46),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [0]),
-	.RESET_B(FE_OFN158_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [0]),
-	.SCE(n_4478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[42][1]  (
-	.CLK(CTS_46),
-	.D(n_7468),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [1]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[42][2]  (
-	.CLK(CTS_49),
-	.D(n_7467),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [2]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[42][3]  (
-	.CLK(CTS_46),
-	.D(n_7466),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [3]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[42][4]  (
-	.CLK(CTS_49),
-	.D(n_7465),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [4]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[42][5]  (
-	.CLK(CTS_46),
-	.D(n_7464),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [5]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[42][6]  (
-	.CLK(CTS_49),
-	.D(n_7463),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [6]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[42][7]  (
-	.CLK(CTS_49),
-	.D(n_7462),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [7]),
-	.RESET_B(FE_OFN158_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[43][0]  (
-	.CLK(CTS_44),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [0]),
-	.RESET_B(FE_OFN203_system_rst_ni),
-	.SCD(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[43][1]  (
-	.CLK(CTS_44),
-	.D(n_7461),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [1]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[43][2]  (
-	.CLK(CTS_44),
-	.D(n_7460),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [2]),
-	.RESET_B(FE_OFN204_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[43][3]  (
-	.CLK(CTS_46),
-	.D(n_7459),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [3]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[43][4]  (
-	.CLK(CTS_44),
-	.D(n_7458),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [4]),
-	.RESET_B(FE_OFN204_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[43][5]  (
-	.CLK(CTS_44),
-	.D(n_7543),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [5]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[43][6]  (
-	.CLK(CTS_46),
-	.D(n_7457),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [6]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[43][7]  (
-	.CLK(CTS_44),
-	.D(n_7456),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [7]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[44][0]  (
-	.CLK(CTS_44),
-	.D(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [0]),
-	.RESET_B(FE_OFN203_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [0]),
-	.SCE(n_4299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[44][1]  (
-	.CLK(CTS_44),
-	.D(n_7455),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [1]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[44][2]  (
-	.CLK(CTS_44),
-	.D(n_7453),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [2]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[44][3]  (
-	.CLK(CTS_44),
-	.D(n_7452),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [3]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[44][4]  (
-	.CLK(CTS_44),
-	.D(n_7451),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [4]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[44][5]  (
-	.CLK(CTS_44),
-	.D(n_7450),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [5]),
-	.RESET_B(FE_OFN152_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[44][6]  (
-	.CLK(CTS_44),
-	.D(n_7449),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [6]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[44][7]  (
-	.CLK(CTS_44),
-	.D(n_7448),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [7]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[45][0]  (
-	.CLK(CTS_44),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [0]),
-	.RESET_B(FE_OFN203_system_rst_ni),
-	.SCD(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[45][1]  (
-	.CLK(CTS_44),
-	.D(n_7447),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [1]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[45][2]  (
-	.CLK(CTS_44),
-	.D(n_7446),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [2]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[45][3]  (
-	.CLK(CTS_44),
-	.D(n_7445),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [3]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[45][4]  (
-	.CLK(CTS_44),
-	.D(n_6671),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [4]),
-	.RESET_B(FE_OFN152_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[45][5]  (
-	.CLK(CTS_44),
-	.D(n_7444),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [5]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[45][6]  (
-	.CLK(CTS_44),
-	.D(n_7443),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [6]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[45][7]  (
-	.CLK(CTS_44),
-	.D(n_7442),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [7]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[46][0]  (
-	.CLK(CTS_44),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [0]),
-	.RESET_B(FE_OFN203_system_rst_ni),
-	.SCD(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[46][1]  (
-	.CLK(CTS_44),
-	.D(n_7441),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [1]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[46][2]  (
-	.CLK(CTS_44),
-	.D(n_7440),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [2]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[46][3]  (
-	.CLK(CTS_46),
-	.D(n_7939),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [3]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[46][4]  (
-	.CLK(CTS_44),
-	.D(n_7439),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [4]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[46][5]  (
-	.CLK(CTS_44),
-	.D(n_7438),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [5]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[46][6]  (
-	.CLK(CTS_44),
-	.D(n_7437),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [6]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[46][7]  (
-	.CLK(CTS_44),
-	.D(n_7436),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [7]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[47][0]  (
-	.CLK(CTS_44),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [0]),
-	.RESET_B(FE_OFN203_system_rst_ni),
-	.SCD(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[47][1]  (
-	.CLK(CTS_44),
-	.D(n_7919),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [1]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[47][2]  (
-	.CLK(CTS_44),
-	.D(n_7434),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [2]),
-	.RESET_B(FE_OFN204_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[47][3]  (
-	.CLK(CTS_46),
-	.D(n_7433),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [3]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[47][4]  (
-	.CLK(CTS_44),
-	.D(n_7432),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [4]),
-	.RESET_B(FE_OFN192_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[47][5]  (
-	.CLK(CTS_44),
-	.D(n_7431),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [5]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[47][6]  (
-	.CLK(CTS_44),
-	.D(n_7430),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [6]),
-	.RESET_B(FE_OFN204_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[47][7]  (
-	.CLK(CTS_44),
-	.D(n_7758),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [7]),
-	.RESET_B(FE_OFN203_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[48][0]  (
-	.CLK(CTS_36),
-	.D(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [0]),
-	.RESET_B(FE_OFN225_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [0]),
-	.SCE(n_4345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[48][1]  (
-	.CLK(CTS_38),
-	.D(n_7428),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [1]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[48][2]  (
-	.CLK(CTS_31),
-	.D(n_7427),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [2]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[48][3]  (
-	.CLK(CTS_36),
-	.D(n_7426),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [3]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[48][4]  (
-	.CLK(CTS_31),
-	.D(n_7425),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [4]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[48][5]  (
-	.CLK(CTS_36),
-	.D(n_7424),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [5]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[48][6]  (
-	.CLK(CTS_31),
-	.D(n_7423),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [6]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[48][7]  (
-	.CLK(CTS_38),
-	.D(n_7422),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [7]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[49][0]  (
-	.CLK(CTS_31),
-	.D(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [0]),
-	.RESET_B(FE_OFN225_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [0]),
-	.SCE(n_4471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[49][1]  (
-	.CLK(CTS_38),
-	.D(n_7421),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [1]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[49][2]  (
-	.CLK(CTS_31),
-	.D(n_7897),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [2]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[49][3]  (
-	.CLK(CTS_38),
-	.D(n_7420),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [3]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[49][4]  (
-	.CLK(CTS_31),
-	.D(n_7418),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [4]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[49][5]  (
-	.CLK(CTS_38),
-	.D(n_7417),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [5]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[49][6]  (
-	.CLK(CTS_31),
-	.D(n_7416),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [6]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[49][7]  (
-	.CLK(CTS_38),
-	.D(n_7415),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [7]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[50][0]  (
-	.CLK(CTS_36),
-	.D(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [0]),
-	.RESET_B(FE_OFN225_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [0]),
-	.SCE(n_4469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[50][1]  (
-	.CLK(CTS_36),
-	.D(n_7917),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [1]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[50][2]  (
-	.CLK(CTS_31),
-	.D(n_7413),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [2]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[50][3]  (
-	.CLK(CTS_31),
-	.D(n_7412),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [3]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[50][4]  (
-	.CLK(CTS_31),
-	.D(n_7411),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [4]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[50][5]  (
-	.CLK(CTS_31),
-	.D(n_7818),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [5]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[50][6]  (
-	.CLK(CTS_36),
-	.D(n_7410),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [6]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[50][7]  (
-	.CLK(CTS_36),
-	.D(n_7409),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [7]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[51][0]  (
-	.CLK(CTS_36),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [0]),
-	.RESET_B(FE_OFN225_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[51][1]  (
-	.CLK(CTS_36),
-	.D(n_6807),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [1]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[51][2]  (
-	.CLK(CTS_31),
-	.D(n_7408),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [2]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[51][3]  (
-	.CLK(CTS_36),
-	.D(n_7407),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [3]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[51][4]  (
-	.CLK(CTS_31),
-	.D(n_7406),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [4]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[51][5]  (
-	.CLK(CTS_36),
-	.D(n_8087),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [5]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[51][6]  (
-	.CLK(CTS_36),
-	.D(n_8120),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [6]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[51][7]  (
-	.CLK(CTS_36),
-	.D(n_7405),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [7]),
-	.RESET_B(FE_OFN222_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[52][0]  (
-	.CLK(CTS_36),
-	.D(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [0]),
-	.RESET_B(FE_OFN225_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [0]),
-	.SCE(n_4494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[52][1]  (
-	.CLK(CTS_38),
-	.D(n_7404),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [1]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[52][2]  (
-	.CLK(CTS_38),
-	.D(n_7403),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [2]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[52][3]  (
-	.CLK(CTS_36),
-	.D(n_7402),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [3]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[52][4]  (
-	.CLK(CTS_38),
-	.D(n_7401),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [4]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[52][5]  (
-	.CLK(CTS_38),
-	.D(n_7400),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [5]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[52][6]  (
-	.CLK(CTS_38),
-	.D(n_7399),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [6]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[52][7]  (
-	.CLK(CTS_38),
-	.D(n_7398),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [7]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[53][0]  (
-	.CLK(CTS_36),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [0]),
-	.RESET_B(FE_OFN225_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[53][1]  (
-	.CLK(CTS_38),
-	.D(n_7397),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [1]),
-	.RESET_B(FE_OFN226_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[53][2]  (
-	.CLK(CTS_38),
-	.D(n_7361),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [2]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[53][3]  (
-	.CLK(CTS_36),
-	.D(n_7483),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [3]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[53][4]  (
-	.CLK(CTS_38),
-	.D(n_7395),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [4]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[53][5]  (
-	.CLK(CTS_38),
-	.D(n_7394),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [5]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[53][6]  (
-	.CLK(CTS_38),
-	.D(n_7393),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [6]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[53][7]  (
-	.CLK(CTS_38),
-	.D(n_7497),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [7]),
-	.RESET_B(FE_OFN226_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[54][0]  (
-	.CLK(CTS_31),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [0]),
-	.RESET_B(FE_OFN225_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[54][1]  (
-	.CLK(CTS_38),
-	.D(n_7392),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [1]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[54][2]  (
-	.CLK(CTS_31),
-	.D(n_7391),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [2]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[54][3]  (
-	.CLK(CTS_38),
-	.D(n_7390),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [3]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[54][4]  (
-	.CLK(CTS_31),
-	.D(n_7389),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [4]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[54][5]  (
-	.CLK(CTS_38),
-	.D(n_7388),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [5]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[54][6]  (
-	.CLK(CTS_38),
-	.D(n_7387),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [6]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[54][7]  (
-	.CLK(CTS_38),
-	.D(n_8059),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [7]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[55][0]  (
-	.CLK(CTS_36),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [0]),
-	.RESET_B(FE_OFN221_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[55][1]  (
-	.CLK(CTS_36),
-	.D(n_7384),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [1]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[55][2]  (
-	.CLK(CTS_31),
-	.D(n_8083),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [2]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[55][3]  (
-	.CLK(CTS_36),
-	.D(n_7383),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [3]),
-	.RESET_B(FE_OFN222_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[55][4]  (
-	.CLK(CTS_31),
-	.D(n_7382),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [4]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[55][5]  (
-	.CLK(CTS_36),
-	.D(n_7381),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [5]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[55][6]  (
-	.CLK(CTS_36),
-	.D(n_7380),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [6]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[55][7]  (
-	.CLK(CTS_36),
-	.D(n_7379),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [7]),
-	.RESET_B(FE_OFN222_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[56][0]  (
-	.CLK(CTS_36),
-	.D(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [0]),
-	.RESET_B(FE_OFN79_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [0]),
-	.SCE(n_4464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[56][1]  (
-	.CLK(CTS_38),
-	.D(n_8051),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [1]),
-	.RESET_B(FE_OFN226_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[56][2]  (
-	.CLK(CTS_38),
-	.D(n_7377),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [2]),
-	.RESET_B(FE_OFN173_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[56][3]  (
-	.CLK(CTS_36),
-	.D(n_7376),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [3]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[56][4]  (
-	.CLK(CTS_38),
-	.D(n_7375),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [4]),
-	.RESET_B(FE_OFN226_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[56][5]  (
-	.CLK(CTS_38),
-	.D(n_7374),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [5]),
-	.RESET_B(FE_OFN226_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[56][6]  (
-	.CLK(CTS_38),
-	.D(n_7373),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [6]),
-	.RESET_B(FE_OFN226_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[56][7]  (
-	.CLK(CTS_38),
-	.D(n_7372),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [7]),
-	.RESET_B(FE_OFN226_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[57][0]  (
-	.CLK(CTS_53),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [0]),
-	.RESET_B(FE_OFN220_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[57][1]  (
-	.CLK(CTS_28),
-	.D(n_7987),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [1]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[57][2]  (
-	.CLK(CTS_38),
-	.D(n_7371),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [2]),
-	.RESET_B(FE_OFN173_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[57][3]  (
-	.CLK(CTS_53),
-	.D(n_7370),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [3]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[57][4]  (
-	.CLK(CTS_38),
-	.D(n_7369),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [4]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[57][5]  (
-	.CLK(CTS_28),
-	.D(n_7968),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [5]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[57][6]  (
-	.CLK(CTS_38),
-	.D(n_7368),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [6]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[57][7]  (
-	.CLK(CTS_38),
-	.D(n_7367),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [7]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[58][0]  (
-	.CLK(CTS_28),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [0]),
-	.RESET_B(FE_OFN79_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[58][1]  (
-	.CLK(CTS_36),
-	.D(n_7366),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [1]),
-	.RESET_B(FE_OFN173_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[58][2]  (
-	.CLK(CTS_28),
-	.D(n_7365),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [2]),
-	.RESET_B(FE_OFN173_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[58][3]  (
-	.CLK(CTS_28),
-	.D(n_7364),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [3]),
-	.RESET_B(FE_OFN112_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[58][4]  (
-	.CLK(CTS_38),
-	.D(n_7363),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [4]),
-	.RESET_B(FE_OFN173_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[58][5]  (
-	.CLK(CTS_28),
-	.D(n_7362),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [5]),
-	.RESET_B(FE_OFN173_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[58][6]  (
-	.CLK(CTS_38),
-	.D(n_7360),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [6]),
-	.RESET_B(FE_OFN173_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[58][7]  (
-	.CLK(CTS_38),
-	.D(n_7359),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [7]),
-	.RESET_B(FE_OFN226_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[59][0]  (
-	.CLK(CTS_28),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [0]),
-	.RESET_B(FE_OFN112_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[59][1]  (
-	.CLK(CTS_28),
-	.D(n_6684),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [1]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[59][2]  (
-	.CLK(CTS_36),
-	.D(n_7358),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [2]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[59][3]  (
-	.CLK(CTS_28),
-	.D(n_7356),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [3]),
-	.RESET_B(FE_OFN112_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[59][4]  (
-	.CLK(CTS_36),
-	.D(n_6688),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [4]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[59][5]  (
-	.CLK(CTS_28),
-	.D(n_7355),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [5]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[59][6]  (
-	.CLK(CTS_36),
-	.D(n_7757),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [6]),
-	.RESET_B(FE_OFN222_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[59][7]  (
-	.CLK(CTS_36),
-	.D(n_7354),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [7]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[60][0]  (
-	.CLK(CTS_28),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [0]),
-	.RESET_B(FE_OFN112_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[60][1]  (
-	.CLK(CTS_28),
-	.D(n_7748),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [1]),
-	.RESET_B(FE_OFN112_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[60][2]  (
-	.CLK(CTS_28),
-	.D(n_7353),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [2]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[60][3]  (
-	.CLK(CTS_28),
-	.D(n_7352),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [3]),
-	.RESET_B(FE_OFN112_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[60][4]  (
-	.CLK(CTS_28),
-	.D(n_7351),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [4]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[60][5]  (
-	.CLK(CTS_28),
-	.D(n_7349),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [5]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[60][6]  (
-	.CLK(CTS_28),
-	.D(n_7348),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [6]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[60][7]  (
-	.CLK(CTS_28),
-	.D(n_7346),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [7]),
-	.RESET_B(FE_OFN112_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[61][0]  (
-	.CLK(CTS_28),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [0]),
-	.RESET_B(FE_OFN112_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[61][1]  (
-	.CLK(CTS_28),
-	.D(n_7345),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [1]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[61][2]  (
-	.CLK(CTS_28),
-	.D(n_7344),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [2]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[61][3]  (
-	.CLK(CTS_28),
-	.D(n_7343),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [3]),
-	.RESET_B(FE_OFN112_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[61][4]  (
-	.CLK(CTS_28),
-	.D(n_7342),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [4]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[61][5]  (
-	.CLK(CTS_28),
-	.D(n_7341),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [5]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[61][6]  (
-	.CLK(CTS_28),
-	.D(n_7340),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [6]),
-	.RESET_B(FE_OFN112_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[61][7]  (
-	.CLK(CTS_28),
-	.D(n_6708),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [7]),
-	.RESET_B(FE_OFN112_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[62][0]  (
-	.CLK(CTS_53),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [0]),
-	.RESET_B(FE_OFN220_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[62][1]  (
-	.CLK(CTS_28),
-	.D(n_7339),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [1]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[62][2]  (
-	.CLK(CTS_38),
-	.D(n_7338),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [2]),
-	.RESET_B(FE_OFN173_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[62][3]  (
-	.CLK(CTS_53),
-	.D(n_7337),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [3]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[62][4]  (
-	.CLK(CTS_38),
-	.D(n_7336),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [4]),
-	.RESET_B(FE_OFN173_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[62][5]  (
-	.CLK(CTS_53),
-	.D(n_7335),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [5]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[62][6]  (
-	.CLK(CTS_38),
-	.D(n_7334),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [6]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[62][7]  (
-	.CLK(CTS_38),
-	.D(n_7949),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [7]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[63][0]  (
-	.CLK(CTS_28),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [0]),
-	.RESET_B(FE_OFN112_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[63][1]  (
-	.CLK(CTS_28),
-	.D(n_7333),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [1]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[63][2]  (
-	.CLK(CTS_36),
-	.D(n_7909),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [2]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[63][3]  (
-	.CLK(CTS_28),
-	.D(n_7332),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [3]),
-	.RESET_B(FE_OFN112_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[63][4]  (
-	.CLK(CTS_36),
-	.D(n_7331),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [4]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[63][5]  (
-	.CLK(CTS_36),
-	.D(n_7330),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [5]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[63][6]  (
-	.CLK(CTS_36),
-	.D(n_7903),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [6]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[63][7]  (
-	.CLK(CTS_36),
-	.D(n_7902),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [7]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[64][0]  (
-	.CLK(CTS_34),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [0]),
-	.RESET_B(FE_OFN78_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[64][1]  (
-	.CLK(CTS_34),
-	.D(n_7328),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [1]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[64][2]  (
-	.CLK(CTS_35),
-	.D(n_7327),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [2]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[64][3]  (
-	.CLK(CTS_34),
-	.D(n_7326),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [3]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[64][4]  (
-	.CLK(CTS_35),
-	.D(n_7325),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [4]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[64][5]  (
-	.CLK(CTS_34),
-	.D(n_7324),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [5]),
-	.RESET_B(FE_OFN165_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[64][6]  (
-	.CLK(CTS_34),
-	.D(n_7323),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [6]),
-	.RESET_B(FE_OFN165_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[64][7]  (
-	.CLK(CTS_33),
-	.D(n_7322),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [7]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[65][0]  (
-	.CLK(CTS_34),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [0]),
-	.RESET_B(FE_OFN177_system_rst_ni),
-	.SCD(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[65][1]  (
-	.CLK(CTS_34),
-	.D(n_7321),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [1]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[65][2]  (
-	.CLK(CTS_34),
-	.D(n_7320),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [2]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[65][3]  (
-	.CLK(CTS_34),
-	.D(n_7319),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [3]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[65][4]  (
-	.CLK(CTS_34),
-	.D(n_7735),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [4]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[65][5]  (
-	.CLK(CTS_34),
-	.D(n_7318),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [5]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[65][6]  (
-	.CLK(CTS_34),
-	.D(n_7317),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [6]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[65][7]  (
-	.CLK(CTS_34),
-	.D(n_7316),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [7]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[66][0]  (
-	.CLK(CTS_33),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [0]),
-	.RESET_B(FE_OFN78_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_5007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[66][1]  (
-	.CLK(CTS_35),
-	.D(n_6649),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [1]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[66][2]  (
-	.CLK(CTS_34),
-	.D(n_7315),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [2]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[66][3]  (
-	.CLK(CTS_34),
-	.D(n_7314),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [3]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[66][4]  (
-	.CLK(CTS_35),
-	.D(n_7313),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [4]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[66][5]  (
-	.CLK(CTS_34),
-	.D(n_7312),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [5]),
-	.RESET_B(FE_OFN165_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[66][6]  (
-	.CLK(CTS_34),
-	.D(n_7004),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [6]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[66][7]  (
-	.CLK(CTS_35),
-	.D(n_7311),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [7]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[67][0]  (
-	.CLK(CTS_33),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [0]),
-	.RESET_B(FE_OFN169_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[67][1]  (
-	.CLK(CTS_34),
-	.D(n_7310),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [1]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[67][2]  (
-	.CLK(CTS_43),
-	.D(n_7309),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [2]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[67][3]  (
-	.CLK(CTS_43),
-	.D(n_7154),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [3]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[67][4]  (
-	.CLK(CTS_43),
-	.D(n_7152),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [4]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[67][5]  (
-	.CLK(CTS_34),
-	.D(n_7308),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [5]),
-	.RESET_B(FE_OFN165_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[67][6]  (
-	.CLK(CTS_43),
-	.D(n_7307),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [6]),
-	.RESET_B(FE_OFN169_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[67][7]  (
-	.CLK(CTS_43),
-	.D(n_7908),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [7]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[68][0]  (
-	.CLK(CTS_34),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [0]),
-	.RESET_B(FE_OFN78_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[68][1]  (
-	.CLK(CTS_43),
-	.D(n_7305),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [1]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[68][2]  (
-	.CLK(CTS_43),
-	.D(n_7304),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [2]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[68][3]  (
-	.CLK(CTS_34),
-	.D(n_7905),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [3]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[68][4]  (
-	.CLK(CTS_34),
-	.D(n_7302),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [4]),
-	.RESET_B(FE_OFN165_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[68][5]  (
-	.CLK(CTS_43),
-	.D(n_7301),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [5]),
-	.RESET_B(FE_OFN165_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[68][6]  (
-	.CLK(CTS_34),
-	.D(n_7760),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [6]),
-	.RESET_B(FE_OFN165_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[68][7]  (
-	.CLK(CTS_34),
-	.D(n_7300),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [7]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[69][0]  (
-	.CLK(CTS_34),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [0]),
-	.RESET_B(FE_OFN78_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[69][1]  (
-	.CLK(CTS_34),
-	.D(n_7751),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [1]),
-	.RESET_B(FE_OFN165_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[69][2]  (
-	.CLK(CTS_34),
-	.D(n_7299),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [2]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[69][3]  (
-	.CLK(CTS_34),
-	.D(n_7298),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [3]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[69][4]  (
-	.CLK(CTS_34),
-	.D(n_7297),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [4]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[69][5]  (
-	.CLK(CTS_34),
-	.D(n_7925),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [5]),
-	.RESET_B(FE_OFN165_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[69][6]  (
-	.CLK(CTS_34),
-	.D(n_7296),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [6]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[69][7]  (
-	.CLK(CTS_34),
-	.D(n_7295),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [7]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[70][0]  (
-	.CLK(CTS_34),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [0]),
-	.RESET_B(FE_OFN177_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[70][1]  (
-	.CLK(CTS_34),
-	.D(n_7294),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [1]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[70][2]  (
-	.CLK(CTS_34),
-	.D(n_7293),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [2]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[70][3]  (
-	.CLK(CTS_34),
-	.D(n_7292),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [3]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[70][4]  (
-	.CLK(CTS_34),
-	.D(n_7951),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [4]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[70][5]  (
-	.CLK(CTS_34),
-	.D(n_7291),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [5]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[70][6]  (
-	.CLK(CTS_34),
-	.D(n_7290),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [6]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[70][7]  (
-	.CLK(CTS_34),
-	.D(n_7289),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [7]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[71][0]  (
-	.CLK(CTS_33),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [0]),
-	.RESET_B(FE_OFN78_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_5003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[71][1]  (
-	.CLK(CTS_43),
-	.D(n_7918),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [1]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[71][2]  (
-	.CLK(CTS_43),
-	.D(n_7288),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [2]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[71][3]  (
-	.CLK(CTS_43),
-	.D(n_7287),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [3]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[71][4]  (
-	.CLK(CTS_43),
-	.D(n_7187),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [4]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[71][5]  (
-	.CLK(CTS_33),
-	.D(n_7286),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [5]),
-	.RESET_B(FE_OFN169_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[71][6]  (
-	.CLK(CTS_33),
-	.D(n_7285),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [6]),
-	.RESET_B(FE_OFN169_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[71][7]  (
-	.CLK(CTS_43),
-	.D(n_7284),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [7]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[72][0]  (
-	.CLK(CTS_35),
-	.D(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [0]),
-	.RESET_B(FE_OFN217_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [0]),
-	.SCE(n_4393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[72][1]  (
-	.CLK(CTS_35),
-	.D(n_7283),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [1]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[72][2]  (
-	.CLK(CTS_35),
-	.D(n_7282),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [2]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[72][3]  (
-	.CLK(CTS_35),
-	.D(n_7281),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [3]),
-	.RESET_B(FE_OFN216_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[72][4]  (
-	.CLK(CTS_35),
-	.D(n_7280),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [4]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[72][5]  (
-	.CLK(CTS_35),
-	.D(n_7964),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [5]),
-	.RESET_B(FE_OFN216_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[72][6]  (
-	.CLK(CTS_35),
-	.D(n_7279),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [6]),
-	.RESET_B(FE_OFN216_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[72][7]  (
-	.CLK(CTS_35),
-	.D(n_7278),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [7]),
-	.RESET_B(FE_OFN216_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[73][0]  (
-	.CLK(CTS_32),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [0]),
-	.RESET_B(FE_OFN213_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[73][1]  (
-	.CLK(CTS_32),
-	.D(n_7277),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [1]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[73][2]  (
-	.CLK(CTS_32),
-	.D(n_7784),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [2]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[73][3]  (
-	.CLK(CTS_32),
-	.D(n_7276),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [3]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[73][4]  (
-	.CLK(CTS_32),
-	.D(n_7275),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [4]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[73][5]  (
-	.CLK(CTS_30),
-	.D(n_7274),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [5]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[73][6]  (
-	.CLK(CTS_30),
-	.D(n_7273),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [6]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[73][7]  (
-	.CLK(CTS_32),
-	.D(n_7272),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [7]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[74][0]  (
-	.CLK(CTS_32),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [0]),
-	.RESET_B(FE_OFN213_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[74][1]  (
-	.CLK(CTS_32),
-	.D(n_7271),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [1]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[74][2]  (
-	.CLK(CTS_32),
-	.D(n_7270),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [2]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[74][3]  (
-	.CLK(CTS_32),
-	.D(n_7269),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [3]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[74][4]  (
-	.CLK(CTS_32),
-	.D(n_7268),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [4]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[74][5]  (
-	.CLK(CTS_32),
-	.D(n_7267),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [5]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[74][6]  (
-	.CLK(CTS_32),
-	.D(n_7266),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [6]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[74][7]  (
-	.CLK(CTS_35),
-	.D(n_7265),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [7]),
-	.RESET_B(FE_OFN216_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[75][0]  (
-	.CLK(CTS_32),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [0]),
-	.RESET_B(FE_OFN213_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[75][1]  (
-	.CLK(CTS_32),
-	.D(n_7264),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [1]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[75][2]  (
-	.CLK(CTS_32),
-	.D(n_7263),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [2]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[75][3]  (
-	.CLK(CTS_32),
-	.D(n_7262),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [3]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[75][4]  (
-	.CLK(CTS_32),
-	.D(n_7261),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [4]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[75][5]  (
-	.CLK(CTS_32),
-	.D(n_7260),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [5]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[75][6]  (
-	.CLK(CTS_32),
-	.D(n_7259),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [6]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[75][7]  (
-	.CLK(CTS_32),
-	.D(n_7258),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [7]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[76][0]  (
-	.CLK(CTS_30),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [0]),
-	.RESET_B(FE_OFN212_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[76][1]  (
-	.CLK(CTS_32),
-	.D(n_7257),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [1]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[76][2]  (
-	.CLK(CTS_32),
-	.D(n_7256),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [2]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[76][3]  (
-	.CLK(CTS_30),
-	.D(n_7255),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [3]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[76][4]  (
-	.CLK(CTS_32),
-	.D(n_7253),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [4]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[76][5]  (
-	.CLK(CTS_30),
-	.D(n_7252),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [5]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[76][6]  (
-	.CLK(CTS_30),
-	.D(n_7251),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [6]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[76][7]  (
-	.CLK(CTS_32),
-	.D(n_7250),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [7]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[77][0]  (
-	.CLK(CTS_30),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [0]),
-	.RESET_B(FE_OFN212_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[77][1]  (
-	.CLK(CTS_32),
-	.D(n_7249),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [1]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[77][2]  (
-	.CLK(CTS_32),
-	.D(n_7248),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [2]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[77][3]  (
-	.CLK(CTS_30),
-	.D(n_7247),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [3]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[77][4]  (
-	.CLK(CTS_32),
-	.D(n_7246),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [4]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[77][5]  (
-	.CLK(CTS_30),
-	.D(n_7245),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [5]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[77][6]  (
-	.CLK(CTS_30),
-	.D(n_7244),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [6]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[77][7]  (
-	.CLK(CTS_32),
-	.D(n_7243),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [7]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[78][0]  (
-	.CLK(CTS_32),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [0]),
-	.RESET_B(FE_OFN213_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[78][1]  (
-	.CLK(CTS_32),
-	.D(n_7242),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [1]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[78][2]  (
-	.CLK(CTS_32),
-	.D(n_7241),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [2]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[78][3]  (
-	.CLK(CTS_32),
-	.D(n_7240),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [3]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[78][4]  (
-	.CLK(CTS_32),
-	.D(n_7239),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [4]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[78][5]  (
-	.CLK(CTS_30),
-	.D(n_7238),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [5]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[78][6]  (
-	.CLK(CTS_32),
-	.D(n_7237),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [6]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[78][7]  (
-	.CLK(CTS_32),
-	.D(n_7236),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [7]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[79][0]  (
-	.CLK(CTS_32),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [0]),
-	.RESET_B(FE_OFN213_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[79][1]  (
-	.CLK(CTS_32),
-	.D(n_7235),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [1]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[79][2]  (
-	.CLK(CTS_32),
-	.D(n_7233),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [2]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[79][3]  (
-	.CLK(CTS_32),
-	.D(n_7232),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [3]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[79][4]  (
-	.CLK(CTS_32),
-	.D(n_7231),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [4]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[79][5]  (
-	.CLK(CTS_32),
-	.D(n_7230),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [5]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[79][6]  (
-	.CLK(CTS_32),
-	.D(n_7229),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [6]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[79][7]  (
-	.CLK(CTS_32),
-	.D(n_7228),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [7]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[80][0]  (
-	.CLK(CTS_33),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [0]),
-	.RESET_B(FE_OFN215_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[80][1]  (
-	.CLK(CTS_43),
-	.D(n_7227),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [1]),
-	.RESET_B(FE_OFN215_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[80][2]  (
-	.CLK(CTS_43),
-	.D(n_7226),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [2]),
-	.RESET_B(FE_OFN215_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[80][3]  (
-	.CLK(CTS_43),
-	.D(n_7225),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [3]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[80][4]  (
-	.CLK(CTS_33),
-	.D(n_7224),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [4]),
-	.RESET_B(FE_OFN215_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[80][5]  (
-	.CLK(CTS_43),
-	.D(n_7223),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [5]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[80][6]  (
-	.CLK(CTS_43),
-	.D(n_7222),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [6]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[80][7]  (
-	.CLK(CTS_43),
-	.D(n_7221),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [7]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[81][0]  (
-	.CLK(CTS_33),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [0]),
-	.RESET_B(FE_OFN215_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[81][1]  (
-	.CLK(CTS_43),
-	.D(n_7220),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [1]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[81][2]  (
-	.CLK(CTS_43),
-	.D(n_7022),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [2]),
-	.RESET_B(FE_OFN169_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[81][3]  (
-	.CLK(CTS_43),
-	.D(n_7219),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [3]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[81][4]  (
-	.CLK(CTS_43),
-	.D(n_7218),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [4]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[81][5]  (
-	.CLK(CTS_33),
-	.D(n_7217),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [5]),
-	.RESET_B(FE_OFN215_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[81][6]  (
-	.CLK(CTS_43),
-	.D(n_7216),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [6]),
-	.RESET_B(FE_OFN169_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[81][7]  (
-	.CLK(CTS_43),
-	.D(n_7215),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [7]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[82][0]  (
-	.CLK(CTS_33),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [0]),
-	.RESET_B(FE_OFN215_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[82][1]  (
-	.CLK(CTS_43),
-	.D(n_7214),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [1]),
-	.RESET_B(FE_OFN215_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[82][2]  (
-	.CLK(CTS_43),
-	.D(n_7213),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [2]),
-	.RESET_B(FE_OFN215_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[82][3]  (
-	.CLK(CTS_43),
-	.D(n_7212),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [3]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[82][4]  (
-	.CLK(CTS_33),
-	.D(n_7211),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [4]),
-	.RESET_B(FE_OFN215_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[82][5]  (
-	.CLK(CTS_43),
-	.D(n_7210),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [5]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[82][6]  (
-	.CLK(CTS_43),
-	.D(n_7209),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [6]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[82][7]  (
-	.CLK(CTS_43),
-	.D(n_7208),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [7]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[83][0]  (
-	.CLK(CTS_33),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [0]),
-	.RESET_B(FE_OFN214_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[83][1]  (
-	.CLK(CTS_43),
-	.D(n_7207),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [1]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[83][2]  (
-	.CLK(CTS_33),
-	.D(n_7206),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [2]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[83][3]  (
-	.CLK(CTS_33),
-	.D(n_7205),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [3]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[83][4]  (
-	.CLK(CTS_43),
-	.D(n_7204),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [4]),
-	.RESET_B(FE_OFN215_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[83][5]  (
-	.CLK(CTS_43),
-	.D(n_7203),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [5]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[83][6]  (
-	.CLK(CTS_43),
-	.D(n_7202),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [6]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[83][7]  (
-	.CLK(CTS_33),
-	.D(n_7201),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [7]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[84][0]  (
-	.CLK(CTS_43),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [0]),
-	.RESET_B(FE_OFN211_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[84][1]  (
-	.CLK(CTS_43),
-	.D(n_7200),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [1]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[84][2]  (
-	.CLK(CTS_43),
-	.D(n_7199),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [2]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[84][3]  (
-	.CLK(CTS_43),
-	.D(n_7198),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [3]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[84][4]  (
-	.CLK(CTS_43),
-	.D(n_7197),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [4]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[84][5]  (
-	.CLK(CTS_43),
-	.D(n_7196),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [5]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[84][6]  (
-	.CLK(CTS_43),
-	.D(n_7195),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [6]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[84][7]  (
-	.CLK(CTS_43),
-	.D(n_7194),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [7]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[85][0]  (
-	.CLK(CTS_43),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [0]),
-	.RESET_B(FE_OFN211_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[85][1]  (
-	.CLK(CTS_43),
-	.D(n_7193),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [1]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[85][2]  (
-	.CLK(CTS_43),
-	.D(n_7192),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [2]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[85][3]  (
-	.CLK(CTS_43),
-	.D(n_7191),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [3]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[85][4]  (
-	.CLK(CTS_43),
-	.D(n_7189),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [4]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[85][5]  (
-	.CLK(CTS_43),
-	.D(n_7188),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [5]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[85][6]  (
-	.CLK(CTS_43),
-	.D(n_7186),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [6]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[85][7]  (
-	.CLK(CTS_43),
-	.D(n_7185),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [7]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[86][0]  (
-	.CLK(CTS_33),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [0]),
-	.RESET_B(FE_OFN215_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[86][1]  (
-	.CLK(CTS_43),
-	.D(n_7183),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [1]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[86][2]  (
-	.CLK(CTS_43),
-	.D(n_7182),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [2]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[86][3]  (
-	.CLK(CTS_43),
-	.D(n_7181),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [3]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[86][4]  (
-	.CLK(CTS_43),
-	.D(n_7180),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [4]),
-	.RESET_B(FE_OFN169_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[86][5]  (
-	.CLK(CTS_33),
-	.D(n_7179),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [5]),
-	.RESET_B(FE_OFN169_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[86][6]  (
-	.CLK(CTS_43),
-	.D(n_7178),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [6]),
-	.RESET_B(FE_OFN169_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[86][7]  (
-	.CLK(CTS_43),
-	.D(n_7177),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [7]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[87][0]  (
-	.CLK(CTS_33),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [0]),
-	.RESET_B(FE_OFN214_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_5005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[87][1]  (
-	.CLK(CTS_33),
-	.D(n_7176),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [1]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[87][2]  (
-	.CLK(CTS_33),
-	.D(n_7175),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [2]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[87][3]  (
-	.CLK(CTS_33),
-	.D(n_8007),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [3]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[87][4]  (
-	.CLK(CTS_33),
-	.D(n_7174),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [4]),
-	.RESET_B(FE_OFN215_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[87][5]  (
-	.CLK(CTS_33),
-	.D(n_7173),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [5]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[87][6]  (
-	.CLK(CTS_33),
-	.D(n_7172),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [6]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[87][7]  (
-	.CLK(CTS_33),
-	.D(n_7171),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [7]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[88][0]  (
-	.CLK(CTS_35),
-	.D(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [0]),
-	.RESET_B(FE_OFN217_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [0]),
-	.SCE(n_4394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[88][1]  (
-	.CLK(CTS_35),
-	.D(n_7927),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [1]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[88][2]  (
-	.CLK(CTS_35),
-	.D(n_7923),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [2]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[88][3]  (
-	.CLK(CTS_35),
-	.D(n_7170),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [3]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[88][4]  (
-	.CLK(CTS_35),
-	.D(n_7169),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [4]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[88][5]  (
-	.CLK(CTS_35),
-	.D(n_7168),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [5]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[88][6]  (
-	.CLK(CTS_35),
-	.D(n_7915),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [6]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[88][7]  (
-	.CLK(CTS_35),
-	.D(n_7167),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [7]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[89][0]  (
-	.CLK(CTS_35),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [0]),
-	.RESET_B(FE_OFN217_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[89][1]  (
-	.CLK(CTS_35),
-	.D(n_7166),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [1]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[89][2]  (
-	.CLK(CTS_32),
-	.D(n_7165),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [2]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[89][3]  (
-	.CLK(CTS_33),
-	.D(n_7164),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [3]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[89][4]  (
-	.CLK(CTS_32),
-	.D(n_7163),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [4]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[89][5]  (
-	.CLK(CTS_33),
-	.D(n_7162),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [5]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[89][6]  (
-	.CLK(CTS_35),
-	.D(n_8002),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [6]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[89][7]  (
-	.CLK(CTS_33),
-	.D(n_7161),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [7]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[90][0]  (
-	.CLK(CTS_35),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [0]),
-	.RESET_B(FE_OFN217_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[90][1]  (
-	.CLK(CTS_35),
-	.D(n_7160),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [1]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[90][2]  (
-	.CLK(CTS_35),
-	.D(n_7159),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [2]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[90][3]  (
-	.CLK(CTS_35),
-	.D(n_7158),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [3]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[90][4]  (
-	.CLK(CTS_35),
-	.D(n_7157),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [4]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[90][5]  (
-	.CLK(CTS_35),
-	.D(n_7156),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [5]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[90][6]  (
-	.CLK(CTS_35),
-	.D(n_8012),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [6]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[90][7]  (
-	.CLK(CTS_35),
-	.D(n_7153),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [7]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[91][0]  (
-	.CLK(CTS_36),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [0]),
-	.RESET_B(FE_OFN221_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[91][1]  (
-	.CLK(CTS_36),
-	.D(n_8003),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [1]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[91][2]  (
-	.CLK(CTS_36),
-	.D(n_7151),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [2]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[91][3]  (
-	.CLK(CTS_31),
-	.D(n_7150),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [3]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[91][4]  (
-	.CLK(CTS_36),
-	.D(n_7911),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [4]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[91][5]  (
-	.CLK(CTS_31),
-	.D(n_7794),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [5]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[91][6]  (
-	.CLK(CTS_36),
-	.D(n_7148),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [6]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[91][7]  (
-	.CLK(CTS_36),
-	.D(n_7147),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [7]),
-	.RESET_B(FE_OFN222_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[92][0]  (
-	.CLK(CTS_36),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [0]),
-	.RESET_B(FE_OFN221_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[92][1]  (
-	.CLK(CTS_35),
-	.D(n_7146),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [1]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[92][2]  (
-	.CLK(CTS_35),
-	.D(n_7145),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [2]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[92][3]  (
-	.CLK(CTS_35),
-	.D(n_7144),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [3]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[92][4]  (
-	.CLK(CTS_35),
-	.D(n_7899),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [4]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[92][5]  (
-	.CLK(CTS_35),
-	.D(n_7143),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [5]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[92][6]  (
-	.CLK(CTS_35),
-	.D(n_7142),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [6]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[92][7]  (
-	.CLK(CTS_35),
-	.D(n_7141),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [7]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[93][0]  (
-	.CLK(CTS_36),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [0]),
-	.RESET_B(FE_OFN221_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[93][1]  (
-	.CLK(CTS_36),
-	.D(n_7140),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [1]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[93][2]  (
-	.CLK(CTS_36),
-	.D(n_7139),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [2]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[93][3]  (
-	.CLK(CTS_31),
-	.D(n_7138),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [3]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[93][4]  (
-	.CLK(CTS_36),
-	.D(n_7999),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [4]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[93][5]  (
-	.CLK(CTS_31),
-	.D(n_7137),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [5]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[93][6]  (
-	.CLK(CTS_36),
-	.D(n_7136),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [6]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[93][7]  (
-	.CLK(CTS_36),
-	.D(n_7135),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [7]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[94][0]  (
-	.CLK(CTS_35),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [0]),
-	.RESET_B(FE_OFN177_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[94][1]  (
-	.CLK(CTS_35),
-	.D(n_7134),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [1]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[94][2]  (
-	.CLK(CTS_35),
-	.D(n_7133),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [2]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[94][3]  (
-	.CLK(CTS_35),
-	.D(n_7132),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [3]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[94][4]  (
-	.CLK(CTS_35),
-	.D(n_7131),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [4]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[94][5]  (
-	.CLK(CTS_33),
-	.D(n_7130),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [5]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[94][6]  (
-	.CLK(CTS_35),
-	.D(n_7129),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [6]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[94][7]  (
-	.CLK(CTS_33),
-	.D(n_7128),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [7]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[95][0]  (
-	.CLK(CTS_36),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [0]),
-	.RESET_B(FE_OFN221_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[95][1]  (
-	.CLK(CTS_36),
-	.D(n_7901),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [1]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[95][2]  (
-	.CLK(CTS_36),
-	.D(n_7127),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [2]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[95][3]  (
-	.CLK(CTS_31),
-	.D(n_7126),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [3]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[95][4]  (
-	.CLK(CTS_36),
-	.D(n_7125),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [4]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[95][5]  (
-	.CLK(CTS_31),
-	.D(n_7778),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [5]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[95][6]  (
-	.CLK(CTS_36),
-	.D(n_7124),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [6]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[95][7]  (
-	.CLK(CTS_36),
-	.D(n_7123),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [7]),
-	.RESET_B(FE_OFN222_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[96][0]  (
-	.CLK(CTS_33),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [0]),
-	.RESET_B(FE_OFN214_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[96][1]  (
-	.CLK(CTS_33),
-	.D(n_7122),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [1]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[96][2]  (
-	.CLK(CTS_33),
-	.D(n_7121),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [2]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[96][3]  (
-	.CLK(CTS_33),
-	.D(n_7120),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [3]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[96][4]  (
-	.CLK(CTS_33),
-	.D(n_7904),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [4]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[96][5]  (
-	.CLK(CTS_33),
-	.D(n_7119),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [5]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[96][6]  (
-	.CLK(CTS_33),
-	.D(n_8009),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [6]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[96][7]  (
-	.CLK(CTS_33),
-	.D(n_7118),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [7]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[97][0]  (
-	.CLK(CTS_33),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [0]),
-	.RESET_B(FE_OFN214_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_5010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[97][1]  (
-	.CLK(CTS_32),
-	.D(n_7117),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [1]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[97][2]  (
-	.CLK(CTS_33),
-	.D(n_7115),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [2]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[97][3]  (
-	.CLK(CTS_33),
-	.D(n_7114),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [3]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[97][4]  (
-	.CLK(CTS_32),
-	.D(n_7113),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [4]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[97][5]  (
-	.CLK(CTS_33),
-	.D(n_7112),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [5]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[97][6]  (
-	.CLK(CTS_32),
-	.D(n_7111),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [6]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[97][7]  (
-	.CLK(CTS_32),
-	.D(n_7961),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [7]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[98][0]  (
-	.CLK(CTS_33),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [0]),
-	.RESET_B(FE_OFN214_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_5012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[98][1]  (
-	.CLK(CTS_33),
-	.D(n_7110),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [1]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[98][2]  (
-	.CLK(CTS_33),
-	.D(n_7109),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [2]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[98][3]  (
-	.CLK(CTS_33),
-	.D(n_6591),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [3]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[98][4]  (
-	.CLK(CTS_33),
-	.D(n_7108),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [4]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[98][5]  (
-	.CLK(CTS_33),
-	.D(n_7107),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [5]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[98][6]  (
-	.CLK(CTS_33),
-	.D(n_7106),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [6]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[98][7]  (
-	.CLK(CTS_33),
-	.D(n_7105),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [7]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[99][0]  (
-	.CLK(CTS_33),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [0]),
-	.RESET_B(FE_OFN78_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[99][1]  (
-	.CLK(CTS_32),
-	.D(n_7104),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [1]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[99][2]  (
-	.CLK(CTS_32),
-	.D(n_7103),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [2]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[99][3]  (
-	.CLK(CTS_33),
-	.D(n_7102),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [3]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[99][4]  (
-	.CLK(CTS_32),
-	.D(n_7754),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [4]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[99][5]  (
-	.CLK(CTS_33),
-	.D(n_7101),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [5]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[99][6]  (
-	.CLK(CTS_32),
-	.D(n_7100),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [6]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[99][7]  (
-	.CLK(CTS_33),
-	.D(n_7099),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [7]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[100][0]  (
-	.CLK(CTS_32),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [0]),
-	.RESET_B(FE_OFN213_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[100][1]  (
-	.CLK(CTS_32),
-	.D(n_7098),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [1]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[100][2]  (
-	.CLK(CTS_32),
-	.D(n_7097),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [2]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[100][3]  (
-	.CLK(CTS_33),
-	.D(n_7096),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [3]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[100][4]  (
-	.CLK(CTS_32),
-	.D(n_7095),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [4]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[100][5]  (
-	.CLK(CTS_32),
-	.D(n_7094),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [5]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[100][6]  (
-	.CLK(CTS_32),
-	.D(n_7916),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [6]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[100][7]  (
-	.CLK(CTS_32),
-	.D(n_7093),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [7]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[101][0]  (
-	.CLK(CTS_32),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [0]),
-	.RESET_B(FE_OFN213_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[101][1]  (
-	.CLK(CTS_32),
-	.D(n_7092),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [1]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[101][2]  (
-	.CLK(CTS_32),
-	.D(n_7091),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [2]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[101][3]  (
-	.CLK(CTS_33),
-	.D(n_7090),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [3]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[101][4]  (
-	.CLK(CTS_32),
-	.D(n_7088),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [4]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[101][5]  (
-	.CLK(CTS_33),
-	.D(n_7788),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [5]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[101][6]  (
-	.CLK(CTS_32),
-	.D(n_7087),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [6]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[101][7]  (
-	.CLK(CTS_32),
-	.D(n_7086),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [7]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[102][0]  (
-	.CLK(CTS_33),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [0]),
-	.RESET_B(FE_OFN214_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[102][1]  (
-	.CLK(CTS_32),
-	.D(n_8082),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [1]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[102][2]  (
-	.CLK(CTS_33),
-	.D(n_7085),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [2]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[102][3]  (
-	.CLK(CTS_33),
-	.D(n_7084),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [3]),
-	.RESET_B(FE_OFN214_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[102][4]  (
-	.CLK(CTS_32),
-	.D(n_7083),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [4]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[102][5]  (
-	.CLK(CTS_33),
-	.D(n_7082),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [5]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[102][6]  (
-	.CLK(CTS_32),
-	.D(n_7080),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [6]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[102][7]  (
-	.CLK(CTS_33),
-	.D(n_7079),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [7]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[103][0]  (
-	.CLK(CTS_33),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [0]),
-	.RESET_B(FE_OFN78_system_rst_ni),
-	.SCD(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_5001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[103][1]  (
-	.CLK(CTS_32),
-	.D(n_7078),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [1]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[103][2]  (
-	.CLK(CTS_32),
-	.D(n_7077),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [2]),
-	.RESET_B(FE_OFN213_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[103][3]  (
-	.CLK(CTS_33),
-	.D(n_7076),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [3]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[103][4]  (
-	.CLK(CTS_33),
-	.D(n_7075),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [4]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[103][5]  (
-	.CLK(CTS_33),
-	.D(n_7074),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [5]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[103][6]  (
-	.CLK(CTS_32),
-	.D(n_7073),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [6]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[103][7]  (
-	.CLK(CTS_33),
-	.D(n_7072),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [7]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[104][0]  (
-	.CLK(CTS_30),
-	.D(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [0]),
-	.RESET_B(FE_OFN117_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [0]),
-	.SCE(n_4355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[104][1]  (
-	.CLK(CTS_30),
-	.D(n_7071),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [1]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[104][2]  (
-	.CLK(CTS_29),
-	.D(n_7070),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [2]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[104][3]  (
-	.CLK(CTS_30),
-	.D(n_7069),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [3]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[104][4]  (
-	.CLK(CTS_35),
-	.D(n_7068),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [4]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[104][5]  (
-	.CLK(CTS_30),
-	.D(n_7067),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [5]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[104][6]  (
-	.CLK(CTS_29),
-	.D(n_7065),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [6]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[104][7]  (
-	.CLK(CTS_30),
-	.D(n_7064),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [7]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[105][0]  (
-	.CLK(CTS_29),
-	.D(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [0]),
-	.RESET_B(FE_OFN117_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [0]),
-	.SCE(n_4296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[105][1]  (
-	.CLK(CTS_30),
-	.D(n_7063),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [1]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[105][2]  (
-	.CLK(CTS_30),
-	.D(n_7062),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [2]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[105][3]  (
-	.CLK(CTS_30),
-	.D(n_7779),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [3]),
-	.RESET_B(FE_OFN116_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[105][4]  (
-	.CLK(CTS_30),
-	.D(n_7060),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [4]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[105][5]  (
-	.CLK(CTS_30),
-	.D(n_7059),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [5]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[105][6]  (
-	.CLK(CTS_30),
-	.D(n_7058),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [6]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[105][7]  (
-	.CLK(CTS_30),
-	.D(n_7894),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [7]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[106][0]  (
-	.CLK(CTS_30),
-	.D(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [0]),
-	.RESET_B(FE_OFN117_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [0]),
-	.SCE(n_4489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[106][1]  (
-	.CLK(CTS_29),
-	.D(n_7057),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [1]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[106][2]  (
-	.CLK(CTS_29),
-	.D(n_7056),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [2]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[106][3]  (
-	.CLK(CTS_30),
-	.D(n_7055),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [3]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[106][4]  (
-	.CLK(CTS_30),
-	.D(n_7054),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [4]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[106][5]  (
-	.CLK(CTS_30),
-	.D(n_7053),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [5]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[106][6]  (
-	.CLK(CTS_30),
-	.D(n_7052),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [6]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[106][7]  (
-	.CLK(CTS_30),
-	.D(n_7051),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [7]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[107][0]  (
-	.CLK(CTS_30),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [0]),
-	.RESET_B(FE_OFN212_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[107][1]  (
-	.CLK(CTS_30),
-	.D(n_7049),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [1]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[107][2]  (
-	.CLK(CTS_30),
-	.D(n_7910),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [2]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[107][3]  (
-	.CLK(CTS_30),
-	.D(n_7907),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [3]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[107][4]  (
-	.CLK(CTS_30),
-	.D(n_7048),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [4]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[107][5]  (
-	.CLK(CTS_30),
-	.D(n_7047),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [5]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[107][6]  (
-	.CLK(CTS_30),
-	.D(n_7046),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [6]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[107][7]  (
-	.CLK(CTS_30),
-	.D(n_7045),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [7]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[108][0]  (
-	.CLK(CTS_30),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [0]),
-	.RESET_B(FE_OFN212_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[108][1]  (
-	.CLK(CTS_30),
-	.D(n_7044),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [1]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[108][2]  (
-	.CLK(CTS_30),
-	.D(n_7043),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [2]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[108][3]  (
-	.CLK(CTS_30),
-	.D(n_7081),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [3]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[108][4]  (
-	.CLK(CTS_30),
-	.D(n_7042),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [4]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[108][5]  (
-	.CLK(CTS_30),
-	.D(n_7041),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [5]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[108][6]  (
-	.CLK(CTS_30),
-	.D(n_7040),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [6]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[108][7]  (
-	.CLK(CTS_30),
-	.D(n_7039),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [7]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[109][0]  (
-	.CLK(CTS_30),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [0]),
-	.RESET_B(FE_OFN212_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[109][1]  (
-	.CLK(CTS_30),
-	.D(n_7038),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [1]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[109][2]  (
-	.CLK(CTS_30),
-	.D(n_7037),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [2]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[109][3]  (
-	.CLK(CTS_30),
-	.D(n_7036),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [3]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[109][4]  (
-	.CLK(CTS_30),
-	.D(n_7035),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [4]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[109][5]  (
-	.CLK(CTS_30),
-	.D(n_7034),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [5]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[109][6]  (
-	.CLK(CTS_30),
-	.D(n_7033),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [6]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[109][7]  (
-	.CLK(CTS_30),
-	.D(n_7032),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [7]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[110][0]  (
-	.CLK(CTS_29),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [0]),
-	.RESET_B(FE_OFN117_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[110][1]  (
-	.CLK(CTS_29),
-	.D(n_7031),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [1]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[110][2]  (
-	.CLK(CTS_29),
-	.D(n_7030),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [2]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[110][3]  (
-	.CLK(CTS_29),
-	.D(n_7029),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [3]),
-	.RESET_B(FE_OFN116_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[110][4]  (
-	.CLK(CTS_30),
-	.D(n_7028),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [4]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[110][5]  (
-	.CLK(CTS_30),
-	.D(n_7027),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [5]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[110][6]  (
-	.CLK(CTS_29),
-	.D(n_7026),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [6]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[110][7]  (
-	.CLK(CTS_29),
-	.D(n_7025),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [7]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[111][0]  (
-	.CLK(CTS_30),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [0]),
-	.RESET_B(FE_OFN212_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[111][1]  (
-	.CLK(CTS_30),
-	.D(n_7024),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [1]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[111][2]  (
-	.CLK(CTS_30),
-	.D(n_8006),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [2]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[111][3]  (
-	.CLK(CTS_30),
-	.D(n_7023),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [3]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[111][4]  (
-	.CLK(CTS_30),
-	.D(n_7021),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [4]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[111][5]  (
-	.CLK(CTS_30),
-	.D(n_7020),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [5]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[111][6]  (
-	.CLK(CTS_30),
-	.D(n_7019),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [6]),
-	.RESET_B(FE_OFN212_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[111][7]  (
-	.CLK(CTS_30),
-	.D(n_7018),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [7]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[112][0]  (
-	.CLK(CTS_29),
-	.D(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [0]),
-	.RESET_B(FE_OFN116_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [0]),
-	.SCE(n_4467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[112][1]  (
-	.CLK(CTS_29),
-	.D(n_7017),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [1]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[112][2]  (
-	.CLK(CTS_29),
-	.D(n_7787),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [2]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[112][3]  (
-	.CLK(CTS_29),
-	.D(n_7785),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [3]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[112][4]  (
-	.CLK(CTS_29),
-	.D(n_7016),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [4]),
-	.RESET_B(FE_OFN116_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[112][5]  (
-	.CLK(CTS_29),
-	.D(n_7776),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [5]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[112][6]  (
-	.CLK(CTS_29),
-	.D(n_7015),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [6]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[112][7]  (
-	.CLK(CTS_29),
-	.D(n_7014),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [7]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[113][0]  (
-	.CLK(CTS_29),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [0]),
-	.RESET_B(FE_OFN48_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[113][1]  (
-	.CLK(CTS_29),
-	.D(n_7013),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [1]),
-	.RESET_B(FE_OFN48_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[113][2]  (
-	.CLK(CTS_29),
-	.D(n_7012),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [2]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[113][3]  (
-	.CLK(CTS_29),
-	.D(n_8005),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [3]),
-	.RESET_B(FE_OFN116_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[113][4]  (
-	.CLK(CTS_29),
-	.D(n_8096),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [4]),
-	.RESET_B(FE_OFN48_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[113][5]  (
-	.CLK(CTS_29),
-	.D(n_7011),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [5]),
-	.RESET_B(FE_OFN116_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[113][6]  (
-	.CLK(CTS_29),
-	.D(n_8019),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [6]),
-	.RESET_B(FE_OFN116_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[113][7]  (
-	.CLK(CTS_29),
-	.D(n_6618),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [7]),
-	.RESET_B(FE_OFN48_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[114][0]  (
-	.CLK(CTS_29),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [0]),
-	.RESET_B(FE_OFN116_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[114][1]  (
-	.CLK(CTS_29),
-	.D(n_7010),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [1]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[114][2]  (
-	.CLK(CTS_29),
-	.D(n_7009),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [2]),
-	.RESET_B(FE_OFN117_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[114][3]  (
-	.CLK(CTS_30),
-	.D(n_7008),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [3]),
-	.RESET_B(FE_OFN116_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[114][4]  (
-	.CLK(CTS_29),
-	.D(n_7007),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [4]),
-	.RESET_B(FE_OFN116_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[114][5]  (
-	.CLK(CTS_29),
-	.D(n_7006),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [5]),
-	.RESET_B(FE_OFN116_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[114][6]  (
-	.CLK(CTS_29),
-	.D(n_7782),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [6]),
-	.RESET_B(FE_OFN116_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[114][7]  (
-	.CLK(CTS_30),
-	.D(n_7003),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [7]),
-	.RESET_B(FE_OFN116_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[115][0]  (
-	.CLK(CTS_29),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [0]),
-	.RESET_B(FE_OFN110_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_5025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[115][1]  (
-	.CLK(CTS_29),
-	.D(n_7002),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [1]),
-	.RESET_B(FE_OFN115_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[115][2]  (
-	.CLK(CTS_29),
-	.D(n_7893),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [2]),
-	.RESET_B(FE_OFN115_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[115][3]  (
-	.CLK(CTS_29),
-	.D(n_7001),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [3]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[115][4]  (
-	.CLK(CTS_29),
-	.D(n_7000),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [4]),
-	.RESET_B(FE_OFN110_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[115][5]  (
-	.CLK(CTS_29),
-	.D(n_6999),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [5]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[115][6]  (
-	.CLK(CTS_29),
-	.D(n_6998),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [6]),
-	.RESET_B(FE_OFN115_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[115][7]  (
-	.CLK(CTS_29),
-	.D(n_6934),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [7]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[116][0]  (
-	.CLK(CTS_28),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [0]),
-	.RESET_B(FE_OFN115_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[116][1]  (
-	.CLK(CTS_28),
-	.D(n_6997),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [1]),
-	.RESET_B(FE_OFN48_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[116][2]  (
-	.CLK(CTS_29),
-	.D(n_6996),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [2]),
-	.RESET_B(FE_OFN115_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[116][3]  (
-	.CLK(CTS_29),
-	.D(n_7149),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [3]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[116][4]  (
-	.CLK(CTS_28),
-	.D(n_6995),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [4]),
-	.RESET_B(FE_OFN110_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[116][5]  (
-	.CLK(CTS_28),
-	.D(n_7862),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [5]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[116][6]  (
-	.CLK(CTS_29),
-	.D(n_6994),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [6]),
-	.RESET_B(FE_OFN48_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[116][7]  (
-	.CLK(CTS_29),
-	.D(n_6993),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [7]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[117][0]  (
-	.CLK(CTS_28),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [0]),
-	.RESET_B(FE_OFN115_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[117][1]  (
-	.CLK(CTS_28),
-	.D(n_6992),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [1]),
-	.RESET_B(FE_OFN48_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[117][2]  (
-	.CLK(CTS_28),
-	.D(n_6991),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [2]),
-	.RESET_B(FE_OFN48_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[117][3]  (
-	.CLK(CTS_28),
-	.D(n_6990),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [3]),
-	.RESET_B(FE_OFN112_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[117][4]  (
-	.CLK(CTS_28),
-	.D(n_6989),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [4]),
-	.RESET_B(FE_OFN48_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[117][5]  (
-	.CLK(CTS_28),
-	.D(n_6988),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [5]),
-	.RESET_B(FE_OFN112_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[117][6]  (
-	.CLK(CTS_28),
-	.D(n_8004),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [6]),
-	.RESET_B(FE_OFN48_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[117][7]  (
-	.CLK(CTS_28),
-	.D(n_7974),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [7]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[118][0]  (
-	.CLK(CTS_29),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [0]),
-	.RESET_B(FE_OFN48_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[118][1]  (
-	.CLK(CTS_29),
-	.D(n_6987),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [1]),
-	.RESET_B(FE_OFN48_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[118][2]  (
-	.CLK(CTS_29),
-	.D(n_6986),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [2]),
-	.RESET_B(FE_OFN48_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[118][3]  (
-	.CLK(CTS_29),
-	.D(n_6985),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [3]),
-	.RESET_B(FE_OFN48_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[118][4]  (
-	.CLK(CTS_29),
-	.D(n_6984),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [4]),
-	.RESET_B(FE_OFN48_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[118][5]  (
-	.CLK(CTS_29),
-	.D(n_8000),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [5]),
-	.RESET_B(FE_OFN116_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[118][6]  (
-	.CLK(CTS_29),
-	.D(n_6983),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [6]),
-	.RESET_B(FE_OFN116_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[118][7]  (
-	.CLK(CTS_29),
-	.D(n_8020),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [7]),
-	.RESET_B(FE_OFN48_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[119][0]  (
-	.CLK(CTS_29),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [0]),
-	.RESET_B(FE_OFN115_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[119][1]  (
-	.CLK(CTS_29),
-	.D(n_6982),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [1]),
-	.RESET_B(FE_OFN115_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[119][2]  (
-	.CLK(CTS_29),
-	.D(n_6981),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [2]),
-	.RESET_B(FE_OFN115_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[119][3]  (
-	.CLK(CTS_29),
-	.D(n_6980),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [3]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[119][4]  (
-	.CLK(CTS_29),
-	.D(n_6979),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [4]),
-	.RESET_B(FE_OFN115_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[119][5]  (
-	.CLK(CTS_29),
-	.D(n_6978),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [5]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[119][6]  (
-	.CLK(CTS_29),
-	.D(n_7858),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [6]),
-	.RESET_B(FE_OFN115_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[119][7]  (
-	.CLK(CTS_29),
-	.D(n_6977),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [7]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[120][0]  (
-	.CLK(CTS_35),
-	.D(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [0]),
-	.RESET_B(FE_OFN78_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [0]),
-	.SCE(n_5009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[120][1]  (
-	.CLK(CTS_35),
-	.D(n_7116),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [1]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[120][2]  (
-	.CLK(CTS_35),
-	.D(n_6976),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [2]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[120][3]  (
-	.CLK(CTS_35),
-	.D(n_6975),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [3]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[120][4]  (
-	.CLK(CTS_35),
-	.D(n_7617),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [4]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[120][5]  (
-	.CLK(CTS_35),
-	.D(n_6974),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [5]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[120][6]  (
-	.CLK(CTS_35),
-	.D(n_6973),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [6]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[120][7]  (
-	.CLK(CTS_35),
-	.D(n_6972),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [7]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[121][0]  (
-	.CLK(CTS_35),
-	.D(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [0]),
-	.RESET_B(FE_OFN221_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [0]),
-	.SCE(n_4347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[121][1]  (
-	.CLK(CTS_35),
-	.D(n_6970),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [1]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[121][2]  (
-	.CLK(CTS_35),
-	.D(n_6969),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [2]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[121][3]  (
-	.CLK(CTS_36),
-	.D(n_6968),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [3]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[121][4]  (
-	.CLK(CTS_35),
-	.D(n_6967),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [4]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[121][5]  (
-	.CLK(CTS_35),
-	.D(n_6966),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [5]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[121][6]  (
-	.CLK(CTS_35),
-	.D(n_6965),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [6]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[121][7]  (
-	.CLK(CTS_35),
-	.D(n_6964),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [7]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[122][0]  (
-	.CLK(CTS_35),
-	.D(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [0]),
-	.RESET_B(FE_OFN117_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [0]),
-	.SCE(n_4348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[122][1]  (
-	.CLK(CTS_35),
-	.D(n_6963),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [1]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[122][2]  (
-	.CLK(CTS_35),
-	.D(n_6962),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [2]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[122][3]  (
-	.CLK(CTS_35),
-	.D(n_7895),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [3]),
-	.RESET_B(FE_OFN216_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[122][4]  (
-	.CLK(CTS_35),
-	.D(n_7824),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [4]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[122][5]  (
-	.CLK(CTS_35),
-	.D(n_6961),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [5]),
-	.RESET_B(FE_OFN78_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[122][6]  (
-	.CLK(CTS_35),
-	.D(n_7050),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [6]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[122][7]  (
-	.CLK(CTS_35),
-	.D(n_6960),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [7]),
-	.RESET_B(FE_OFN217_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[123][0]  (
-	.CLK(CTS_28),
-	.D(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [0]),
-	.RESET_B(FE_OFN114_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [0]),
-	.SCE(n_4297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[123][1]  (
-	.CLK(CTS_29),
-	.D(n_6959),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [1]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[123][2]  (
-	.CLK(CTS_29),
-	.D(n_6958),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [2]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[123][3]  (
-	.CLK(CTS_28),
-	.D(n_6957),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [3]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[123][4]  (
-	.CLK(CTS_29),
-	.D(n_6956),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [4]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[123][5]  (
-	.CLK(CTS_29),
-	.D(n_6955),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [5]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[123][6]  (
-	.CLK(CTS_29),
-	.D(n_6954),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [6]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[123][7]  (
-	.CLK(CTS_29),
-	.D(n_6953),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [7]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[124][0]  (
-	.CLK(CTS_36),
-	.D(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [0]),
-	.RESET_B(FE_OFN221_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [0]),
-	.SCE(n_4395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[124][1]  (
-	.CLK(CTS_36),
-	.D(n_6952),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [1]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[124][2]  (
-	.CLK(CTS_36),
-	.D(n_6951),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [2]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[124][3]  (
-	.CLK(CTS_36),
-	.D(n_6950),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [3]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[124][4]  (
-	.CLK(CTS_36),
-	.D(n_6949),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [4]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[124][5]  (
-	.CLK(CTS_36),
-	.D(n_6948),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [5]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[124][6]  (
-	.CLK(CTS_36),
-	.D(n_6947),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [6]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[124][7]  (
-	.CLK(CTS_36),
-	.D(n_6946),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [7]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[125][0]  (
-	.CLK(CTS_28),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [0]),
-	.RESET_B(FE_OFN114_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[125][1]  (
-	.CLK(CTS_36),
-	.D(n_6945),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [1]),
-	.RESET_B(FE_OFN222_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[125][2]  (
-	.CLK(CTS_36),
-	.D(n_6944),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [2]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[125][3]  (
-	.CLK(CTS_36),
-	.D(n_6943),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [3]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[125][4]  (
-	.CLK(CTS_36),
-	.D(n_6942),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [4]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[125][5]  (
-	.CLK(CTS_36),
-	.D(n_6941),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [5]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[125][6]  (
-	.CLK(CTS_36),
-	.D(n_6940),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [6]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[125][7]  (
-	.CLK(CTS_36),
-	.D(n_6939),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [7]),
-	.RESET_B(FE_OFN222_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[126][0]  (
-	.CLK(CTS_36),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [0]),
-	.RESET_B(FE_OFN221_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[126][1]  (
-	.CLK(CTS_36),
-	.D(n_6938),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [1]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[126][2]  (
-	.CLK(CTS_36),
-	.D(n_6937),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [2]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[126][3]  (
-	.CLK(CTS_29),
-	.D(n_6936),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [3]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[126][4]  (
-	.CLK(CTS_35),
-	.D(n_6935),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [4]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[126][5]  (
-	.CLK(CTS_29),
-	.D(n_7832),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [5]),
-	.RESET_B(FE_OFN116_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[126][6]  (
-	.CLK(CTS_36),
-	.D(n_6933),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [6]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[126][7]  (
-	.CLK(CTS_36),
-	.D(n_6932),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [7]),
-	.RESET_B(FE_OFN221_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[127][0]  (
-	.CLK(CTS_28),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [0]),
-	.RESET_B(FE_OFN114_system_rst_ni),
-	.SCD(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[127][1]  (
-	.CLK(CTS_28),
-	.D(n_6931),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [1]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[127][2]  (
-	.CLK(CTS_28),
-	.D(n_6930),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [2]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[127][3]  (
-	.CLK(CTS_28),
-	.D(n_6929),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [3]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[127][4]  (
-	.CLK(CTS_28),
-	.D(n_6928),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [4]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[127][5]  (
-	.CLK(CTS_28),
-	.D(n_6927),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [5]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[127][6]  (
-	.CLK(CTS_29),
-	.D(n_6925),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [6]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[127][7]  (
-	.CLK(CTS_29),
-	.D(n_6924),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [7]),
-	.RESET_B(FE_OFN114_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[128][0]  (
-	.CLK(CTS_45),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [0]),
-	.RESET_B(FE_OFN160_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [0]),
-	.SCE(n_4992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[128][1]  (
-	.CLK(CTS_41),
-	.D(n_8086),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [1]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[128][2]  (
-	.CLK(CTS_41),
-	.D(n_6923),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [2]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[128][3]  (
-	.CLK(CTS_45),
-	.D(n_6922),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [3]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[128][4]  (
-	.CLK(CTS_45),
-	.D(n_7783),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [4]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[128][5]  (
-	.CLK(CTS_45),
-	.D(n_6921),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [5]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[128][6]  (
-	.CLK(CTS_45),
-	.D(n_8010),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [6]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[128][7]  (
-	.CLK(CTS_45),
-	.D(n_6920),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [7]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[129][0]  (
-	.CLK(CTS_45),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [0]),
-	.RESET_B(FE_OFN160_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [0]),
-	.SCE(n_4972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[129][1]  (
-	.CLK(CTS_45),
-	.D(n_6919),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [1]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[129][2]  (
-	.CLK(CTS_34),
-	.D(n_8039),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [2]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[129][3]  (
-	.CLK(CTS_45),
-	.D(n_7837),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [3]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[129][4]  (
-	.CLK(CTS_34),
-	.D(n_6918),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [4]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[129][5]  (
-	.CLK(CTS_45),
-	.D(n_8023),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [5]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[129][6]  (
-	.CLK(CTS_45),
-	.D(n_7844),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [6]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[129][7]  (
-	.CLK(CTS_45),
-	.D(n_6916),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [7]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[130][0]  (
-	.CLK(CTS_45),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [0]),
-	.RESET_B(FE_OFN160_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [0]),
-	.SCE(n_4971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[130][1]  (
-	.CLK(CTS_41),
-	.D(n_7781),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [1]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[130][2]  (
-	.CLK(CTS_45),
-	.D(n_6915),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [2]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[130][3]  (
-	.CLK(CTS_45),
-	.D(n_6914),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [3]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[130][4]  (
-	.CLK(CTS_45),
-	.D(n_6913),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [4]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[130][5]  (
-	.CLK(CTS_41),
-	.D(n_7419),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [5]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[130][6]  (
-	.CLK(CTS_45),
-	.D(n_6912),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [6]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[130][7]  (
-	.CLK(CTS_45),
-	.D(n_8029),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [7]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[131][0]  (
-	.CLK(CTS_45),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [0]),
-	.RESET_B(FE_OFN210_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [0]),
-	.SCE(n_5028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[131][1]  (
-	.CLK(CTS_45),
-	.D(n_7937),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [1]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[131][2]  (
-	.CLK(CTS_34),
-	.D(n_7942),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [2]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[131][3]  (
-	.CLK(CTS_34),
-	.D(n_6911),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [3]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[131][4]  (
-	.CLK(CTS_34),
-	.D(n_6910),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [4]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[131][5]  (
-	.CLK(CTS_45),
-	.D(n_8058),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [5]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[131][6]  (
-	.CLK(CTS_45),
-	.D(n_7965),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [6]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[131][7]  (
-	.CLK(CTS_45),
-	.D(n_7993),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [7]),
-	.RESET_B(FE_OFN210_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[132][0]  (
-	.CLK(CTS_45),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [0]),
-	.RESET_B(FE_OFN210_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [0]),
-	.SCE(n_4963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[132][1]  (
-	.CLK(CTS_45),
-	.D(n_6909),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [1]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[132][2]  (
-	.CLK(CTS_34),
-	.D(n_6908),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [2]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[132][3]  (
-	.CLK(CTS_34),
-	.D(n_8043),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [3]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[132][4]  (
-	.CLK(CTS_34),
-	.D(n_6907),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [4]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[132][5]  (
-	.CLK(CTS_45),
-	.D(n_6906),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [5]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[132][6]  (
-	.CLK(CTS_45),
-	.D(n_6905),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [6]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[132][7]  (
-	.CLK(CTS_45),
-	.D(n_7303),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [7]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[133][0]  (
-	.CLK(CTS_45),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [0]),
-	.RESET_B(FE_OFN160_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [0]),
-	.SCE(n_4280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[133][1]  (
-	.CLK(CTS_45),
-	.D(n_6971),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [1]),
-	.RESET_B(FE_OFN210_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[133][2]  (
-	.CLK(CTS_34),
-	.D(n_7061),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [2]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[133][3]  (
-	.CLK(CTS_34),
-	.D(n_6904),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [3]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[133][4]  (
-	.CLK(CTS_34),
-	.D(n_7066),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [4]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[133][5]  (
-	.CLK(CTS_45),
-	.D(n_6903),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [5]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[133][6]  (
-	.CLK(CTS_45),
-	.D(n_7155),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [6]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[133][7]  (
-	.CLK(CTS_45),
-	.D(n_8133),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [7]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[134][0]  (
-	.CLK(CTS_45),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [0]),
-	.RESET_B(FE_OFN160_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [0]),
-	.SCE(n_4279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[134][1]  (
-	.CLK(CTS_45),
-	.D(n_7943),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [1]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[134][2]  (
-	.CLK(CTS_34),
-	.D(n_6901),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [2]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[134][3]  (
-	.CLK(CTS_45),
-	.D(n_6862),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [3]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[134][4]  (
-	.CLK(CTS_34),
-	.D(n_6900),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [4]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[134][5]  (
-	.CLK(CTS_45),
-	.D(n_6899),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [5]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[134][6]  (
-	.CLK(CTS_45),
-	.D(n_6897),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [6]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[134][7]  (
-	.CLK(CTS_45),
-	.D(n_7928),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [7]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[135][0]  (
-	.CLK(CTS_45),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [0]),
-	.RESET_B(FE_OFN210_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [0]),
-	.SCE(n_4399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[135][1]  (
-	.CLK(CTS_45),
-	.D(n_7991),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [1]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[135][2]  (
-	.CLK(CTS_34),
-	.D(n_6896),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [2]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[135][3]  (
-	.CLK(CTS_34),
-	.D(n_8097),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [3]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[135][4]  (
-	.CLK(CTS_43),
-	.D(n_6895),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [4]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[135][5]  (
-	.CLK(CTS_45),
-	.D(n_8102),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [5]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[135][6]  (
-	.CLK(CTS_45),
-	.D(n_8022),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [6]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[135][7]  (
-	.CLK(CTS_45),
-	.D(n_7898),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [7]),
-	.RESET_B(FE_OFN210_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[136][0]  (
-	.CLK(CTS_41),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [0]),
-	.RESET_B(FE_OFN207_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [0]),
-	.SCE(n_4973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[136][1]  (
-	.CLK(CTS_41),
-	.D(n_6894),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [1]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[136][2]  (
-	.CLK(CTS_41),
-	.D(n_7089),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [2]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[136][3]  (
-	.CLK(CTS_41),
-	.D(n_6893),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [3]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[136][4]  (
-	.CLK(CTS_41),
-	.D(n_7834),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [4]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[136][5]  (
-	.CLK(CTS_41),
-	.D(n_7795),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [5]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[136][6]  (
-	.CLK(CTS_42),
-	.D(n_7929),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [6]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[136][7]  (
-	.CLK(CTS_41),
-	.D(n_8034),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [7]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[137][0]  (
-	.CLK(CTS_41),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [0]),
-	.RESET_B(FE_OFN74_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [0]),
-	.SCE(n_5014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[137][1]  (
-	.CLK(CTS_49),
-	.D(n_7913),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [1]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[137][2]  (
-	.CLK(CTS_49),
-	.D(n_7775),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [2]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[137][3]  (
-	.CLK(CTS_41),
-	.D(n_7924),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [3]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[137][4]  (
-	.CLK(CTS_49),
-	.D(n_7920),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [4]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[137][5]  (
-	.CLK(CTS_41),
-	.D(n_6892),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [5]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[137][6]  (
-	.CLK(CTS_49),
-	.D(n_8016),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [6]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[137][7]  (
-	.CLK(CTS_41),
-	.D(n_7329),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [7]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[138][0]  (
-	.CLK(CTS_41),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [0]),
-	.RESET_B(FE_OFN207_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [0]),
-	.SCE(n_4991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[138][1]  (
-	.CLK(CTS_49),
-	.D(n_6891),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [1]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[138][2]  (
-	.CLK(CTS_49),
-	.D(n_6629),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [2]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[138][3]  (
-	.CLK(CTS_41),
-	.D(n_6890),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [3]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[138][4]  (
-	.CLK(CTS_49),
-	.D(n_7347),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [4]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[138][5]  (
-	.CLK(CTS_47),
-	.D(n_6889),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [5]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[138][6]  (
-	.CLK(CTS_42),
-	.D(n_6888),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [6]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[138][7]  (
-	.CLK(CTS_42),
-	.D(n_6887),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [7]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[139][0]  (
-	.CLK(CTS_47),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [0]),
-	.RESET_B(FE_OFN207_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [0]),
-	.SCE(n_4351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[139][1]  (
-	.CLK(CTS_42),
-	.D(n_6886),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [1]),
-	.RESET_B(FE_OFN208_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[139][2]  (
-	.CLK(CTS_42),
-	.D(n_6885),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [2]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[139][3]  (
-	.CLK(CTS_47),
-	.D(n_6884),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [3]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[139][4]  (
-	.CLK(CTS_42),
-	.D(n_6883),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [4]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[139][5]  (
-	.CLK(CTS_47),
-	.D(n_6882),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [5]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[139][6]  (
-	.CLK(CTS_42),
-	.D(n_6881),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [6]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[139][7]  (
-	.CLK(CTS_42),
-	.D(n_6880),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [7]),
-	.RESET_B(FE_OFN208_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[140][0]  (
-	.CLK(CTS_47),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [0]),
-	.RESET_B(FE_OFN207_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [0]),
-	.SCE(n_4317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[140][1]  (
-	.CLK(CTS_47),
-	.D(n_6879),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [1]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[140][2]  (
-	.CLK(CTS_42),
-	.D(n_6878),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [2]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[140][3]  (
-	.CLK(CTS_47),
-	.D(n_6877),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [3]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[140][4]  (
-	.CLK(CTS_42),
-	.D(n_8109),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [4]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[140][5]  (
-	.CLK(CTS_42),
-	.D(n_6876),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [5]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[140][6]  (
-	.CLK(CTS_42),
-	.D(n_6875),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [6]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[140][7]  (
-	.CLK(CTS_47),
-	.D(n_6874),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [7]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[141][0]  (
-	.CLK(CTS_47),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [0]),
-	.RESET_B(FE_OFN207_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [0]),
-	.SCE(n_4381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[141][1]  (
-	.CLK(CTS_42),
-	.D(n_6873),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [1]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[141][2]  (
-	.CLK(CTS_42),
-	.D(n_7234),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [2]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[141][3]  (
-	.CLK(CTS_47),
-	.D(n_6872),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [3]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[141][4]  (
-	.CLK(CTS_42),
-	.D(n_6871),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [4]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[141][5]  (
-	.CLK(CTS_47),
-	.D(n_6870),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [5]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[141][6]  (
-	.CLK(CTS_42),
-	.D(n_7612),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [6]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[141][7]  (
-	.CLK(CTS_42),
-	.D(n_6835),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [7]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[142][0]  (
-	.CLK(CTS_41),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [0]),
-	.RESET_B(FE_OFN74_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [0]),
-	.SCE(n_4382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[142][1]  (
-	.CLK(CTS_49),
-	.D(n_6868),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [1]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[142][2]  (
-	.CLK(CTS_42),
-	.D(n_6867),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [2]),
-	.RESET_B(FE_OFN159_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[142][3]  (
-	.CLK(CTS_41),
-	.D(n_6866),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [3]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[142][4]  (
-	.CLK(CTS_42),
-	.D(n_6865),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [4]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[142][5]  (
-	.CLK(CTS_42),
-	.D(n_6864),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [5]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[142][6]  (
-	.CLK(CTS_42),
-	.D(n_6863),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [6]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[142][7]  (
-	.CLK(CTS_41),
-	.D(n_7306),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [7]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[143][0]  (
-	.CLK(CTS_47),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [0]),
-	.RESET_B(FE_OFN207_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [0]),
-	.SCE(n_4483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[143][1]  (
-	.CLK(CTS_42),
-	.D(n_7992),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [1]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[143][2]  (
-	.CLK(CTS_42),
-	.D(n_6861),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [2]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[143][3]  (
-	.CLK(CTS_47),
-	.D(n_8030),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [3]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[143][4]  (
-	.CLK(CTS_42),
-	.D(n_6860),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [4]),
-	.RESET_B(FE_OFN153_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[143][5]  (
-	.CLK(CTS_47),
-	.D(n_6859),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [5]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[143][6]  (
-	.CLK(CTS_42),
-	.D(n_6858),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [6]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[143][7]  (
-	.CLK(CTS_42),
-	.D(n_6857),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [7]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[144][0]  (
-	.CLK(CTS_37),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [0]),
-	.RESET_B(FE_OFN177_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [0]),
-	.SCE(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[144][1]  (
-	.CLK(CTS_31),
-	.D(n_6856),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [1]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[144][2]  (
-	.CLK(CTS_31),
-	.D(n_6855),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [2]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[144][3]  (
-	.CLK(CTS_31),
-	.D(n_6854),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [3]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[144][4]  (
-	.CLK(CTS_37),
-	.D(n_6853),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [4]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[144][5]  (
-	.CLK(CTS_31),
-	.D(n_6852),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [5]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[144][6]  (
-	.CLK(CTS_31),
-	.D(n_6851),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [6]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[144][7]  (
-	.CLK(CTS_37),
-	.D(n_6850),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [7]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[145][0]  (
-	.CLK(CTS_37),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [0]),
-	.RESET_B(FE_OFN76_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [0]),
-	.SCE(n_5015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[145][1]  (
-	.CLK(CTS_37),
-	.D(n_6849),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [1]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[145][2]  (
-	.CLK(CTS_37),
-	.D(n_6848),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [2]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[145][3]  (
-	.CLK(CTS_37),
-	.D(n_6847),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [3]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[145][4]  (
-	.CLK(CTS_37),
-	.D(n_6846),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [4]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[145][5]  (
-	.CLK(CTS_37),
-	.D(n_6845),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [5]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[145][6]  (
-	.CLK(CTS_37),
-	.D(n_6844),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [6]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[145][7]  (
-	.CLK(CTS_31),
-	.D(n_6843),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [7]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[146][0]  (
-	.CLK(CTS_37),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [0]),
-	.RESET_B(FE_OFN177_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [0]),
-	.SCE(n_5016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[146][1]  (
-	.CLK(CTS_31),
-	.D(n_8064),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [1]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[146][2]  (
-	.CLK(CTS_31),
-	.D(n_6842),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [2]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[146][3]  (
-	.CLK(CTS_31),
-	.D(n_6841),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [3]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[146][4]  (
-	.CLK(CTS_31),
-	.D(n_6840),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [4]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[146][5]  (
-	.CLK(CTS_31),
-	.D(n_6839),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [5]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[146][6]  (
-	.CLK(CTS_31),
-	.D(n_6838),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [6]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[146][7]  (
-	.CLK(CTS_37),
-	.D(n_6837),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [7]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[147][0]  (
-	.CLK(CTS_34),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [0]),
-	.RESET_B(FE_OFN177_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [0]),
-	.SCE(n_4349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[147][1]  (
-	.CLK(CTS_34),
-	.D(n_6836),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [1]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[147][2]  (
-	.CLK(CTS_37),
-	.D(n_6834),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [2]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[147][3]  (
-	.CLK(CTS_34),
-	.D(n_6833),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [3]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[147][4]  (
-	.CLK(CTS_37),
-	.D(n_6832),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [4]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[147][5]  (
-	.CLK(CTS_37),
-	.D(n_6831),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [5]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[147][6]  (
-	.CLK(CTS_37),
-	.D(n_6830),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [6]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[147][7]  (
-	.CLK(CTS_37),
-	.D(n_6829),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [7]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[148][0]  (
-	.CLK(CTS_31),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [0]),
-	.RESET_B(FE_OFN177_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [0]),
-	.SCE(n_4308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[148][1]  (
-	.CLK(CTS_34),
-	.D(n_8027),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [1]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[148][2]  (
-	.CLK(CTS_34),
-	.D(n_7190),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [2]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[148][3]  (
-	.CLK(CTS_31),
-	.D(n_6828),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [3]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[148][4]  (
-	.CLK(CTS_34),
-	.D(n_8108),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [4]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[148][5]  (
-	.CLK(CTS_31),
-	.D(n_6827),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [5]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[148][6]  (
-	.CLK(CTS_31),
-	.D(n_7762),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [6]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[148][7]  (
-	.CLK(CTS_34),
-	.D(n_6826),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [7]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[149][0]  (
-	.CLK(CTS_31),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [0]),
-	.RESET_B(FE_OFN177_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [0]),
-	.SCE(n_4370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[149][1]  (
-	.CLK(CTS_34),
-	.D(n_6825),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [1]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[149][2]  (
-	.CLK(CTS_34),
-	.D(n_6824),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [2]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[149][3]  (
-	.CLK(CTS_34),
-	.D(n_6823),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [3]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[149][4]  (
-	.CLK(CTS_34),
-	.D(n_6822),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [4]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[149][5]  (
-	.CLK(CTS_31),
-	.D(n_6821),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [5]),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[149][6]  (
-	.CLK(CTS_31),
-	.D(n_6820),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [6]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[149][7]  (
-	.CLK(CTS_34),
-	.D(n_6819),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [7]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[150][0]  (
-	.CLK(CTS_37),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [0]),
-	.RESET_B(FE_OFN76_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [0]),
-	.SCE(n_4371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[150][1]  (
-	.CLK(CTS_37),
-	.D(n_7385),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [1]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[150][2]  (
-	.CLK(CTS_31),
-	.D(n_6817),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [2]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[150][3]  (
-	.CLK(CTS_37),
-	.D(n_6816),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [3]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[150][4]  (
-	.CLK(CTS_31),
-	.D(n_6815),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [4]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[150][5]  (
-	.CLK(CTS_37),
-	.D(n_6814),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [5]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[150][6]  (
-	.CLK(CTS_37),
-	.D(n_6813),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [6]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[150][7]  (
-	.CLK(CTS_31),
-	.D(n_8035),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [7]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[151][0]  (
-	.CLK(CTS_34),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [0]),
-	.RESET_B(FE_OFN177_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [0]),
-	.SCE(n_4300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[151][1]  (
-	.CLK(CTS_34),
-	.D(n_6812),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [1]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[151][2]  (
-	.CLK(CTS_34),
-	.D(n_6811),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [2]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[151][3]  (
-	.CLK(CTS_34),
-	.D(n_6810),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [3]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[151][4]  (
-	.CLK(CTS_34),
-	.D(n_6809),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [4]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[151][5]  (
-	.CLK(CTS_34),
-	.D(n_6808),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [5]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[151][6]  (
-	.CLK(CTS_34),
-	.D(n_6806),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [6]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[151][7]  (
-	.CLK(CTS_34),
-	.D(n_6805),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [7]),
-	.RESET_B(FE_OFN177_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[152][0]  (
-	.CLK(CTS_45),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [0]),
-	.RESET_B(FE_OFN160_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [0]),
-	.SCE(n_5018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[152][1]  (
-	.CLK(CTS_41),
-	.D(n_6804),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [1]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[152][2]  (
-	.CLK(CTS_41),
-	.D(n_7756),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [2]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[152][3]  (
-	.CLK(CTS_50),
-	.D(n_6803),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [3]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[152][4]  (
-	.CLK(CTS_41),
-	.D(n_6917),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [4]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[152][5]  (
-	.CLK(CTS_50),
-	.D(n_6802),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [5]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[152][6]  (
-	.CLK(CTS_45),
-	.D(n_6801),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [6]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[152][7]  (
-	.CLK(CTS_45),
-	.D(n_6800),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [7]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[153][0]  (
-	.CLK(CTS_50),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [0]),
-	.RESET_B(FE_OFN157_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [0]),
-	.SCE(n_4335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[153][1]  (
-	.CLK(CTS_50),
-	.D(n_6799),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [1]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[153][2]  (
-	.CLK(CTS_47),
-	.D(n_6798),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [2]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[153][3]  (
-	.CLK(CTS_47),
-	.D(n_6797),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [3]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[153][4]  (
-	.CLK(CTS_50),
-	.D(n_6796),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [4]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[153][5]  (
-	.CLK(CTS_47),
-	.D(n_6795),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [5]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[153][6]  (
-	.CLK(CTS_47),
-	.D(n_6794),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [6]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[153][7]  (
-	.CLK(CTS_50),
-	.D(n_6793),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [7]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[154][0]  (
-	.CLK(CTS_45),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [0]),
-	.RESET_B(FE_OFN160_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [0]),
-	.SCE(n_4336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[154][1]  (
-	.CLK(CTS_41),
-	.D(n_6792),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [1]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[154][2]  (
-	.CLK(CTS_41),
-	.D(n_6791),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [2]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[154][3]  (
-	.CLK(CTS_45),
-	.D(n_8099),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [3]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[154][4]  (
-	.CLK(CTS_41),
-	.D(n_6789),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [4]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[154][5]  (
-	.CLK(CTS_45),
-	.D(n_8014),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [5]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[154][6]  (
-	.CLK(CTS_45),
-	.D(n_6788),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [6]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[154][7]  (
-	.CLK(CTS_45),
-	.D(n_6787),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [7]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[155][0]  (
-	.CLK(CTS_50),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [0]),
-	.RESET_B(FE_OFN206_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [0]),
-	.SCE(n_4449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[155][1]  (
-	.CLK(CTS_50),
-	.D(n_6786),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [1]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[155][2]  (
-	.CLK(CTS_50),
-	.D(n_6785),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [2]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[155][3]  (
-	.CLK(CTS_50),
-	.D(n_6784),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [3]),
-	.RESET_B(FE_OFN206_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[155][4]  (
-	.CLK(CTS_50),
-	.D(n_6783),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [4]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[155][5]  (
-	.CLK(CTS_50),
-	.D(n_6782),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [5]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[155][6]  (
-	.CLK(CTS_50),
-	.D(n_6781),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [6]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[155][7]  (
-	.CLK(CTS_50),
-	.D(n_6780),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [7]),
-	.RESET_B(FE_OFN206_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[156][0]  (
-	.CLK(CTS_50),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [0]),
-	.RESET_B(FE_OFN157_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [0]),
-	.SCE(n_4357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[156][1]  (
-	.CLK(CTS_50),
-	.D(n_6779),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [1]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[156][2]  (
-	.CLK(CTS_50),
-	.D(n_6778),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [2]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[156][3]  (
-	.CLK(CTS_50),
-	.D(n_6777),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [3]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[156][4]  (
-	.CLK(CTS_50),
-	.D(n_7542),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [4]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[156][5]  (
-	.CLK(CTS_50),
-	.D(n_6776),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [5]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[156][6]  (
-	.CLK(CTS_50),
-	.D(n_6775),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [6]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[156][7]  (
-	.CLK(CTS_50),
-	.D(n_6774),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [7]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[157][0]  (
-	.CLK(CTS_50),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [0]),
-	.RESET_B(FE_OFN157_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [0]),
-	.SCE(n_4476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[157][1]  (
-	.CLK(CTS_50),
-	.D(n_6773),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [1]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[157][2]  (
-	.CLK(CTS_47),
-	.D(n_6772),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [2]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[157][3]  (
-	.CLK(CTS_50),
-	.D(n_6771),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [3]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[157][4]  (
-	.CLK(CTS_47),
-	.D(n_6770),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [4]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[157][5]  (
-	.CLK(CTS_50),
-	.D(n_6769),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [5]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[157][6]  (
-	.CLK(CTS_50),
-	.D(n_6768),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [6]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[157][7]  (
-	.CLK(CTS_50),
-	.D(n_6767),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [7]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[158][0]  (
-	.CLK(CTS_50),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [0]),
-	.RESET_B(FE_OFN157_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [0]),
-	.SCE(n_4479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[158][1]  (
-	.CLK(CTS_50),
-	.D(n_8074),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [1]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[158][2]  (
-	.CLK(CTS_47),
-	.D(n_6766),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [2]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[158][3]  (
-	.CLK(CTS_41),
-	.D(n_6765),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [3]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[158][4]  (
-	.CLK(CTS_50),
-	.D(n_6764),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [4]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[158][5]  (
-	.CLK(CTS_47),
-	.D(n_8017),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [5]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[158][6]  (
-	.CLK(CTS_50),
-	.D(n_6763),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [6]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[158][7]  (
-	.CLK(CTS_47),
-	.D(n_7396),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [7]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[159][0]  (
-	.CLK(CTS_50),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [0]),
-	.RESET_B(FE_OFN205_system_rst_ni),
-	.SCD(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[159][1]  (
-	.CLK(CTS_50),
-	.D(n_6762),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [1]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[159][2]  (
-	.CLK(CTS_50),
-	.D(n_6761),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [2]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[159][3]  (
-	.CLK(CTS_50),
-	.D(n_6760),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [3]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[159][4]  (
-	.CLK(CTS_50),
-	.D(n_6759),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [4]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[159][5]  (
-	.CLK(CTS_50),
-	.D(n_6758),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [5]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[159][6]  (
-	.CLK(CTS_50),
-	.D(n_6757),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [6]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[159][7]  (
-	.CLK(CTS_50),
-	.D(n_6756),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [7]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[160][0]  (
-	.CLK(CTS_37),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [0]),
-	.RESET_B(FE_OFN161_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [0]),
-	.SCE(n_4970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[160][1]  (
-	.CLK(CTS_41),
-	.D(n_8073),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [1]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[160][2]  (
-	.CLK(CTS_41),
-	.D(n_6755),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [2]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[160][3]  (
-	.CLK(CTS_37),
-	.D(n_6754),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [3]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[160][4]  (
-	.CLK(CTS_41),
-	.D(n_6753),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [4]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[160][5]  (
-	.CLK(CTS_37),
-	.D(n_6752),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [5]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[160][6]  (
-	.CLK(CTS_37),
-	.D(n_8056),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [6]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[160][7]  (
-	.CLK(CTS_41),
-	.D(n_6751),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [7]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[161][0]  (
-	.CLK(CTS_37),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [0]),
-	.RESET_B(FE_OFN161_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [0]),
-	.SCE(n_4302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[161][1]  (
-	.CLK(CTS_41),
-	.D(n_6750),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [1]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[161][2]  (
-	.CLK(CTS_41),
-	.D(n_6749),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [2]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[161][3]  (
-	.CLK(CTS_37),
-	.D(n_8050),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [3]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[161][4]  (
-	.CLK(CTS_41),
-	.D(n_6748),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [4]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[161][5]  (
-	.CLK(CTS_37),
-	.D(n_8045),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [5]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[161][6]  (
-	.CLK(CTS_37),
-	.D(n_6747),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [6]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[161][7]  (
-	.CLK(CTS_37),
-	.D(n_6746),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [7]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[162][0]  (
-	.CLK(CTS_37),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [0]),
-	.RESET_B(FE_OFN161_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [0]),
-	.SCE(n_5022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[162][1]  (
-	.CLK(CTS_41),
-	.D(n_7429),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [1]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[162][2]  (
-	.CLK(CTS_41),
-	.D(n_6745),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [2]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[162][3]  (
-	.CLK(CTS_37),
-	.D(n_6743),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [3]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[162][4]  (
-	.CLK(CTS_41),
-	.D(n_6741),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [4]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[162][5]  (
-	.CLK(CTS_37),
-	.D(n_6740),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [5]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[162][6]  (
-	.CLK(CTS_37),
-	.D(n_6739),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [6]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[162][7]  (
-	.CLK(CTS_37),
-	.D(n_7563),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [7]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[163][0]  (
-	.CLK(CTS_37),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [0]),
-	.RESET_B(FE_OFN161_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [0]),
-	.SCE(n_4372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[163][1]  (
-	.CLK(CTS_37),
-	.D(n_6738),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [1]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[163][2]  (
-	.CLK(CTS_37),
-	.D(n_6737),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [2]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[163][3]  (
-	.CLK(CTS_37),
-	.D(n_6736),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [3]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[163][4]  (
-	.CLK(CTS_37),
-	.D(n_6735),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [4]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[163][5]  (
-	.CLK(CTS_37),
-	.D(n_6734),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [5]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[163][6]  (
-	.CLK(CTS_37),
-	.D(n_8084),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [6]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[163][7]  (
-	.CLK(CTS_37),
-	.D(n_8081),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [7]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[164][0]  (
-	.CLK(CTS_41),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [0]),
-	.RESET_B(FE_OFN74_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [0]),
-	.SCE(n_4307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[164][1]  (
-	.CLK(CTS_41),
-	.D(n_6732),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [1]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[164][2]  (
-	.CLK(CTS_41),
-	.D(n_6731),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [2]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[164][3]  (
-	.CLK(CTS_41),
-	.D(n_6730),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [3]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[164][4]  (
-	.CLK(CTS_49),
-	.D(n_8078),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [4]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[164][5]  (
-	.CLK(CTS_41),
-	.D(n_6729),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [5]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[164][6]  (
-	.CLK(CTS_41),
-	.D(n_8071),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [6]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[164][7]  (
-	.CLK(CTS_37),
-	.D(n_6728),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [7]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[165][0]  (
-	.CLK(CTS_41),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [0]),
-	.RESET_B(FE_OFN74_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [0]),
-	.SCE(n_4413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[165][1]  (
-	.CLK(CTS_41),
-	.D(n_8069),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [1]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[165][2]  (
-	.CLK(CTS_41),
-	.D(n_6727),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [2]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[165][3]  (
-	.CLK(CTS_41),
-	.D(n_8068),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [3]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[165][4]  (
-	.CLK(CTS_49),
-	.D(n_8067),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [4]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[165][5]  (
-	.CLK(CTS_41),
-	.D(n_8063),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [5]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[165][6]  (
-	.CLK(CTS_41),
-	.D(n_6726),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [6]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[165][7]  (
-	.CLK(CTS_49),
-	.D(n_8062),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [7]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[166][0]  (
-	.CLK(CTS_37),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [0]),
-	.RESET_B(FE_OFN76_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [0]),
-	.SCE(n_4412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[166][1]  (
-	.CLK(CTS_41),
-	.D(n_8054),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [1]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[166][2]  (
-	.CLK(CTS_41),
-	.D(n_6724),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [2]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[166][3]  (
-	.CLK(CTS_45),
-	.D(n_8052),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [3]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[166][4]  (
-	.CLK(CTS_41),
-	.D(n_6723),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [4]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[166][5]  (
-	.CLK(CTS_37),
-	.D(n_6722),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [5]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[166][6]  (
-	.CLK(CTS_37),
-	.D(n_6721),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [6]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[166][7]  (
-	.CLK(CTS_37),
-	.D(n_6720),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [7]),
-	.RESET_B(FE_OFN75_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[167][0]  (
-	.CLK(CTS_37),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [0]),
-	.RESET_B(FE_OFN161_system_rst_ni),
-	.SCD(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[167][1]  (
-	.CLK(CTS_37),
-	.D(n_6719),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [1]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[167][2]  (
-	.CLK(CTS_37),
-	.D(n_6718),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [2]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[167][3]  (
-	.CLK(CTS_37),
-	.D(n_6717),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [3]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[167][4]  (
-	.CLK(CTS_37),
-	.D(n_6716),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [4]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[167][5]  (
-	.CLK(CTS_37),
-	.D(n_6715),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [5]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[167][6]  (
-	.CLK(CTS_37),
-	.D(n_6714),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [6]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[167][7]  (
-	.CLK(CTS_37),
-	.D(n_6713),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [7]),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[168][0]  (
-	.CLK(CTS_41),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [0]),
-	.RESET_B(FE_OFN71_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [0]),
-	.SCE(n_5019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[168][1]  (
-	.CLK(CTS_41),
-	.D(n_6712),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [1]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[168][2]  (
-	.CLK(CTS_41),
-	.D(n_6711),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [2]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[168][3]  (
-	.CLK(CTS_41),
-	.D(n_6710),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [3]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[168][4]  (
-	.CLK(CTS_41),
-	.D(n_6709),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [4]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[168][5]  (
-	.CLK(CTS_41),
-	.D(n_8011),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [5]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[168][6]  (
-	.CLK(CTS_41),
-	.D(n_6707),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [6]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[168][7]  (
-	.CLK(CTS_41),
-	.D(n_6706),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [7]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[169][0]  (
-	.CLK(CTS_47),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [0]),
-	.RESET_B(FE_OFN71_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [0]),
-	.SCE(n_4360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[169][1]  (
-	.CLK(CTS_47),
-	.D(n_6705),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [1]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[169][2]  (
-	.CLK(CTS_47),
-	.D(n_8026),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [2]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[169][3]  (
-	.CLK(CTS_41),
-	.D(n_8024),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [3]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[169][4]  (
-	.CLK(CTS_47),
-	.D(n_6703),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [4]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[169][5]  (
-	.CLK(CTS_47),
-	.D(n_6702),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [5]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[169][6]  (
-	.CLK(CTS_47),
-	.D(n_6701),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [6]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[169][7]  (
-	.CLK(CTS_47),
-	.D(n_6700),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [7]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[170][0]  (
-	.CLK(CTS_41),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [0]),
-	.RESET_B(FE_OFN160_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [0]),
-	.SCE(n_4359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[170][1]  (
-	.CLK(CTS_41),
-	.D(n_8031),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [1]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[170][2]  (
-	.CLK(CTS_41),
-	.D(n_6699),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [2]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[170][3]  (
-	.CLK(CTS_41),
-	.D(n_6698),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [3]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[170][4]  (
-	.CLK(CTS_41),
-	.D(n_6697),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [4]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[170][5]  (
-	.CLK(CTS_41),
-	.D(n_6696),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [5]),
-	.RESET_B(FE_OFN160_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[170][6]  (
-	.CLK(CTS_41),
-	.D(n_8090),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [6]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[170][7]  (
-	.CLK(CTS_41),
-	.D(n_6695),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [7]),
-	.RESET_B(FE_OFN74_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[171][0]  (
-	.CLK(CTS_47),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [0]),
-	.RESET_B(FE_OFN207_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [0]),
-	.SCE(n_4480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[171][1]  (
-	.CLK(CTS_47),
-	.D(n_6694),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [1]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[171][2]  (
-	.CLK(CTS_47),
-	.D(n_6693),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [2]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[171][3]  (
-	.CLK(CTS_47),
-	.D(n_6692),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [3]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[171][4]  (
-	.CLK(CTS_47),
-	.D(n_7357),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [4]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[171][5]  (
-	.CLK(CTS_47),
-	.D(n_7386),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [5]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[171][6]  (
-	.CLK(CTS_47),
-	.D(n_7378),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [6]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[171][7]  (
-	.CLK(CTS_47),
-	.D(n_6691),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [7]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[172][0]  (
-	.CLK(CTS_47),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [0]),
-	.RESET_B(FE_OFN157_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [0]),
-	.SCE(n_4400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[172][1]  (
-	.CLK(CTS_47),
-	.D(n_6690),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [1]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[172][2]  (
-	.CLK(CTS_47),
-	.D(n_7454),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [2]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[172][3]  (
-	.CLK(CTS_47),
-	.D(n_6689),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [3]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[172][4]  (
-	.CLK(CTS_47),
-	.D(n_6687),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [4]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[172][5]  (
-	.CLK(CTS_47),
-	.D(n_7472),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [5]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[172][6]  (
-	.CLK(CTS_47),
-	.D(n_6686),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [6]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[172][7]  (
-	.CLK(CTS_47),
-	.D(n_6685),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [7]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[173][0]  (
-	.CLK(CTS_47),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [0]),
-	.RESET_B(FE_OFN157_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [0]),
-	.SCE(n_4295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[173][1]  (
-	.CLK(CTS_47),
-	.D(n_8137),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [1]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[173][2]  (
-	.CLK(CTS_47),
-	.D(n_6683),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [2]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[173][3]  (
-	.CLK(CTS_47),
-	.D(n_7935),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [3]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[173][4]  (
-	.CLK(CTS_47),
-	.D(n_6682),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [4]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[173][5]  (
-	.CLK(CTS_47),
-	.D(n_8104),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [5]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[173][6]  (
-	.CLK(CTS_47),
-	.D(n_6869),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [6]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[173][7]  (
-	.CLK(CTS_47),
-	.D(n_6681),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [7]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[174][0]  (
-	.CLK(CTS_47),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [0]),
-	.RESET_B(FE_OFN71_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [0]),
-	.SCE(n_4488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[174][1]  (
-	.CLK(CTS_47),
-	.D(n_7777),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [1]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[174][2]  (
-	.CLK(CTS_47),
-	.D(n_6680),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [2]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[174][3]  (
-	.CLK(CTS_41),
-	.D(n_6679),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [3]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[174][4]  (
-	.CLK(CTS_47),
-	.D(n_6678),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [4]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[174][5]  (
-	.CLK(CTS_47),
-	.D(n_6677),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [5]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[174][6]  (
-	.CLK(CTS_47),
-	.D(n_6589),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [6]),
-	.RESET_B(FE_OFN157_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[174][7]  (
-	.CLK(CTS_47),
-	.D(n_6676),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [7]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[175][0]  (
-	.CLK(CTS_47),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [0]),
-	.RESET_B(FE_OFN207_system_rst_ni),
-	.SCD(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[175][1]  (
-	.CLK(CTS_47),
-	.D(n_8040),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [1]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[175][2]  (
-	.CLK(CTS_47),
-	.D(n_8038),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [2]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[175][3]  (
-	.CLK(CTS_47),
-	.D(n_6674),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [3]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[175][4]  (
-	.CLK(CTS_47),
-	.D(n_6675),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [4]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[175][5]  (
-	.CLK(CTS_47),
-	.D(n_6661),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [5]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[175][6]  (
-	.CLK(CTS_47),
-	.D(n_6673),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [6]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[175][7]  (
-	.CLK(CTS_47),
-	.D(n_6672),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [7]),
-	.RESET_B(FE_OFN207_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[176][0]  (
-	.CLK(CTS_48),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [0]),
-	.RESET_B(FE_OFN209_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [0]),
-	.SCE(n_5024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[176][1]  (
-	.CLK(CTS_48),
-	.D(n_6670),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [1]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[176][2]  (
-	.CLK(CTS_45),
-	.D(n_6669),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [2]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[176][3]  (
-	.CLK(CTS_43),
-	.D(n_6668),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [3]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[176][4]  (
-	.CLK(CTS_48),
-	.D(n_6667),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [4]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[176][5]  (
-	.CLK(CTS_43),
-	.D(n_6666),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [5]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[176][6]  (
-	.CLK(CTS_48),
-	.D(n_6665),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [6]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[176][7]  (
-	.CLK(CTS_45),
-	.D(n_6664),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [7]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[177][0]  (
-	.CLK(CTS_48),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [0]),
-	.RESET_B(FE_OFN209_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [0]),
-	.SCE(n_4353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[177][1]  (
-	.CLK(CTS_48),
-	.D(n_6663),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [1]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[177][2]  (
-	.CLK(CTS_48),
-	.D(n_6662),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [2]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[177][3]  (
-	.CLK(CTS_48),
-	.D(n_8089),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [3]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[177][4]  (
-	.CLK(CTS_48),
-	.D(n_6660),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [4]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[177][5]  (
-	.CLK(CTS_48),
-	.D(n_6659),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [5]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[177][6]  (
-	.CLK(CTS_48),
-	.D(n_6658),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [6]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[177][7]  (
-	.CLK(CTS_48),
-	.D(n_6657),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [7]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[178][0]  (
-	.CLK(CTS_45),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [0]),
-	.RESET_B(FE_OFN209_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [0]),
-	.SCE(n_4352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[178][1]  (
-	.CLK(CTS_45),
-	.D(n_6655),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [1]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[178][2]  (
-	.CLK(CTS_45),
-	.D(n_6654),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [2]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[178][3]  (
-	.CLK(CTS_43),
-	.D(n_6653),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [3]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[178][4]  (
-	.CLK(CTS_45),
-	.D(n_6652),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [4]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[178][5]  (
-	.CLK(CTS_45),
-	.D(n_6651),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [5]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[178][6]  (
-	.CLK(CTS_48),
-	.D(n_6650),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [6]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[178][7]  (
-	.CLK(CTS_45),
-	.D(n_6648),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [7]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[179][0]  (
-	.CLK(CTS_48),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [0]),
-	.RESET_B(FE_OFN209_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [0]),
-	.SCE(n_4474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[179][1]  (
-	.CLK(CTS_43),
-	.D(n_6647),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [1]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[179][2]  (
-	.CLK(CTS_48),
-	.D(n_6646),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [2]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[179][3]  (
-	.CLK(CTS_43),
-	.D(n_6645),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [3]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[179][4]  (
-	.CLK(CTS_48),
-	.D(n_6644),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [4]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[179][5]  (
-	.CLK(CTS_48),
-	.D(n_6643),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [5]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[179][6]  (
-	.CLK(CTS_48),
-	.D(n_6642),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [6]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[179][7]  (
-	.CLK(CTS_48),
-	.D(n_6641),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [7]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[180][0]  (
-	.CLK(CTS_48),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [0]),
-	.RESET_B(FE_OFN211_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [0]),
-	.SCE(n_4396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[180][1]  (
-	.CLK(CTS_43),
-	.D(n_6640),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [1]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[180][2]  (
-	.CLK(CTS_48),
-	.D(n_6639),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [2]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[180][3]  (
-	.CLK(CTS_43),
-	.D(n_6638),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [3]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[180][4]  (
-	.CLK(CTS_43),
-	.D(n_6637),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [4]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[180][5]  (
-	.CLK(CTS_43),
-	.D(n_6636),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [5]),
-	.RESET_B(FE_OFN168_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[180][6]  (
-	.CLK(CTS_48),
-	.D(n_6635),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [6]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[180][7]  (
-	.CLK(CTS_48),
-	.D(n_6634),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [7]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[181][0]  (
-	.CLK(CTS_48),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [0]),
-	.RESET_B(FE_OFN211_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [0]),
-	.SCE(n_4301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[181][1]  (
-	.CLK(CTS_43),
-	.D(n_6633),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [1]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[181][2]  (
-	.CLK(CTS_48),
-	.D(n_6632),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [2]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[181][3]  (
-	.CLK(CTS_43),
-	.D(n_6631),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [3]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[181][4]  (
-	.CLK(CTS_43),
-	.D(n_6630),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [4]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[181][5]  (
-	.CLK(CTS_43),
-	.D(n_8176),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [5]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[181][6]  (
-	.CLK(CTS_48),
-	.D(n_6628),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [6]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[181][7]  (
-	.CLK(CTS_48),
-	.D(n_6627),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [7]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[182][0]  (
-	.CLK(CTS_48),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [0]),
-	.RESET_B(FE_OFN209_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [0]),
-	.SCE(n_4492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[182][1]  (
-	.CLK(CTS_48),
-	.D(n_6626),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [1]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[182][2]  (
-	.CLK(CTS_48),
-	.D(n_6625),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [2]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[182][3]  (
-	.CLK(CTS_48),
-	.D(n_6624),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [3]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[182][4]  (
-	.CLK(CTS_48),
-	.D(n_6623),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [4]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[182][5]  (
-	.CLK(CTS_48),
-	.D(n_6622),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [5]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[182][6]  (
-	.CLK(CTS_48),
-	.D(n_6621),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [6]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[182][7]  (
-	.CLK(CTS_48),
-	.D(n_6620),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [7]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[183][0]  (
-	.CLK(CTS_48),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [0]),
-	.RESET_B(FE_OFN209_system_rst_ni),
-	.SCD(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[183][1]  (
-	.CLK(CTS_43),
-	.D(n_6619),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [1]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[183][2]  (
-	.CLK(CTS_48),
-	.D(n_6617),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [2]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[183][3]  (
-	.CLK(CTS_43),
-	.D(n_6616),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [3]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[183][4]  (
-	.CLK(CTS_48),
-	.D(n_6615),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [4]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[183][5]  (
-	.CLK(CTS_48),
-	.D(n_6614),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [5]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[183][6]  (
-	.CLK(CTS_48),
-	.D(n_8110),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [6]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[183][7]  (
-	.CLK(CTS_48),
-	.D(n_6613),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [7]),
-	.RESET_B(FE_OFN211_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[184][0]  (
-	.CLK(CTS_50),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [0]),
-	.RESET_B(FE_OFN205_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [0]),
-	.SCE(n_4350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[184][1]  (
-	.CLK(CTS_45),
-	.D(n_6612),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [1]),
-	.RESET_B(FE_OFN210_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[184][2]  (
-	.CLK(CTS_45),
-	.D(n_6611),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [2]),
-	.RESET_B(FE_OFN210_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[184][3]  (
-	.CLK(CTS_50),
-	.D(n_6610),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [3]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[184][4]  (
-	.CLK(CTS_50),
-	.D(n_6609),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [4]),
-	.RESET_B(FE_OFN71_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[184][5]  (
-	.CLK(CTS_50),
-	.D(n_6608),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [5]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[184][6]  (
-	.CLK(CTS_45),
-	.D(n_6607),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [6]),
-	.RESET_B(FE_OFN210_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[184][7]  (
-	.CLK(CTS_45),
-	.D(n_8111),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [7]),
-	.RESET_B(FE_OFN210_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[185][0]  (
-	.CLK(CTS_50),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [0]),
-	.RESET_B(FE_OFN205_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [0]),
-	.SCE(n_4465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[185][1]  (
-	.CLK(CTS_50),
-	.D(n_6606),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [1]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[185][2]  (
-	.CLK(CTS_50),
-	.D(n_6656),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [2]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[185][3]  (
-	.CLK(CTS_48),
-	.D(n_6605),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [3]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[185][4]  (
-	.CLK(CTS_50),
-	.D(n_6604),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [4]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[185][5]  (
-	.CLK(CTS_45),
-	.D(n_6898),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [5]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[185][6]  (
-	.CLK(CTS_45),
-	.D(n_6603),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [6]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[185][7]  (
-	.CLK(CTS_50),
-	.D(n_6602),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [7]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[186][0]  (
-	.CLK(CTS_50),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [0]),
-	.RESET_B(FE_OFN205_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [0]),
-	.SCE(n_4466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[186][1]  (
-	.CLK(CTS_45),
-	.D(n_6601),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [1]),
-	.RESET_B(FE_OFN210_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[186][2]  (
-	.CLK(CTS_45),
-	.D(n_6600),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [2]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[186][3]  (
-	.CLK(CTS_50),
-	.D(n_6599),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [3]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[186][4]  (
-	.CLK(CTS_50),
-	.D(n_6598),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [4]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[186][5]  (
-	.CLK(CTS_50),
-	.D(n_6597),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [5]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[186][6]  (
-	.CLK(CTS_50),
-	.D(n_6596),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [6]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[186][7]  (
-	.CLK(CTS_45),
-	.D(n_6595),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [7]),
-	.RESET_B(FE_OFN210_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[187][0]  (
-	.CLK(CTS_48),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [0]),
-	.RESET_B(FE_OFN209_system_rst_ni),
-	.SCD(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[187][1]  (
-	.CLK(CTS_48),
-	.D(n_7550),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [1]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[187][2]  (
-	.CLK(CTS_48),
-	.D(n_6594),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [2]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[187][3]  (
-	.CLK(CTS_48),
-	.D(n_6593),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [3]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[187][4]  (
-	.CLK(CTS_48),
-	.D(n_6592),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [4]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[187][5]  (
-	.CLK(CTS_48),
-	.D(n_6590),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [5]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[187][6]  (
-	.CLK(CTS_48),
-	.D(n_8091),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [6]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[187][7]  (
-	.CLK(CTS_48),
-	.D(n_7726),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [7]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[188][0]  (
-	.CLK(CTS_50),
-	.D(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [0]),
-	.RESET_B(FE_OFN205_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [0]),
-	.SCE(n_4294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[188][1]  (
-	.CLK(CTS_50),
-	.D(n_7727),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [1]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[188][2]  (
-	.CLK(CTS_50),
-	.D(n_7728),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [2]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[188][3]  (
-	.CLK(CTS_50),
-	.D(n_7729),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [3]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[188][4]  (
-	.CLK(CTS_50),
-	.D(n_7730),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [4]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[188][5]  (
-	.CLK(CTS_50),
-	.D(n_7731),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [5]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[188][6]  (
-	.CLK(CTS_50),
-	.D(n_7732),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [6]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[188][7]  (
-	.CLK(CTS_50),
-	.D(n_7733),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [7]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[189][0]  (
-	.CLK(CTS_50),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [0]),
-	.RESET_B(FE_OFN205_system_rst_ni),
-	.SCD(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[189][1]  (
-	.CLK(CTS_48),
-	.D(n_8057),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [1]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[189][2]  (
-	.CLK(CTS_50),
-	.D(n_7734),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [2]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[189][3]  (
-	.CLK(CTS_50),
-	.D(n_7736),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [3]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[189][4]  (
-	.CLK(CTS_50),
-	.D(n_8046),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [4]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[189][5]  (
-	.CLK(CTS_50),
-	.D(n_8032),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [5]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[189][6]  (
-	.CLK(CTS_48),
-	.D(n_7737),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [6]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[189][7]  (
-	.CLK(CTS_48),
-	.D(n_8013),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [7]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[190][0]  (
-	.CLK(CTS_48),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [0]),
-	.RESET_B(FE_OFN205_system_rst_ni),
-	.SCD(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[190][1]  (
-	.CLK(CTS_50),
-	.D(n_7738),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [1]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[190][2]  (
-	.CLK(CTS_50),
-	.D(n_7739),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [2]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[190][3]  (
-	.CLK(CTS_48),
-	.D(n_7740),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [3]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[190][4]  (
-	.CLK(CTS_50),
-	.D(n_7741),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [4]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[190][5]  (
-	.CLK(CTS_48),
-	.D(n_7742),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [5]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[190][6]  (
-	.CLK(CTS_48),
-	.D(n_7743),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [6]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[190][7]  (
-	.CLK(CTS_48),
-	.D(n_7744),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [7]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[191][0]  (
-	.CLK(CTS_48),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [0]),
-	.RESET_B(FE_OFN209_system_rst_ni),
-	.SCD(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[191][1]  (
-	.CLK(CTS_48),
-	.D(n_7745),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [1]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[191][2]  (
-	.CLK(CTS_48),
-	.D(n_8033),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [2]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[191][3]  (
-	.CLK(CTS_48),
-	.D(n_7746),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [3]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[191][4]  (
-	.CLK(CTS_48),
-	.D(n_7747),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [4]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[191][5]  (
-	.CLK(CTS_48),
-	.D(n_7749),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [5]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[191][6]  (
-	.CLK(CTS_48),
-	.D(n_7184),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [6]),
-	.RESET_B(FE_OFN209_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[191][7]  (
-	.CLK(CTS_48),
-	.D(n_7750),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [7]),
-	.RESET_B(FE_OFN205_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[192][0]  (
-	.CLK(CTS_19),
-	.D(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [0]),
-	.RESET_B(FE_OFN220_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [0]),
-	.SCE(n_3517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[192][1]  (
-	.CLK(CTS_53),
-	.D(n_5619),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [1]),
-	.RESET_B(FE_OFN219_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[192][2]  (
-	.CLK(CTS_19),
-	.D(n_5194),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [2]),
-	.RESET_B(FE_OFN219_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[192][3]  (
-	.CLK(CTS_19),
-	.D(n_5205),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [3]),
-	.RESET_B(FE_OFN219_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[192][4]  (
-	.CLK(CTS_19),
-	.D(n_5087),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [4]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[192][5]  (
-	.CLK(CTS_19),
-	.D(n_5623),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [5]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[192][6]  (
-	.CLK(CTS_19),
-	.D(n_5203),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [6]),
-	.RESET_B(FE_OFN219_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[192][7]  (
-	.CLK(CTS_19),
-	.D(n_5094),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [7]),
-	.RESET_B(FE_OFN219_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[193][0]  (
-	.CLK(CTS_53),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [0]),
-	.RESET_B(FE_OFN184_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[193][1]  (
-	.CLK(CTS_19),
-	.D(n_5144),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [1]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[193][2]  (
-	.CLK(CTS_53),
-	.D(n_5171),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [2]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[193][3]  (
-	.CLK(CTS_19),
-	.D(n_5161),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [3]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[193][4]  (
-	.CLK(CTS_19),
-	.D(n_5368),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [4]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[193][5]  (
-	.CLK(CTS_19),
-	.D(n_5118),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [5]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[193][6]  (
-	.CLK(CTS_19),
-	.D(n_5120),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [6]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[193][7]  (
-	.CLK(CTS_19),
-	.D(n_5119),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [7]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[194][0]  (
-	.CLK(CTS_53),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [0]),
-	.RESET_B(FE_OFN220_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[194][1]  (
-	.CLK(CTS_53),
-	.D(n_5121),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [1]),
-	.RESET_B(FE_OFN219_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[194][2]  (
-	.CLK(CTS_19),
-	.D(n_5123),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [2]),
-	.RESET_B(FE_OFN219_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[194][3]  (
-	.CLK(CTS_19),
-	.D(n_5124),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [3]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[194][4]  (
-	.CLK(CTS_19),
-	.D(n_5077),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [4]),
-	.RESET_B(FE_OFN219_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[194][5]  (
-	.CLK(CTS_19),
-	.D(n_5085),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [5]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[194][6]  (
-	.CLK(CTS_19),
-	.D(n_5731),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [6]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[194][7]  (
-	.CLK(CTS_53),
-	.D(n_5729),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [7]),
-	.RESET_B(FE_OFN219_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[195][0]  (
-	.CLK(CTS_19),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [0]),
-	.RESET_B(FE_OFN184_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[195][1]  (
-	.CLK(CTS_53),
-	.D(n_5668),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [1]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[195][2]  (
-	.CLK(CTS_53),
-	.D(n_5212),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [2]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[195][3]  (
-	.CLK(CTS_19),
-	.D(n_5125),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [3]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[195][4]  (
-	.CLK(CTS_19),
-	.D(n_5132),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [4]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[195][5]  (
-	.CLK(CTS_19),
-	.D(n_5620),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [5]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[195][6]  (
-	.CLK(CTS_19),
-	.D(n_5612),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [6]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[195][7]  (
-	.CLK(CTS_19),
-	.D(n_5065),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [7]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[196][0]  (
-	.CLK(CTS_19),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [0]),
-	.RESET_B(FE_OFN105_system_rst_ni),
-	.SCD(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[196][1]  (
-	.CLK(CTS_19),
-	.D(n_5068),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [1]),
-	.RESET_B(FE_OFN45_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[196][2]  (
-	.CLK(CTS_19),
-	.D(n_5366),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [2]),
-	.RESET_B(FE_OFN45_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[196][3]  (
-	.CLK(CTS_19),
-	.D(n_5218),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [3]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[196][4]  (
-	.CLK(CTS_19),
-	.D(n_5104),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [4]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[196][5]  (
-	.CLK(CTS_19),
-	.D(n_5095),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [5]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[196][6]  (
-	.CLK(CTS_20),
-	.D(n_5365),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [6]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[196][7]  (
-	.CLK(CTS_19),
-	.D(n_5096),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [7]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[197][0]  (
-	.CLK(CTS_19),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [0]),
-	.RESET_B(FE_OFN105_system_rst_ni),
-	.SCD(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[197][1]  (
-	.CLK(CTS_19),
-	.D(n_5102),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [1]),
-	.RESET_B(FE_OFN45_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[197][2]  (
-	.CLK(CTS_20),
-	.D(n_5100),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [2]),
-	.RESET_B(FE_OFN45_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[197][3]  (
-	.CLK(CTS_20),
-	.D(n_5101),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [3]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[197][4]  (
-	.CLK(CTS_19),
-	.D(n_5109),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [4]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[197][5]  (
-	.CLK(CTS_19),
-	.D(n_5111),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [5]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[197][6]  (
-	.CLK(CTS_20),
-	.D(n_5106),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [6]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[197][7]  (
-	.CLK(CTS_19),
-	.D(n_5107),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [7]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[198][0]  (
-	.CLK(CTS_53),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [0]),
-	.RESET_B(FE_OFN184_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[198][1]  (
-	.CLK(CTS_53),
-	.D(n_5114),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [1]),
-	.RESET_B(FE_OFN219_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[198][2]  (
-	.CLK(CTS_53),
-	.D(n_5115),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [2]),
-	.RESET_B(FE_OFN219_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[198][3]  (
-	.CLK(CTS_19),
-	.D(n_5190),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [3]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[198][4]  (
-	.CLK(CTS_19),
-	.D(n_5728),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [4]),
-	.RESET_B(FE_OFN219_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[198][5]  (
-	.CLK(CTS_19),
-	.D(n_5721),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [5]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[198][6]  (
-	.CLK(CTS_19),
-	.D(n_5630),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [6]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[198][7]  (
-	.CLK(CTS_53),
-	.D(n_5208),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [7]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[199][0]  (
-	.CLK(CTS_19),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [0]),
-	.RESET_B(FE_OFN184_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[199][1]  (
-	.CLK(CTS_53),
-	.D(n_5162),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [1]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[199][2]  (
-	.CLK(CTS_53),
-	.D(n_5183),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [2]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[199][3]  (
-	.CLK(CTS_19),
-	.D(n_5176),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [3]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[199][4]  (
-	.CLK(CTS_19),
-	.D(n_5237),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [4]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[199][5]  (
-	.CLK(CTS_19),
-	.D(n_5629),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [5]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[199][6]  (
-	.CLK(CTS_19),
-	.D(n_5627),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [6]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[199][7]  (
-	.CLK(CTS_19),
-	.D(n_5746),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [7]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[200][0]  (
-	.CLK(CTS_54),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [0]),
-	.RESET_B(FE_OFN12_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[200][1]  (
-	.CLK(CTS_54),
-	.D(n_5622),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [1]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[200][2]  (
-	.CLK(CTS_52),
-	.D(n_5624),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [2]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[200][3]  (
-	.CLK(CTS_52),
-	.D(n_5363),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [3]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[200][4]  (
-	.CLK(CTS_52),
-	.D(n_5732),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [4]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[200][5]  (
-	.CLK(CTS_54),
-	.D(n_5648),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [5]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[200][6]  (
-	.CLK(CTS_52),
-	.D(n_5715),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [6]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[200][7]  (
-	.CLK(CTS_52),
-	.D(n_5184),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [7]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[201][0]  (
-	.CLK(CTS_54),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [0]),
-	.RESET_B(FE_OFN34_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[201][1]  (
-	.CLK(CTS_57),
-	.D(n_5147),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [1]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[201][2]  (
-	.CLK(CTS_54),
-	.D(n_5148),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [2]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[201][3]  (
-	.CLK(CTS_54),
-	.D(n_5174),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [3]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[201][4]  (
-	.CLK(CTS_57),
-	.D(n_5165),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [4]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[201][5]  (
-	.CLK(CTS_54),
-	.D(n_5166),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [5]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[201][6]  (
-	.CLK(CTS_54),
-	.D(n_5615),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [6]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[201][7]  (
-	.CLK(CTS_54),
-	.D(n_5192),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [7]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[202][0]  (
-	.CLK(CTS_55),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [0]),
-	.RESET_B(FE_OFN12_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[202][1]  (
-	.CLK(CTS_55),
-	.D(n_5635),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [1]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[202][2]  (
-	.CLK(CTS_55),
-	.D(n_5616),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [2]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[202][3]  (
-	.CLK(CTS_52),
-	.D(n_5361),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [3]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[202][4]  (
-	.CLK(CTS_52),
-	.D(n_5091),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [4]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[202][5]  (
-	.CLK(CTS_55),
-	.D(n_5360),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [5]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[202][6]  (
-	.CLK(CTS_52),
-	.D(n_5116),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [6]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[202][7]  (
-	.CLK(CTS_52),
-	.D(n_5359),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [7]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[203][0]  (
-	.CLK(CTS_52),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [0]),
-	.RESET_B(FE_OFN34_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[203][1]  (
-	.CLK(CTS_52),
-	.D(n_5089),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [1]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[203][2]  (
-	.CLK(CTS_52),
-	.D(n_5748),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [2]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[203][3]  (
-	.CLK(CTS_52),
-	.D(n_5707),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [3]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[203][4]  (
-	.CLK(CTS_52),
-	.D(n_5211),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [4]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[203][5]  (
-	.CLK(CTS_52),
-	.D(n_5370),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [5]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[203][6]  (
-	.CLK(CTS_52),
-	.D(n_5636),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [6]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[203][7]  (
-	.CLK(CTS_52),
-	.D(n_5665),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [7]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[204][0]  (
-	.CLK(CTS_52),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [0]),
-	.RESET_B(FE_OFN9_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[204][1]  (
-	.CLK(CTS_52),
-	.D(n_5222),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [1]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[204][2]  (
-	.CLK(CTS_52),
-	.D(n_5151),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [2]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[204][3]  (
-	.CLK(CTS_52),
-	.D(n_5248),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [3]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[204][4]  (
-	.CLK(CTS_52),
-	.D(n_5227),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [4]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[204][5]  (
-	.CLK(CTS_52),
-	.D(n_5167),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [5]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[204][6]  (
-	.CLK(CTS_52),
-	.D(n_5141),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [6]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[204][7]  (
-	.CLK(CTS_52),
-	.D(n_5138),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [7]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[205][0]  (
-	.CLK(CTS_52),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [0]),
-	.RESET_B(FE_OFN9_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[205][1]  (
-	.CLK(CTS_52),
-	.D(n_5149),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [1]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[205][2]  (
-	.CLK(CTS_52),
-	.D(n_5152),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [2]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[205][3]  (
-	.CLK(CTS_52),
-	.D(n_5154),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [3]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[205][4]  (
-	.CLK(CTS_52),
-	.D(n_5723),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [4]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[205][5]  (
-	.CLK(CTS_52),
-	.D(n_5617),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [5]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[205][6]  (
-	.CLK(CTS_52),
-	.D(n_5358),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [6]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[205][7]  (
-	.CLK(CTS_52),
-	.D(n_5357),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [7]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[206][0]  (
-	.CLK(CTS_54),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [0]),
-	.RESET_B(FE_OFN34_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[206][1]  (
-	.CLK(CTS_57),
-	.D(n_5610),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [1]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[206][2]  (
-	.CLK(CTS_57),
-	.D(n_5609),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [2]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[206][3]  (
-	.CLK(CTS_54),
-	.D(n_5356),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [3]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[206][4]  (
-	.CLK(CTS_57),
-	.D(n_5355),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [4]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[206][5]  (
-	.CLK(CTS_52),
-	.D(n_5217),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [5]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[206][6]  (
-	.CLK(CTS_52),
-	.D(n_5216),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [6]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[206][7]  (
-	.CLK(CTS_54),
-	.D(n_5214),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [7]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[207][0]  (
-	.CLK(CTS_52),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [0]),
-	.RESET_B(FE_OFN34_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[207][1]  (
-	.CLK(CTS_52),
-	.D(n_5070),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [1]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[207][2]  (
-	.CLK(CTS_52),
-	.D(n_5072),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [2]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[207][3]  (
-	.CLK(CTS_52),
-	.D(n_5155),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [3]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[207][4]  (
-	.CLK(CTS_52),
-	.D(n_5140),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [4]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[207][5]  (
-	.CLK(CTS_52),
-	.D(n_5130),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [5]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[207][6]  (
-	.CLK(CTS_52),
-	.D(n_5128),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [6]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[207][7]  (
-	.CLK(CTS_52),
-	.D(n_5071),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [7]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[208][0]  (
-	.CLK(CTS_53),
-	.D(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [0]),
-	.RESET_B(FE_OFN220_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [0]),
-	.SCE(n_3561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[208][1]  (
-	.CLK(CTS_55),
-	.D(n_5352),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [1]),
-	.RESET_B(FE_OFN172_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[208][2]  (
-	.CLK(CTS_55),
-	.D(n_5080),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [2]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[208][3]  (
-	.CLK(CTS_19),
-	.D(n_5351),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [3]),
-	.RESET_B(FE_OFN219_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[208][4]  (
-	.CLK(CTS_19),
-	.D(n_5350),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [4]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[208][5]  (
-	.CLK(CTS_19),
-	.D(n_5349),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [5]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[208][6]  (
-	.CLK(CTS_55),
-	.D(n_5086),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [6]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[208][7]  (
-	.CLK(CTS_55),
-	.D(n_5348),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [7]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[209][0]  (
-	.CLK(CTS_38),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [0]),
-	.RESET_B(FE_OFN220_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[209][1]  (
-	.CLK(CTS_38),
-	.D(n_5347),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [1]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[209][2]  (
-	.CLK(CTS_55),
-	.D(n_5346),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [2]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[209][3]  (
-	.CLK(CTS_55),
-	.D(n_5345),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [3]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[209][4]  (
-	.CLK(CTS_38),
-	.D(n_5344),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [4]),
-	.RESET_B(FE_OFN225_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[209][5]  (
-	.CLK(CTS_38),
-	.D(n_5343),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [5]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[209][6]  (
-	.CLK(CTS_55),
-	.D(n_5342),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [6]),
-	.RESET_B(FE_OFN172_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[209][7]  (
-	.CLK(CTS_38),
-	.D(n_5341),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [7]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[210][0]  (
-	.CLK(CTS_53),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [0]),
-	.RESET_B(FE_OFN220_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[210][1]  (
-	.CLK(CTS_38),
-	.D(n_5189),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [1]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[210][2]  (
-	.CLK(CTS_19),
-	.D(n_5340),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [2]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[210][3]  (
-	.CLK(CTS_19),
-	.D(n_5339),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [3]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[210][4]  (
-	.CLK(CTS_19),
-	.D(n_5338),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [4]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[210][5]  (
-	.CLK(CTS_53),
-	.D(n_5337),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [5]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[210][6]  (
-	.CLK(CTS_55),
-	.D(n_5336),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [6]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[210][7]  (
-	.CLK(CTS_38),
-	.D(n_5335),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [7]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[211][0]  (
-	.CLK(CTS_38),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [0]),
-	.RESET_B(FE_OFN172_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[211][1]  (
-	.CLK(CTS_38),
-	.D(n_5334),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [1]),
-	.RESET_B(FE_OFN172_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[211][2]  (
-	.CLK(CTS_55),
-	.D(n_5333),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [2]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[211][3]  (
-	.CLK(CTS_55),
-	.D(n_5332),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [3]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[211][4]  (
-	.CLK(CTS_38),
-	.D(n_5331),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [4]),
-	.RESET_B(FE_OFN172_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[211][5]  (
-	.CLK(CTS_38),
-	.D(n_5330),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [5]),
-	.RESET_B(FE_OFN172_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[211][6]  (
-	.CLK(CTS_55),
-	.D(n_5329),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [6]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[211][7]  (
-	.CLK(CTS_55),
-	.D(n_5328),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [7]),
-	.RESET_B(FE_OFN172_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[212][0]  (
-	.CLK(CTS_38),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [0]),
-	.RESET_B(FE_OFN227_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[212][1]  (
-	.CLK(CTS_38),
-	.D(n_5327),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [1]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[212][2]  (
-	.CLK(CTS_55),
-	.D(n_5326),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [2]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[212][3]  (
-	.CLK(CTS_60),
-	.D(n_5325),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [3]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[212][4]  (
-	.CLK(CTS_55),
-	.D(n_5324),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [4]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[212][5]  (
-	.CLK(CTS_38),
-	.D(n_5202),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [5]),
-	.RESET_B(FE_OFN228_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[212][6]  (
-	.CLK(CTS_55),
-	.D(n_5323),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [6]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[212][7]  (
-	.CLK(CTS_60),
-	.D(n_5239),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [7]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[213][0]  (
-	.CLK(CTS_38),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [0]),
-	.RESET_B(FE_OFN227_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[213][1]  (
-	.CLK(CTS_60),
-	.D(n_5322),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [1]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[213][2]  (
-	.CLK(CTS_55),
-	.D(n_5321),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [2]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[213][3]  (
-	.CLK(CTS_55),
-	.D(n_5320),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [3]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[213][4]  (
-	.CLK(CTS_60),
-	.D(n_5319),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [4]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[213][5]  (
-	.CLK(CTS_55),
-	.D(n_5318),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [5]),
-	.RESET_B(FE_OFN228_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[213][6]  (
-	.CLK(CTS_60),
-	.D(n_5317),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [6]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[213][7]  (
-	.CLK(CTS_60),
-	.D(n_5316),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [7]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[214][0]  (
-	.CLK(CTS_38),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [0]),
-	.RESET_B(FE_OFN220_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[214][1]  (
-	.CLK(CTS_38),
-	.D(n_5315),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [1]),
-	.RESET_B(FE_OFN172_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[214][2]  (
-	.CLK(CTS_55),
-	.D(n_5314),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [2]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[214][3]  (
-	.CLK(CTS_55),
-	.D(n_5313),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [3]),
-	.RESET_B(FE_OFN172_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[214][4]  (
-	.CLK(CTS_38),
-	.D(n_5312),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [4]),
-	.RESET_B(FE_OFN228_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[214][5]  (
-	.CLK(CTS_38),
-	.D(n_5311),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [5]),
-	.RESET_B(FE_OFN228_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[214][6]  (
-	.CLK(CTS_55),
-	.D(n_5310),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [6]),
-	.RESET_B(FE_OFN172_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[214][7]  (
-	.CLK(CTS_38),
-	.D(n_5309),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [7]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[215][0]  (
-	.CLK(CTS_38),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [0]),
-	.RESET_B(FE_OFN228_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[215][1]  (
-	.CLK(CTS_38),
-	.D(n_5308),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [1]),
-	.RESET_B(FE_OFN228_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[215][2]  (
-	.CLK(CTS_55),
-	.D(n_5307),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [2]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[215][3]  (
-	.CLK(CTS_55),
-	.D(n_5306),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [3]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[215][4]  (
-	.CLK(CTS_38),
-	.D(n_5305),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [4]),
-	.RESET_B(FE_OFN228_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[215][5]  (
-	.CLK(CTS_38),
-	.D(n_5304),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [5]),
-	.RESET_B(FE_OFN228_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[215][6]  (
-	.CLK(CTS_55),
-	.D(n_5303),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [6]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[215][7]  (
-	.CLK(CTS_55),
-	.D(n_5160),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [7]),
-	.RESET_B(FE_OFN227_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[216][0]  (
-	.CLK(CTS_52),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [0]),
-	.RESET_B(FE_OFN9_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[216][1]  (
-	.CLK(CTS_52),
-	.D(n_5302),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [1]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[216][2]  (
-	.CLK(CTS_52),
-	.D(n_5301),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [2]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[216][3]  (
-	.CLK(CTS_52),
-	.D(n_5713),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [3]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[216][4]  (
-	.CLK(CTS_52),
-	.D(n_5300),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [4]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[216][5]  (
-	.CLK(CTS_20),
-	.D(n_5299),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [5]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[216][6]  (
-	.CLK(CTS_20),
-	.D(n_5298),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [6]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[216][7]  (
-	.CLK(CTS_52),
-	.D(n_5679),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [7]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[217][0]  (
-	.CLK(CTS_20),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [0]),
-	.RESET_B(FE_OFN103_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[217][1]  (
-	.CLK(CTS_20),
-	.D(n_5296),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [1]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[217][2]  (
-	.CLK(CTS_20),
-	.D(n_5295),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [2]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[217][3]  (
-	.CLK(CTS_20),
-	.D(n_5294),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [3]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[217][4]  (
-	.CLK(CTS_20),
-	.D(n_5293),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [4]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[217][5]  (
-	.CLK(CTS_20),
-	.D(n_5292),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [5]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[217][6]  (
-	.CLK(CTS_20),
-	.D(n_5291),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [6]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[217][7]  (
-	.CLK(CTS_20),
-	.D(n_5290),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [7]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[218][0]  (
-	.CLK(CTS_52),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [0]),
-	.RESET_B(FE_OFN9_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[218][1]  (
-	.CLK(CTS_52),
-	.D(n_5288),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [1]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[218][2]  (
-	.CLK(CTS_52),
-	.D(n_5287),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [2]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[218][3]  (
-	.CLK(CTS_52),
-	.D(n_5286),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [3]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[218][4]  (
-	.CLK(CTS_52),
-	.D(n_5285),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [4]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[218][5]  (
-	.CLK(CTS_52),
-	.D(n_5284),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [5]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[218][6]  (
-	.CLK(CTS_52),
-	.D(n_5367),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [6]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[218][7]  (
-	.CLK(CTS_52),
-	.D(n_5283),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [7]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[219][0]  (
-	.CLK(CTS_52),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [0]),
-	.RESET_B(FE_OFN9_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[219][1]  (
-	.CLK(CTS_20),
-	.D(n_5282),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [1]),
-	.RESET_B(FE_OFN41_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[219][2]  (
-	.CLK(CTS_20),
-	.D(n_5281),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [2]),
-	.RESET_B(FE_OFN41_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[219][3]  (
-	.CLK(CTS_20),
-	.D(n_5280),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [3]),
-	.RESET_B(FE_OFN41_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[219][4]  (
-	.CLK(CTS_20),
-	.D(n_5279),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [4]),
-	.RESET_B(FE_OFN41_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[219][5]  (
-	.CLK(CTS_20),
-	.D(n_5736),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [5]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[219][6]  (
-	.CLK(CTS_20),
-	.D(n_5278),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [6]),
-	.RESET_B(FE_OFN41_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[219][7]  (
-	.CLK(CTS_20),
-	.D(n_5277),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [7]),
-	.RESET_B(FE_OFN41_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[220][0]  (
-	.CLK(CTS_20),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [0]),
-	.RESET_B(FE_OFN101_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[220][1]  (
-	.CLK(CTS_20),
-	.D(n_5618),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [1]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[220][2]  (
-	.CLK(CTS_20),
-	.D(n_5275),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [2]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[220][3]  (
-	.CLK(CTS_20),
-	.D(n_5273),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [3]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[220][4]  (
-	.CLK(CTS_20),
-	.D(n_5272),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [4]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[220][5]  (
-	.CLK(CTS_20),
-	.D(n_5271),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [5]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[220][6]  (
-	.CLK(CTS_20),
-	.D(n_5098),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [6]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[220][7]  (
-	.CLK(CTS_20),
-	.D(n_5103),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [7]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[221][0]  (
-	.CLK(CTS_20),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [0]),
-	.RESET_B(FE_OFN101_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[221][1]  (
-	.CLK(CTS_20),
-	.D(n_5269),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [1]),
-	.RESET_B(FE_OFN41_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[221][2]  (
-	.CLK(CTS_20),
-	.D(n_5134),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [2]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[221][3]  (
-	.CLK(CTS_20),
-	.D(n_5267),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [3]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[221][4]  (
-	.CLK(CTS_20),
-	.D(n_5266),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [4]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[221][5]  (
-	.CLK(CTS_20),
-	.D(n_5265),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [5]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[221][6]  (
-	.CLK(CTS_20),
-	.D(n_5264),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [6]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[221][7]  (
-	.CLK(CTS_20),
-	.D(n_5263),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [7]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[222][0]  (
-	.CLK(CTS_20),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [0]),
-	.RESET_B(FE_OFN103_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[222][1]  (
-	.CLK(CTS_20),
-	.D(n_5262),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [1]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[222][2]  (
-	.CLK(CTS_20),
-	.D(n_5088),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [2]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[222][3]  (
-	.CLK(CTS_20),
-	.D(n_5724),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [3]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[222][4]  (
-	.CLK(CTS_20),
-	.D(n_5747),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [4]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[222][5]  (
-	.CLK(CTS_20),
-	.D(n_5260),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [5]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[222][6]  (
-	.CLK(CTS_20),
-	.D(n_5289),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [6]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[222][7]  (
-	.CLK(CTS_20),
-	.D(n_5110),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [7]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[223][0]  (
-	.CLK(CTS_52),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [0]),
-	.RESET_B(FE_OFN101_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[223][1]  (
-	.CLK(CTS_20),
-	.D(n_5259),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [1]),
-	.RESET_B(FE_OFN41_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[223][2]  (
-	.CLK(CTS_20),
-	.D(n_5257),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [2]),
-	.RESET_B(FE_OFN41_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[223][3]  (
-	.CLK(CTS_20),
-	.D(n_5256),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [3]),
-	.RESET_B(FE_OFN41_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[223][4]  (
-	.CLK(CTS_20),
-	.D(n_5255),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [4]),
-	.RESET_B(FE_OFN41_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[223][5]  (
-	.CLK(CTS_52),
-	.D(n_5254),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [5]),
-	.RESET_B(FE_OFN9_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[223][6]  (
-	.CLK(CTS_20),
-	.D(n_5253),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [6]),
-	.RESET_B(FE_OFN41_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[223][7]  (
-	.CLK(CTS_20),
-	.D(n_5252),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [7]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[224][0]  (
-	.CLK(CTS_53),
-	.D(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [0]),
-	.RESET_B(FE_OFN184_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [0]),
-	.SCE(n_3520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[224][1]  (
-	.CLK(CTS_53),
-	.D(n_5099),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [1]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[224][2]  (
-	.CLK(CTS_53),
-	.D(n_5117),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [2]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[224][3]  (
-	.CLK(CTS_53),
-	.D(n_5206),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [3]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[224][4]  (
-	.CLK(CTS_53),
-	.D(n_5113),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [4]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[224][5]  (
-	.CLK(CTS_53),
-	.D(n_5097),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [5]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[224][6]  (
-	.CLK(CTS_53),
-	.D(n_5725),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [6]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[224][7]  (
-	.CLK(CTS_53),
-	.D(n_5207),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [7]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[225][0]  (
-	.CLK(CTS_53),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [0]),
-	.RESET_B(FE_OFN184_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[225][1]  (
-	.CLK(CTS_53),
-	.D(n_5170),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [1]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[225][2]  (
-	.CLK(CTS_53),
-	.D(n_5634),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [2]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[225][3]  (
-	.CLK(CTS_53),
-	.D(n_5641),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [3]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[225][4]  (
-	.CLK(CTS_53),
-	.D(n_5067),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [4]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[225][5]  (
-	.CLK(CTS_53),
-	.D(n_5353),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [5]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[225][6]  (
-	.CLK(CTS_53),
-	.D(n_5093),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [6]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[225][7]  (
-	.CLK(CTS_53),
-	.D(n_5250),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [7]),
-	.RESET_B(FE_OFN219_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[226][0]  (
-	.CLK(CTS_53),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [0]),
-	.RESET_B(FE_OFN184_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[226][1]  (
-	.CLK(CTS_53),
-	.D(n_5092),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [1]),
-	.RESET_B(FE_OFN219_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[226][2]  (
-	.CLK(CTS_53),
-	.D(n_5139),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [2]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[226][3]  (
-	.CLK(CTS_53),
-	.D(n_5159),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [3]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[226][4]  (
-	.CLK(CTS_53),
-	.D(n_5143),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [4]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[226][5]  (
-	.CLK(CTS_53),
-	.D(n_5753),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [5]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[226][6]  (
-	.CLK(CTS_53),
-	.D(n_5082),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [6]),
-	.RESET_B(FE_OFN220_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[226][7]  (
-	.CLK(CTS_53),
-	.D(n_5084),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [7]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[227][0]  (
-	.CLK(CTS_28),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [0]),
-	.RESET_B(FE_OFN184_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[227][1]  (
-	.CLK(CTS_28),
-	.D(n_5625),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [1]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[227][2]  (
-	.CLK(CTS_28),
-	.D(n_5219),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [2]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[227][3]  (
-	.CLK(CTS_28),
-	.D(n_5712),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [3]),
-	.RESET_B(FE_OFN112_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[227][4]  (
-	.CLK(CTS_28),
-	.D(n_5613),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [4]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[227][5]  (
-	.CLK(CTS_28),
-	.D(n_5611),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [5]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[227][6]  (
-	.CLK(CTS_28),
-	.D(n_5081),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [6]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[227][7]  (
-	.CLK(CTS_28),
-	.D(n_5135),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [7]),
-	.RESET_B(FE_OFN112_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[228][0]  (
-	.CLK(CTS_28),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [0]),
-	.RESET_B(FE_OFN184_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[228][1]  (
-	.CLK(CTS_53),
-	.D(n_5066),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [1]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[228][2]  (
-	.CLK(CTS_28),
-	.D(n_5374),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [2]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[228][3]  (
-	.CLK(CTS_53),
-	.D(n_5605),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [3]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[228][4]  (
-	.CLK(CTS_53),
-	.D(n_5074),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [4]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[228][5]  (
-	.CLK(CTS_53),
-	.D(n_5186),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [5]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[228][6]  (
-	.CLK(CTS_28),
-	.D(n_5236),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [6]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[228][7]  (
-	.CLK(CTS_28),
-	.D(n_5243),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [7]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[229][0]  (
-	.CLK(CTS_53),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [0]),
-	.RESET_B(FE_OFN184_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[229][1]  (
-	.CLK(CTS_53),
-	.D(n_5073),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [1]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[229][2]  (
-	.CLK(CTS_53),
-	.D(n_5640),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [2]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[229][3]  (
-	.CLK(CTS_53),
-	.D(n_5711),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [3]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[229][4]  (
-	.CLK(CTS_53),
-	.D(n_5241),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [4]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[229][5]  (
-	.CLK(CTS_53),
-	.D(n_5632),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [5]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[229][6]  (
-	.CLK(CTS_28),
-	.D(n_5666),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [6]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[229][7]  (
-	.CLK(CTS_28),
-	.D(n_5643),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [7]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[230][0]  (
-	.CLK(CTS_53),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [0]),
-	.RESET_B(FE_OFN184_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[230][1]  (
-	.CLK(CTS_53),
-	.D(n_5199),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [1]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[230][2]  (
-	.CLK(CTS_53),
-	.D(n_5204),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [2]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[230][3]  (
-	.CLK(CTS_53),
-	.D(n_5201),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [3]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[230][4]  (
-	.CLK(CTS_53),
-	.D(n_5232),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [4]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[230][5]  (
-	.CLK(CTS_53),
-	.D(n_5231),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [5]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[230][6]  (
-	.CLK(CTS_53),
-	.D(n_5209),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [6]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[230][7]  (
-	.CLK(CTS_53),
-	.D(n_5215),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [7]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[231][0]  (
-	.CLK(CTS_28),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [0]),
-	.RESET_B(FE_OFN184_system_rst_ni),
-	.SCD(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[231][1]  (
-	.CLK(CTS_28),
-	.D(n_5251),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [1]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[231][2]  (
-	.CLK(CTS_28),
-	.D(n_5274),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [2]),
-	.RESET_B(FE_OFN112_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[231][3]  (
-	.CLK(CTS_28),
-	.D(n_5181),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [3]),
-	.RESET_B(FE_OFN112_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[231][4]  (
-	.CLK(CTS_28),
-	.D(n_5258),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [4]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[231][5]  (
-	.CLK(CTS_28),
-	.D(n_5078),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [5]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[231][6]  (
-	.CLK(CTS_28),
-	.D(n_5196),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [6]),
-	.RESET_B(FE_OFN184_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[231][7]  (
-	.CLK(CTS_28),
-	.D(n_5146),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [7]),
-	.RESET_B(FE_OFN112_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[232][0]  (
-	.CLK(CTS_54),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [0]),
-	.RESET_B(FE_OFN12_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[232][1]  (
-	.CLK(CTS_55),
-	.D(n_5727),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [1]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[232][2]  (
-	.CLK(CTS_55),
-	.D(n_5234),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [2]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[232][3]  (
-	.CLK(CTS_54),
-	.D(n_5249),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [3]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[232][4]  (
-	.CLK(CTS_55),
-	.D(n_5297),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [4]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[232][5]  (
-	.CLK(CTS_60),
-	.D(n_5229),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [5]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[232][6]  (
-	.CLK(CTS_54),
-	.D(n_5621),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [6]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[232][7]  (
-	.CLK(CTS_60),
-	.D(n_5754),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [7]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[233][0]  (
-	.CLK(CTS_54),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [0]),
-	.RESET_B(FE_OFN12_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[233][1]  (
-	.CLK(CTS_54),
-	.D(n_5230),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [1]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[233][2]  (
-	.CLK(CTS_54),
-	.D(n_5198),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [2]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[233][3]  (
-	.CLK(CTS_54),
-	.D(n_5362),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [3]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[233][4]  (
-	.CLK(CTS_57),
-	.D(n_5187),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [4]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[233][5]  (
-	.CLK(CTS_54),
-	.D(n_5735),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [5]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[233][6]  (
-	.CLK(CTS_54),
-	.D(n_5177),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [6]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[233][7]  (
-	.CLK(CTS_54),
-	.D(n_5734),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [7]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[234][0]  (
-	.CLK(CTS_55),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [0]),
-	.RESET_B(FE_OFN12_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[234][1]  (
-	.CLK(CTS_55),
-	.D(n_5090),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [1]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[234][2]  (
-	.CLK(CTS_55),
-	.D(n_5213),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [2]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[234][3]  (
-	.CLK(CTS_55),
-	.D(n_5606),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [3]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[234][4]  (
-	.CLK(CTS_55),
-	.D(n_5730),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [4]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[234][5]  (
-	.CLK(CTS_54),
-	.D(n_5722),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [5]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[234][6]  (
-	.CLK(CTS_54),
-	.D(n_5738),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [6]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[234][7]  (
-	.CLK(CTS_54),
-	.D(n_5720),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [7]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[235][0]  (
-	.CLK(CTS_54),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [0]),
-	.RESET_B(FE_OFN12_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[235][1]  (
-	.CLK(CTS_57),
-	.D(n_5714),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [1]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[235][2]  (
-	.CLK(CTS_57),
-	.D(n_5369),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [2]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[235][3]  (
-	.CLK(CTS_54),
-	.D(n_5247),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [3]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[235][4]  (
-	.CLK(CTS_54),
-	.D(n_5246),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [4]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[235][5]  (
-	.CLK(CTS_54),
-	.D(n_5710),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [5]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[235][6]  (
-	.CLK(CTS_54),
-	.D(n_5261),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [6]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[235][7]  (
-	.CLK(CTS_54),
-	.D(n_5716),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [7]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[236][0]  (
-	.CLK(CTS_54),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [0]),
-	.RESET_B(FE_OFN36_system_rst_ni),
-	.SCD(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[236][1]  (
-	.CLK(CTS_57),
-	.D(n_5744),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [1]),
-	.RESET_B(FE_OFN37_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[236][2]  (
-	.CLK(CTS_57),
-	.D(n_5706),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [2]),
-	.RESET_B(FE_OFN37_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[236][3]  (
-	.CLK(CTS_54),
-	.D(n_5228),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [3]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[236][4]  (
-	.CLK(CTS_57),
-	.D(n_5684),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [4]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[236][5]  (
-	.CLK(CTS_54),
-	.D(n_5726),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [5]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[236][6]  (
-	.CLK(CTS_54),
-	.D(n_5719),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [6]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[236][7]  (
-	.CLK(CTS_54),
-	.D(n_5372),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [7]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[237][0]  (
-	.CLK(CTS_54),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [0]),
-	.RESET_B(FE_OFN36_system_rst_ni),
-	.SCD(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[237][1]  (
-	.CLK(CTS_54),
-	.D(n_5244),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [1]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[237][2]  (
-	.CLK(CTS_54),
-	.D(n_5678),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [2]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[237][3]  (
-	.CLK(CTS_54),
-	.D(n_5180),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [3]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[237][4]  (
-	.CLK(CTS_57),
-	.D(n_5650),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [4]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[237][5]  (
-	.CLK(CTS_54),
-	.D(n_5745),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [5]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[237][6]  (
-	.CLK(CTS_54),
-	.D(n_5638),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [6]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[237][7]  (
-	.CLK(CTS_54),
-	.D(n_5639),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [7]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[238][0]  (
-	.CLK(CTS_54),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [0]),
-	.RESET_B(FE_OFN12_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[238][1]  (
-	.CLK(CTS_57),
-	.D(n_5108),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [1]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[238][2]  (
-	.CLK(CTS_57),
-	.D(n_5749),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [2]),
-	.RESET_B(FE_OFN37_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[238][3]  (
-	.CLK(CTS_54),
-	.D(n_5631),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [3]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[238][4]  (
-	.CLK(CTS_57),
-	.D(n_5225),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [4]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[238][5]  (
-	.CLK(CTS_54),
-	.D(n_5226),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [5]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[238][6]  (
-	.CLK(CTS_54),
-	.D(n_5220),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [6]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[238][7]  (
-	.CLK(CTS_54),
-	.D(n_5193),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [7]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[239][0]  (
-	.CLK(CTS_54),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [0]),
-	.RESET_B(FE_OFN12_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[239][1]  (
-	.CLK(CTS_57),
-	.D(n_5628),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [1]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[239][2]  (
-	.CLK(CTS_57),
-	.D(n_5221),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [2]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[239][3]  (
-	.CLK(CTS_54),
-	.D(n_5224),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [3]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[239][4]  (
-	.CLK(CTS_54),
-	.D(n_5233),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [4]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[239][5]  (
-	.CLK(CTS_54),
-	.D(n_5373),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [5]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[239][6]  (
-	.CLK(CTS_54),
-	.D(n_5200),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [6]),
-	.RESET_B(FE_OFN34_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[239][7]  (
-	.CLK(CTS_54),
-	.D(n_5238),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [7]),
-	.RESET_B(FE_OFN12_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[240][0]  (
-	.CLK(CTS_60),
-	.D(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [0]),
-	.RESET_B(FE_OFN223_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [0]),
-	.SCE(n_4346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[240][1]  (
-	.CLK(CTS_55),
-	.D(n_7796),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [1]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[240][2]  (
-	.CLK(CTS_55),
-	.D(n_8112),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [2]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[240][3]  (
-	.CLK(CTS_60),
-	.D(n_7797),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [3]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[240][4]  (
-	.CLK(CTS_55),
-	.D(n_7798),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [4]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[240][5]  (
-	.CLK(CTS_60),
-	.D(n_7799),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [5]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[240][6]  (
-	.CLK(CTS_55),
-	.D(n_7800),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [6]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[240][7]  (
-	.CLK(CTS_60),
-	.D(n_7801),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [7]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[241][0]  (
-	.CLK(CTS_55),
-	.D(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [0]),
-	.RESET_B(FE_OFN223_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [0]),
-	.SCE(n_4468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[241][1]  (
-	.CLK(CTS_55),
-	.D(n_7802),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [1]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[241][2]  (
-	.CLK(CTS_55),
-	.D(n_8105),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [2]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[241][3]  (
-	.CLK(CTS_55),
-	.D(n_7803),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [3]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[241][4]  (
-	.CLK(CTS_55),
-	.D(n_8103),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [4]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[241][5]  (
-	.CLK(CTS_55),
-	.D(n_8100),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [5]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[241][6]  (
-	.CLK(CTS_55),
-	.D(n_7804),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [6]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[241][7]  (
-	.CLK(CTS_55),
-	.D(n_8101),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [7]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[242][0]  (
-	.CLK(CTS_60),
-	.D(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [0]),
-	.RESET_B(FE_OFN223_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [0]),
-	.SCE(n_4470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[242][1]  (
-	.CLK(CTS_55),
-	.D(n_7805),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [1]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[242][2]  (
-	.CLK(CTS_55),
-	.D(n_7806),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [2]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[242][3]  (
-	.CLK(CTS_60),
-	.D(n_7807),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [3]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[242][4]  (
-	.CLK(CTS_55),
-	.D(n_7808),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [4]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[242][5]  (
-	.CLK(CTS_60),
-	.D(n_8098),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [5]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[242][6]  (
-	.CLK(CTS_55),
-	.D(n_7809),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [6]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[242][7]  (
-	.CLK(CTS_60),
-	.D(n_7810),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [7]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[243][0]  (
-	.CLK(CTS_60),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [0]),
-	.RESET_B(FE_OFN224_system_rst_ni),
-	.SCD(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[243][1]  (
-	.CLK(CTS_54),
-	.D(n_8095),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [1]),
-	.RESET_B(FE_OFN65_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[243][2]  (
-	.CLK(CTS_60),
-	.D(n_7811),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [2]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[243][3]  (
-	.CLK(CTS_54),
-	.D(n_8093),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [3]),
-	.RESET_B(FE_OFN65_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[243][4]  (
-	.CLK(CTS_60),
-	.D(n_8094),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [4]),
-	.RESET_B(FE_OFN79_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[243][5]  (
-	.CLK(CTS_60),
-	.D(n_8085),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [5]),
-	.RESET_B(FE_OFN224_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[243][6]  (
-	.CLK(CTS_54),
-	.D(n_8088),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [6]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[243][7]  (
-	.CLK(CTS_54),
-	.D(n_7812),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[244][0]  (
-	.CLK(CTS_60),
-	.D(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [0]),
-	.RESET_B(FE_OFN224_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [0]),
-	.SCE(n_4493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[244][1]  (
-	.CLK(CTS_60),
-	.D(n_7813),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [1]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[244][2]  (
-	.CLK(CTS_60),
-	.D(n_7814),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [2]),
-	.RESET_B(FE_OFN224_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[244][3]  (
-	.CLK(CTS_60),
-	.D(n_7815),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [3]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[244][4]  (
-	.CLK(CTS_60),
-	.D(n_7816),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [4]),
-	.RESET_B(FE_OFN224_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[244][5]  (
-	.CLK(CTS_60),
-	.D(n_7817),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [5]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[244][6]  (
-	.CLK(CTS_55),
-	.D(n_7819),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [6]),
-	.RESET_B(FE_OFN224_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[244][7]  (
-	.CLK(CTS_60),
-	.D(n_7820),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[245][0]  (
-	.CLK(CTS_60),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [0]),
-	.RESET_B(FE_OFN224_system_rst_ni),
-	.SCD(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[245][1]  (
-	.CLK(CTS_60),
-	.D(n_7821),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [1]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[245][2]  (
-	.CLK(CTS_60),
-	.D(n_8080),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [2]),
-	.RESET_B(FE_OFN224_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[245][3]  (
-	.CLK(CTS_60),
-	.D(n_7822),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [3]),
-	.RESET_B(FE_OFN224_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[245][4]  (
-	.CLK(CTS_60),
-	.D(n_8079),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [4]),
-	.RESET_B(FE_OFN224_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[245][5]  (
-	.CLK(CTS_60),
-	.D(n_8077),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [5]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[245][6]  (
-	.CLK(CTS_60),
-	.D(n_8075),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [6]),
-	.RESET_B(FE_OFN224_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[245][7]  (
-	.CLK(CTS_60),
-	.D(n_8072),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [7]),
-	.RESET_B(FE_OFN65_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[246][0]  (
-	.CLK(CTS_55),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [0]),
-	.RESET_B(FE_OFN223_system_rst_ni),
-	.SCD(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[246][1]  (
-	.CLK(CTS_55),
-	.D(n_7825),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [1]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[246][2]  (
-	.CLK(CTS_55),
-	.D(n_7826),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [2]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[246][3]  (
-	.CLK(CTS_55),
-	.D(n_7827),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [3]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[246][4]  (
-	.CLK(CTS_55),
-	.D(n_7828),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [4]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[246][5]  (
-	.CLK(CTS_55),
-	.D(n_7829),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [5]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[246][6]  (
-	.CLK(CTS_55),
-	.D(n_7830),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [6]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[246][7]  (
-	.CLK(CTS_55),
-	.D(n_8066),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [7]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[247][0]  (
-	.CLK(CTS_60),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [0]),
-	.RESET_B(FE_OFN224_system_rst_ni),
-	.SCD(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[247][1]  (
-	.CLK(CTS_54),
-	.D(n_8065),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [1]),
-	.RESET_B(FE_OFN65_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[247][2]  (
-	.CLK(CTS_54),
-	.D(n_7831),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [2]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[247][3]  (
-	.CLK(CTS_54),
-	.D(n_8061),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [3]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[247][4]  (
-	.CLK(CTS_54),
-	.D(n_7833),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [4]),
-	.RESET_B(FE_OFN224_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[247][5]  (
-	.CLK(CTS_60),
-	.D(n_8060),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [5]),
-	.RESET_B(FE_OFN224_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[247][6]  (
-	.CLK(CTS_54),
-	.D(n_7835),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [6]),
-	.RESET_B(FE_OFN36_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[247][7]  (
-	.CLK(CTS_60),
-	.D(n_8055),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [7]),
-	.RESET_B(FE_OFN224_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[248][0]  (
-	.CLK(CTS_55),
-	.D(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [0]),
-	.RESET_B(FE_OFN43_system_rst_ni),
-	.SCD(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [0]),
-	.SCE(n_4463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[248][1]  (
-	.CLK(CTS_55),
-	.D(n_7836),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [1]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[248][2]  (
-	.CLK(CTS_55),
-	.D(n_7838),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [2]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[248][3]  (
-	.CLK(CTS_19),
-	.D(n_7839),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [3]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[248][4]  (
-	.CLK(CTS_55),
-	.D(n_7840),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [4]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[248][5]  (
-	.CLK(CTS_52),
-	.D(n_7841),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [5]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[248][6]  (
-	.CLK(CTS_52),
-	.D(n_8049),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [6]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[248][7]  (
-	.CLK(CTS_55),
-	.D(n_7842),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [7]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[249][0]  (
-	.CLK(CTS_20),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [0]),
-	.RESET_B(FE_OFN43_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[249][1]  (
-	.CLK(CTS_19),
-	.D(n_8047),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [1]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[249][2]  (
-	.CLK(CTS_19),
-	.D(n_8044),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [2]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[249][3]  (
-	.CLK(CTS_19),
-	.D(n_8042),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [3]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[249][4]  (
-	.CLK(CTS_52),
-	.D(n_7845),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [4]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[249][5]  (
-	.CLK(CTS_52),
-	.D(n_7846),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [5]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[249][6]  (
-	.CLK(CTS_19),
-	.D(n_7847),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [6]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[249][7]  (
-	.CLK(CTS_19),
-	.D(n_7848),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [7]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[250][0]  (
-	.CLK(CTS_55),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [0]),
-	.RESET_B(FE_OFN223_system_rst_ni),
-	.SCD(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[250][1]  (
-	.CLK(CTS_55),
-	.D(n_7849),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [1]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[250][2]  (
-	.CLK(CTS_55),
-	.D(n_7850),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [2]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[250][3]  (
-	.CLK(CTS_52),
-	.D(n_7851),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [3]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[250][4]  (
-	.CLK(CTS_55),
-	.D(n_7852),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [4]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[250][5]  (
-	.CLK(CTS_52),
-	.D(n_7853),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [5]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[250][6]  (
-	.CLK(CTS_52),
-	.D(n_7854),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [6]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[250][7]  (
-	.CLK(CTS_55),
-	.D(n_7855),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [7]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[251][0]  (
-	.CLK(CTS_20),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [0]),
-	.RESET_B(FE_OFN105_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[251][1]  (
-	.CLK(CTS_20),
-	.D(n_7857),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [1]),
-	.RESET_B(FE_OFN45_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[251][2]  (
-	.CLK(CTS_20),
-	.D(n_7859),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [2]),
-	.RESET_B(FE_OFN45_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[251][3]  (
-	.CLK(CTS_20),
-	.D(n_8025),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [3]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[251][4]  (
-	.CLK(CTS_20),
-	.D(n_8028),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [4]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[251][5]  (
-	.CLK(CTS_20),
-	.D(n_7860),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [5]),
-	.RESET_B(FE_OFN45_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[251][6]  (
-	.CLK(CTS_20),
-	.D(n_7861),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [6]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[251][7]  (
-	.CLK(CTS_20),
-	.D(n_7863),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [7]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[252][0]  (
-	.CLK(CTS_20),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [0]),
-	.RESET_B(FE_OFN103_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[252][1]  (
-	.CLK(CTS_52),
-	.D(n_7864),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [1]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[252][2]  (
-	.CLK(CTS_20),
-	.D(n_7865),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [2]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[252][3]  (
-	.CLK(CTS_52),
-	.D(n_7866),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [3]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[252][4]  (
-	.CLK(CTS_52),
-	.D(n_7867),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [4]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[252][5]  (
-	.CLK(CTS_52),
-	.D(n_7869),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [5]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[252][6]  (
-	.CLK(CTS_20),
-	.D(n_7870),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [6]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[252][7]  (
-	.CLK(CTS_52),
-	.D(n_7871),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [7]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[253][0]  (
-	.CLK(CTS_20),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [0]),
-	.RESET_B(FE_OFN43_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[253][1]  (
-	.CLK(CTS_52),
-	.D(n_7873),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [1]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[253][2]  (
-	.CLK(CTS_20),
-	.D(n_7874),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [2]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[253][3]  (
-	.CLK(CTS_52),
-	.D(n_7875),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [3]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[253][4]  (
-	.CLK(CTS_52),
-	.D(n_7877),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [4]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[253][5]  (
-	.CLK(CTS_52),
-	.D(n_7878),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [5]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[253][6]  (
-	.CLK(CTS_20),
-	.D(n_7879),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [6]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[253][7]  (
-	.CLK(CTS_52),
-	.D(n_7880),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [7]),
-	.RESET_B(FE_OFN103_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[254][0]  (
-	.CLK(CTS_20),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [0]),
-	.RESET_B(FE_OFN43_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[254][1]  (
-	.CLK(CTS_19),
-	.D(n_7881),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [1]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[254][2]  (
-	.CLK(CTS_19),
-	.D(n_7882),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [2]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[254][3]  (
-	.CLK(CTS_19),
-	.D(n_7883),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [3]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[254][4]  (
-	.CLK(CTS_52),
-	.D(n_7884),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [4]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[254][5]  (
-	.CLK(CTS_19),
-	.D(n_7885),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [5]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[254][6]  (
-	.CLK(CTS_19),
-	.D(n_7886),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [6]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[254][7]  (
-	.CLK(CTS_19),
-	.D(n_7887),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [7]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[255][0]  (
-	.CLK(CTS_20),
-	.D(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [0]),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [0]),
-	.RESET_B(FE_OFN105_system_rst_ni),
-	.SCD(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.SCE(n_4959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[255][1]  (
-	.CLK(CTS_20),
-	.D(n_7752),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [1]),
-	.RESET_B(FE_OFN45_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[255][2]  (
-	.CLK(CTS_20),
-	.D(n_7889),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [2]),
-	.RESET_B(FE_OFN45_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[255][3]  (
-	.CLK(CTS_20),
-	.D(n_8161),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [3]),
-	.RESET_B(FE_OFN105_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[255][4]  (
-	.CLK(CTS_20),
-	.D(n_7890),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [4]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[255][5]  (
-	.CLK(CTS_20),
-	.D(n_7891),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [5]),
-	.RESET_B(FE_OFN101_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[255][6]  (
-	.CLK(CTS_20),
-	.D(n_7414),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [6]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_fifo_buffer_reg[255][7]  (
-	.CLK(CTS_20),
-	.D(n_7619),
-	.Q(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [7]),
-	.RESET_B(FE_OFN43_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_raddr_reg[0]  (
-	.CLK(CTS_56),
-	.D(n_8274),
-	.Q(u_uart_u_uart_core_read_fifo_raddr[0]),
-	.RESET_B(FE_OFN65_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_raddr_reg[6]  (
-	.CLK(CTS_60),
-	.D(n_10703),
-	.Q(u_uart_u_uart_core_read_fifo_raddr[6]),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_waddr_reg[5]  (
-	.CLK(CTS_31),
-	.D(n_9003),
-	.Q(u_uart_u_uart_core_rx_buffer_size[5]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_waddr_reg[7]  (
-	.CLK(CTS_31),
-	.D(n_9366),
-	.Q(u_uart_u_uart_core_rx_buffer_size[7]),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_read_fifo_waddr_reg[8]  (
-	.CLK(CTS_31),
-	.D(n_9006),
-	.Q(u_uart_u_uart_core_rx_buffer_size[8]),
-	.RESET_B(FE_OFN77_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfstp_1 u_uart_u_uart_core_rx_clr_reg (
-	.CLK(CTS_58),
-	.D(FE_OFN1568_xbar_to_dccm_a_data__0),
-	.Q(u_uart_u_uart_core_rx_clr),
-	.SCD(u_uart_u_uart_core_rx_clr),
-	.SCE(n_2904),
-	.SET_B(FE_OFN179_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_4 u_uart_u_uart_core_rx_fifo_clr_reg (
-	.CLK(CTS_58),
-	.D(u_uart_u_uart_core_rx_fifo_clr),
-	.Q(u_uart_u_uart_core_rx_fifo_clr),
-	.SCD(FE_OFN1568_xbar_to_dccm_a_data__0),
-	.SCE(n_3414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 u_uart_u_uart_core_rx_fifo_rst_reg (
-	.CLK(CTS_54),
-	.D(n_5682),
-	.Q(u_uart_u_uart_core_rx_fifo_rst), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 u_uart_u_uart_core_rx_status_reg (
-	.CLK(CTS_58),
-	.D(u_uart_u_uart_core_rx_status),
-	.Q(u_uart_u_uart_core_rx_status),
-	.RESET_B(FE_OFN179_system_rst_ni),
-	.SCD(intr_u_rx),
-	.SCE(n_1040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[0]  (
-	.CLK(CTS_74),
-	.D(FE_OFN1305_n_1245),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[0]),
-	.RESET_B(FE_OFN181_system_rst_ni),
-	.SCD(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.SCE(u_uart_u_uart_core_rx_time_rx_time[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[1]  (
-	.CLK(CTS_74),
-	.D(n_2093),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[1]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[2]  (
-	.CLK(CTS_74),
-	.D(n_2077),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[2]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[3]  (
-	.CLK(CTS_74),
-	.D(n_2105),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[3]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[4]  (
-	.CLK(CTS_76),
-	.D(n_2128),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[4]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[5]  (
-	.CLK(CTS_76),
-	.D(n_2069),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[5]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[6]  (
-	.CLK(CTS_76),
-	.D(n_2125),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[6]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[7]  (
-	.CLK(CTS_76),
-	.D(n_2118),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[7]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[8]  (
-	.CLK(CTS_69),
-	.D(n_2072),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[8]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[9]  (
-	.CLK(CTS_76),
-	.D(n_2111),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[9]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[10]  (
-	.CLK(CTS_69),
-	.D(n_2095),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[10]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[11]  (
-	.CLK(CTS_69),
-	.D(n_2071),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[11]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[12]  (
-	.CLK(CTS_69),
-	.D(n_2126),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[12]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[13]  (
-	.CLK(CTS_69),
-	.D(n_2129),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[13]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[14]  (
-	.CLK(CTS_69),
-	.D(n_2098),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[14]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[15]  (
-	.CLK(CTS_69),
-	.D(n_2124),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[15]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[16]  (
-	.CLK(CTS_69),
-	.D(n_2120),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[16]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[17]  (
-	.CLK(CTS_76),
-	.D(n_2122),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[17]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[18]  (
-	.CLK(CTS_76),
-	.D(n_2108),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[18]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[19]  (
-	.CLK(CTS_69),
-	.D(n_2109),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[19]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[20]  (
-	.CLK(CTS_69),
-	.D(n_2078),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[20]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[21]  (
-	.CLK(CTS_76),
-	.D(n_2123),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[21]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[22]  (
-	.CLK(CTS_76),
-	.D(n_2114),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[22]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[23]  (
-	.CLK(CTS_76),
-	.D(n_2084),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[23]),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[24]  (
-	.CLK(CTS_76),
-	.D(n_2110),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[24]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[25]  (
-	.CLK(CTS_76),
-	.D(n_2076),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[25]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[26]  (
-	.CLK(CTS_76),
-	.D(n_2073),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[26]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[27]  (
-	.CLK(CTS_76),
-	.D(n_2107),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[27]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[28]  (
-	.CLK(CTS_76),
-	.D(n_2088),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[28]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[29]  (
-	.CLK(CTS_76),
-	.D(n_2127),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[29]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[30]  (
-	.CLK(CTS_76),
-	.D(n_2070),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[30]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_rx_time_reg[31]  (
-	.CLK(CTS_76),
-	.D(n_2132),
-	.Q(u_uart_u_uart_core_rx_time_rx_time[31]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 u_uart_u_uart_core_rx_time_rx_timeout_o_reg (
-	.CLK(CTS_69),
-	.D(n_2101),
-	.Q(intr_u_rx),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[0]  (
-	.CLK(CTS_74),
-	.D(n_2212),
-	.Q(u_uart_u_uart_core_rx_time_rx_timeout[0]),
-	.SET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfstp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[1]  (
-	.CLK(CTS_74),
-	.D(n_2213),
-	.Q(u_uart_u_uart_core_rx_time_rx_timeout[1]),
-	.SET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_uart_u_uart_core_rx_time_state_reg_reg[0]  (
-	.CLK(CTS_74),
-	.D(n_3048),
-	.Q(u_uart_u_uart_core_rx_time_state_reg[0]),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[0]  (
-	.CLK(CTS_74),
-	.D(u_uart_u_uart_core_rx_timeout[0]),
-	.Q(u_uart_u_uart_core_rx_timeout[0]),
-	.SCD(FE_OFN1568_xbar_to_dccm_a_data__0),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[1]  (
-	.CLK(CTS_76),
-	.D(u_uart_u_uart_core_rx_timeout[1]),
-	.Q(u_uart_u_uart_core_rx_timeout[1]),
-	.SCD(\xbar_to_dccm[a_data] [1]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[2]  (
-	.CLK(CTS_74),
-	.D(u_uart_u_uart_core_rx_timeout[2]),
-	.Q(u_uart_u_uart_core_rx_timeout[2]),
-	.SCD(\xbar_to_dccm[a_data] [2]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[3]  (
-	.CLK(CTS_76),
-	.D(u_uart_u_uart_core_rx_timeout[3]),
-	.Q(u_uart_u_uart_core_rx_timeout[3]),
-	.SCD(\xbar_to_dccm[a_data] [3]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[4]  (
-	.CLK(CTS_76),
-	.D(u_uart_u_uart_core_rx_timeout[4]),
-	.Q(u_uart_u_uart_core_rx_timeout[4]),
-	.SCD(FE_OFN1566_xbar_to_dccm_a_data__4),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[5]  (
-	.CLK(CTS_76),
-	.D(u_uart_u_uart_core_rx_timeout[5]),
-	.Q(u_uart_u_uart_core_rx_timeout[5]),
-	.SCD(\xbar_to_dccm[a_data] [5]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[6]  (
-	.CLK(CTS_76),
-	.D(u_uart_u_uart_core_rx_timeout[6]),
-	.Q(u_uart_u_uart_core_rx_timeout[6]),
-	.SCD(\xbar_to_dccm[a_data] [6]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[7]  (
-	.CLK(CTS_76),
-	.D(u_uart_u_uart_core_rx_timeout[7]),
-	.Q(u_uart_u_uart_core_rx_timeout[7]),
-	.SCD(FE_OFN1437_xbar_to_dccm_a_data__7),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[8]  (
-	.CLK(CTS_74),
-	.D(u_uart_u_uart_core_rx_timeout[8]),
-	.Q(u_uart_u_uart_core_rx_timeout[8]),
-	.SCD(\xbar_to_dccm[a_data] [8]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[9]  (
-	.CLK(CTS_74),
-	.D(u_uart_u_uart_core_rx_timeout[9]),
-	.Q(u_uart_u_uart_core_rx_timeout[9]),
-	.SCD(\xbar_to_dccm[a_data] [9]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[10]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_rx_timeout[10]),
-	.Q(u_uart_u_uart_core_rx_timeout[10]),
-	.SCD(\xbar_to_dccm[a_data] [10]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[11]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_rx_timeout[11]),
-	.Q(u_uart_u_uart_core_rx_timeout[11]),
-	.SCD(\xbar_to_dccm[a_data] [11]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[12]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_rx_timeout[12]),
-	.Q(u_uart_u_uart_core_rx_timeout[12]),
-	.SCD(\xbar_to_dccm[a_data] [12]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[13]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_rx_timeout[13]),
-	.Q(u_uart_u_uart_core_rx_timeout[13]),
-	.SCD(\xbar_to_dccm[a_data] [13]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[14]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_rx_timeout[14]),
-	.Q(u_uart_u_uart_core_rx_timeout[14]),
-	.SCD(\xbar_to_dccm[a_data] [14]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[15]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_rx_timeout[15]),
-	.Q(u_uart_u_uart_core_rx_timeout[15]),
-	.SCD(\xbar_to_dccm[a_data] [15]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[16]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_rx_timeout[16]),
-	.Q(u_uart_u_uart_core_rx_timeout[16]),
-	.SCD(\xbar_to_dccm[a_data] [16]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[17]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_rx_timeout[17]),
-	.Q(u_uart_u_uart_core_rx_timeout[17]),
-	.SCD(\xbar_to_dccm[a_data] [17]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[18]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_rx_timeout[18]),
-	.Q(u_uart_u_uart_core_rx_timeout[18]),
-	.SCD(\xbar_to_dccm[a_data] [18]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[19]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_rx_timeout[19]),
-	.Q(u_uart_u_uart_core_rx_timeout[19]),
-	.SCD(\xbar_to_dccm[a_data] [19]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[20]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_rx_timeout[20]),
-	.Q(u_uart_u_uart_core_rx_timeout[20]),
-	.SCD(\xbar_to_dccm[a_data] [20]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[21]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_rx_timeout[21]),
-	.Q(u_uart_u_uart_core_rx_timeout[21]),
-	.SCD(\xbar_to_dccm[a_data] [21]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[22]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_rx_timeout[22]),
-	.Q(u_uart_u_uart_core_rx_timeout[22]),
-	.SCD(\xbar_to_dccm[a_data] [22]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[23]  (
-	.CLK(CTS_69),
-	.D(u_uart_u_uart_core_rx_timeout[23]),
-	.Q(u_uart_u_uart_core_rx_timeout[23]),
-	.SCD(\xbar_to_dccm[a_data] [23]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[24]  (
-	.CLK(CTS_76),
-	.D(u_uart_u_uart_core_rx_timeout[24]),
-	.Q(u_uart_u_uart_core_rx_timeout[24]),
-	.SCD(\xbar_to_dccm[a_data] [24]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[25]  (
-	.CLK(CTS_76),
-	.D(u_uart_u_uart_core_rx_timeout[25]),
-	.Q(u_uart_u_uart_core_rx_timeout[25]),
-	.SCD(\xbar_to_dccm[a_data] [25]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[26]  (
-	.CLK(CTS_76),
-	.D(u_uart_u_uart_core_rx_timeout[26]),
-	.Q(u_uart_u_uart_core_rx_timeout[26]),
-	.SCD(\xbar_to_dccm[a_data] [26]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[27]  (
-	.CLK(CTS_76),
-	.D(u_uart_u_uart_core_rx_timeout[27]),
-	.Q(u_uart_u_uart_core_rx_timeout[27]),
-	.SCD(\xbar_to_dccm[a_data] [27]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[28]  (
-	.CLK(CTS_76),
-	.D(u_uart_u_uart_core_rx_timeout[28]),
-	.Q(u_uart_u_uart_core_rx_timeout[28]),
-	.SCD(\xbar_to_dccm[a_data] [28]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[29]  (
-	.CLK(CTS_76),
-	.D(u_uart_u_uart_core_rx_timeout[29]),
-	.Q(u_uart_u_uart_core_rx_timeout[29]),
-	.SCD(\xbar_to_dccm[a_data] [29]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[30]  (
-	.CLK(CTS_76),
-	.D(u_uart_u_uart_core_rx_timeout[30]),
-	.Q(u_uart_u_uart_core_rx_timeout[30]),
-	.SCD(\xbar_to_dccm[a_data] [30]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_1 \u_uart_u_uart_core_rx_timeout_reg[31]  (
-	.CLK(CTS_76),
-	.D(u_uart_u_uart_core_rx_timeout[31]),
-	.Q(u_uart_u_uart_core_rx_timeout[31]),
-	.SCD(\xbar_to_dccm[a_data] [31]),
-	.SCE(FE_OFN1319_n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 u_uart_u_uart_core_tx_en_reg (
-	.CLK(CTS_23),
-	.D(u_uart_u_uart_core_tx_en),
-	.Q(u_uart_u_uart_core_tx_en),
-	.RESET_B(FE_OFN100_system_rst_ni),
-	.SCD(FE_OFN1568_xbar_to_dccm_a_data__0),
-	.SCE(n_2901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_4 u_uart_u_uart_core_tx_fifo_clear_reg (
-	.CLK(CTS_58),
-	.D(n_4245),
-	.Q(u_uart_u_uart_core_tx_fifo_clear),
-	.RESET_B(FE_OFN13_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 u_uart_u_uart_core_tx_fifo_init_reg (
-	.CLK(CTS_58),
-	.D(n_4246),
-	.Q(u_uart_u_uart_core_tx_fifo_init),
-	.RESET_B(FE_OFN13_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 u_uart_u_uart_core_tx_fifo_reset_reg (
-	.CLK(CTS_58),
-	.D(n_4247),
-	.Q(u_uart_u_uart_core_tx_fifo_reset),
-	.RESET_B(FE_OFN13_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g385594 (
-	.A_N(n_8634),
-	.B(n_10753),
-	.C(n_8254),
-	.D(n_13278),
-	.Y(n_10756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g385597 (
-	.A(n_8718),
-	.B(n_10752),
-	.C(n_8254),
-	.D(n_10751),
-	.Y(n_10755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g385598 (
-	.A(n_8805),
-	.B(n_10752),
-	.C(n_10751),
-	.Y(n_10754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g385600 (
-	.A1(FE_OFN1024_n_6565),
-	.A2(n_10748),
-	.B1(n_3515),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.C1(n_10749),
-	.Y(n_10753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g385601 (
-	.A1(n_10746),
-	.A2(FE_OFN1026_n_8194),
-	.B1(n_3516),
-	.B2(n_1733),
-	.C1(FE_OFN1025_n_8192),
-	.Y(n_10752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g385602 (
-	.A1(n_5809),
-	.A2(n_2296),
-	.B1(n_10750),
-	.Y(n_10751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g385604 (
-	.A(n_10748),
-	.B(n_6564),
-	.Y(n_10750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g385605 (
-	.A1(n_8193),
-	.A2(n_10746),
-	.B1(n_7680),
-	.Y(n_10749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g385607 (
-	.A1_N(n_4559),
-	.A2_N(n_10713),
-	.B1(n_4559),
-	.B2(n_10713),
-	.Y(n_10747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g385608 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
-	.A3(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
-	.A4(n_10696),
-	.B1(n_2296),
-	.Y(n_10748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_4 g385615 (
-	.A(n_10692),
-	.B(n_10044),
-	.C(n_9316),
-	.D(n_9318),
-	.Y(n_10745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g385616 (
-	.A(n_13665),
-	.B(FE_OFN16745_n_13656),
-	.C(FE_OFN16072_n_13657),
-	.D(n_10698),
-	.X(n_10746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g385632 (
-	.A(n_10732),
-	.B(n_3185),
-	.Y(n_10744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385633 (
-	.A1(FE_OFN1554_n_15782),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [23]),
-	.B1(FE_OFN1320_n_4272),
-	.B2(n_8738),
-	.C1(n_10693),
-	.X(n_10743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g385634 (
-	.A(u_uart_u_uart_core_rx_fifo_rst),
-	.B(n_10726),
-	.Y(n_10742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g385635 (
-	.A(n_10729),
-	.B(n_3175),
-	.Y(n_10741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g385636 (
-	.A(n_10730),
-	.B(n_3188),
-	.Y(n_10740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g385637 (
-	.A(n_10731),
-	.B(n_3186),
-	.Y(n_10739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385638 (
-	.A1(FE_OFN1554_n_15782),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [22]),
-	.B1(n_4268),
-	.B2(n_8884),
-	.C1(n_10694),
-	.X(n_10738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385639 (
-	.A1(n_3514),
-	.A2(\xbar_to_dccm[a_address] [8]),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[8]),
-	.C1(n_10727),
-	.X(n_10737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385640 (
-	.A1(n_3514),
-	.A2(\xbar_to_dccm[a_address] [13]),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[13]),
-	.C1(n_10728),
-	.X(n_10736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385641 (
-	.A1(n_3514),
-	.A2(FE_OFN1885_n),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[29]),
-	.C1(n_10733),
-	.X(n_10735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385685 (
-	.A1(FE_OFN1554_n_15782),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [31]),
-	.B1(FE_OFN1320_n_4272),
-	.B2(n_9222),
-	.C1(n_10633),
-	.X(n_10734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385686 (
-	.A1(FE_OFN1554_n_15782),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [29]),
-	.B1(n_2145),
-	.B2(FE_OFN826_lsu_to_xbar_a_address__28),
-	.C1(n_10663),
-	.X(n_10733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g385687 (
-	.A1(n_4268),
-	.A2(n_8933),
-	.B1(n_2145),
-	.B2(FE_OFN16755_lsu_to_xbar_a_address__19),
-	.C1(n_10662),
-	.Y(n_10732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g385688 (
-	.A1(n_4268),
-	.A2(n_8887),
-	.B1(n_2145),
-	.B2(FE_OFN15966_lsu_to_xbar_a_address__18),
-	.C1(n_10642),
-	.Y(n_10731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g385689 (
-	.A1(n_4268),
-	.A2(n_8934),
-	.B1(n_2145),
-	.B2(FE_OFN837_lsu_to_xbar_a_address__17),
-	.C1(n_10641),
-	.Y(n_10730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g385690 (
-	.A1(n_4268),
-	.A2(n_8935),
-	.B1(n_2145),
-	.B2(FE_OFN1821_lsu_to_xbar_a_address__15),
-	.C1(n_10640),
-	.Y(n_10729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385691 (
-	.A1(FE_OFN1554_n_15782),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [13]),
-	.B1(n_2145),
-	.B2(\xbar_to_dccm[a_address] [12]),
-	.C1(n_10661),
-	.X(n_10728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385692 (
-	.A1(FE_OFN1554_n_15782),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [8]),
-	.B1(n_2145),
-	.B2(\xbar_to_dccm[a_address] [7]),
-	.C1(n_10679),
-	.X(n_10727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g385693 (
-	.A1_N(u_uart_u_uart_core_read_fifo_raddr[7]),
-	.A2_N(n_10510),
-	.B1(u_uart_u_uart_core_read_fifo_raddr[7]),
-	.B2(n_10510),
-	.Y(n_10726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g385694 (
-	.A1(n_8819),
-	.A2(n_4271),
-	.B1(n_3190),
-	.C1(n_10682),
-	.Y(n_10725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g385695 (
-	.A(n_10610),
-	.B(n_7710),
-	.C(n_1226),
-	.D(n_5654),
-	.Y(n_10724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g385696 (
-	.A(n_10601),
-	.B(n_7711),
-	.C(n_1232),
-	.D(n_5653),
-	.Y(n_10723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g385697 (
-	.A(n_10626),
-	.B(n_7708),
-	.C(n_1213),
-	.D(n_5655),
-	.Y(n_10722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g385698 (
-	.A(n_10631),
-	.B(n_7707),
-	.C(n_1189),
-	.D(n_5656),
-	.Y(n_10721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g385699 (
-	.A(n_10588),
-	.B(n_7706),
-	.C(n_1190),
-	.D(n_5657),
-	.Y(n_10720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g385700 (
-	.A1(n_10511),
-	.A2(n_4558),
-	.B1(n_10713),
-	.Y(n_10719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385701 (
-	.A1(n_3514),
-	.A2(\xbar_to_dccm[a_address] [12]),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[12]),
-	.C1(n_10690),
-	.X(n_10718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385702 (
-	.A1(n_3514),
-	.A2(\xbar_to_dccm[a_address] [11]),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[11]),
-	.C1(n_10691),
-	.X(n_10717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g385703 (
-	.A(n_10697),
-	.B(n_10044),
-	.Y(n_10716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g385704 (
-	.A(n_10689),
-	.B(n_3183),
-	.Y(n_10715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g385705 (
-	.A1(n_1048),
-	.A2(n_4937),
-	.B1(n_10695),
-	.Y(n_10714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g385872 (
-	.A1_N(u_top_u_core_csr_depc[19]),
-	.A2_N(FE_OFN1648_n_3261),
-	.B1(n_10595),
-	.B2(FE_OFN1648_n_3261),
-	.Y(n_10712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g385873 (
-	.A1_N(u_top_u_core_csr_depc[28]),
-	.A2_N(FE_OFN1648_n_3261),
-	.B1(n_10589),
-	.B2(FE_OFN1648_n_3261),
-	.Y(n_10711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g385874 (
-	.A1_N(u_top_u_core_csr_depc[26]),
-	.A2_N(FE_OFN1648_n_3261),
-	.B1(n_10590),
-	.B2(FE_OFN1648_n_3261),
-	.Y(n_10710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g385875 (
-	.A1_N(u_top_u_core_csr_depc[25]),
-	.A2_N(FE_OFN1648_n_3261),
-	.B1(n_10591),
-	.B2(FE_OFN1648_n_3261),
-	.Y(n_10709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g385876 (
-	.A1_N(u_top_u_core_csr_depc[24]),
-	.A2_N(FE_OFN1648_n_3261),
-	.B1(n_10592),
-	.B2(FE_OFN1648_n_3261),
-	.Y(n_10708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g385877 (
-	.A1_N(u_top_u_core_csr_depc[23]),
-	.A2_N(FE_OFN1648_n_3261),
-	.B1(n_10593),
-	.B2(FE_OFN1648_n_3261),
-	.Y(n_10707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g385878 (
-	.A1_N(u_top_u_core_csr_depc[22]),
-	.A2_N(FE_OFN1648_n_3261),
-	.B1(n_10594),
-	.B2(FE_OFN1648_n_3261),
-	.Y(n_10706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g385879 (
-	.A1(FE_OFN1469_n_3412),
-	.A2(n_9633),
-	.B1(n_9394),
-	.C1(n_10484),
-	.D1(n_10438),
-	.Y(n_10705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g385880 (
-	.A(u_uart_u_uart_core_rx_fifo_rst),
-	.B(n_10684),
-	.Y(n_10704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g385881 (
-	.A(u_uart_u_uart_core_rx_fifo_rst),
-	.B(n_10627),
-	.Y(n_10703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g385882 (
-	.A(n_10639),
-	.B(n_10044),
-	.Y(n_10702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385883 (
-	.A1(n_3514),
-	.A2(\xbar_to_dccm[a_address] [9]),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[9]),
-	.C1(n_10680),
-	.X(n_10701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385884 (
-	.A1(n_3514),
-	.A2(\xbar_to_dccm[a_address] [10]),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[10]),
-	.C1(n_10681),
-	.X(n_10700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o311ai_1 g385885 (
-	.A1(FE_OFN1588_n_8575),
-	.A2(n_9315),
-	.A3(n_8569),
-	.B1(n_9832),
-	.C1(n_10587),
-	.Y(n_10699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g385886 (
-	.A(n_13658),
-	.B(FE_OFN1376_n_13659),
-	.C(n_13664),
-	.D(n_10436),
-	.X(n_10698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g385887 (
-	.A1(FE_OFN13708_n),
-	.A2(FE_OCPN16816_u_top_u_core_instr_rdata_id_17),
-	.B1(n_10664),
-	.Y(n_10697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g385888 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
-	.C(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
-	.D(n_10434),
-	.X(n_10696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g385889 (
-	.A1(FE_OFN1039_n_13259),
-	.A2(u_top_u_core_rf_wdata_fwd_wb[15]),
-	.B1(n_8962),
-	.C1(n_10622),
-	.Y(n_10695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385890 (
-	.A1(n_2145),
-	.A2(FE_OFN833_lsu_to_xbar_a_address__21),
-	.B1(FE_OFN1320_n_4272),
-	.B2(n_8739),
-	.C1(n_10624),
-	.X(n_10694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385891 (
-	.A1(n_2145),
-	.A2(FE_OFN16791_n),
-	.B1(n_4268),
-	.B2(n_8880),
-	.C1(n_10623),
-	.X(n_10693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_4 g385892 (
-	.A1(FE_OFN1782_n_5758),
-	.A2(FE_OFN15831_u_top_u_core_instr_rdata_id_18),
-	.B1(n_15780),
-	.B2(n_9644),
-	.C1(n_10599),
-	.Y(n_10692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385893 (
-	.A1(FE_OFN1554_n_15782),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [11]),
-	.B1(n_2145),
-	.B2(\xbar_to_dccm[a_address] [10]),
-	.C1(n_10618),
-	.X(n_10691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385894 (
-	.A1(FE_OFN1554_n_15782),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [12]),
-	.B1(n_2145),
-	.B2(\xbar_to_dccm[a_address] [11]),
-	.C1(n_10617),
-	.X(n_10690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g385895 (
-	.A1(n_4268),
-	.A2(n_8881),
-	.B1(n_2145),
-	.B2(FE_OFN834_lsu_to_xbar_a_address__20),
-	.C1(n_10616),
-	.Y(n_10689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g385896 (
-	.A1(n_3514),
-	.A2(FE_OFN824_lsu_to_xbar_a_address__30),
-	.B1(n_2145),
-	.B2(FE_OFN1885_n),
-	.C1(n_10625),
-	.X(n_10688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g385897 (
-	.A1_N(u_top_u_core_csr_depc[16]),
-	.A2_N(FE_OFN1648_n_3261),
-	.B1(n_10597),
-	.B2(FE_OFN1648_n_3261),
-	.Y(n_10687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g385898 (
-	.A1_N(u_top_u_core_csr_depc[18]),
-	.A2_N(FE_OFN1648_n_3261),
-	.B1(n_10596),
-	.B2(FE_OFN1648_n_3261),
-	.Y(n_10686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g385899 (
-	.A1(n_9009),
-	.A2(n_16021),
-	.B1(n_301),
-	.B2(n_9010),
-	.Y(n_10685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g386014 (
-	.A(n_4558),
-	.B(n_10511),
-	.Y(n_10713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g386453 (
-	.A(u_uart_u_uart_core_read_fifo_raddr[8]),
-	.B(n_10471),
-	.Y(n_10684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g386454 (
-	.A1_N(u_top_u_core_csr_depc[11]),
-	.A2_N(FE_OFN1648_n_3261),
-	.B1(n_10419),
-	.B2(FE_OFN1648_n_3261),
-	.Y(n_10683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g386455 (
-	.A1(n_4268),
-	.A2(n_8890),
-	.B1(n_2145),
-	.B2(FE_OFN16769_lsu_to_xbar_a_address__16),
-	.C1(n_10442),
-	.Y(n_10682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386456 (
-	.A1(FE_OFN1554_n_15782),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [10]),
-	.B1(n_2145),
-	.B2(\xbar_to_dccm[a_address] [9]),
-	.C1(n_10431),
-	.X(n_10681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386457 (
-	.A1(FE_OFN1554_n_15782),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [9]),
-	.B1(n_2145),
-	.B2(\xbar_to_dccm[a_address] [8]),
-	.C1(n_10418),
-	.X(n_10680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g386458 (
-	.A1(n_8613),
-	.A2(n_5796),
-	.B1(n_8872),
-	.B2(n_4269),
-	.C1(n_10444),
-	.Y(n_10679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386459 (
-	.A1(n_5762),
-	.A2(n_13435),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
-	.C1(n_10488),
-	.X(n_10678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386460 (
-	.A1(n_5762),
-	.A2(n_13412),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
-	.C1(n_10503),
-	.X(n_10677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386461 (
-	.A1(n_5759),
-	.A2(n_13500),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
-	.C1(n_10486),
-	.X(n_10676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386462 (
-	.A1(n_5759),
-	.A2(n_13477),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
-	.C1(n_10485),
-	.X(n_10675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386463 (
-	.A1(n_5762),
-	.A2(n_13433),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
-	.C1(n_10498),
-	.X(n_10674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386464 (
-	.A1(n_5762),
-	.A2(n_13431),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
-	.C1(n_10497),
-	.X(n_10673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386465 (
-	.A1(n_5762),
-	.A2(n_13424),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
-	.C1(n_10496),
-	.X(n_10672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386466 (
-	.A1(n_5762),
-	.A2(n_13419),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
-	.C1(n_10495),
-	.X(n_10671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386467 (
-	.A1(n_5762),
-	.A2(n_13417),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
-	.C1(n_10494),
-	.X(n_10670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386468 (
-	.A1(n_5759),
-	.A2(n_13498),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
-	.C1(n_10493),
-	.X(n_10669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386469 (
-	.A1(n_5759),
-	.A2(n_13496),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
-	.C1(n_10492),
-	.X(n_10668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386470 (
-	.A1(n_5759),
-	.A2(n_13489),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
-	.C1(n_10491),
-	.X(n_10667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386471 (
-	.A1(n_5759),
-	.A2(n_13484),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
-	.C1(n_10490),
-	.X(n_10666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386472 (
-	.A1(n_5759),
-	.A2(n_13482),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
-	.C1(n_10489),
-	.X(n_10665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_2 g386473 (
-	.A1(FE_OFN1347_n_8992),
-	.A2(n_10435),
-	.B1(FE_OFN1581_n_3032),
-	.B2(n_9903),
-	.Y(n_10664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g386474 (
-	.A1(n_9117),
-	.A2(n_4271),
-	.B1(n_8948),
-	.B2(n_4269),
-	.C1(n_10441),
-	.Y(n_10663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g386475 (
-	.A1(n_8741),
-	.A2(n_4271),
-	.B1(n_5693),
-	.C1(n_7941),
-	.D1(n_10304),
-	.Y(n_10662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g386476 (
-	.A1(n_8600),
-	.A2(n_5796),
-	.B1(n_8928),
-	.B2(n_4269),
-	.C1(n_10443),
-	.Y(n_10661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386477 (
-	.A1(n_5762),
-	.A2(n_13432),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
-	.C1(n_10447),
-	.X(n_10660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386478 (
-	.A1(n_5762),
-	.A2(n_13430),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
-	.C1(n_10448),
-	.X(n_10659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386479 (
-	.A1(n_5762),
-	.A2(n_13429),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
-	.C1(n_10449),
-	.X(n_10658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386480 (
-	.A1(n_5762),
-	.A2(n_13428),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
-	.C1(n_10450),
-	.X(n_10657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386481 (
-	.A1(n_5762),
-	.A2(n_13427),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
-	.C1(n_10451),
-	.X(n_10656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386482 (
-	.A1(n_5762),
-	.A2(n_13426),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
-	.C1(n_10452),
-	.X(n_10655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386483 (
-	.A1(n_5762),
-	.A2(n_13423),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
-	.C1(n_10453),
-	.X(n_10654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386484 (
-	.A1(n_5762),
-	.A2(n_13422),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
-	.C1(n_10454),
-	.X(n_10653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386485 (
-	.A1(n_5762),
-	.A2(n_13420),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
-	.C1(n_10455),
-	.X(n_10652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386486 (
-	.A1(n_5759),
-	.A2(n_13497),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
-	.C1(n_10456),
-	.X(n_10651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386487 (
-	.A1(n_5759),
-	.A2(n_13495),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
-	.C1(n_10457),
-	.X(n_10650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386488 (
-	.A1(n_5759),
-	.A2(n_13494),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
-	.C1(n_10458),
-	.X(n_10649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386489 (
-	.A1(n_5759),
-	.A2(n_13493),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
-	.C1(n_10459),
-	.X(n_10648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386490 (
-	.A1(n_5759),
-	.A2(n_13491),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
-	.C1(n_10461),
-	.X(n_10647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386491 (
-	.A1(n_5759),
-	.A2(n_13492),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
-	.C1(n_10460),
-	.X(n_10646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386492 (
-	.A1(n_5759),
-	.A2(n_13488),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
-	.C1(n_10462),
-	.X(n_10645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386493 (
-	.A1(n_5759),
-	.A2(n_13487),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
-	.C1(n_10463),
-	.X(n_10644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386494 (
-	.A1(n_5759),
-	.A2(n_13485),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
-	.C1(n_10464),
-	.X(n_10643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g386495 (
-	.A1(n_8822),
-	.A2(n_4271),
-	.B1(n_5694),
-	.C1(n_7938),
-	.D1(n_10296),
-	.Y(n_10642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g386496 (
-	.A1(n_8820),
-	.A2(n_4271),
-	.B1(n_5695),
-	.C1(n_7936),
-	.D1(n_10384),
-	.Y(n_10641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g386497 (
-	.A1(n_8745),
-	.A2(n_4271),
-	.B1(n_5697),
-	.C1(n_7930),
-	.D1(n_10383),
-	.Y(n_10640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g386498 (
-	.A1(FE_OFN1782_n_5758),
-	.A2(FE_OFN16763_u_top_u_core_instr_rdata_id_19),
-	.B1(n_10598),
-	.Y(n_10639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g386499 (
-	.A1(FE_OFN1590_n_8579),
-	.A2(n_15757),
-	.B1(n_10095),
-	.B2(n_8195),
-	.C1(n_9814),
-	.Y(n_10638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_2 g386500 (
-	.A1(FE_OFN1598_n_8996),
-	.A2(n_9633),
-	.B1(n_7709),
-	.C1(n_10513),
-	.Y(n_10637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o311ai_1 g386501 (
-	.A1(FE_OFN1595_n_8978),
-	.A2(n_9544),
-	.A3(n_9645),
-	.B1(n_10044),
-	.C1(n_10421),
-	.Y(n_10636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386502 (
-	.A1(n_3514),
-	.A2(FE_OFN851_xbar_to_dccm_a_address__3),
-	.B1(n_2145),
-	.B2(FE_OFN852_xbar_to_dccm_a_address__2),
-	.C1(n_10611),
-	.X(n_10635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g386503 (
-	.A1(FE_OFN1586_n_8283),
-	.A2(n_9903),
-	.B1(n_7714),
-	.C1(n_9973),
-	.D1(n_10265),
-	.Y(n_10634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g386504 (
-	.A1(n_8947),
-	.A2(n_4269),
-	.B1(n_5197),
-	.C1(n_7967),
-	.D1(n_10279),
-	.Y(n_10633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g386505 (
-	.A1(n_1049),
-	.A2(n_4937),
-	.B1(n_10614),
-	.Y(n_10632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g386889 (
-	.A1(n_2145),
-	.A2(FE_OFN1846_lsu_to_xbar_a_address__24),
-	.B1(n_10480),
-	.Y(n_10631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g386890 (
-	.A1(n_3514),
-	.A2(FE_OFN852_xbar_to_dccm_a_address__2),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[2]),
-	.C1(n_10420),
-	.X(n_10630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387006 (
-	.A1(n_10357),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8509),
-	.Y(n_10629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g387007 (
-	.A1(n_1754),
-	.A2(n_10205),
-	.B1(n_10511),
-	.X(n_10628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387008 (
-	.A1(u_uart_u_uart_core_read_fifo_raddr[6]),
-	.A2(n_10204),
-	.B1(n_10510),
-	.Y(n_10627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387009 (
-	.A1(n_2145),
-	.A2(FE_OFN829_lsu_to_xbar_a_address__25),
-	.B1(n_10479),
-	.Y(n_10626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g387010 (
-	.A1(n_8888),
-	.A2(n_4269),
-	.B1(n_3182),
-	.C1(n_10417),
-	.Y(n_10625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387011 (
-	.A(n_10303),
-	.B(n_7947),
-	.C(n_5691),
-	.Y(n_10624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387012 (
-	.A(n_10302),
-	.B(n_7950),
-	.C(n_5690),
-	.Y(n_10623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387013 (
-	.A1(n_9148),
-	.A2(n_4269),
-	.B1(n_3192),
-	.C1(n_5698),
-	.D1(n_10382),
-	.Y(n_10622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387014 (
-	.A1(n_9009),
-	.A2(u_top_u_core_cs_registers_i_csr_rdata_int[11]),
-	.B1(n_1668),
-	.B2(u_top_u_core_priv_mode_id[0]),
-	.C1(n_10470),
-	.X(n_10621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387015 (
-	.A1(n_9009),
-	.A2(u_top_u_core_cs_registers_i_csr_rdata_int[12]),
-	.B1(n_1668),
-	.B2(u_top_u_core_priv_mode_id[1]),
-	.C1(n_10470),
-	.X(n_10620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387016 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[31]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[31]),
-	.C1(n_10512),
-	.X(n_10619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g387017 (
-	.A1(n_8625),
-	.A2(n_5796),
-	.B1(n_8886),
-	.B2(n_4269),
-	.C1(n_10377),
-	.Y(n_10618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g387018 (
-	.A1(n_8612),
-	.A2(n_5796),
-	.B1(n_8871),
-	.B2(n_4269),
-	.C1(n_10361),
-	.Y(n_10617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387019 (
-	.A1(n_8740),
-	.A2(n_4271),
-	.B1(n_5692),
-	.C1(n_7944),
-	.D1(n_10213),
-	.Y(n_10616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387020 (
-	.A(n_10380),
-	.B(n_9882),
-	.C(n_9394),
-	.Y(n_10615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g387021 (
-	.A1(FE_OFN1039_n_13259),
-	.A2(u_top_u_core_rf_wdata_fwd_wb[14]),
-	.B1(n_15778),
-	.C1(n_10379),
-	.Y(n_10614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387022 (
-	.A1(n_5759),
-	.A2(n_13480),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
-	.C1(n_10363),
-	.X(n_10613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387023 (
-	.A1(n_5762),
-	.A2(n_13415),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
-	.C1(n_10362),
-	.X(n_10612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387024 (
-	.A(n_10232),
-	.B(n_3448),
-	.C(n_5661),
-	.D(n_9238),
-	.Y(n_10611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387025 (
-	.A1(n_2145),
-	.A2(FE_OFN828_lsu_to_xbar_a_address__26),
-	.B1(n_10478),
-	.Y(n_10610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387026 (
-	.A1(n_5759),
-	.A2(n_13481),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
-	.C1(n_10370),
-	.X(n_10609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387027 (
-	.A1(n_5759),
-	.A2(n_13486),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
-	.C1(n_10369),
-	.X(n_10608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387028 (
-	.A1(n_5759),
-	.A2(n_13490),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
-	.C1(n_10368),
-	.X(n_10607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387029 (
-	.A1(n_5762),
-	.A2(n_13416),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
-	.C1(n_10367),
-	.X(n_10606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387030 (
-	.A1(n_5762),
-	.A2(n_13421),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
-	.C1(n_10366),
-	.X(n_10605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387031 (
-	.A1(n_5762),
-	.A2(n_13425),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
-	.C1(n_10365),
-	.X(n_10604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387032 (
-	.A1(n_5759),
-	.A2(n_13472),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
-	.C1(n_10372),
-	.X(n_10603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387033 (
-	.A1(n_5762),
-	.A2(n_13407),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
-	.C1(n_10371),
-	.X(n_10602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387034 (
-	.A1(n_2145),
-	.A2(FE_OFN827_lsu_to_xbar_a_address__27),
-	.B1(n_10477),
-	.Y(n_10601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g387036 (
-	.A1(FE_OFN1813_n_8986),
-	.A2(n_10388),
-	.B1(FE_OFN1584_n_8281),
-	.B2(n_9783),
-	.Y(n_10599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_2 g387037 (
-	.A1(FE_OFN1345_n_8984),
-	.A2(n_10388),
-	.B1(FE_OFN1585_n_8282),
-	.B2(n_9903),
-	.Y(n_10598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387038 (
-	.A1(u_top_u_core_pc_id[16]),
-	.A2(FE_OFN1308_n_1676),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[16]),
-	.C1(n_10346),
-	.C2(n_1241),
-	.Y(n_10597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387039 (
-	.A1(u_top_u_core_pc_id[18]),
-	.A2(FE_OFN1308_n_1676),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[18]),
-	.C1(n_10344),
-	.C2(n_1241),
-	.Y(n_10596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387040 (
-	.A1(u_top_u_core_pc_id[19]),
-	.A2(FE_OFN1308_n_1676),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[19]),
-	.C1(n_10342),
-	.C2(n_1241),
-	.Y(n_10595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387041 (
-	.A1(u_top_u_core_pc_id[22]),
-	.A2(FE_OFN1308_n_1676),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[22]),
-	.C1(n_10348),
-	.C2(n_1241),
-	.Y(n_10594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387042 (
-	.A1(u_top_u_core_pc_id[23]),
-	.A2(FE_OFN1308_n_1676),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[23]),
-	.C1(n_10334),
-	.C2(n_1241),
-	.Y(n_10593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387043 (
-	.A1(u_top_u_core_pc_id[24]),
-	.A2(FE_OFN1308_n_1676),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[24]),
-	.C1(n_10332),
-	.C2(n_1241),
-	.Y(n_10592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387044 (
-	.A1(u_top_u_core_pc_id[25]),
-	.A2(FE_OFN1308_n_1676),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[25]),
-	.C1(n_10326),
-	.C2(n_1241),
-	.Y(n_10591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387045 (
-	.A1(u_top_u_core_pc_id[26]),
-	.A2(FE_OFN1308_n_1676),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[26]),
-	.C1(n_10328),
-	.C2(n_1241),
-	.Y(n_10590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387046 (
-	.A1(FE_PHN3992_u_top_u_core_pc_id_28),
-	.A2(FE_OFN1308_n_1676),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[28]),
-	.C1(n_10330),
-	.C2(n_1241),
-	.Y(n_10589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387047 (
-	.A1(n_2145),
-	.A2(FE_OFN831_lsu_to_xbar_a_address__23),
-	.B1(n_10481),
-	.Y(n_10588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387048 (
-	.A1(n_15780),
-	.A2(n_10206),
-	.B1(FE_OFN1781_n_5758),
-	.B2(FE_OFN1851_u_top_u_core_instr_rdata_id_26),
-	.C1(n_9634),
-	.C2(n_8998),
-	.Y(n_10587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387049 (
-	.A1(FE_OFN1528_n_10355),
-	.A2(n_4921),
-	.B1(n_8458),
-	.Y(n_10586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387050 (
-	.A1(FE_OFN16125_n_10337),
-	.A2(n_4922),
-	.B1(n_8481),
-	.Y(n_10585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387051 (
-	.A1(FE_OFN1527_n_10353),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8493),
-	.Y(n_10584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387052 (
-	.A1(n_10347),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8494),
-	.Y(n_10583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387053 (
-	.A1(FE_OFN1524_n_10345),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8496),
-	.Y(n_10582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387054 (
-	.A1(FE_OFN1523_n_10343),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8497),
-	.Y(n_10581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387055 (
-	.A1(FE_OFN1526_n_10351),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8498),
-	.Y(n_10580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387056 (
-	.A1(FE_OFN1520_n_10333),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8502),
-	.Y(n_10579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387057 (
-	.A1(FE_OFN1518_n_10327),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8503),
-	.Y(n_10578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387058 (
-	.A1(FE_OFN1525_n_10349),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8500),
-	.Y(n_10577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387059 (
-	.A1(FE_OFN1519_n_10329),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8504),
-	.Y(n_10576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387060 (
-	.A1(FE_OFN1521_n_10335),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8501),
-	.Y(n_10575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387061 (
-	.A1(n_10331),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8506),
-	.Y(n_10574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387062 (
-	.A1(FE_OFN17042_n_10339),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8505),
-	.Y(n_10573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387063 (
-	.A1(n_10341),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8507),
-	.Y(n_10572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g387064 (
-	.A1(n_1668),
-	.A2(u_top_u_core_exc_cause[5]),
-	.B1(n_10512),
-	.X(n_10571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387065 (
-	.A1(FE_OFN1528_n_10355),
-	.A2(n_4926),
-	.B1(n_8518),
-	.Y(n_10570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387066 (
-	.A1(FE_OFN16125_n_10337),
-	.A2(n_4926),
-	.B1(n_8523),
-	.Y(n_10569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387067 (
-	.A1(FE_OFN1527_n_10353),
-	.A2(n_4926),
-	.B1(n_8525),
-	.Y(n_10568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387068 (
-	.A1(n_10347),
-	.A2(n_4926),
-	.B1(n_8526),
-	.Y(n_10567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387069 (
-	.A1(FE_OFN1524_n_10345),
-	.A2(n_4926),
-	.B1(n_8528),
-	.Y(n_10566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387070 (
-	.A1(FE_OFN1523_n_10343),
-	.A2(n_4926),
-	.B1(n_8529),
-	.Y(n_10565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387071 (
-	.A1(FE_OFN1526_n_10351),
-	.A2(n_4926),
-	.B1(n_8530),
-	.Y(n_10564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387072 (
-	.A1(FE_OFN1521_n_10335),
-	.A2(n_4926),
-	.B1(n_8533),
-	.Y(n_10563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387073 (
-	.A1(FE_OFN1525_n_10349),
-	.A2(n_4926),
-	.B1(n_8532),
-	.Y(n_10562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387074 (
-	.A1(FE_OFN1520_n_10333),
-	.A2(n_4926),
-	.B1(n_8534),
-	.Y(n_10561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387075 (
-	.A1(FE_OFN1518_n_10327),
-	.A2(n_4926),
-	.B1(n_8535),
-	.Y(n_10560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387076 (
-	.A1(FE_OFN1519_n_10329),
-	.A2(n_4926),
-	.B1(n_8536),
-	.Y(n_10559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387077 (
-	.A1(n_10331),
-	.A2(n_4926),
-	.B1(n_8538),
-	.Y(n_10558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387078 (
-	.A1(FE_OFN17042_n_10339),
-	.A2(n_4926),
-	.B1(n_8537),
-	.Y(n_10557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387079 (
-	.A1(n_10341),
-	.A2(n_4926),
-	.B1(n_8539),
-	.Y(n_10556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387080 (
-	.A1(n_10357),
-	.A2(n_4926),
-	.B1(n_8541),
-	.Y(n_10555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387081 (
-	.A1(n_1242),
-	.A2(FE_OFN1528_n_10355),
-	.B1(n_2822),
-	.Y(n_10554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387082 (
-	.A1(n_1242),
-	.A2(FE_OFN16125_n_10337),
-	.B1(n_2998),
-	.Y(n_10553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387083 (
-	.A1(n_1242),
-	.A2(FE_OFN1527_n_10353),
-	.B1(n_3118),
-	.Y(n_10552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387084 (
-	.A1(n_1242),
-	.A2(FE_OFN1526_n_10351),
-	.B1(n_3101),
-	.Y(n_10551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387085 (
-	.A1(n_1242),
-	.A2(FE_OFN17042_n_10339),
-	.B1(n_3017),
-	.Y(n_10550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387086 (
-	.A1(n_1242),
-	.A2(n_10341),
-	.B1(n_3221),
-	.Y(n_10549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387087 (
-	.A1(n_1242),
-	.A2(n_10357),
-	.B1(n_3041),
-	.Y(n_10548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387088 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN1528_n_10355),
-	.B1(n_3491),
-	.Y(n_10547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387089 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN16125_n_10337),
-	.B1(n_3443),
-	.Y(n_10546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387090 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN1527_n_10353),
-	.B1(n_3504),
-	.Y(n_10545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387091 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(n_10347),
-	.B1(n_3493),
-	.Y(n_10544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387092 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN1524_n_10345),
-	.B1(n_3466),
-	.Y(n_10543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387093 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN1523_n_10343),
-	.B1(n_3467),
-	.Y(n_10542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387094 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN1526_n_10351),
-	.B1(n_3479),
-	.Y(n_10541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387095 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN1525_n_10349),
-	.B1(n_3447),
-	.Y(n_10540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387096 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN1521_n_10335),
-	.B1(n_3445),
-	.Y(n_10539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387097 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN1520_n_10333),
-	.B1(n_3483),
-	.Y(n_10538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387098 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN1518_n_10327),
-	.B1(n_3432),
-	.Y(n_10537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387099 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN1519_n_10329),
-	.B1(n_3474),
-	.Y(n_10536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387100 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN17042_n_10339),
-	.B1(n_3463),
-	.Y(n_10535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387101 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(n_10331),
-	.B1(n_3458),
-	.Y(n_10534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387102 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(n_10341),
-	.B1(n_3462),
-	.Y(n_10533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387103 (
-	.A1(n_10354),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[8]),
-	.X(n_10532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387104 (
-	.A1(n_10336),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[13]),
-	.X(n_10531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387105 (
-	.A1(n_10352),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[15]),
-	.X(n_10530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387106 (
-	.A1(n_10346),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[16]),
-	.X(n_10529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387107 (
-	.A1(n_10344),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[18]),
-	.X(n_10528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387108 (
-	.A1(n_10342),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[19]),
-	.X(n_10527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387109 (
-	.A1(n_10350),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[20]),
-	.X(n_10526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387110 (
-	.A1(n_10348),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[22]),
-	.X(n_10525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387111 (
-	.A1(n_10334),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[23]),
-	.X(n_10524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387112 (
-	.A1(n_10332),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[24]),
-	.X(n_10523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387113 (
-	.A1(n_10326),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[25]),
-	.X(n_10522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387114 (
-	.A1(n_10328),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[26]),
-	.X(n_10521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387115 (
-	.A1(n_10338),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[27]),
-	.X(n_10520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387116 (
-	.A1(n_10330),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[28]),
-	.X(n_10519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387117 (
-	.A1(n_10340),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[29]),
-	.X(n_10518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387118 (
-	.A1(n_10356),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[31]),
-	.X(n_10517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g387119 (
-	.A1_N(u_top_u_core_csr_depc[30]),
-	.A2_N(FE_OFN1648_n_3261),
-	.B1(n_10263),
-	.B2(FE_OFN1648_n_3261),
-	.Y(n_10516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g387120 (
-	.A1_N(\u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
-	.A2_N(n_5797),
-	.B1(FE_OFN16125_n_10337),
-	.B2(n_5797),
-	.Y(n_10515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g387121 (
-	.A1_N(u_top_u_core_debug_ebreakm),
-	.A2_N(n_5797),
-	.B1(FE_OFN1527_n_10353),
-	.B2(n_5797),
-	.Y(n_10514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387122 (
-	.A1(n_8983),
-	.A2(n_9637),
-	.B1(n_10228),
-	.B2(n_15780),
-	.C1(n_9165),
-	.C2(n_8570),
-	.Y(n_10513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387123 (
-	.A(FE_OFN1528_n_10355),
-	.B(n_4927),
-	.Y(n_10503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387124 (
-	.A(FE_OFN1523_n_10343),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387125 (
-	.A(FE_OFN1524_n_10345),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387126 (
-	.A(n_10347),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387127 (
-	.A(n_1679),
-	.B(n_10341),
-	.Y(n_10499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387128 (
-	.A(n_10341),
-	.B(n_4928),
-	.Y(n_10498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387129 (
-	.A(FE_OFN17042_n_10339),
-	.B(FE_OFN1797_n_4928),
-	.Y(n_10497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387130 (
-	.A(FE_OFN1526_n_10351),
-	.B(FE_OFN1797_n_4928),
-	.Y(n_10496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387131 (
-	.A(FE_OFN1527_n_10353),
-	.B(n_4928),
-	.Y(n_10495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387132 (
-	.A(FE_OFN16125_n_10337),
-	.B(n_4928),
-	.Y(n_10494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387133 (
-	.A(n_10341),
-	.B(FE_OFN1794_n_4924),
-	.Y(n_10493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387134 (
-	.A(FE_OFN17042_n_10339),
-	.B(FE_OFN1794_n_4924),
-	.Y(n_10492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387135 (
-	.A(FE_OFN1526_n_10351),
-	.B(FE_OFN1794_n_4924),
-	.Y(n_10491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387136 (
-	.A(FE_OFN1527_n_10353),
-	.B(n_4924),
-	.Y(n_10490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387137 (
-	.A(FE_OFN16125_n_10337),
-	.B(n_4924),
-	.Y(n_10489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387138 (
-	.A(n_10357),
-	.B(n_4928),
-	.Y(n_10488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387139 (
-	.A(FE_OFN1525_n_10349),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387140 (
-	.A(n_10357),
-	.B(n_4924),
-	.Y(n_10486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387141 (
-	.A(FE_OFN1528_n_10355),
-	.B(n_4923),
-	.Y(n_10485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g387142 (
-	.A(n_8989),
-	.B(n_15757),
-	.X(n_10484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387143 (
-	.A(FE_OFN17042_n_10339),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387144 (
-	.A(FE_OFN1526_n_10351),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g387145 (
-	.A1(n_9149),
-	.A2(n_4271),
-	.B1(n_8965),
-	.B2(n_4269),
-	.C1(n_10301),
-	.Y(n_10481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g387146 (
-	.A1(n_9143),
-	.A2(n_4271),
-	.B1(n_8882),
-	.B2(n_4269),
-	.C1(n_10300),
-	.Y(n_10480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g387147 (
-	.A1(n_8870),
-	.A2(n_4271),
-	.B1(n_8879),
-	.B2(n_4269),
-	.C1(n_10299),
-	.Y(n_10479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g387148 (
-	.A1(n_9240),
-	.A2(n_4271),
-	.B1(n_8885),
-	.B2(n_4269),
-	.C1(n_10298),
-	.Y(n_10478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g387149 (
-	.A1(n_8869),
-	.A2(n_4271),
-	.B1(n_8943),
-	.B2(n_4269),
-	.C1(n_10297),
-	.Y(n_10477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_2 g387150 (
-	.A1(FE_OFN1593_n_8631),
-	.A2(n_9633),
-	.B1(FE_OFN1341_n_8571),
-	.B2(n_9636),
-	.C1(n_10292),
-	.Y(n_10476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387151 (
-	.A(FE_OFN1527_n_10353),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387152 (
-	.A(FE_OFN16125_n_10337),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387153 (
-	.A(n_10357),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387154 (
-	.A(FE_OFN1528_n_10355),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387163 (
-	.A(FE_OFN1312_n_2269),
-	.B(n_10357),
-	.Y(n_10512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g387174 (
-	.A(n_1295),
-	.B_N(n_10204),
-	.Y(n_10471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387180 (
-	.A(n_10205),
-	.B(n_1754),
-	.Y(n_10511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387181 (
-	.A(n_10204),
-	.B(u_uart_u_uart_core_read_fifo_raddr[6]),
-	.Y(n_10510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387198 (
-	.A(n_10268),
-	.B(n_10203),
-	.Y(n_10509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387199 (
-	.A(n_10295),
-	.B(n_10203),
-	.Y(n_10508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387200 (
-	.A(n_10293),
-	.B(n_10203),
-	.Y(n_10507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387201 (
-	.A(n_10294),
-	.B(n_10203),
-	.Y(n_10506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g387202 (
-	.A(n_10305),
-	.B(n_10203),
-	.Y(n_10505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387203 (
-	.A(n_10267),
-	.B(n_10203),
-	.Y(n_10504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387204 (
-	.A1(n_5762),
-	.A2(n_13434),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
-	.C1(n_10234),
-	.X(n_10469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387205 (
-	.A(FE_OFN1520_n_10333),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387206 (
-	.A(FE_OFN1518_n_10327),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387207 (
-	.A(FE_OFN1519_n_10329),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387208 (
-	.A(n_10331),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387209 (
-	.A(n_10347),
-	.B(FE_OFN1794_n_4924),
-	.Y(n_10464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387210 (
-	.A(FE_OFN1524_n_10345),
-	.B(FE_OFN1794_n_4924),
-	.Y(n_10463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387211 (
-	.A(FE_OFN1523_n_10343),
-	.B(FE_OFN1794_n_4924),
-	.Y(n_10462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387212 (
-	.A(FE_OFN1525_n_10349),
-	.B(FE_OFN1794_n_4924),
-	.Y(n_10461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387213 (
-	.A(FE_OFN1521_n_10335),
-	.B(FE_OFN1794_n_4924),
-	.Y(n_10460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387214 (
-	.A(FE_OFN1520_n_10333),
-	.B(FE_OFN1794_n_4924),
-	.Y(n_10459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387215 (
-	.A(FE_OFN1518_n_10327),
-	.B(FE_OFN1794_n_4924),
-	.Y(n_10458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387216 (
-	.A(FE_OFN1519_n_10329),
-	.B(FE_OFN1794_n_4924),
-	.Y(n_10457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387217 (
-	.A(n_10331),
-	.B(FE_OFN1794_n_4924),
-	.Y(n_10456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387218 (
-	.A(n_10347),
-	.B(FE_OFN1797_n_4928),
-	.Y(n_10455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387219 (
-	.A(FE_OFN1524_n_10345),
-	.B(FE_OFN1797_n_4928),
-	.Y(n_10454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387220 (
-	.A(FE_OFN1523_n_10343),
-	.B(FE_OFN1797_n_4928),
-	.Y(n_10453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387221 (
-	.A(FE_OFN1525_n_10349),
-	.B(FE_OFN1797_n_4928),
-	.Y(n_10452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387222 (
-	.A(FE_OFN1521_n_10335),
-	.B(FE_OFN1797_n_4928),
-	.Y(n_10451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387223 (
-	.A(FE_OFN1520_n_10333),
-	.B(FE_OFN1797_n_4928),
-	.Y(n_10450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387224 (
-	.A(FE_OFN1518_n_10327),
-	.B(FE_OFN1797_n_4928),
-	.Y(n_10449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387225 (
-	.A(FE_OFN1519_n_10329),
-	.B(FE_OFN1797_n_4928),
-	.Y(n_10448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387226 (
-	.A(n_10331),
-	.B(FE_OFN1797_n_4928),
-	.Y(n_10447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g387227 (
-	.A1(n_1668),
-	.A2(u_top_u_core_exc_cause[3]),
-	.B1(n_10389),
-	.X(n_10446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g387228 (
-	.A1(n_9972),
-	.A2(n_178),
-	.B1(u_uart_u_uart_core_rx_fifo_rst),
-	.C1(n_10204),
-	.Y(n_10445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g387229 (
-	.A1(FE_OFN990_n_4938),
-	.A2(FE_OFN16760_n_13307),
-	.A3(FE_OFN15854_u_top_u_core_alu_operand_b_ex_8),
-	.B1(n_10264),
-	.Y(n_10444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g387230 (
-	.A1(FE_OFN990_n_4938),
-	.A2(n_13312),
-	.A3(u_top_u_core_alu_operand_b_ex[13]),
-	.B1(n_10280),
-	.Y(n_10443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387231 (
-	.A(n_10214),
-	.B(n_7933),
-	.C(n_5696),
-	.Y(n_10442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g387232 (
-	.A1(FE_OFN990_n_4938),
-	.A2(n_13328),
-	.A3(u_top_u_core_alu_operand_b_ex[29]),
-	.B1(n_10282),
-	.Y(n_10441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387233 (
-	.A(n_5371),
-	.B(n_10211),
-	.C(n_4933),
-	.Y(n_10440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387234 (
-	.A1(n_10085),
-	.A2(n_8195),
-	.B1(n_7713),
-	.C1(n_9389),
-	.D1(n_9939),
-	.Y(n_10439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387235 (
-	.A1(n_10156),
-	.A2(n_15780),
-	.B1(FE_OFN1782_n_5758),
-	.B2(FE_OFN13709_u_top_u_core_instr_rdata_id_16),
-	.C1(n_9319),
-	.Y(n_10438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g387236 (
-	.A1(n_8195),
-	.A2(n_10183),
-	.B1(FE_OFN1478_n_8999),
-	.B2(n_9633),
-	.C1(n_10040),
-	.Y(n_10437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g387237 (
-	.A(n_13663),
-	.B(n_13661),
-	.C(n_13662),
-	.D(n_10177),
-	.X(n_10436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g387238 (
-	.A1(n_15780),
-	.A2(n_9788),
-	.B1_N(n_15757),
-	.Y(n_10435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g387239 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
-	.C(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
-	.D(n_10176),
-	.X(n_10434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387240 (
-	.A1(n_8257),
-	.A2(n_5796),
-	.B1(n_5658),
-	.C1(n_3195),
-	.D1(n_10218),
-	.Y(n_10433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387241 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[3]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[3]),
-	.C1(n_10389),
-	.X(n_10432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g387242 (
-	.A1(n_8622),
-	.A2(n_5796),
-	.B1(n_8877),
-	.B2(n_4269),
-	.C1(n_10219),
-	.Y(n_10431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387243 (
-	.A1(n_5759),
-	.A2(n_13499),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
-	.C1(n_10235),
-	.X(n_10430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387244 (
-	.A(FE_OFN1521_n_10335),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387245 (
-	.A1(n_5759),
-	.A2(n_13478),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
-	.C1(n_10243),
-	.X(n_10428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387246 (
-	.A1(n_5759),
-	.A2(n_13479),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
-	.C1(n_10242),
-	.X(n_10427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387247 (
-	.A1(n_5759),
-	.A2(n_13483),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
-	.C1(n_10241),
-	.X(n_10426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387248 (
-	.A1(n_5762),
-	.A2(n_13413),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
-	.C1(n_10240),
-	.X(n_10425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387249 (
-	.A1(n_5762),
-	.A2(n_13414),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
-	.C1(n_10239),
-	.X(n_10424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387250 (
-	.A1(n_5762),
-	.A2(n_13418),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
-	.C1(n_10238),
-	.X(n_10423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g387251 (
-	.A1_N(u_top_u_core_cs_registers_i_csr_rdata_int[17]),
-	.A2_N(n_8824),
-	.B1(FE_OFN16134_n_10258),
-	.B2(n_8824),
-	.Y(n_10422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387252 (
-	.A1(FE_OFN1870_u_top_u_core_instr_rdata_id_20),
-	.A2(FE_OFN1782_n_5758),
-	.B1(n_10195),
-	.B2(n_8574),
-	.C1(n_9902),
-	.C2(FE_OFN1340_n_8279),
-	.Y(n_10421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387253 (
-	.A1(FE_OFN1554_n_15782),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [2]),
-	.B1(n_2145),
-	.B2(FE_OFN16120_n_16023),
-	.C1(n_10223),
-	.X(n_10420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387254 (
-	.A1(u_top_u_core_pc_id[11]),
-	.A2(FE_OFN1308_n_1676),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[11]),
-	.C1(n_10253),
-	.C2(n_1241),
-	.Y(n_10419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g387255 (
-	.A1(n_8601),
-	.A2(n_5796),
-	.B1(n_8883),
-	.B2(n_4269),
-	.C1(n_10220),
-	.Y(n_10418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387256 (
-	.A1(FE_OFN1039_n_13259),
-	.A2(u_top_u_core_rf_wdata_fwd_wb[30]),
-	.B1(FE_OFN1320_n_4272),
-	.B2(n_8868),
-	.C1(n_10217),
-	.Y(n_10417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387257 (
-	.A1(FE_OFN1497_n_10262),
-	.A2(n_4921),
-	.B1(n_8453),
-	.Y(n_10416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387258 (
-	.A1(n_10254),
-	.A2(n_4921),
-	.B1(n_8468),
-	.Y(n_10415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387259 (
-	.A1(FE_OFN1496_n_10260),
-	.A2(n_4921),
-	.B1(n_8475),
-	.Y(n_10414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387260 (
-	.A1(FE_OFN16134_n_10258),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8495),
-	.Y(n_10413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387261 (
-	.A1(FE_OFN1494_n_10256),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8499),
-	.Y(n_10412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387262 (
-	.A1(FE_OFN1497_n_10262),
-	.A2(n_4926),
-	.B1(n_8513),
-	.Y(n_10411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387263 (
-	.A1(n_10254),
-	.A2(n_4926),
-	.B1(n_8521),
-	.Y(n_10410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387264 (
-	.A1(FE_OFN1496_n_10260),
-	.A2(n_4926),
-	.B1(n_8522),
-	.Y(n_10409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387265 (
-	.A1(FE_OFN16134_n_10258),
-	.A2(n_4926),
-	.B1(n_8527),
-	.Y(n_10408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387266 (
-	.A1(FE_OFN1494_n_10256),
-	.A2(n_4926),
-	.B1(n_8531),
-	.Y(n_10407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387267 (
-	.A1(n_1242),
-	.A2(FE_OFN1497_n_10262),
-	.B1(n_2384),
-	.Y(n_10406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387268 (
-	.A1(n_1242),
-	.A2(FE_OFN1496_n_10260),
-	.B1(n_2340),
-	.Y(n_10405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387269 (
-	.A1(n_1242),
-	.A2(FE_OFN16134_n_10258),
-	.B1(n_2415),
-	.Y(n_10404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387270 (
-	.A1(n_1242),
-	.A2(FE_OFN1494_n_10256),
-	.B1(n_2950),
-	.Y(n_10403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387271 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(n_10254),
-	.B1(n_3477),
-	.Y(n_10402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387272 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN1496_n_10260),
-	.B1(n_3500),
-	.Y(n_10401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387273 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN16134_n_10258),
-	.B1(n_3489),
-	.Y(n_10400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387274 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN1494_n_10256),
-	.B1(n_3476),
-	.Y(n_10399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387275 (
-	.A1(n_10261),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[3]),
-	.X(n_10398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387276 (
-	.A1(n_10253),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[11]),
-	.X(n_10397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387277 (
-	.A1(n_10259),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[12]),
-	.X(n_10396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g387278 (
-	.A1(n_1725),
-	.A2(n_10247),
-	.B1(FE_OFN1578_n_1726),
-	.B2(n_10082),
-	.Y(n_10395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387279 (
-	.A1(n_10257),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[17]),
-	.X(n_10394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387280 (
-	.A1(n_10255),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[21]),
-	.X(n_10393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g387281 (
-	.A1_N(\u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
-	.A2_N(n_5797),
-	.B1(n_10254),
-	.B2(n_5797),
-	.Y(n_10392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g387282 (
-	.A1_N(u_top_u_core_debug_ebreaku),
-	.A2_N(n_5797),
-	.B1(FE_OFN1496_n_10260),
-	.B2(n_5797),
-	.Y(n_10391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g387283 (
-	.A1_N(u_top_u_core_csr_mstatus_tw),
-	.A2_N(n_8824),
-	.B1(FE_OFN1494_n_10256),
-	.B2(n_8824),
-	.Y(n_10390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g387284 (
-	.A1(n_10253),
-	.A2(n_10259),
-	.B1(n_9045),
-	.X(n_10470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387285 (
-	.A1(FE_OFN15966_lsu_to_xbar_a_address__18),
-	.A2(n_3514),
-	.B1(FE_OFN1306_n_1247),
-	.B2(n_10133),
-	.C1(n_4939),
-	.C2(n_1392),
-	.Y(n_10384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387286 (
-	.A1(FE_OFN16769_lsu_to_xbar_a_address__16),
-	.A2(n_3514),
-	.B1(FE_OFN1306_n_1247),
-	.B2(n_10134),
-	.C1(n_4939),
-	.C2(n_1381),
-	.Y(n_10383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387287 (
-	.A1(n_10125),
-	.A2(FE_OFN1306_n_1247),
-	.B1(n_4939),
-	.B2(n_1380),
-	.C1(n_3514),
-	.C2(FE_OFN1821_lsu_to_xbar_a_address__15),
-	.Y(n_10382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387288 (
-	.A1(n_8256),
-	.A2(n_5796),
-	.B1(n_5659),
-	.C1(n_3172),
-	.D1(n_10152),
-	.Y(n_10381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g387289 (
-	.A1(FE_OFN1782_n_5758),
-	.A2(FE_OFN16040_u_top_u_core_instr_rdata_id_4),
-	.B1(n_10236),
-	.C1(n_9901),
-	.Y(n_10380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387290 (
-	.A1(n_9147),
-	.A2(n_4269),
-	.B1(n_3193),
-	.C1(n_5699),
-	.D1(n_10175),
-	.Y(n_10379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2111o_1 g387291 (
-	.A1(FE_OFN1782_n_5758),
-	.A2(u_top_u_core_instr_rdata_id[27]),
-	.B1(n_9555),
-	.C1(n_10180),
-	.D1(n_9817),
-	.X(n_10378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g387292 (
-	.A1(FE_OFN990_n_4938),
-	.A2(FE_OFN1887_n_13310),
-	.A3(FE_OFN15861_u_top_u_core_alu_operand_b_ex_11),
-	.B1(n_10212),
-	.Y(n_10377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387293 (
-	.A(FE_OFN1496_n_10260),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387294 (
-	.A(FE_OFN16134_n_10258),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387295 (
-	.A(FE_OFN1494_n_10256),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387296 (
-	.A1(FE_OFN1595_n_8978),
-	.A2(n_9318),
-	.B1(n_9979),
-	.C1(n_9375),
-	.D1(n_10147),
-	.Y(n_10373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387297 (
-	.A(FE_OFN1497_n_10262),
-	.B(n_4923),
-	.Y(n_10372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387298 (
-	.A(FE_OFN1497_n_10262),
-	.B(n_4927),
-	.Y(n_10371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387299 (
-	.A(FE_OFN1496_n_10260),
-	.B(n_4924),
-	.Y(n_10370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387300 (
-	.A(FE_OFN16134_n_10258),
-	.B(FE_OFN1794_n_4924),
-	.Y(n_10369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387301 (
-	.A(FE_OFN1494_n_10256),
-	.B(FE_OFN1794_n_4924),
-	.Y(n_10368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387302 (
-	.A(FE_OFN1496_n_10260),
-	.B(n_4928),
-	.Y(n_10367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387303 (
-	.A(FE_OFN16134_n_10258),
-	.B(FE_OFN1797_n_4928),
-	.Y(n_10366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387304 (
-	.A(FE_OFN1494_n_10256),
-	.B(FE_OFN1797_n_4928),
-	.Y(n_10365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387305 (
-	.A(n_10254),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387306 (
-	.A(n_10254),
-	.B(n_4924),
-	.Y(n_10363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387307 (
-	.A(n_10254),
-	.B(n_4928),
-	.Y(n_10362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g387308 (
-	.A1(FE_OFN990_n_4938),
-	.A2(n_13311),
-	.A3(u_top_u_core_alu_operand_b_ex[12]),
-	.B1(n_10207),
-	.Y(n_10361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387309 (
-	.A(n_10184),
-	.B(n_9390),
-	.C(n_9636),
-	.Y(n_10360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387310 (
-	.A(n_10066),
-	.B(n_9906),
-	.C(n_9244),
-	.D(n_9979),
-	.Y(n_10359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387311 (
-	.A(n_10103),
-	.B(n_9906),
-	.C(n_9411),
-	.D(n_9389),
-	.Y(n_10358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387334 (
-	.A(FE_OFN1312_n_2269),
-	.B(FE_OFN1497_n_10262),
-	.Y(n_10389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_2 g387348 (
-	.A1(n_15780),
-	.A2(n_9312),
-	.B1(n_9412),
-	.C1(n_10196),
-	.Y(n_10388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387361 (
-	.A(n_10252),
-	.B(n_10160),
-	.C(n_9768),
-	.Y(n_10386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387362 (
-	.A(n_10252),
-	.B(n_10165),
-	.C(n_9778),
-	.Y(n_10385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g387363 (
-	.A(n_10357),
-	.Y(n_10356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g387364 (
-	.A(FE_OFN1528_n_10355),
-	.Y(n_10354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g387365 (
-	.A(FE_OFN1527_n_10353),
-	.Y(n_10352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387366 (
-	.A(FE_OFN1526_n_10351),
-	.Y(n_10350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387367 (
-	.A(FE_OFN1525_n_10349),
-	.Y(n_10348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387368 (
-	.A(n_10347),
-	.Y(n_10346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387369 (
-	.A(FE_OFN1524_n_10345),
-	.Y(n_10344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387370 (
-	.A(FE_OFN1523_n_10343),
-	.Y(n_10342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387371 (
-	.A(n_10341),
-	.Y(n_10340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387372 (
-	.A(FE_OFN17042_n_10339),
-	.Y(n_10338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g387373 (
-	.A(FE_OFN16125_n_10337),
-	.Y(n_10336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387374 (
-	.A(FE_OFN1521_n_10335),
-	.Y(n_10334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387375 (
-	.A(FE_OFN1520_n_10333),
-	.Y(n_10332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387376 (
-	.A(n_10331),
-	.Y(n_10330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387377 (
-	.A(FE_OFN1519_n_10329),
-	.Y(n_10328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387378 (
-	.A(FE_OFN1518_n_10327),
-	.Y(n_10326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387379 (
-	.A1(FE_OFN1360_n_9404),
-	.A2(n_2150),
-	.B1(FE_OFN1359_n_9403),
-	.B2(n_3296),
-	.C1(n_10186),
-	.Y(n_10305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387380 (
-	.A1(FE_OFN834_lsu_to_xbar_a_address__20),
-	.A2(n_3514),
-	.B1(FE_OFN1306_n_1247),
-	.B2(n_10139),
-	.C1(n_4939),
-	.C2(n_1384),
-	.Y(n_10304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387381 (
-	.A1(FE_OFN16791_n),
-	.A2(n_3514),
-	.B1(FE_OFN1306_n_1247),
-	.B2(n_10131),
-	.C1(n_4939),
-	.C2(n_1386),
-	.Y(n_10303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387382 (
-	.A1(FE_OFN831_lsu_to_xbar_a_address__23),
-	.A2(n_3514),
-	.B1(FE_OFN1306_n_1247),
-	.B2(n_10130),
-	.C1(n_4939),
-	.C2(n_1387),
-	.Y(n_10302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387383 (
-	.A1(n_10129),
-	.A2(FE_OFN1306_n_1247),
-	.B1(n_4939),
-	.B2(n_1388),
-	.C1(n_3514),
-	.C2(FE_OFN1846_lsu_to_xbar_a_address__24),
-	.Y(n_10301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387384 (
-	.A1(n_10128),
-	.A2(FE_OFN1306_n_1247),
-	.B1(n_4939),
-	.B2(n_1389),
-	.C1(n_3514),
-	.C2(FE_OFN829_lsu_to_xbar_a_address__25),
-	.Y(n_10300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387385 (
-	.A1(n_10127),
-	.A2(FE_OFN1306_n_1247),
-	.B1(n_4939),
-	.B2(n_1374),
-	.C1(n_3514),
-	.C2(FE_OFN828_lsu_to_xbar_a_address__26),
-	.Y(n_10299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387386 (
-	.A1(n_10137),
-	.A2(FE_OFN1306_n_1247),
-	.B1(n_4939),
-	.B2(n_1390),
-	.C1(n_3514),
-	.C2(FE_OFN827_lsu_to_xbar_a_address__27),
-	.Y(n_10298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387387 (
-	.A1(n_10126),
-	.A2(FE_OFN1306_n_1247),
-	.B1(n_4939),
-	.B2(n_1370),
-	.C1(n_3514),
-	.C2(FE_OFN826_lsu_to_xbar_a_address__28),
-	.Y(n_10297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387388 (
-	.A1(FE_OFN16755_lsu_to_xbar_a_address__19),
-	.A2(n_3514),
-	.B1(FE_OFN1306_n_1247),
-	.B2(n_10132),
-	.C1(n_4939),
-	.C2(n_1383),
-	.Y(n_10296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387389 (
-	.A1(FE_OFN16934_n_9397),
-	.A2(n_2150),
-	.B1(FE_OFN16721_n_9398),
-	.B2(n_3296),
-	.C1(n_10155),
-	.Y(n_10295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g387390 (
-	.A1(FE_OFN16677_n_9406),
-	.A2(n_2150),
-	.B1(n_9405),
-	.B2(n_3296),
-	.C1(n_10201),
-	.Y(n_10294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g387391 (
-	.A1(FE_OFN1358_n_9401),
-	.A2(n_2150),
-	.B1(n_9402),
-	.B2(n_3296),
-	.C1(n_10200),
-	.Y(n_10293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387392 (
-	.A1(FE_OCPN16265_u_top_u_core_instr_rdata_id_22),
-	.A2(FE_OFN1782_n_5758),
-	.B1(n_10068),
-	.B2(n_8580),
-	.C1(n_15780),
-	.C2(n_9926),
-	.Y(n_10292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387393 (
-	.A1(n_10187),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[30]),
-	.X(n_10291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387394 (
-	.A1(n_10189),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[14]),
-	.X(n_10290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387395 (
-	.A1(n_10191),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[10]),
-	.X(n_10289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387396 (
-	.A1(n_10193),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[9]),
-	.X(n_10288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387397 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(n_10188),
-	.B1(n_3488),
-	.Y(n_10287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387398 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(n_10190),
-	.B1(n_3501),
-	.Y(n_10286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387399 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN1490_n_10192),
-	.B1(n_3502),
-	.Y(n_10285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387400 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN1491_n_10194),
-	.B1(n_3469),
-	.Y(n_10284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387401 (
-	.A1(n_1242),
-	.A2(n_10190),
-	.B1(n_3149),
-	.Y(n_10283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387402 (
-	.A1(n_4939),
-	.A2(n_1391),
-	.B1(FE_OFN1306_n_1247),
-	.B2(n_10136),
-	.C1(n_5689),
-	.X(n_10282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387403 (
-	.A(n_10170),
-	.B(n_9782),
-	.C(n_9973),
-	.Y(n_10281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387404 (
-	.A1(n_4939),
-	.A2(n_1378),
-	.B1(FE_OFN1306_n_1247),
-	.B2(n_10140),
-	.C1(n_5700),
-	.X(n_10280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387405 (
-	.A1(FE_OFN1306_n_1247),
-	.A2(n_10141),
-	.B1(n_4939),
-	.B2(FE_OFN15939_n_13403),
-	.C1(n_5687),
-	.Y(n_10279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387406 (
-	.A1(n_1242),
-	.A2(FE_OFN1490_n_10192),
-	.B1(n_2402),
-	.Y(n_10278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387407 (
-	.A1(n_1242),
-	.A2(FE_OFN1491_n_10194),
-	.B1(n_2965),
-	.Y(n_10277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387408 (
-	.A1(n_10188),
-	.A2(n_4926),
-	.B1(n_8540),
-	.Y(n_10276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387409 (
-	.A1(n_10190),
-	.A2(n_4926),
-	.B1(n_8524),
-	.Y(n_10275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387410 (
-	.A1(FE_OFN1490_n_10192),
-	.A2(n_4926),
-	.B1(n_8520),
-	.Y(n_10274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387411 (
-	.A1(FE_OFN1491_n_10194),
-	.A2(n_4926),
-	.B1(n_8519),
-	.Y(n_10273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387412 (
-	.A1(n_10188),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8508),
-	.Y(n_10272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387413 (
-	.A1(n_10190),
-	.A2(FE_OFN1800_n_4922),
-	.B1(n_8486),
-	.Y(n_10271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387414 (
-	.A1(FE_OFN1490_n_10192),
-	.A2(n_4921),
-	.B1(n_8464),
-	.Y(n_10270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387415 (
-	.A1(FE_OFN1491_n_10194),
-	.A2(n_4921),
-	.B1(n_8459),
-	.Y(n_10269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387416 (
-	.A1(FE_OFN16724_n_9408),
-	.A2(n_2150),
-	.B1(FE_OFN16679_n_9407),
-	.B2(n_3296),
-	.C1(n_10202),
-	.Y(n_10268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g387417 (
-	.A1(FE_OFN1357_n_9400),
-	.A2(n_2150),
-	.B1(n_9639),
-	.B2(n_3296),
-	.C1(n_10199),
-	.Y(n_10267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387418 (
-	.A(n_10179),
-	.B(n_9782),
-	.C(n_9907),
-	.Y(n_10266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387419 (
-	.A1(n_9243),
-	.A2(n_10195),
-	.B1(FE_OFN1589_n_8577),
-	.Y(n_10265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387420 (
-	.A1(n_4939),
-	.A2(n_1375),
-	.B1(FE_OFN1306_n_1247),
-	.B2(n_10138),
-	.C1(n_5702),
-	.X(n_10264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387421 (
-	.A1(u_top_u_core_pc_id[30]),
-	.A2(FE_OFN1308_n_1676),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[30]),
-	.C1(n_10187),
-	.C2(n_1241),
-	.Y(n_10263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387422 (
-	.A1(n_10141),
-	.A2(n_218),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(n_13330),
-	.B2(n_1248),
-	.Y(n_10357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387423 (
-	.A1(n_10138),
-	.A2(n_651),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(FE_OFN16760_n_13307),
-	.B2(n_1248),
-	.Y(n_10355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387424 (
-	.A1(n_10125),
-	.A2(n_194),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(n_13314),
-	.B2(n_1248),
-	.Y(n_10353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387425 (
-	.A1(n_10139),
-	.A2(n_671),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(n_13319),
-	.B2(n_1248),
-	.Y(n_10351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387426 (
-	.A1(n_13321),
-	.A2(n_1248),
-	.B1(n_10226),
-	.Y(n_10349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387427 (
-	.A1(n_10134),
-	.A2(n_665),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(n_13315),
-	.B2(n_1248),
-	.Y(n_10347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387428 (
-	.A1(n_10133),
-	.A2(n_653),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(n_13317),
-	.B2(n_1248),
-	.Y(n_10345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387429 (
-	.A1(n_13318),
-	.A2(n_1248),
-	.B1(n_10227),
-	.Y(n_10343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387430 (
-	.A1(n_10136),
-	.A2(n_193),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(n_13328),
-	.B2(n_1248),
-	.Y(n_10341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387431 (
-	.A1(n_10137),
-	.A2(n_204),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(n_13326),
-	.B2(n_1248),
-	.Y(n_10339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387432 (
-	.A1(n_10140),
-	.A2(n_196),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(n_13312),
-	.B2(n_1248),
-	.Y(n_10337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387433 (
-	.A1(n_13322),
-	.A2(n_1248),
-	.B1(n_10225),
-	.Y(n_10335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387434 (
-	.A1(n_13323),
-	.A2(n_1248),
-	.B1(n_10224),
-	.Y(n_10333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387435 (
-	.A1(n_13327),
-	.A2(n_1248),
-	.B1(n_10221),
-	.Y(n_10331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387436 (
-	.A1(n_13325),
-	.A2(n_1248),
-	.B1(n_10222),
-	.Y(n_10329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387437 (
-	.A1(n_13324),
-	.A2(n_1248),
-	.B1(n_10249),
-	.Y(n_10327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387438 (
-	.A(n_10053),
-	.B(n_9688),
-	.C(n_4251),
-	.D(n_9750),
-	.Y(n_10325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387439 (
-	.A(n_10051),
-	.B(n_9686),
-	.C(n_4253),
-	.D(n_9763),
-	.Y(n_10324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387440 (
-	.A(n_10050),
-	.B(n_9685),
-	.C(n_4254),
-	.D(n_9765),
-	.Y(n_10323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387441 (
-	.A(n_10052),
-	.B(n_9760),
-	.C(n_4252),
-	.D(n_9687),
-	.Y(n_10322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387442 (
-	.A(n_10054),
-	.B(n_9702),
-	.C(n_4250),
-	.D(n_9689),
-	.Y(n_10321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387443 (
-	.A(n_10252),
-	.B(n_9854),
-	.C(n_9830),
-	.Y(n_10320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387444 (
-	.A(n_10252),
-	.B(n_9827),
-	.C(n_9831),
-	.Y(n_10319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387445 (
-	.A(n_10252),
-	.B(n_10158),
-	.C(n_9699),
-	.Y(n_10318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387446 (
-	.A(n_10252),
-	.B(n_10159),
-	.C(n_9669),
-	.Y(n_10317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387447 (
-	.A(n_10252),
-	.B(n_10161),
-	.C(n_9670),
-	.Y(n_10316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387448 (
-	.A(n_10252),
-	.B(n_10162),
-	.C(n_9825),
-	.Y(n_10315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387449 (
-	.A(n_10252),
-	.B(n_9916),
-	.C(n_9671),
-	.Y(n_10314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387450 (
-	.A(n_10252),
-	.B(n_9918),
-	.C(n_9672),
-	.Y(n_10313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387451 (
-	.A(n_10252),
-	.B(n_10163),
-	.C(n_9673),
-	.Y(n_10312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387452 (
-	.A(n_10252),
-	.B(n_10164),
-	.C(n_9674),
-	.Y(n_10311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387453 (
-	.A(n_10252),
-	.B(n_10148),
-	.C(n_9675),
-	.Y(n_10310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387454 (
-	.A(n_10252),
-	.B(n_10149),
-	.C(n_9676),
-	.Y(n_10309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387455 (
-	.A(n_10252),
-	.B(n_10150),
-	.C(n_9677),
-	.Y(n_10308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387456 (
-	.A(n_10252),
-	.B(n_10151),
-	.C(n_9824),
-	.Y(n_10307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387457 (
-	.A(n_10055),
-	.B(n_9812),
-	.C(n_4249),
-	.D(n_9690),
-	.Y(n_10306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387511 (
-	.A(FE_OFN1497_n_10262),
-	.Y(n_10261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387512 (
-	.A(FE_OFN1496_n_10260),
-	.Y(n_10259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387513 (
-	.A(FE_OFN16134_n_10258),
-	.Y(n_10257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387514 (
-	.A(FE_OFN1494_n_10256),
-	.Y(n_10255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387515 (
-	.A(n_10254),
-	.Y(n_10253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g387516 (
-	.A(n_10128),
-	.B(n_184),
-	.C(u_top_u_core_csr_op[1]),
-	.X(n_10249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387517 (
-	.A(n_10157),
-	.B(n_185),
-	.Y(n_10248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387518 (
-	.A1(n_9246),
-	.A2(FE_OFN16141_n_1722),
-	.B1(n_9386),
-	.B2(n_9167),
-	.C1(n_10090),
-	.Y(n_10247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387519 (
-	.A(FE_OFN1491_n_10194),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387520 (
-	.A(FE_OFN1490_n_10192),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387521 (
-	.A(n_10190),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387522 (
-	.A(FE_OFN1491_n_10194),
-	.B(n_4923),
-	.Y(n_10243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387523 (
-	.A(FE_OFN1490_n_10192),
-	.B(n_4923),
-	.Y(n_10242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387524 (
-	.A(n_10190),
-	.B(n_4924),
-	.Y(n_10241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387525 (
-	.A(FE_OFN1491_n_10194),
-	.B(n_4927),
-	.Y(n_10240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387526 (
-	.A(FE_OFN1490_n_10192),
-	.B(n_4928),
-	.Y(n_10239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387527 (
-	.A(n_10190),
-	.B(n_4928),
-	.Y(n_10238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387528 (
-	.A(n_10188),
-	.B(FE_OFN1052_n_15754),
-	.Y(n_10237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g387529 (
-	.A1(n_9170),
-	.A2(n_10027),
-	.A3(n_9166),
-	.B1(n_9242),
-	.B2(n_8195),
-	.Y(n_10236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387530 (
-	.A(n_10188),
-	.B(n_4924),
-	.Y(n_10235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387531 (
-	.A(n_10188),
-	.B(n_4928),
-	.Y(n_10234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a311o_1 g387532 (
-	.A1(n_15780),
-	.A2(n_9646),
-	.A3(n_8570),
-	.B1(n_9423),
-	.C1(n_10108),
-	.X(n_10233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387533 (
-	.A1(FE_OFN1306_n_1247),
-	.A2(n_10048),
-	.B1(n_4939),
-	.B2(n_1371),
-	.C1(n_5704),
-	.Y(n_10232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387534 (
-	.A1(n_3514),
-	.A2(FE_OFN1832_xbar_to_dccm_a_address__4),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[4]),
-	.C1(n_10142),
-	.X(n_10231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387535 (
-	.A1(n_9974),
-	.A2(n_1760),
-	.B1(n_10205),
-	.Y(n_10230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o311ai_1 g387536 (
-	.A1(FE_OFN1587_n_8573),
-	.A2(FE_OFN1341_n_8571),
-	.A3(n_9390),
-	.B1(n_9413),
-	.C1(n_10115),
-	.Y(n_10229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g387537 (
-	.A1(FE_OFN1598_n_8996),
-	.A2(n_9638),
-	.B1(n_9905),
-	.C1(n_10072),
-	.Y(n_10228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g387538 (
-	.A(n_10132),
-	.B(n_662),
-	.C(u_top_u_core_csr_op[1]),
-	.X(n_10227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g387539 (
-	.A(n_10131),
-	.B(n_660),
-	.C(u_top_u_core_csr_op[1]),
-	.X(n_10226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g387540 (
-	.A(n_10130),
-	.B(n_631),
-	.C(u_top_u_core_csr_op[1]),
-	.X(n_10225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g387541 (
-	.A(n_10129),
-	.B(n_650),
-	.C(u_top_u_core_csr_op[1]),
-	.X(n_10224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g387542 (
-	.A1(n_5821),
-	.A2(n_5796),
-	.B1(n_9061),
-	.B2(n_4269),
-	.C1(n_10093),
-	.Y(n_10223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g387543 (
-	.A(n_10127),
-	.B(n_663),
-	.C(u_top_u_core_csr_op[1]),
-	.X(n_10222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g387544 (
-	.A(n_10126),
-	.B(n_632),
-	.C(u_top_u_core_csr_op[1]),
-	.X(n_10221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387545 (
-	.A1(FE_OFN990_n_4938),
-	.A2(n_2262),
-	.B1(n_4939),
-	.B2(n_2263),
-	.C1(n_10101),
-	.Y(n_10220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387546 (
-	.A1(FE_OFN990_n_4938),
-	.A2(n_2264),
-	.B1(n_4939),
-	.B2(n_2265),
-	.C1(n_10100),
-	.Y(n_10219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387547 (
-	.A1(FE_OFN1039_n_13259),
-	.A2(u_top_u_core_rf_wdata_fwd_wb[7]),
-	.B1(n_2145),
-	.B2(\xbar_to_dccm[a_address] [6]),
-	.C1(n_10069),
-	.Y(n_10218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387548 (
-	.A1(n_9980),
-	.A2(n_1246),
-	.B1(n_5688),
-	.C1(n_5652),
-	.D1(n_6367),
-	.Y(n_10217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387549 (
-	.A(n_10087),
-	.B(n_5662),
-	.C(n_1206),
-	.Y(n_10216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387550 (
-	.A1(n_10017),
-	.A2(n_8983),
-	.B1(FE_OFN1782_n_5758),
-	.B2(u_top_u_core_instr_rdata_id[11]),
-	.C1(n_9784),
-	.X(n_10215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387551 (
-	.A1(FE_OFN837_lsu_to_xbar_a_address__17),
-	.A2(n_3514),
-	.B1(FE_OFN1306_n_1247),
-	.B2(n_10045),
-	.C1(n_4939),
-	.C2(n_1382),
-	.Y(n_10214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387552 (
-	.A1(FE_OFN833_lsu_to_xbar_a_address__21),
-	.A2(n_3514),
-	.B1(FE_OFN1306_n_1247),
-	.B2(n_10046),
-	.C1(n_4939),
-	.C2(n_1385),
-	.Y(n_10213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g387553 (
-	.A1(n_10026),
-	.A2(n_1246),
-	.B1(n_1196),
-	.B2(FE_OFN1331_n_4941),
-	.C1(n_6392),
-	.Y(n_10212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g387554 (
-	.A1(n_15783),
-	.A2(n_1989),
-	.A3(\u_top_u_core_imd_val_q_ex[1] [27]),
-	.B1(n_10181),
-	.Y(n_10211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387555 (
-	.A(n_10073),
-	.B(n_9782),
-	.C(n_9907),
-	.Y(n_10210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387556 (
-	.A1(n_5759),
-	.A2(n_13471),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
-	.C1(n_10120),
-	.X(n_10209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387557 (
-	.A1(n_5762),
-	.A2(n_13406),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
-	.C1(n_10121),
-	.X(n_10208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387558 (
-	.A1(n_4939),
-	.A2(n_1377),
-	.B1(FE_OFN1306_n_1247),
-	.B2(n_10047),
-	.C1(n_5701),
-	.X(n_10207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387559 (
-	.A(n_10067),
-	.B(n_9781),
-	.C(n_9905),
-	.Y(n_10206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387561 (
-	.A1(n_10048),
-	.A2(n_647),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(FE_OCPN16287_n_13302),
-	.B2(n_1248),
-	.Y(n_10262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387562 (
-	.A1(n_10047),
-	.A2(n_195),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(n_13311),
-	.B2(n_1248),
-	.Y(n_10260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387563 (
-	.A1(n_10045),
-	.A2(n_207),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(n_13316),
-	.B2(n_1248),
-	.Y(n_10258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387564 (
-	.A1(n_10046),
-	.A2(n_667),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(n_13320),
-	.B2(n_1248),
-	.Y(n_10256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387565 (
-	.A1(FE_OFN1887_n_13310),
-	.A2(n_1248),
-	.B1(n_10182),
-	.Y(n_10254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g387566 (
-	.A(n_10203),
-	.B(n_10111),
-	.X(n_10252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387567 (
-	.A(n_10203),
-	.B(n_10015),
-	.C(n_3495),
-	.Y(n_10251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387568 (
-	.A(n_10203),
-	.B(n_10064),
-	.C(n_9650),
-	.Y(n_10250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387569 (
-	.A(n_10078),
-	.B(n_9680),
-	.Y(n_10202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387570 (
-	.A(n_10079),
-	.B(n_9681),
-	.Y(n_10201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387571 (
-	.A(n_10080),
-	.B(n_9682),
-	.Y(n_10200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387572 (
-	.A(n_10081),
-	.B(n_9683),
-	.Y(n_10199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387573 (
-	.A(n_10065),
-	.B(n_9645),
-	.Y(n_10198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387592 (
-	.A(n_1760),
-	.B(n_9974),
-	.Y(n_10205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387613 (
-	.A(n_178),
-	.B(n_9972),
-	.Y(n_10204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387619 (
-	.A(n_10104),
-	.B(u_top_u_core_load_store_unit_i_data_sign_ext_q),
-	.Y(n_10203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g387621 (
-	.A(FE_OFN1491_n_10194),
-	.Y(n_10193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g387622 (
-	.A(FE_OFN1490_n_10192),
-	.Y(n_10191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g387623 (
-	.A(n_10190),
-	.Y(n_10189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387624 (
-	.A(n_10188),
-	.Y(n_10187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387625 (
-	.A(n_10076),
-	.B(n_9678),
-	.Y(n_10186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387626 (
-	.A(u_uart_u_uart_core_rx_fifo_rst),
-	.B(n_10028),
-	.Y(n_10185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387627 (
-	.A1(FE_OFN1782_n_5758),
-	.A2(u_top_u_core_instr_rdata_id[10]),
-	.B1(n_10094),
-	.Y(n_10184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211a_1 g387628 (
-	.A1(FE_OFN1478_n_8999),
-	.A2(n_9641),
-	.B1(n_9781),
-	.C1(n_10006),
-	.X(n_10183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g387629 (
-	.A(n_170),
-	.B(FE_OFN1887_n_13310),
-	.C(n_10026),
-	.Y(n_10182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387630 (
-	.A1(n_2171),
-	.A2(n_3395),
-	.B1(n_3429),
-	.C1(n_8290),
-	.D1(n_10042),
-	.Y(n_10181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g387631 (
-	.A1(n_9928),
-	.A2(n_9781),
-	.A3(n_9905),
-	.B1(n_8195),
-	.Y(n_10180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387632 (
-	.A1(n_9958),
-	.A2(n_15780),
-	.B1(FE_OFN1782_n_5758),
-	.B2(u_top_u_core_instr_rdata_id[30]),
-	.C1(n_9815),
-	.Y(n_10179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387633 (
-	.A1(n_9787),
-	.A2(n_8580),
-	.B1(FE_OFN1782_n_5758),
-	.B2(u_top_u_core_instr_rdata_id[9]),
-	.C1(n_10071),
-	.X(n_10178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g387634 (
-	.A(FE_OFN15833_n_13635),
-	.B(FE_OFN15965_n_13636),
-	.C(FE_OFN1377_n_13660),
-	.D(n_9923),
-	.X(n_10177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g387635 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
-	.C(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
-	.D(n_9922),
-	.X(n_10176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387636 (
-	.A1(n_9975),
-	.A2(FE_OFN1306_n_1247),
-	.B1(n_4939),
-	.B2(n_1379),
-	.C1(n_3514),
-	.C2(\lsu_to_xbar[a_address] [14]),
-	.Y(n_10175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387637 (
-	.A1(n_8204),
-	.A2(\u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
-	.B1(n_1242),
-	.B2(u_top_u_core_priv_mode_id[0]),
-	.C1(n_10107),
-	.X(n_10174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387638 (
-	.A1(n_8204),
-	.A2(\u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
-	.B1(n_1242),
-	.B2(u_top_u_core_priv_mode_id[1]),
-	.C1(n_10107),
-	.X(n_10173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387639 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[2]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[2]),
-	.C1(n_10135),
-	.X(n_10172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387640 (
-	.A(n_9937),
-	.B(n_8686),
-	.C(n_1223),
-	.D(n_5660),
-	.Y(n_10171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387641 (
-	.A1(FE_OFN1782_n_5758),
-	.A2(u_top_u_core_instr_rdata_id[28]),
-	.B1(n_9884),
-	.B2(FE_OFN1594_n_8967),
-	.C1(n_10039),
-	.Y(n_10170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387642 (
-	.A1(n_5759),
-	.A2(n_13476),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
-	.C1(n_10043),
-	.X(n_10169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387643 (
-	.A1(n_5762),
-	.A2(n_13411),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
-	.C1(n_10034),
-	.X(n_10168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387644 (
-	.A1(n_5759),
-	.A2(n_13469),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
-	.C1(n_10030),
-	.X(n_10167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387645 (
-	.A1(n_5762),
-	.A2(n_13404),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
-	.C1(n_10029),
-	.X(n_10166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387646 (
-	.A1(n_2273),
-	.A2(n_9418),
-	.B1(FE_OFN16751_n_9951),
-	.B2(n_2267),
-	.C1(u_top_u_core_rf_wdata_fwd_wb[28]),
-	.C2(n_608),
-	.Y(n_10165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387647 (
-	.A1(FE_OFN976_n_2271),
-	.A2(FE_OFN16721_n_9398),
-	.B1(FE_OFN16751_n_9951),
-	.B2(n_2266),
-	.C1(n_608),
-	.C2(u_top_u_core_rf_wdata_fwd_wb[20]),
-	.Y(n_10164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387648 (
-	.A1(FE_OFN976_n_2271),
-	.A2(FE_OFN1359_n_9403),
-	.B1(n_9950),
-	.B2(n_2266),
-	.C1(n_2267),
-	.C2(u_top_u_core_load_store_unit_i_rdata_q[29]),
-	.Y(n_10163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387649 (
-	.A1(FE_OFN976_n_2271),
-	.A2(FE_OFN1357_n_9400),
-	.B1(n_9949),
-	.B2(n_2267),
-	.C1(n_9414),
-	.C2(n_2273),
-	.Y(n_10162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387650 (
-	.A1(FE_OFN976_n_2271),
-	.A2(FE_OFN1358_n_9401),
-	.B1(n_9946),
-	.B2(n_2267),
-	.C1(n_9402),
-	.C2(n_2266),
-	.Y(n_10161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387651 (
-	.A1(FE_OFN976_n_2271),
-	.A2(FE_OFN16677_n_9406),
-	.B1(n_9947),
-	.B2(n_2267),
-	.C1(u_top_u_core_rf_wdata_fwd_wb[26]),
-	.C2(n_608),
-	.Y(n_10160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387652 (
-	.A1(FE_OFN976_n_2271),
-	.A2(FE_OFN16724_n_9408),
-	.B1(n_9948),
-	.B2(n_2267),
-	.C1(FE_OFN16679_n_9407),
-	.C2(n_2266),
-	.Y(n_10159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387653 (
-	.A1(FE_OFN976_n_2271),
-	.A2(FE_OFN1360_n_9404),
-	.B1(n_9950),
-	.B2(n_2267),
-	.C1(n_9419),
-	.C2(n_2273),
-	.Y(n_10158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387654 (
-	.A1(n_9942),
-	.A2(n_9045),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mstatus_mie),
-	.C1(n_9009),
-	.C2(u_top_u_core_cs_registers_i_csr_rdata_int[7]),
-	.Y(n_10157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387655 (
-	.A1(FE_OFN1469_n_3412),
-	.A2(n_9638),
-	.B1(n_9317),
-	.C1(n_9804),
-	.D1(n_9938),
-	.Y(n_10156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387656 (
-	.A(n_10077),
-	.B(n_9679),
-	.Y(n_10155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g387657 (
-	.A1_N(u_top_u_core_debug_single_step),
-	.A2_N(n_5797),
-	.B1(FE_OFN1487_n_10025),
-	.B2(n_5797),
-	.Y(n_10154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g387658 (
-	.A1_N(u_top_u_core_csr_depc[1]),
-	.A2_N(FE_OFN1648_n_3261),
-	.B1(n_10005),
-	.B2(FE_OFN1648_n_3261),
-	.Y(n_10153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387659 (
-	.A1(FE_OFN1039_n_13259),
-	.A2(u_top_u_core_rf_wdata_fwd_wb[6]),
-	.B1(n_2145),
-	.B2(FE_OFN16787_xbar_to_dccm_a_address__5),
-	.C1(n_10011),
-	.Y(n_10152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387660 (
-	.A1(n_2273),
-	.A2(FE_OFN1357_n_9400),
-	.B1(n_9949),
-	.B2(n_2266),
-	.C1(n_2267),
-	.C2(u_top_u_core_load_store_unit_i_rdata_q[24]),
-	.Y(n_10151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387661 (
-	.A1(n_2273),
-	.A2(FE_OFN1358_n_9401),
-	.B1(n_9946),
-	.B2(n_2266),
-	.C1(n_608),
-	.C2(u_top_u_core_rf_wdata_fwd_wb[17]),
-	.Y(n_10150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387662 (
-	.A1(n_2273),
-	.A2(FE_OFN16677_n_9406),
-	.B1(n_9947),
-	.B2(n_2266),
-	.C1(n_2267),
-	.C2(u_top_u_core_load_store_unit_i_rdata_q[26]),
-	.Y(n_10149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387663 (
-	.A1(n_2273),
-	.A2(FE_OFN16724_n_9408),
-	.B1(n_9948),
-	.B2(n_2266),
-	.C1(n_2267),
-	.C2(u_top_u_core_load_store_unit_i_rdata_q[27]),
-	.Y(n_10148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387664 (
-	.A1(n_8574),
-	.A2(n_9637),
-	.B1(n_9952),
-	.B2(n_8578),
-	.C1(FE_OFN1782_n_5758),
-	.C2(u_top_u_core_instr_rdata_id[7]),
-	.Y(n_10147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387665 (
-	.A1(FE_OFN1487_n_10025),
-	.A2(n_4921),
-	.B1(n_8452),
-	.Y(n_10146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387666 (
-	.A1(FE_OFN1487_n_10025),
-	.A2(n_4926),
-	.B1(n_8512),
-	.Y(n_10145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387667 (
-	.A1(n_1242),
-	.A2(FE_OFN1487_n_10025),
-	.B1(n_2999),
-	.Y(n_10144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387668 (
-	.A1(n_10024),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[2]),
-	.X(n_10143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387669 (
-	.A1(FE_OFN1554_n_15782),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [4]),
-	.B1(n_2145),
-	.B2(FE_OFN851_xbar_to_dccm_a_address__3),
-	.C1(n_10008),
-	.X(n_10142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g387670 (
-	.A1(FE_OFN1595_n_8978),
-	.A2(n_9640),
-	.B1(n_10114),
-	.Y(n_10196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387671 (
-	.A(n_9968),
-	.B(n_9413),
-	.C(n_9389),
-	.D(n_9640),
-	.Y(n_10195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387672 (
-	.A1(n_9977),
-	.A2(n_669),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(n_13308),
-	.B2(n_1248),
-	.Y(n_10194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387673 (
-	.A1(n_9976),
-	.A2(n_639),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(n_13309),
-	.B2(n_1248),
-	.Y(n_10192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387674 (
-	.A1(n_9975),
-	.A2(n_648),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(n_13313),
-	.B2(n_1248),
-	.Y(n_10190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387675 (
-	.A1(n_13329),
-	.A2(n_1248),
-	.B1(n_10096),
-	.Y(n_10188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387676 (
-	.A1(n_1969),
-	.A2(n_3693),
-	.B1(n_4933),
-	.C1(n_8640),
-	.D1(n_9835),
-	.Y(n_10124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387677 (
-	.A1(n_1969),
-	.A2(n_3705),
-	.B1(n_4933),
-	.C1(n_8641),
-	.D1(n_9847),
-	.Y(n_10123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387678 (
-	.A1(n_1969),
-	.A2(n_3700),
-	.B1(n_4933),
-	.C1(n_8637),
-	.D1(n_9843),
-	.Y(n_10122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387679 (
-	.A(FE_OFN1487_n_10025),
-	.B(n_4927),
-	.Y(n_10121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387680 (
-	.A(FE_OFN1487_n_10025),
-	.B(n_4923),
-	.Y(n_10120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387681 (
-	.A1(n_1969),
-	.A2(n_3699),
-	.B1(n_4933),
-	.C1(n_8636),
-	.D1(n_9839),
-	.Y(n_10119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387682 (
-	.A1(n_1969),
-	.A2(n_3684),
-	.B1(n_4933),
-	.C1(n_8638),
-	.D1(n_9856),
-	.Y(n_10118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387683 (
-	.A1(n_1969),
-	.A2(n_3679),
-	.B1(n_4933),
-	.C1(n_8644),
-	.D1(n_9845),
-	.Y(n_10117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387684 (
-	.A1(n_1969),
-	.A2(n_3690),
-	.B1(n_4933),
-	.C1(n_8646),
-	.D1(n_9849),
-	.Y(n_10116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387685 (
-	.A(n_9995),
-	.B(n_10004),
-	.Y(n_10115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387686 (
-	.A(n_8568),
-	.B(n_10027),
-	.Y(n_10114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387687 (
-	.A1(n_1969),
-	.A2(n_3701),
-	.B1(n_4933),
-	.C1(n_8653),
-	.D1(n_9844),
-	.Y(n_10113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387688 (
-	.A1(n_1969),
-	.A2(n_3686),
-	.B1(n_4933),
-	.C1(n_8654),
-	.D1(n_9837),
-	.Y(n_10112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387689 (
-	.A(n_10036),
-	.B(u_top_u_core_load_store_unit_i_data_sign_ext_q),
-	.Y(n_10111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387690 (
-	.A1(n_1969),
-	.A2(n_3687),
-	.B1(n_4933),
-	.C1(n_8670),
-	.D1(n_9848),
-	.Y(n_10110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387691 (
-	.A1(n_1969),
-	.A2(n_3706),
-	.B1(n_4933),
-	.C1(n_8667),
-	.D1(n_9838),
-	.Y(n_10109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g387692 (
-	.A1(n_8576),
-	.A2(n_9906),
-	.B1(FE_OFN1344_n_8982),
-	.B2(n_8583),
-	.C1(n_9698),
-	.Y(n_10108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387694 (
-	.A(n_10010),
-	.B(n_1677),
-	.Y(n_10141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387695 (
-	.A(n_9992),
-	.B(n_1677),
-	.Y(n_10140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387696 (
-	.A(n_9987),
-	.B(n_2315),
-	.Y(n_10139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387697 (
-	.A(n_9993),
-	.B(n_2315),
-	.Y(n_10138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387701 (
-	.A(n_9981),
-	.B(n_3358),
-	.Y(n_10137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387702 (
-	.A(n_10007),
-	.B(n_3358),
-	.Y(n_10136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387706 (
-	.A(FE_OFN1312_n_2269),
-	.B(FE_OFN1487_n_10025),
-	.Y(n_10135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387709 (
-	.A(n_9990),
-	.B(n_1677),
-	.Y(n_10134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387710 (
-	.A(n_9989),
-	.B(n_1677),
-	.Y(n_10133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387711 (
-	.A(n_9988),
-	.B(n_1677),
-	.Y(n_10132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387712 (
-	.A(n_9986),
-	.B(n_1677),
-	.Y(n_10131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387713 (
-	.A(n_9985),
-	.B(n_1677),
-	.Y(n_10130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387714 (
-	.A(n_9984),
-	.B(n_1677),
-	.Y(n_10129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387715 (
-	.A(n_9983),
-	.B(n_1677),
-	.Y(n_10128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387716 (
-	.A(n_9982),
-	.B(n_1677),
-	.Y(n_10127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387717 (
-	.A(n_9999),
-	.B(n_1677),
-	.Y(n_10126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387720 (
-	.A(n_9991),
-	.B(n_1677),
-	.Y(n_10125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387735 (
-	.A1(n_1969),
-	.A2(n_3697),
-	.B1(n_4933),
-	.C1(n_8643),
-	.D1(n_9842),
-	.Y(n_10105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387736 (
-	.A1(n_1290),
-	.A2(n_9911),
-	.B1(n_9547),
-	.Y(n_10104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g387737 (
-	.A1(FE_OFN1782_n_5758),
-	.A2(u_top_u_core_instr_rdata_id[5]),
-	.B1(n_9422),
-	.C1(n_9912),
-	.Y(n_10103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387738 (
-	.A1(n_1969),
-	.A2(n_3689),
-	.B1(n_4933),
-	.C1(n_8642),
-	.D1(n_9850),
-	.Y(n_10102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g387739 (
-	.A1_N(n_9977),
-	.A2_N(FE_OFN1306_n_1247),
-	.B1(n_1217),
-	.B2(FE_OFN1331_n_4941),
-	.Y(n_10101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g387740 (
-	.A1_N(n_9976),
-	.A2_N(FE_OFN1306_n_1247),
-	.B1(n_1214),
-	.B2(FE_OFN1331_n_4941),
-	.Y(n_10100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387741 (
-	.A1(n_1969),
-	.A2(n_3702),
-	.B1(n_4933),
-	.C1(n_8649),
-	.D1(n_9841),
-	.Y(n_10099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387742 (
-	.A1(n_1969),
-	.A2(n_3707),
-	.B1(n_4933),
-	.C1(n_8650),
-	.D1(n_9846),
-	.Y(n_10098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387743 (
-	.A1(n_1969),
-	.A2(n_3628),
-	.B1(n_4933),
-	.C1(n_8655),
-	.D1(n_9840),
-	.Y(n_10097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g387744 (
-	.A(n_170),
-	.B(n_13329),
-	.C(n_9980),
-	.Y(n_10096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g387745 (
-	.A(n_9910),
-	.B(n_9638),
-	.C(n_9317),
-	.X(n_10095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387746 (
-	.A1(n_9953),
-	.A2(n_8207),
-	.B1(FE_OFN1813_n_8986),
-	.Y(n_10094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g387747 (
-	.A1(FE_OFN990_n_4938),
-	.A2(FE_OCPN16286_u_top_u_core_alu_operand_b_ex_2),
-	.A3(FE_OCPN16324_n_13301),
-	.B1(n_10012),
-	.Y(n_10093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387748 (
-	.A1(n_1969),
-	.A2(n_3691),
-	.B1(n_4933),
-	.C1(n_8666),
-	.D1(n_9836),
-	.Y(n_10092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387749 (
-	.A1(n_1969),
-	.A2(n_3696),
-	.B1(n_4933),
-	.C1(n_3734),
-	.D1(n_9917),
-	.Y(n_10091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g387750 (
-	.A1(n_8825),
-	.A2(n_9311),
-	.A3(n_9885),
-	.B1(n_15759),
-	.Y(n_10090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387751 (
-	.A1(n_9902),
-	.A2(FE_OFN1589_n_8577),
-	.B1(FE_OFN1782_n_5758),
-	.B2(u_top_u_core_instr_rdata_id[3]),
-	.C1(n_9243),
-	.X(n_10089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g387752 (
-	.A1(n_9704),
-	.A2(n_8195),
-	.B1(FE_OFN1346_n_8988),
-	.B2(n_9368),
-	.C1(n_9994),
-	.Y(n_10088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387753 (
-	.A1(FE_OFN1554_n_15782),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [1]),
-	.B1(n_2145),
-	.B2(n_16024),
-	.C1(n_10035),
-	.Y(n_10087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387754 (
-	.A1(n_1969),
-	.A2(n_3692),
-	.B1(n_4933),
-	.C1(n_8673),
-	.D1(n_9851),
-	.Y(n_10086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g387755 (
-	.A(n_9362),
-	.B(n_9871),
-	.C(n_9646),
-	.D(n_9904),
-	.Y(n_10085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387756 (
-	.A1(n_5759),
-	.A2(n_13470),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
-	.C1(n_9966),
-	.X(n_10084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387757 (
-	.A1(n_5762),
-	.A2(n_13405),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
-	.C1(n_9967),
-	.X(n_10083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387758 (
-	.A1(n_9886),
-	.A2(FE_OFN1824_n_9310),
-	.A3(FE_OFN1623_n_9245),
-	.B1(n_9930),
-	.B2(FE_OFN16141_n_1722),
-	.Y(n_10082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387759 (
-	.A1(u_top_u_core_load_store_unit_i_rdata_q[16]),
-	.A2(n_2267),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[8]),
-	.C1(n_9949),
-	.C2(n_3271),
-	.Y(n_10081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387760 (
-	.A1(u_top_u_core_load_store_unit_i_rdata_q[17]),
-	.A2(n_2267),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[9]),
-	.C1(n_9946),
-	.C2(n_3271),
-	.Y(n_10080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387761 (
-	.A1(u_top_u_core_load_store_unit_i_rdata_q[26]),
-	.A2(n_2266),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[10]),
-	.C1(n_9947),
-	.C2(n_3271),
-	.Y(n_10079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387762 (
-	.A1(u_top_u_core_load_store_unit_i_rdata_q[27]),
-	.A2(n_2266),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[11]),
-	.C1(n_9948),
-	.C2(n_3271),
-	.Y(n_10078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387763 (
-	.A1(u_top_u_core_load_store_unit_i_rdata_q[20]),
-	.A2(n_2267),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[12]),
-	.C1(FE_OFN16751_n_9951),
-	.C2(n_3271),
-	.Y(n_10077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387764 (
-	.A1(u_top_u_core_load_store_unit_i_rdata_q[29]),
-	.A2(n_2266),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[13]),
-	.C1(n_9950),
-	.C2(n_3271),
-	.Y(n_10076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387765 (
-	.A1(n_5759),
-	.A2(n_13475),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
-	.C1(n_9964),
-	.X(n_10075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387766 (
-	.A1(n_5762),
-	.A2(n_13410),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
-	.C1(n_9965),
-	.X(n_10074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387767 (
-	.A1(n_8985),
-	.A2(n_9656),
-	.B1(n_9884),
-	.B2(n_8960),
-	.C1(FE_OFN1782_n_5758),
-	.C2(u_top_u_core_instr_rdata_id[29]),
-	.Y(n_10073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387768 (
-	.A1(n_9160),
-	.A2(n_8983),
-	.B1(n_10041),
-	.Y(n_10072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g387769 (
-	.A1(FE_OFN1347_n_8992),
-	.A2(n_9961),
-	.B1(FE_OFN1341_n_8571),
-	.B2(n_9389),
-	.Y(n_10071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387770 (
-	.A1(n_9787),
-	.A2(FE_OFN1589_n_8577),
-	.B1(FE_OFN1782_n_5758),
-	.B2(u_top_u_core_instr_rdata_id[8]),
-	.C1(n_10032),
-	.X(n_10070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387771 (
-	.A(n_9941),
-	.B(n_5703),
-	.C(n_9379),
-	.Y(n_10069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387772 (
-	.A(FE_OFN1833_n_9166),
-	.B(n_9882),
-	.C(n_9244),
-	.D(n_9389),
-	.Y(n_10068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g387773 (
-	.A1(n_9160),
-	.A2(n_8578),
-	.B1(n_9909),
-	.C1(n_9790),
-	.Y(n_10067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387774 (
-	.A1(n_9902),
-	.A2(n_8574),
-	.B1(FE_OFN1782_n_5758),
-	.B2(u_top_u_core_instr_rdata_id[2]),
-	.C1(n_9776),
-	.Y(n_10066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387775 (
-	.A1(n_9314),
-	.A2(n_15780),
-	.B1(n_9902),
-	.B2(n_8570),
-	.C1(FE_OFN1782_n_5758),
-	.C2(u_top_u_core_instr_rdata_id[6]),
-	.Y(n_10065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387776 (
-	.A1(n_608),
-	.A2(u_top_u_core_rf_wdata_fwd_wb[15]),
-	.B1(n_10031),
-	.Y(n_10064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387777 (
-	.A1(n_9945),
-	.A2(n_4921),
-	.B1(n_8450),
-	.Y(n_10063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387778 (
-	.A1(FE_OFN1484_n_9943),
-	.A2(n_4921),
-	.B1(n_8457),
-	.Y(n_10062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387779 (
-	.A1(n_9945),
-	.A2(n_4926),
-	.B1(n_8510),
-	.Y(n_10061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387780 (
-	.A1(FE_OFN1484_n_9943),
-	.A2(n_4926),
-	.B1(n_8517),
-	.Y(n_10060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387781 (
-	.A1(n_1242),
-	.A2(FE_OFN1484_n_9943),
-	.B1(n_2351),
-	.Y(n_10059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387782 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN1484_n_9943),
-	.B1(n_3492),
-	.Y(n_10058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387783 (
-	.A1(n_9944),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[0]),
-	.X(n_10057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387784 (
-	.A1(n_9942),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[7]),
-	.X(n_10056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g387785 (
-	.A1(n_9949),
-	.A2(FE_OFN1342_n_8823),
-	.B1(n_2267),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[8]),
-	.Y(n_10055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g387786 (
-	.A1(n_9946),
-	.A2(FE_OFN1342_n_8823),
-	.B1(n_2267),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[9]),
-	.Y(n_10054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g387787 (
-	.A1(n_9947),
-	.A2(FE_OFN1342_n_8823),
-	.B1(n_2267),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[10]),
-	.Y(n_10053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g387788 (
-	.A1(n_9948),
-	.A2(FE_OFN1342_n_8823),
-	.B1(n_2267),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[11]),
-	.Y(n_10052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g387789 (
-	.A1(FE_OFN16751_n_9951),
-	.A2(FE_OFN1342_n_8823),
-	.B1(n_2267),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[12]),
-	.Y(n_10051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g387790 (
-	.A1(n_9950),
-	.A2(FE_OFN1342_n_8823),
-	.B1(n_2267),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[13]),
-	.Y(n_10050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387791 (
-	.A1(n_9944),
-	.A2(n_2268),
-	.B1(n_1668),
-	.B2(u_top_u_core_exc_cause[0]),
-	.X(n_10049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387792 (
-	.A1(n_9945),
-	.A2(FE_OFN1482_n_9879),
-	.B1(n_5797),
-	.Y(n_10107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387793 (
-	.A1(n_3293),
-	.A2(n_9387),
-	.B1(n_4256),
-	.C1(n_9810),
-	.D1(n_9809),
-	.Y(n_10106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387794 (
-	.A(FE_OFN1484_n_9943),
-	.B(n_4923),
-	.Y(n_10043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g387795 (
-	.A(n_7721),
-	.B(n_8291),
-	.C(n_9859),
-	.Y(n_10042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g387796 (
-	.A1(n_9804),
-	.A2(n_9410),
-	.A3(n_9381),
-	.B1(FE_OFN1341_n_8571),
-	.Y(n_10041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387797 (
-	.A1(FE_OFN1782_n_5758),
-	.A2(FE_OFN817_u_top_u_core_instr_rdata_id_25),
-	.B1(n_9925),
-	.Y(n_10040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31o_1 g387798 (
-	.A1(n_15780),
-	.A2(n_15758),
-	.A3(n_8580),
-	.B1(n_9833),
-	.X(n_10039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g387799 (
-	.A1(n_1753),
-	.A2(n_9643),
-	.B1(n_9974),
-	.X(n_10038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g387800 (
-	.A1(n_1668),
-	.A2(u_top_u_core_exc_cause[1]),
-	.B1(n_9978),
-	.X(n_10037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387801 (
-	.A1(n_2321),
-	.A2(n_9383),
-	.B1(n_9650),
-	.C1(n_9800),
-	.D1(n_9649),
-	.Y(n_10036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387802 (
-	.A1(n_9146),
-	.A2(n_4269),
-	.B1(n_7686),
-	.C1(n_5705),
-	.D1(n_9858),
-	.Y(n_10035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387803 (
-	.A(FE_OFN1484_n_9943),
-	.B(n_4927),
-	.Y(n_10034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387804 (
-	.A(n_9924),
-	.B(n_8569),
-	.Y(n_10033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g387805 (
-	.A(n_8989),
-	.B_N(n_9952),
-	.Y(n_10032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387806 (
-	.A(n_9873),
-	.B(n_9649),
-	.C(n_3457),
-	.Y(n_10031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387807 (
-	.A(n_9945),
-	.B(n_4923),
-	.Y(n_10030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387808 (
-	.A(n_9945),
-	.B(n_4927),
-	.Y(n_10029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387809 (
-	.A1(u_uart_u_uart_core_read_fifo_raddr[4]),
-	.A2(n_9647),
-	.B1(n_9972),
-	.Y(n_10028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387813 (
-	.A(n_9725),
-	.B(n_3456),
-	.C(n_3096),
-	.D(n_3358),
-	.Y(n_10048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387815 (
-	.A(n_9723),
-	.B(n_2874),
-	.C(n_3358),
-	.D(n_7674),
-	.Y(n_10047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387816 (
-	.A(n_9717),
-	.B(n_3478),
-	.C(n_1677),
-	.D(n_9055),
-	.Y(n_10046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387818 (
-	.A(n_9721),
-	.B(n_3471),
-	.C(n_1677),
-	.D(n_9059),
-	.Y(n_10045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g387837 (
-	.A(n_9423),
-	.B_N(n_9973),
-	.Y(n_10044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387839 (
-	.A(FE_OFN1487_n_10025),
-	.Y(n_10024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387840 (
-	.A1(n_1242),
-	.A2(FE_OFN1483_n_9881),
-	.B1(n_2972),
-	.Y(n_10022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g387841 (
-	.A_N(n_9423),
-	.B(n_9823),
-	.C(n_9907),
-	.Y(n_10021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387842 (
-	.A1(n_1151),
-	.A2(n_4937),
-	.B1(n_9789),
-	.C1(n_5756),
-	.D1(n_9869),
-	.Y(n_10020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387843 (
-	.A1(n_9786),
-	.A2(main_swith_host_lsu_num_req_outstanding[15]),
-	.B1(n_1257),
-	.B2(n_13748),
-	.C1(n_9808),
-	.X(n_10019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387844 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[1]),
-	.B1(n_2270),
-	.B2(FE_OFN823_u_top_u_core_pc_if_1),
-	.C1(n_9978),
-	.X(n_10018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387845 (
-	.A1(n_9382),
-	.A2(n_8207),
-	.B1(n_9953),
-	.Y(n_10017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387846 (
-	.A1(n_1259),
-	.A2(n_5050),
-	.B1(n_5052),
-	.C1(n_4933),
-	.D1(n_9707),
-	.Y(n_10016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387847 (
-	.A1(n_9642),
-	.A2(n_3271),
-	.B1(n_9920),
-	.Y(n_10015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387848 (
-	.A1(n_5759),
-	.A2(n_13473),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
-	.C1(n_9889),
-	.X(n_10014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387849 (
-	.A1(n_5762),
-	.A2(n_13408),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
-	.C1(n_9888),
-	.X(n_10013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387850 (
-	.A1(n_4939),
-	.A2(n_1365),
-	.B1(FE_OFN1306_n_1247),
-	.B2(n_9807),
-	.C1(n_5669),
-	.X(n_10012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g387851 (
-	.A1(n_8873),
-	.A2(n_4269),
-	.B1(n_1201),
-	.B2(FE_OFN1331_n_4941),
-	.C1(n_9865),
-	.Y(n_10011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387852 (
-	.A1(FE_OFN1617_n_1061),
-	.A2(u_top_u_core_cs_registers_i_dscratch1_q[31]),
-	.B1(FE_OFN1579_n_1971),
-	.B2(u_top_u_core_cs_registers_i_dscratch0_q[31]),
-	.C1(n_9860),
-	.Y(n_10010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387853 (
-	.A1(n_9891),
-	.A2(main_swith_host_lsu_num_req_outstanding[16]),
-	.B1(n_1257),
-	.B2(n_13749),
-	.C1(n_9894),
-	.X(n_10009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g387854 (
-	.A1(n_8258),
-	.A2(n_5796),
-	.B1(n_8874),
-	.B2(n_4269),
-	.C1(n_9870),
-	.Y(n_10008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387855 (
-	.A1(FE_OFN1618_n_1243),
-	.A2(u_top_u_core_cs_registers_i_mscratch_q[29]),
-	.B1(FE_OFN1580_n_2147),
-	.B2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
-	.C1(n_9874),
-	.Y(n_10007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g387856 (
-	.A1(n_9471),
-	.A2(n_15758),
-	.B1(n_8574),
-	.B2(n_9904),
-	.Y(n_10006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387857 (
-	.A1(u_top_u_core_pc_id[1]),
-	.A2(FE_OFN1308_n_1676),
-	.B1(n_1980),
-	.B2(FE_OFN823_u_top_u_core_pc_if_1),
-	.C1(n_9878),
-	.C2(n_1241),
-	.Y(n_10005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387858 (
-	.A1(FE_OFN1595_n_8978),
-	.A2(n_9633),
-	.B1(n_9971),
-	.Y(n_10004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387859 (
-	.A1(FE_OFN1482_n_9879),
-	.A2(n_4921),
-	.B1(n_8451),
-	.Y(n_10003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387860 (
-	.A1(FE_OFN1483_n_9881),
-	.A2(n_4921),
-	.B1(n_8456),
-	.Y(n_10002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387861 (
-	.A1(FE_OFN1482_n_9879),
-	.A2(n_4926),
-	.B1(n_8511),
-	.Y(n_10001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387862 (
-	.A1(FE_OFN1483_n_9881),
-	.A2(n_4926),
-	.B1(n_8516),
-	.Y(n_10000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387863 (
-	.A1(FE_OFN1618_n_1243),
-	.A2(u_top_u_core_cs_registers_i_mscratch_q[28]),
-	.B1(FE_OFN1580_n_2147),
-	.B2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
-	.C1(n_9875),
-	.Y(n_9999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387864 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN1483_n_9881),
-	.B1(n_3494),
-	.Y(n_9998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g387865 (
-	.A1_N(u_top_u_core_csr_mtval[1]),
-	.A2_N(n_1668),
-	.B1(n_1668),
-	.B2(FE_OFN1482_n_9879),
-	.Y(n_9997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387866 (
-	.A1(n_9880),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[6]),
-	.X(n_9996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g387867 (
-	.A1(FE_OFN1588_n_8575),
-	.A2(n_9906),
-	.B1(FE_OFN1344_n_8982),
-	.B2(n_9318),
-	.Y(n_9995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387868 (
-	.A1(FE_OFN1781_n_5758),
-	.A2(FE_OFN15998_u_top_u_core_instr_rdata_id_14),
-	.B1(n_9960),
-	.Y(n_9994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387869 (
-	.A1(FE_OFN1620_n_1975),
-	.A2(u_top_u_core_csr_depc[8]),
-	.B1(n_4274),
-	.B2(\u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
-	.C1(n_9864),
-	.Y(n_9993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387870 (
-	.A1(FE_OFN1620_n_1975),
-	.A2(u_top_u_core_csr_depc[13]),
-	.B1(n_4274),
-	.B2(\u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
-	.C1(n_9862),
-	.Y(n_9992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387871 (
-	.A1(FE_OFN1617_n_1061),
-	.A2(u_top_u_core_cs_registers_i_dscratch1_q[15]),
-	.B1(FE_OFN1579_n_1971),
-	.B2(u_top_u_core_cs_registers_i_dscratch0_q[15]),
-	.C1(n_9861),
-	.Y(n_9991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387872 (
-	.A1(FE_OFN1618_n_1243),
-	.A2(u_top_u_core_cs_registers_i_mscratch_q[16]),
-	.B1(FE_OFN1580_n_2147),
-	.B2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
-	.C1(n_9896),
-	.Y(n_9990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387873 (
-	.A1(FE_OFN1618_n_1243),
-	.A2(u_top_u_core_cs_registers_i_mscratch_q[18]),
-	.B1(FE_OFN1580_n_2147),
-	.B2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
-	.C1(n_9897),
-	.Y(n_9989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387874 (
-	.A1(FE_OFN1618_n_1243),
-	.A2(u_top_u_core_cs_registers_i_mscratch_q[19]),
-	.B1(FE_OFN1580_n_2147),
-	.B2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
-	.C1(n_9898),
-	.Y(n_9988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387875 (
-	.A1(FE_OFN1618_n_1243),
-	.A2(u_top_u_core_cs_registers_i_mscratch_q[20]),
-	.B1(FE_OFN1580_n_2147),
-	.B2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
-	.C1(n_9899),
-	.Y(n_9987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387876 (
-	.A1(FE_OFN1618_n_1243),
-	.A2(u_top_u_core_cs_registers_i_mscratch_q[22]),
-	.B1(FE_OFN1580_n_2147),
-	.B2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
-	.C1(n_9900),
-	.Y(n_9986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387877 (
-	.A1(FE_OFN1618_n_1243),
-	.A2(u_top_u_core_cs_registers_i_mscratch_q[23]),
-	.B1(FE_OFN1580_n_2147),
-	.B2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
-	.C1(n_9890),
-	.Y(n_9985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387878 (
-	.A1(FE_OFN1618_n_1243),
-	.A2(u_top_u_core_cs_registers_i_mscratch_q[24]),
-	.B1(FE_OFN1580_n_2147),
-	.B2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
-	.C1(n_9892),
-	.Y(n_9984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387879 (
-	.A1(FE_OFN1618_n_1243),
-	.A2(u_top_u_core_cs_registers_i_mscratch_q[25]),
-	.B1(FE_OFN1580_n_2147),
-	.B2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
-	.C1(n_9893),
-	.Y(n_9983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387880 (
-	.A1(FE_OFN1618_n_1243),
-	.A2(u_top_u_core_cs_registers_i_mscratch_q[26]),
-	.B1(FE_OFN1580_n_2147),
-	.B2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
-	.C1(n_9877),
-	.Y(n_9982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387881 (
-	.A1(FE_OFN1618_n_1243),
-	.A2(u_top_u_core_cs_registers_i_mscratch_q[27]),
-	.B1(FE_OFN1580_n_2147),
-	.B2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
-	.C1(n_9876),
-	.Y(n_9981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387882 (
-	.A1(n_9883),
-	.A2(FE_OFN1824_n_9310),
-	.B1(n_9393),
-	.B2(FE_OFN1623_n_9245),
-	.X(n_10027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g387883 (
-	.A1(n_3508),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
-	.B1(n_1678),
-	.C1(n_9863),
-	.Y(n_10026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387884 (
-	.A1(n_9807),
-	.A2(n_668),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(FE_OCPN16324_n_13301),
-	.B2(n_1248),
-	.Y(n_10025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387885 (
-	.A(n_9811),
-	.B(n_9767),
-	.C(n_4255),
-	.D(n_9684),
-	.Y(n_10023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387886 (
-	.A1(FE_OFN1781_n_5758),
-	.A2(u_top_u_core_instr_rdata_id[12]),
-	.B1(n_9828),
-	.Y(n_9971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387887 (
-	.A1(n_1969),
-	.A2(n_3681),
-	.B1(n_5740),
-	.C1(n_4933),
-	.D1(n_9726),
-	.Y(n_9970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g387888 (
-	.A1(n_9174),
-	.A2(n_261),
-	.B1(u_uart_u_uart_core_rx_fifo_rst),
-	.C1(n_9647),
-	.Y(n_9969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g387889 (
-	.A(n_9422),
-	.B_N(n_9882),
-	.Y(n_9968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387890 (
-	.A(FE_OFN1482_n_9879),
-	.B(n_4927),
-	.Y(n_9967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387891 (
-	.A(FE_OFN1482_n_9879),
-	.B(n_4923),
-	.Y(n_9966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387892 (
-	.A(FE_OFN1483_n_9881),
-	.B(n_4927),
-	.Y(n_9965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387893 (
-	.A(FE_OFN1483_n_9881),
-	.B(n_4923),
-	.Y(n_9964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387894 (
-	.A1(n_1969),
-	.A2(n_3704),
-	.B1(n_4933),
-	.C1(n_8639),
-	.D1(n_9433),
-	.Y(n_9963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387895 (
-	.A1(n_1969),
-	.A2(n_3677),
-	.B1(n_4933),
-	.C1(n_8645),
-	.D1(n_9492),
-	.Y(n_9962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387896 (
-	.A(n_9546),
-	.B(n_9887),
-	.Y(n_9961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387897 (
-	.A(FE_OFN1591_n_8581),
-	.B(n_9906),
-	.Y(n_9960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387898 (
-	.A1(n_1969),
-	.A2(n_3685),
-	.B1(n_4933),
-	.C1(n_8647),
-	.D1(n_9432),
-	.Y(n_9959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387899 (
-	.A(FE_OFN1813_n_8986),
-	.B(n_9866),
-	.Y(n_9958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387900 (
-	.A1(n_1969),
-	.A2(n_3683),
-	.B1(n_4933),
-	.C1(n_8652),
-	.D1(n_9470),
-	.Y(n_9957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387901 (
-	.A1(n_1969),
-	.A2(n_3682),
-	.B1(n_4933),
-	.C1(n_8668),
-	.D1(n_9431),
-	.Y(n_9956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387902 (
-	.A1(n_1969),
-	.A2(n_3698),
-	.B1(n_4933),
-	.C1(n_8671),
-	.D1(n_9438),
-	.Y(n_9955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387903 (
-	.A1(n_1969),
-	.A2(n_3703),
-	.B1(n_4933),
-	.C1(n_8669),
-	.D1(n_9434),
-	.Y(n_9954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387904 (
-	.A(n_4274),
-	.B(n_9895),
-	.Y(n_9980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g387910 (
-	.A_N(n_9411),
-	.B(n_9883),
-	.Y(n_9979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387913 (
-	.A(FE_OFN1312_n_2269),
-	.B(FE_OFN1482_n_9879),
-	.Y(n_9978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387917 (
-	.A(n_9445),
-	.B(n_5158),
-	.C(n_4701),
-	.D(n_1677),
-	.Y(n_9977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387918 (
-	.A(n_9444),
-	.B(n_5153),
-	.C(n_4699),
-	.D(n_1677),
-	.Y(n_9976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387919 (
-	.A(n_9442),
-	.B(n_5131),
-	.C(n_4685),
-	.D(n_1677),
-	.Y(n_9975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387922 (
-	.A(n_9643),
-	.B(n_1753),
-	.Y(n_9974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387923 (
-	.A(n_15780),
-	.B(n_9904),
-	.Y(n_9973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387924 (
-	.A(n_9647),
-	.B(u_uart_u_uart_core_read_fifo_raddr[4]),
-	.Y(n_9972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387928 (
-	.A(n_9945),
-	.Y(n_9944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387929 (
-	.A(FE_OFN1484_n_9943),
-	.Y(n_9942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387930 (
-	.A1(\xbar_to_dccm[a_address] [7]),
-	.A2(n_3514),
-	.B1(FE_OFN1306_n_1247),
-	.B2(n_9657),
-	.C1(n_4939),
-	.C2(n_15792),
-	.Y(n_9941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387931 (
-	.A(FE_OFN1833_n_9166),
-	.B(n_9749),
-	.C(n_8195),
-	.Y(n_9940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387932 (
-	.A1(n_9378),
-	.A2(n_8985),
-	.B1(n_9867),
-	.Y(n_9939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g387933 (
-	.A1_N(FE_OFN1341_n_8571),
-	.A2_N(n_9242),
-	.B1(FE_OFN1597_n_8990),
-	.B2(n_9788),
-	.Y(n_9938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387934 (
-	.A1(n_4268),
-	.A2(n_9224),
-	.B1(n_2145),
-	.B2(\xbar_to_dccm[a_address] [4]),
-	.C1(n_9791),
-	.Y(n_9937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g387935 (
-	.A1(n_9779),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[4]),
-	.X(n_9936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g387936 (
-	.A1(n_1242),
-	.A2(FE_OFN1481_n_9780),
-	.B1(n_2955),
-	.Y(n_9935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387937 (
-	.A1(FE_OFN1481_n_9780),
-	.A2(n_4926),
-	.B1(n_8514),
-	.Y(n_9934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387938 (
-	.A1(FE_OFN1481_n_9780),
-	.A2(n_4921),
-	.B1(n_8454),
-	.Y(n_9933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387939 (
-	.A1(n_1969),
-	.A2(n_3680),
-	.B1(n_4933),
-	.C1(n_8648),
-	.D1(n_9437),
-	.Y(n_9932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o311ai_1 g387940 (
-	.A1(u_uart_u_uart_core_n_69),
-	.A2(n_2237),
-	.A3(n_13751),
-	.B1(FE_OFN1606_n_9234),
-	.C1(n_9708),
-	.Y(n_9931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g387941 (
-	.A1(n_9544),
-	.A2(n_9315),
-	.B1(FE_OFN1595_n_8978),
-	.B2(n_9311),
-	.C1(FE_OFN1344_n_8982),
-	.Y(n_9930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387942 (
-	.A1(n_1969),
-	.A2(n_3688),
-	.B1(n_4933),
-	.C1(n_8651),
-	.D1(n_9436),
-	.Y(n_9929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387943 (
-	.A1(n_15758),
-	.A2(FE_OFN1589_n_8577),
-	.B1(n_9816),
-	.Y(n_9928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387944 (
-	.A1(n_1969),
-	.A2(n_3676),
-	.B1(n_4933),
-	.C1(n_8656),
-	.D1(n_9435),
-	.Y(n_9927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387945 (
-	.A1(FE_OFN1593_n_8631),
-	.A2(n_9638),
-	.B1(n_9905),
-	.Y(n_9926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387946 (
-	.A1(n_9766),
-	.A2(n_9389),
-	.B1(FE_OFN1595_n_8978),
-	.Y(n_9925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g387947 (
-	.A1(n_15780),
-	.A2(n_9638),
-	.B1(FE_OFN1782_n_5758),
-	.B2(u_top_u_core_instr_rdata_id[1]),
-	.C1(n_9545),
-	.Y(n_9924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g387948 (
-	.A(FE_OCPN16824_n_13637),
-	.B(FE_OFN15960_n_13638),
-	.C(FE_OFN16765_n),
-	.D(n_9570),
-	.X(n_9923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g387949 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
-	.C(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
-	.D(n_9569),
-	.X(n_9922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387950 (
-	.A1(n_9579),
-	.A2(main_swith_host_lsu_num_req_outstanding[13]),
-	.B1(n_1257),
-	.B2(n_13746),
-	.C1(n_9425),
-	.X(n_9921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g387951 (
-	.A1(n_2151),
-	.A2(n_9420),
-	.B1(n_9834),
-	.Y(n_9920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387952 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[4]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[4]),
-	.C1(n_9908),
-	.X(n_9919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387953 (
-	.A1(n_2273),
-	.A2(n_9396),
-	.B1(n_9642),
-	.B2(n_2266),
-	.C1(n_608),
-	.C2(u_top_u_core_rf_wdata_fwd_wb[22]),
-	.Y(n_9918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387954 (
-	.A1(FE_OFN5763_lsu_to_xbar_a_address__31),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_9853),
-	.Y(n_9917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387955 (
-	.A1(FE_OFN976_n_2271),
-	.A2(FE_OFN17046_n_9384),
-	.B1(n_9635),
-	.B2(n_2266),
-	.C1(n_608),
-	.C2(u_top_u_core_rf_wdata_fwd_wb[23]),
-	.Y(n_9916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g387956 (
-	.A1(n_1969),
-	.A2(n_3694),
-	.B1(n_4933),
-	.C1(n_8672),
-	.D1(n_9439),
-	.Y(n_9915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387957 (
-	.A1(n_5759),
-	.A2(n_13474),
-	.B1(FE_OFN1801_n_4922),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
-	.C1(n_9770),
-	.X(n_9914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387958 (
-	.A1(n_5762),
-	.A2(n_13409),
-	.B1(n_4925),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
-	.C1(n_9769),
-	.X(n_9913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g387959 (
-	.A1(FE_OFN1587_n_8573),
-	.A2(n_9700),
-	.B1(n_8195),
-	.B2(n_9764),
-	.Y(n_9912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g387960 (
-	.A1(n_15797),
-	.A2(FE_OFN16682_n_9388),
-	.B1(n_9635),
-	.B2(n_1310),
-	.C1(FE_OFN17046_n_9384),
-	.C2(n_15789),
-	.Y(n_9911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387961 (
-	.A1(n_9806),
-	.A2(n_8572),
-	.B1(n_9705),
-	.Y(n_9910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387962 (
-	.A1(n_9804),
-	.A2(n_9161),
-	.B1(FE_OFN1587_n_8573),
-	.Y(n_9909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g387963 (
-	.A1(n_15780),
-	.A2(n_9785),
-	.B1(n_9887),
-	.Y(n_9953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g387964 (
-	.A(n_9703),
-	.B(n_9640),
-	.C(n_9247),
-	.Y(n_9952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387965 (
-	.A(n_7976),
-	.B(n_6359),
-	.C(n_2143),
-	.D(n_9448),
-	.Y(n_9951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g387966 (
-	.A(n_7981),
-	.B(n_6356),
-	.C(n_2143),
-	.D(n_9447),
-	.Y(n_9950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_4 g387968 (
-	.A(n_7972),
-	.B(n_6364),
-	.C(n_2143),
-	.D(n_9449),
-	.Y(n_9948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_4 g387969 (
-	.A(n_7959),
-	.B(n_6368),
-	.C(n_2143),
-	.D(n_9450),
-	.Y(n_9947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_4 g387970 (
-	.A(n_7955),
-	.B(n_6370),
-	.C(n_2143),
-	.D(n_9451),
-	.Y(n_9946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387971 (
-	.A1(n_9654),
-	.A2(n_699),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(FE_OCPN16335_n_13299),
-	.B2(n_1248),
-	.Y(n_9945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g387972 (
-	.A1(n_9657),
-	.A2(n_637),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(FE_OCPN16341_n_13306),
-	.B2(n_1248),
-	.Y(n_9943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387973 (
-	.A(n_9905),
-	.Y(n_9904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g387974 (
-	.A(n_9903),
-	.Y(n_9902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387975 (
-	.A(FE_OFN1591_n_8581),
-	.B(n_9783),
-	.Y(n_9901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387976 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
-	.C1(n_9716),
-	.X(n_9900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387977 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
-	.C1(n_9718),
-	.X(n_9899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387978 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
-	.C1(n_9719),
-	.X(n_9898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387979 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
-	.C1(n_9720),
-	.X(n_9897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387980 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
-	.C1(n_9722),
-	.X(n_9896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g387981 (
-	.A(n_9465),
-	.B(n_3487),
-	.C(n_2315),
-	.D(n_7720),
-	.Y(n_9895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g387982 (
-	.A(main_swith_host_lsu_num_req_outstanding[16]),
-	.B_N(n_9808),
-	.Y(n_9894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387983 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
-	.C1(n_9713),
-	.X(n_9893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387984 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
-	.C1(n_9714),
-	.X(n_9892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g387985 (
-	.A1(n_1250),
-	.A2(main_swith_host_lsu_num_req_outstanding[15]),
-	.B1(n_9786),
-	.X(n_9891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g387986 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
-	.C1(n_9715),
-	.X(n_9890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387987 (
-	.A(FE_OFN1481_n_9780),
-	.B(n_4923),
-	.Y(n_9889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387988 (
-	.A(FE_OFN1481_n_9780),
-	.B(n_4927),
-	.Y(n_9888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g387990 (
-	.A(FE_OFN1312_n_2269),
-	.B(FE_OFN1481_n_9780),
-	.Y(n_9908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g387999 (
-	.A(n_9172),
-	.B(n_9785),
-	.Y(n_9907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388048 (
-	.A(n_15780),
-	.B(n_9806),
-	.Y(n_9906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388049 (
-	.A(n_9806),
-	.B(n_8977),
-	.Y(n_9905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388050 (
-	.A(n_9784),
-	.B(n_9634),
-	.Y(n_9903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g388051 (
-	.A(n_9885),
-	.Y(n_9886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g388052 (
-	.A(FE_OFN1483_n_9881),
-	.Y(n_9880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g388053 (
-	.A(FE_OFN1482_n_9879),
-	.Y(n_9878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388054 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
-	.C1(n_9712),
-	.X(n_9877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388055 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
-	.C1(n_9711),
-	.X(n_9876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388056 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
-	.C1(n_9710),
-	.X(n_9875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388057 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
-	.C1(n_9709),
-	.X(n_9874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388058 (
-	.A1(n_9635),
-	.A2(n_3271),
-	.B1(FE_OFN17046_n_9384),
-	.B2(n_3296),
-	.Y(n_9873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g388059 (
-	.A1(n_9109),
-	.A2(n_5031),
-	.B1(n_9230),
-	.C1(n_3733),
-	.D1(n_9497),
-	.Y(n_9872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g388060 (
-	.A1(n_9410),
-	.A2(n_9381),
-	.A3(n_9159),
-	.B1(FE_OFN1587_n_8573),
-	.Y(n_9871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g388061 (
-	.A1(FE_OFN990_n_4938),
-	.A2(u_top_u_core_alu_operand_b_ex[4]),
-	.A3(FE_PSN3875_n_13303),
-	.B1(n_9706),
-	.Y(n_9870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388062 (
-	.A1(n_3514),
-	.A2(n_16024),
-	.B1(n_2145),
-	.B2(n_13366),
-	.C1(n_9701),
-	.Y(n_9869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g388063 (
-	.A1(n_9100),
-	.A2(n_5031),
-	.B1(FE_OFN16526_n_9227),
-	.C1(n_3724),
-	.D1(n_9494),
-	.Y(n_9868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g388064 (
-	.A1(FE_OFN1592_n_8630),
-	.A2(n_9633),
-	.B1(FE_OFN1587_n_8573),
-	.B2(n_9584),
-	.Y(n_9867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g388065 (
-	.A1(FE_OFN1824_n_9310),
-	.A2(FE_OFN1345_n_8984),
-	.B1(n_9692),
-	.Y(n_9866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388066 (
-	.A1(\xbar_to_dccm[a_address] [6]),
-	.A2(n_3514),
-	.B1(FE_OFN1306_n_1247),
-	.B2(n_9426),
-	.C1(n_4939),
-	.C2(n_1369),
-	.Y(n_9865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388067 (
-	.A1(FE_OFN1621_n_1979),
-	.A2(u_top_u_core_csr_mepc[8]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[8]),
-	.C1(n_9696),
-	.X(n_9864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388068 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[11]),
-	.B1(FE_OFN1620_n_1975),
-	.B2(u_top_u_core_csr_depc[11]),
-	.C1(n_9724),
-	.X(n_9863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388069 (
-	.A1(FE_OFN1621_n_1979),
-	.A2(u_top_u_core_csr_mepc[13]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[13]),
-	.C1(n_9695),
-	.X(n_9862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388070 (
-	.A1(FE_OFN1621_n_1979),
-	.A2(u_top_u_core_csr_mepc[15]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[15]),
-	.C1(n_9694),
-	.X(n_9861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388071 (
-	.A1(FE_OFN1620_n_1975),
-	.A2(u_top_u_core_csr_depc[31]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[31]),
-	.C1(n_9693),
-	.X(n_9860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g388072 (
-	.A1(n_1322),
-	.A2(n_5052),
-	.B1(n_6557),
-	.C1(n_9581),
-	.D1(n_8930),
-	.Y(n_9859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388073 (
-	.A1(n_9427),
-	.A2(FE_OFN1306_n_1247),
-	.B1(n_4939),
-	.B2(n_1367),
-	.C1(n_3514),
-	.C2(FE_OFN16120_n_16023),
-	.Y(n_9858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g388074 (
-	.A1(n_9106),
-	.A2(n_5031),
-	.B1(n_9229),
-	.C1(n_3723),
-	.D1(n_9496),
-	.Y(n_9857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388075 (
-	.A1(n_8203),
-	.A2(n_13730),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
-	.C1(n_9727),
-	.Y(n_9856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388076 (
-	.A(n_9549),
-	.B(n_9237),
-	.C(FE_OFN1350_n_9205),
-	.D(n_3721),
-	.Y(n_9855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388077 (
-	.A1(n_2266),
-	.A2(FE_OFN17046_n_9384),
-	.B1(FE_OFN16682_n_9388),
-	.B2(FE_OFN976_n_2271),
-	.C1(n_9409),
-	.C2(n_2273),
-	.Y(n_9854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g388078 (
-	.A1(n_2066),
-	.A2(n_5052),
-	.B1(n_8665),
-	.C1(n_9493),
-	.Y(n_9853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388079 (
-	.A(n_9552),
-	.B(n_9236),
-	.C(n_1046),
-	.D(n_9239),
-	.Y(n_9852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388080 (
-	.A1(n_8203),
-	.A2(FE_PSN3877_n_13702),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
-	.C1(n_9744),
-	.Y(n_9851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388081 (
-	.A1(n_8203),
-	.A2(FE_OFN16783_n_285),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
-	.C1(n_9743),
-	.Y(n_9850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388082 (
-	.A1(n_8203),
-	.A2(n_13705),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
-	.C1(n_9742),
-	.Y(n_9849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388083 (
-	.A1(n_8203),
-	.A2(FE_OFN1545_n_13707),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
-	.C1(n_9741),
-	.Y(n_9848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388084 (
-	.A1(n_8203),
-	.A2(n_13708),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
-	.C1(n_9740),
-	.Y(n_9847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388085 (
-	.A1(n_8203),
-	.A2(FE_OFN1886_n_13712),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
-	.C1(n_9739),
-	.Y(n_9846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388086 (
-	.A1(n_8203),
-	.A2(FE_OFN1546_n_13713),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
-	.C1(n_9738),
-	.Y(n_9845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388087 (
-	.A1(n_8203),
-	.A2(FE_OFN1547_n_13714),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
-	.C1(n_9737),
-	.Y(n_9844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388088 (
-	.A1(n_8203),
-	.A2(FE_OFN1550_n_13717),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
-	.C1(n_9736),
-	.Y(n_9843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388089 (
-	.A1(n_8203),
-	.A2(n_13718),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
-	.C1(n_9735),
-	.Y(n_9842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388090 (
-	.A1(n_8203),
-	.A2(FE_OFN1551_n_13719),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
-	.C1(n_9734),
-	.Y(n_9841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388091 (
-	.A1(n_8203),
-	.A2(FE_OFN1552_n_13720),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
-	.C1(n_9733),
-	.Y(n_9840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388092 (
-	.A1(n_8203),
-	.A2(FE_OFN1553_n_13721),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
-	.C1(n_9732),
-	.Y(n_9839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388093 (
-	.A1(n_8203),
-	.A2(n_13724),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
-	.C1(n_9731),
-	.Y(n_9838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388094 (
-	.A1(n_8203),
-	.A2(n_13725),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
-	.C1(n_9730),
-	.Y(n_9837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388095 (
-	.A1(n_8203),
-	.A2(n_13728),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
-	.C1(n_9729),
-	.Y(n_9836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388096 (
-	.A1(n_8203),
-	.A2(n_13729),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
-	.C1(n_9728),
-	.Y(n_9835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388097 (
-	.A1(n_3296),
-	.A2(n_9399),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[14]),
-	.C1(n_9396),
-	.C2(n_2150),
-	.Y(n_9834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g388098 (
-	.A1(n_9172),
-	.A2(n_9651),
-	.B1(n_9656),
-	.B2(n_8991),
-	.X(n_9833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g388099 (
-	.A1(FE_OFN1590_n_8579),
-	.A2(n_9653),
-	.B1(FE_OFN1587_n_8573),
-	.B2(n_9316),
-	.X(n_9832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388100 (
-	.A1(n_2266),
-	.A2(n_9399),
-	.B1(n_9421),
-	.B2(n_2273),
-	.C1(n_9396),
-	.C2(FE_OFN976_n_2271),
-	.Y(n_9831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388101 (
-	.A1(n_9635),
-	.A2(n_2267),
-	.B1(u_top_u_core_rf_wdata_fwd_wb[31]),
-	.B2(n_608),
-	.Y(n_9830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g388102 (
-	.A1(FE_OFN1599_n_9102),
-	.A2(n_5031),
-	.B1(n_9228),
-	.C1(n_3722),
-	.D1(n_9495),
-	.Y(n_9829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g388103 (
-	.A1(n_9652),
-	.A2(n_9385),
-	.B1(n_8195),
-	.Y(n_9828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388104 (
-	.A1(n_9642),
-	.A2(n_2267),
-	.B1(u_top_u_core_rf_wdata_fwd_wb[30]),
-	.B2(n_608),
-	.Y(n_9827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g388105 (
-	.A1(FE_OFN1601_n_9137),
-	.A2(n_5031),
-	.B1(n_1219),
-	.C1(FE_OFN17065_n_9231),
-	.D1(n_9580),
-	.Y(n_9826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388106 (
-	.A1(n_9639),
-	.A2(n_2266),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[24]),
-	.Y(n_9825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388107 (
-	.A1(n_9639),
-	.A2(FE_OFN976_n_2271),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[16]),
-	.Y(n_9824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388108 (
-	.A1(n_9208),
-	.A2(n_9172),
-	.B1(FE_OFN1781_n_5758),
-	.B2(u_top_u_core_instr_rdata_id[31]),
-	.C1(n_9634),
-	.C2(n_8994),
-	.Y(n_9823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388109 (
-	.A1(FE_OFN1480_n_9543),
-	.A2(n_4921),
-	.B1(n_8455),
-	.Y(n_9822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388110 (
-	.A1(FE_OFN1480_n_9543),
-	.A2(n_4926),
-	.B1(n_8515),
-	.Y(n_9821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g388111 (
-	.A1(n_1242),
-	.A2(FE_OFN1480_n_9543),
-	.B1(n_2548),
-	.Y(n_9820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g388112 (
-	.A1(FE_OFN1312_n_2269),
-	.A2(FE_OFN1480_n_9543),
-	.B1(n_3459),
-	.Y(n_9819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g388113 (
-	.A1(n_9542),
-	.A2(n_1667),
-	.B1(n_1668),
-	.B2(u_top_u_core_csr_mtval[5]),
-	.X(n_9818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g388114 (
-	.A1(n_8989),
-	.A2(n_9653),
-	.B1(FE_OFN1348_n_8997),
-	.B2(n_9633),
-	.Y(n_9817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g388115 (
-	.A1(FE_OFN1348_n_8997),
-	.A2(n_9641),
-	.B1(FE_OFN1341_n_8571),
-	.B2(n_9313),
-	.Y(n_9816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g388116 (
-	.A1(FE_OFN1479_n_9000),
-	.A2(n_9633),
-	.B1(n_8989),
-	.B2(n_9244),
-	.Y(n_9815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388117 (
-	.A1(n_9634),
-	.A2(n_8979),
-	.B1(FE_OFN1782_n_5758),
-	.B2(FE_OCPN16565_u_top_u_core_instr_rdata_id_15),
-	.Y(n_9814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388118 (
-	.A1(n_9658),
-	.A2(main_swith_host_lsu_num_req_outstanding[14]),
-	.B1(n_1257),
-	.B2(n_13747),
-	.C1(n_9648),
-	.X(n_9813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388119 (
-	.A1(n_9639),
-	.A2(n_3295),
-	.B1(FE_OFN1357_n_9400),
-	.B2(n_3294),
-	.Y(n_9812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388120 (
-	.A1(n_9642),
-	.A2(FE_OFN1342_n_8823),
-	.B1(n_2267),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[14]),
-	.Y(n_9811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388121 (
-	.A1(n_9635),
-	.A2(FE_OFN1342_n_8823),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[7]),
-	.Y(n_9810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388122 (
-	.A1(n_3295),
-	.A2(FE_OFN17046_n_9384),
-	.B1(n_9409),
-	.B2(n_1985),
-	.C1(n_2266),
-	.C2(u_top_u_core_load_store_unit_i_rdata_q[23]),
-	.Y(n_9809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g388123 (
-	.A1(FE_OFN1824_n_9310),
-	.A2(n_8569),
-	.B1(n_8583),
-	.C1(n_9640),
-	.Y(n_9887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g388124 (
-	.A_N(n_9544),
-	.B(FE_OFN1595_n_8978),
-	.C(FE_OFN16141_n_1722),
-	.Y(n_9885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388125 (
-	.A1(n_8195),
-	.A2(n_9641),
-	.B1(n_9633),
-	.Y(n_9884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g388126 (
-	.A(FE_OFN1623_n_9245),
-	.B(n_9544),
-	.C(n_8977),
-	.X(n_9883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388127 (
-	.A1(n_9382),
-	.A2(n_9644),
-	.B1(n_15780),
-	.Y(n_9882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g388128 (
-	.A1(n_9426),
-	.A2(n_670),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(n_13305),
-	.B2(n_1248),
-	.Y(n_9881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g388129 (
-	.A1(n_9427),
-	.A2(n_652),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(FE_OCPN16831_n_13300),
-	.B2(n_1248),
-	.Y(n_9879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g388130 (
-	.A(n_15758),
-	.Y(n_9804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388131 (
-	.A(n_9548),
-	.B(n_8709),
-	.Y(n_9803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388132 (
-	.A(n_9550),
-	.B(n_8706),
-	.Y(n_9802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388133 (
-	.A(n_9551),
-	.B(n_8703),
-	.Y(n_9801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388134 (
-	.A(n_9635),
-	.B(n_2319),
-	.Y(n_9800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388135 (
-	.A(n_9554),
-	.B(n_8699),
-	.Y(n_9799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388136 (
-	.A(n_9562),
-	.B(n_8691),
-	.Y(n_9798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388137 (
-	.A(n_9563),
-	.B(n_8695),
-	.Y(n_9797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388138 (
-	.A(n_9565),
-	.B(n_8697),
-	.Y(n_9796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388139 (
-	.A(n_9564),
-	.B(n_8696),
-	.Y(n_9795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388140 (
-	.A(n_9566),
-	.B(n_8698),
-	.Y(n_9794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388141 (
-	.A(n_9567),
-	.B(n_8702),
-	.Y(n_9793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g388142 (
-	.A1(n_2296),
-	.A2(n_8208),
-	.B1(n_13701),
-	.B2(n_8191),
-	.C1(n_9568),
-	.Y(n_9792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388143 (
-	.A1(n_1210),
-	.A2(FE_OFN1331_n_4941),
-	.B1(n_9553),
-	.Y(n_9791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g388144 (
-	.A(n_9641),
-	.B_N(n_8998),
-	.Y(n_9790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388145 (
-	.A(FE_OFN1306_n_1247),
-	.B(n_9654),
-	.Y(n_9789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g388147 (
-	.A(main_swith_host_lsu_num_req_outstanding[15]),
-	.B_N(n_9648),
-	.Y(n_9808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388182 (
-	.A(n_9374),
-	.B(n_3452),
-	.C(n_1736),
-	.Y(n_9807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388193 (
-	.A(n_9242),
-	.B(n_9659),
-	.Y(n_9806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g388250 (
-	.A(FE_OFN1481_n_9780),
-	.Y(n_9779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388251 (
-	.A1(FE_OFN16721_n_9398),
-	.A2(n_2266),
-	.B1(FE_OFN16934_n_9397),
-	.B2(FE_OFN976_n_2271),
-	.Y(n_9778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388252 (
-	.A(n_9556),
-	.B(n_8704),
-	.Y(n_9777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388253 (
-	.A(n_8977),
-	.B(n_9645),
-	.Y(n_9776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388254 (
-	.A(n_9503),
-	.B(n_8710),
-	.Y(n_9775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388255 (
-	.A(n_9501),
-	.B(n_8713),
-	.Y(n_9774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388256 (
-	.A(n_9500),
-	.B(n_8705),
-	.Y(n_9773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388257 (
-	.A(n_9499),
-	.B(n_8692),
-	.Y(n_9772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388258 (
-	.A(n_9498),
-	.B(n_8711),
-	.Y(n_9771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388259 (
-	.A(FE_OFN1480_n_9543),
-	.B(n_4923),
-	.Y(n_9770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388260 (
-	.A(FE_OFN1480_n_9543),
-	.B(n_4927),
-	.Y(n_9769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388261 (
-	.A1(n_9416),
-	.A2(n_2273),
-	.B1(n_9405),
-	.B2(n_2266),
-	.Y(n_9768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388262 (
-	.A1(n_9399),
-	.A2(n_3295),
-	.B1(n_9396),
-	.B2(n_3294),
-	.Y(n_9767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388263 (
-	.A(n_9637),
-	.B(n_9319),
-	.Y(n_9766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388264 (
-	.A1(FE_OFN1360_n_9404),
-	.A2(n_3294),
-	.B1(FE_OFN1359_n_9403),
-	.B2(n_3295),
-	.Y(n_9765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388265 (
-	.A(n_9314),
-	.B(n_9646),
-	.Y(n_9764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388266 (
-	.A1(FE_OFN16721_n_9398),
-	.A2(n_3295),
-	.B1(FE_OFN16934_n_9397),
-	.B2(n_3294),
-	.Y(n_9763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388267 (
-	.A(n_9469),
-	.B(n_8716),
-	.Y(n_9762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388268 (
-	.A(n_9468),
-	.B(n_8700),
-	.Y(n_9761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388269 (
-	.A1(FE_OFN16724_n_9408),
-	.A2(n_3294),
-	.B1(FE_OFN16679_n_9407),
-	.B2(n_3295),
-	.Y(n_9760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388270 (
-	.A(n_9467),
-	.B(n_8712),
-	.Y(n_9759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388271 (
-	.A(n_9466),
-	.B(n_8693),
-	.Y(n_9758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g388272 (
-	.A1(n_13701),
-	.A2(n_8193),
-	.B1(FE_OFN15929_n_766),
-	.B2(n_8191),
-	.C1(n_9463),
-	.Y(n_9757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388273 (
-	.A(n_9461),
-	.B(n_8715),
-	.Y(n_9756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388274 (
-	.A(n_9460),
-	.B(n_8714),
-	.Y(n_9755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388275 (
-	.A(n_9458),
-	.B(n_8708),
-	.Y(n_9754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388276 (
-	.A(n_9457),
-	.B(n_8707),
-	.Y(n_9753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388277 (
-	.A(n_9455),
-	.B(n_8701),
-	.Y(n_9752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388278 (
-	.A(n_9454),
-	.B(n_8694),
-	.Y(n_9751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388279 (
-	.A1(FE_OFN16677_n_9406),
-	.A2(n_3294),
-	.B1(n_9405),
-	.B2(n_3295),
-	.Y(n_9750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g388280 (
-	.A1(FE_OFN1782_n_5758),
-	.A2(u_top_u_core_instr_rdata_id[0]),
-	.B1(n_9545),
-	.Y(n_9749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g388281 (
-	.A1(n_9173),
-	.A2(n_1755),
-	.B1(n_9643),
-	.Y(n_9748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388282 (
-	.A1(FE_OFN1026_n_8194),
-	.A2(FE_OFN1551_n_13719),
-	.B1(FE_OFN1025_n_8192),
-	.B2(FE_OFN1552_n_13720),
-	.C1(n_9456),
-	.X(n_9747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388283 (
-	.A1(FE_OFN1026_n_8194),
-	.A2(n_13711),
-	.B1(FE_OFN1025_n_8192),
-	.B2(FE_OFN1886_n_13712),
-	.C1(n_9459),
-	.X(n_9746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388284 (
-	.A1(FE_OFN1026_n_8194),
-	.A2(n_310),
-	.B1(FE_OFN1025_n_8192),
-	.B2(FE_OFN16783_n_285),
-	.C1(n_9462),
-	.X(n_9745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388285 (
-	.A1(n_13703),
-	.A2(n_6567),
-	.B1(n_9490),
-	.Y(n_9744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388286 (
-	.A1(FE_OFN926_n_721),
-	.A2(n_6567),
-	.B1(n_9489),
-	.Y(n_9743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388287 (
-	.A1(FE_OFN927_n_750),
-	.A2(n_6567),
-	.B1(n_9473),
-	.Y(n_9742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388288 (
-	.A1(FE_OFN923_n_297),
-	.A2(n_6567),
-	.B1(n_9488),
-	.Y(n_9741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388289 (
-	.A1(n_13709),
-	.A2(n_6567),
-	.B1(n_9487),
-	.Y(n_9740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388290 (
-	.A1(n_312),
-	.A2(n_6567),
-	.B1(n_9486),
-	.Y(n_9739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388291 (
-	.A1(n_737),
-	.A2(n_6567),
-	.B1(n_9485),
-	.Y(n_9738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388292 (
-	.A1(n_324),
-	.A2(n_6567),
-	.B1(n_9484),
-	.Y(n_9737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388293 (
-	.A1(n_753),
-	.A2(n_6567),
-	.B1(n_9483),
-	.Y(n_9736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388294 (
-	.A1(n_724),
-	.A2(n_6567),
-	.B1(n_9482),
-	.Y(n_9735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388295 (
-	.A1(n_733),
-	.A2(n_6567),
-	.B1(n_9481),
-	.Y(n_9734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388296 (
-	.A1(n_318),
-	.A2(n_6567),
-	.B1(n_9480),
-	.Y(n_9733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388297 (
-	.A1(n_734),
-	.A2(n_6567),
-	.B1(n_9479),
-	.Y(n_9732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388298 (
-	.A1(n_336),
-	.A2(n_6567),
-	.B1(n_9478),
-	.Y(n_9731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388299 (
-	.A1(n_738),
-	.A2(n_6567),
-	.B1(n_9477),
-	.Y(n_9730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388300 (
-	.A1(n_329),
-	.A2(n_6567),
-	.B1(n_9476),
-	.Y(n_9729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388301 (
-	.A1(n_292),
-	.A2(n_6567),
-	.B1(n_9475),
-	.Y(n_9728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388302 (
-	.A1(n_233),
-	.A2(n_6567),
-	.B1(n_9474),
-	.Y(n_9727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388303 (
-	.A1(FE_OFN1060_n_16023),
-	.A2(FE_OFN1027_n_8199),
-	.B1(FE_OFN1061_n_16024),
-	.B2(n_4262),
-	.C1(n_9576),
-	.Y(n_9726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388304 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[3]),
-	.C1(n_9446),
-	.Y(n_9725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388305 (
-	.A(n_9443),
-	.B(n_3176),
-	.C(n_7712),
-	.D(n_2890),
-	.Y(n_9724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388306 (
-	.A1(n_4274),
-	.A2(u_top_u_core_debug_ebreaku),
-	.B1(FE_OFN1620_n_1975),
-	.B2(u_top_u_core_csr_depc[12]),
-	.C1(n_9561),
-	.Y(n_9723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388307 (
-	.A(n_2571),
-	.B(n_2790),
-	.C(n_2225),
-	.D(n_9221),
-	.Y(n_9722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388308 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[17]),
-	.C1(n_9441),
-	.Y(n_9721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388309 (
-	.A(n_2755),
-	.B(n_2752),
-	.C(n_2221),
-	.D(n_9220),
-	.Y(n_9720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388310 (
-	.A(n_2737),
-	.B(n_2735),
-	.C(n_2226),
-	.D(n_9219),
-	.Y(n_9719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388311 (
-	.A(n_2725),
-	.B(n_2722),
-	.C(n_2223),
-	.D(n_9218),
-	.Y(n_9718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388312 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[21]),
-	.C1(n_9440),
-	.Y(n_9717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388313 (
-	.A(n_2686),
-	.B(n_2682),
-	.C(n_2220),
-	.D(n_9217),
-	.Y(n_9716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388314 (
-	.A(n_2674),
-	.B(n_2818),
-	.C(n_2219),
-	.D(n_9216),
-	.Y(n_9715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388315 (
-	.A(n_2994),
-	.B(n_3007),
-	.C(n_2256),
-	.D(n_9215),
-	.Y(n_9714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388316 (
-	.A(n_2647),
-	.B(n_2645),
-	.C(n_2218),
-	.D(n_9214),
-	.Y(n_9713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388317 (
-	.A(n_2630),
-	.B(n_2589),
-	.C(n_2217),
-	.D(n_9213),
-	.Y(n_9712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388318 (
-	.A(n_2621),
-	.B(n_2624),
-	.C(n_2216),
-	.D(n_9212),
-	.Y(n_9711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388319 (
-	.A(n_2367),
-	.B(n_2605),
-	.C(n_2241),
-	.D(n_9211),
-	.Y(n_9710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388320 (
-	.A(n_2595),
-	.B(n_2598),
-	.C(n_2215),
-	.D(n_9210),
-	.Y(n_9709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388321 (
-	.A1(n_5032),
-	.A2(n_9129),
-	.B1(n_3292),
-	.B2(u_uart_u_uart_core_rx_buffer_size[0]),
-	.C1(n_9491),
-	.Y(n_9708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g388322 (
-	.A1(FE_OFN971_n_1967),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
-	.B1(n_9596),
-	.Y(n_9707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388323 (
-	.A1(n_4939),
-	.A2(n_1366),
-	.B1(FE_OFN1306_n_1247),
-	.B2(n_9249),
-	.C1(n_5670),
-	.X(n_9706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g388324 (
-	.A1(n_9429),
-	.A2(n_9309),
-	.B1(FE_OFN1590_n_8579),
-	.Y(n_9705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g388325 (
-	.A1(n_9393),
-	.A2(n_8825),
-	.B1_N(n_9652),
-	.Y(n_9704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g388326 (
-	.A1(n_8568),
-	.A2(FE_OFN1343_n_8980),
-	.B1(n_9546),
-	.Y(n_9703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388327 (
-	.A1(n_9402),
-	.A2(n_3295),
-	.B1(FE_OFN1358_n_9401),
-	.B2(n_3294),
-	.Y(n_9702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g388328 (
-	.A1(n_9145),
-	.A2(n_4269),
-	.B1(n_5667),
-	.C1(n_3496),
-	.D1(n_8401),
-	.Y(n_9701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g388329 (
-	.A1(n_9391),
-	.A2(n_9163),
-	.B1(n_9634),
-	.Y(n_9700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388330 (
-	.A1(FE_OFN1359_n_9403),
-	.A2(n_2266),
-	.B1(u_top_u_core_rf_wdata_fwd_wb[29]),
-	.B2(n_608),
-	.Y(n_9699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388331 (
-	.A1(n_8806),
-	.A2(n_9171),
-	.B1(FE_OFN1781_n_5758),
-	.B2(FE_OFN16775_n),
-	.C1(n_9588),
-	.Y(n_9698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388332 (
-	.A1(n_1257),
-	.A2(n_13744),
-	.B1(n_9248),
-	.B2(main_swith_host_lsu_num_req_outstanding[11]),
-	.C1(n_9350),
-	.X(n_9697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388333 (
-	.A(n_3212),
-	.B(n_9203),
-	.C(n_5164),
-	.D(n_5163),
-	.Y(n_9696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388334 (
-	.A(n_2846),
-	.B(n_9200),
-	.C(n_5137),
-	.D(n_5136),
-	.Y(n_9695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388335 (
-	.A(n_8037),
-	.B(n_9198),
-	.C(n_5127),
-	.D(n_5126),
-	.Y(n_9694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388336 (
-	.A(n_9197),
-	.B(n_2568),
-	.C(n_5079),
-	.D(n_5076),
-	.Y(n_9693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g388337 (
-	.A1(n_8825),
-	.A2(n_9392),
-	.B1(FE_OFN1479_n_9000),
-	.B2(n_9385),
-	.Y(n_9692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388338 (
-	.A1(n_9424),
-	.A2(main_swith_host_lsu_num_req_outstanding[12]),
-	.B1(n_1257),
-	.B2(n_13745),
-	.C1(n_9335),
-	.X(n_9691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388339 (
-	.A1(n_9414),
-	.A2(n_1985),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[0]),
-	.Y(n_9690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388340 (
-	.A1(n_9415),
-	.A2(n_1985),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[1]),
-	.Y(n_9689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388341 (
-	.A1(n_9416),
-	.A2(n_1985),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[2]),
-	.Y(n_9688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388342 (
-	.A1(n_9417),
-	.A2(n_1985),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[3]),
-	.Y(n_9687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388343 (
-	.A1(n_9418),
-	.A2(n_1985),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[4]),
-	.Y(n_9686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388344 (
-	.A1(n_9419),
-	.A2(n_1985),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[5]),
-	.Y(n_9685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388345 (
-	.A1(n_9421),
-	.A2(n_1985),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[6]),
-	.Y(n_9684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388346 (
-	.A1(n_9414),
-	.A2(n_2152),
-	.B1(n_2266),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[24]),
-	.Y(n_9683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388347 (
-	.A1(n_9415),
-	.A2(n_2152),
-	.B1(n_2266),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[25]),
-	.Y(n_9682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388348 (
-	.A1(n_9416),
-	.A2(n_2152),
-	.B1(n_2267),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[18]),
-	.Y(n_9681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388349 (
-	.A1(n_9417),
-	.A2(n_2152),
-	.B1(n_2267),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[19]),
-	.Y(n_9680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388350 (
-	.A1(n_9418),
-	.A2(n_2152),
-	.B1(n_2266),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[28]),
-	.Y(n_9679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388351 (
-	.A1(n_9419),
-	.A2(n_2152),
-	.B1(n_2267),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[21]),
-	.Y(n_9678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388352 (
-	.A1(n_9402),
-	.A2(FE_OFN976_n_2271),
-	.B1(n_2267),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[25]),
-	.Y(n_9677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388353 (
-	.A1(n_9405),
-	.A2(FE_OFN976_n_2271),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[18]),
-	.Y(n_9676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388354 (
-	.A1(FE_OFN16679_n_9407),
-	.A2(FE_OFN976_n_2271),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[19]),
-	.Y(n_9675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388355 (
-	.A1(FE_OFN16934_n_9397),
-	.A2(n_2273),
-	.B1(n_2267),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[28]),
-	.Y(n_9674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388356 (
-	.A1(FE_OFN1360_n_9404),
-	.A2(n_2273),
-	.B1(n_608),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[21]),
-	.Y(n_9673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388357 (
-	.A1(n_9399),
-	.A2(FE_OFN976_n_2271),
-	.B1(n_2267),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[30]),
-	.Y(n_9672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388358 (
-	.A1(FE_OFN16682_n_9388),
-	.A2(n_2273),
-	.B1(n_2267),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[31]),
-	.Y(n_9671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388359 (
-	.A1(n_9415),
-	.A2(n_2273),
-	.B1(u_top_u_core_rf_wdata_fwd_wb[25]),
-	.B2(n_608),
-	.Y(n_9670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388360 (
-	.A1(n_9417),
-	.A2(n_2273),
-	.B1(u_top_u_core_rf_wdata_fwd_wb[27]),
-	.B2(n_608),
-	.Y(n_9669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g388361 (
-	.A_N(n_9644),
-	.B(n_9429),
-	.Y(n_9788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388362 (
-	.A(n_9318),
-	.B(n_9636),
-	.Y(n_9787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g388363 (
-	.A1(n_1250),
-	.A2(main_swith_host_lsu_num_req_outstanding[14]),
-	.B1(n_9658),
-	.X(n_9786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g388364 (
-	.A_N(n_9651),
-	.B(n_9242),
-	.Y(n_9785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388365 (
-	.A(n_8195),
-	.B(n_9638),
-	.Y(n_9784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388366 (
-	.A(n_9391),
-	.B(n_9634),
-	.Y(n_9783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388367 (
-	.A(n_15780),
-	.B(n_9655),
-	.Y(n_9782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g388368 (
-	.A1(n_9382),
-	.A2(n_8977),
-	.B1(n_9655),
-	.Y(n_9781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g388369 (
-	.A1(n_9249),
-	.A2(n_638),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(FE_PSN3875_n_13303),
-	.B2(n_1248),
-	.Y(n_9780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g388370 (
-	.A(n_9574),
-	.Y(n_9668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g388371 (
-	.A(n_9573),
-	.Y(n_9667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g388372 (
-	.A(n_9572),
-	.Y(n_9666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g388373 (
-	.A(n_9571),
-	.Y(n_9665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g388374 (
-	.A(n_9560),
-	.Y(n_9664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g388375 (
-	.A(n_9559),
-	.Y(n_9663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g388376 (
-	.A(n_9558),
-	.Y(n_9662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g388377 (
-	.A(n_9557),
-	.Y(n_9661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g388379 (
-	.A(n_9637),
-	.Y(n_9636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388381 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [12]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
-	.C1(n_9290),
-	.X(n_9632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388382 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [20]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [20]),
-	.C1(n_9284),
-	.X(n_9631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388383 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [21]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [21]),
-	.C1(n_9283),
-	.X(n_9630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388384 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [11]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
-	.C1(n_9291),
-	.X(n_9629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388385 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [10]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
-	.C1(n_9292),
-	.X(n_9628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388386 (
-	.A(n_9336),
-	.B(n_8689),
-	.Y(n_9627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388387 (
-	.A(n_9334),
-	.B(n_8863),
-	.Y(n_9626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388388 (
-	.A(n_9333),
-	.B(n_8688),
-	.Y(n_9625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388389 (
-	.A(n_8687),
-	.B(n_9332),
-	.Y(n_9624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388390 (
-	.A(n_8862),
-	.B(n_9331),
-	.Y(n_9623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388391 (
-	.A(n_9330),
-	.B(n_8861),
-	.Y(n_9622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388392 (
-	.A(n_9329),
-	.B(n_8860),
-	.Y(n_9621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388393 (
-	.A(n_9328),
-	.B(n_8859),
-	.Y(n_9620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388394 (
-	.A(n_8685),
-	.B(n_9327),
-	.Y(n_9619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388395 (
-	.A(n_9325),
-	.B(n_8949),
-	.Y(n_9618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388396 (
-	.A(n_8878),
-	.B(n_9326),
-	.Y(n_9617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388397 (
-	.A(n_9324),
-	.B(n_8684),
-	.Y(n_9616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388398 (
-	.A(n_8950),
-	.B(n_9323),
-	.Y(n_9615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388399 (
-	.A(n_8683),
-	.B(n_9322),
-	.Y(n_9614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388400 (
-	.A(n_8682),
-	.B(n_9321),
-	.Y(n_9613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388401 (
-	.A(n_8953),
-	.B(n_9339),
-	.Y(n_9612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388402 (
-	.A(n_8952),
-	.B(n_9320),
-	.Y(n_9611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388403 (
-	.A(n_8681),
-	.B(n_9340),
-	.Y(n_9610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388404 (
-	.A(n_8954),
-	.B(n_9341),
-	.Y(n_9609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388405 (
-	.A(n_8955),
-	.B(n_9342),
-	.Y(n_9608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388406 (
-	.A(n_9343),
-	.B(n_8956),
-	.Y(n_9607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388407 (
-	.A(n_9344),
-	.B(n_8680),
-	.Y(n_9606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388408 (
-	.A(n_8679),
-	.B(n_9346),
-	.Y(n_9605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388409 (
-	.A(n_9345),
-	.B(n_8957),
-	.Y(n_9604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388410 (
-	.A(n_8678),
-	.B(n_9347),
-	.Y(n_9603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388411 (
-	.A(n_8677),
-	.B(n_9348),
-	.Y(n_9602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388412 (
-	.A(n_9349),
-	.B(n_8958),
-	.Y(n_9601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388413 (
-	.A(n_9352),
-	.B(n_8676),
-	.Y(n_9600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388414 (
-	.A(n_8961),
-	.B(n_9380),
-	.Y(n_9599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388415 (
-	.A(n_8675),
-	.B(n_9351),
-	.Y(n_9598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388416 (
-	.A(n_8674),
-	.B(n_9353),
-	.Y(n_9597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g388417 (
-	.A1(n_1969),
-	.A2(n_3695),
-	.B1(n_4261),
-	.B2(n_205),
-	.C1(n_9355),
-	.Y(n_9596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388418 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [19]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [19]),
-	.C1(n_9285),
-	.X(n_9595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388419 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [9]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
-	.C1(n_9293),
-	.X(n_9594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388420 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [8]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
-	.C1(n_9294),
-	.X(n_9593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388421 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [18]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [18]),
-	.C1(n_9286),
-	.X(n_9592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388422 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [15]),
-	.B1(FE_OFN1787_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
-	.C1(n_9287),
-	.X(n_9591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388423 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [7]),
-	.B1(FE_OFN1787_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
-	.C1(n_9295),
-	.X(n_9590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388424 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [6]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
-	.C1(n_9296),
-	.X(n_9589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388425 (
-	.A(n_9167),
-	.B(n_9390),
-	.Y(n_9588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388426 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [5]),
-	.B1(FE_OFN1787_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
-	.C1(n_9297),
-	.X(n_9587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388427 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [4]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
-	.C1(n_9298),
-	.X(n_9586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388428 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [3]),
-	.B1(FE_OFN1787_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
-	.C1(n_9299),
-	.X(n_9585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388429 (
-	.A(n_9395),
-	.B(n_9165),
-	.Y(n_9584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388430 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [2]),
-	.B1(FE_OFN1787_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
-	.C1(n_9300),
-	.X(n_9583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388431 (
-	.A(u_uart_u_uart_core_rx_fifo_rst),
-	.B(n_9206),
-	.Y(n_9582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g388432 (
-	.A1(n_2204),
-	.A2(n_1713),
-	.A3(\u_top_u_core_imd_val_q_ex[1] [7]),
-	.B1(n_9376),
-	.Y(n_9581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388433 (
-	.A1(n_9138),
-	.A2(n_3951),
-	.B1(n_5032),
-	.B2(n_9111),
-	.C1(n_3292),
-	.C2(u_uart_u_uart_core_rx_buffer_size[2]),
-	.Y(n_9580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g388434 (
-	.A1(n_1250),
-	.A2(main_swith_host_lsu_num_req_outstanding[12]),
-	.B1(n_9424),
-	.X(n_9579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388435 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [14]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
-	.C1(n_9288),
-	.X(n_9578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388436 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [13]),
-	.B1(FE_OFN1787_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
-	.C1(n_9289),
-	.X(n_9577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g388437 (
-	.A1(n_13701),
-	.A2(n_5050),
-	.B1(n_9303),
-	.C1(n_8292),
-	.Y(n_9576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388438 (
-	.A1(n_1253),
-	.A2(u_top_u_core_csr_depc[29]),
-	.B1(n_1254),
-	.B2(FE_PHN3986_u_top_u_core_csr_mepc_29),
-	.C1(n_9377),
-	.X(n_9575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388439 (
-	.A1(\iccm_to_xbar[d_data] [0]),
-	.A2(n_9154),
-	.B1(FE_OFN1787_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
-	.C1(n_9156),
-	.C2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [0]),
-	.Y(n_9574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388440 (
-	.A1(\iccm_to_xbar[d_data] [1]),
-	.A2(n_9154),
-	.B1(FE_OFN1787_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
-	.C1(n_9156),
-	.C2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [1]),
-	.Y(n_9573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388441 (
-	.A1(\iccm_to_xbar[d_data] [16]),
-	.A2(n_9154),
-	.B1(FE_OFN1787_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [16]),
-	.C1(n_9156),
-	.C2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [16]),
-	.Y(n_9572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388442 (
-	.A1(\iccm_to_xbar[d_data] [17]),
-	.A2(n_9154),
-	.B1(FE_OFN1787_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [17]),
-	.C1(n_9156),
-	.C2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [17]),
-	.Y(n_9571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g388443 (
-	.A(FE_OFN16754_n_13641),
-	.B(FE_OFN15845_n_13642),
-	.C(FE_OFN16764_n_13640),
-	.D(n_9144),
-	.X(n_9570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g388444 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
-	.C(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
-	.D(n_9097),
-	.X(n_9569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388445 (
-	.A1(FE_OFN16794_n_13700),
-	.A2(FE_OFN1026_n_8194),
-	.B1(n_9153),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
-	.C1(FE_OFN1024_n_6565),
-	.C2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
-	.Y(n_9568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388446 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
-	.A2(n_9153),
-	.B1(FE_OFN1026_n_8194),
-	.B2(n_13718),
-	.C1(n_8586),
-	.C2(n_1986),
-	.Y(n_9567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388447 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
-	.A2(n_9153),
-	.B1(FE_OFN1026_n_8194),
-	.B2(n_13723),
-	.C1(n_8588),
-	.C2(n_1713),
-	.Y(n_9566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388448 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
-	.A2(n_9153),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
-	.C1(n_8588),
-	.C2(n_1720),
-	.Y(n_9565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388449 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
-	.A2(n_9153),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
-	.C1(n_8588),
-	.C2(n_1987),
-	.Y(n_9564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388450 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
-	.A2(n_9153),
-	.B1(FE_OFN1026_n_8194),
-	.B2(n_13726),
-	.C1(n_8588),
-	.C2(n_1986),
-	.Y(n_9563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388451 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
-	.A2(n_9153),
-	.B1(FE_OFN1026_n_8194),
-	.B2(n_13730),
-	.C1(n_8587),
-	.C2(n_1989),
-	.Y(n_9562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388452 (
-	.A(n_9110),
-	.B(n_2862),
-	.C(n_1736),
-	.D(n_8813),
-	.Y(n_9561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388453 (
-	.A1(\iccm_to_xbar[d_data] [0]),
-	.A2(FE_OFN1798_n_9158),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [0]),
-	.C1(n_9152),
-	.C2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
-	.Y(n_9560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388454 (
-	.A1(\iccm_to_xbar[d_data] [1]),
-	.A2(FE_OFN1798_n_9158),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [1]),
-	.C1(n_9152),
-	.C2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
-	.Y(n_9559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388455 (
-	.A1(\iccm_to_xbar[d_data] [16]),
-	.A2(FE_OFN1798_n_9158),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [16]),
-	.C1(n_9152),
-	.C2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [16]),
-	.Y(n_9558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388456 (
-	.A1(\iccm_to_xbar[d_data] [17]),
-	.A2(FE_OFN1798_n_9158),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [17]),
-	.C1(n_9152),
-	.C2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [17]),
-	.Y(n_9557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388457 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
-	.A2(n_9153),
-	.B1(FE_OFN1026_n_8194),
-	.B2(FE_OFN1549_n_13716),
-	.C1(n_8586),
-	.C2(n_1720),
-	.Y(n_9556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g388458 (
-	.A(n_8576),
-	.B(n_9315),
-	.C(n_8569),
-	.Y(n_9555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388459 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
-	.A2(n_9153),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
-	.C1(n_8587),
-	.C2(n_1718),
-	.Y(n_9554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388460 (
-	.A1(FE_OFN16787_xbar_to_dccm_a_address__5),
-	.A2(n_3514),
-	.B1(FE_OFN1306_n_1247),
-	.B2(n_9176),
-	.C1(n_4939),
-	.C2(n_15791),
-	.Y(n_9553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388461 (
-	.A1(n_3292),
-	.A2(u_uart_u_uart_core_rx_buffer_size[7]),
-	.B1(FE_OFN1471_n_4495),
-	.B2(n_9142),
-	.C1(n_9226),
-	.Y(n_9552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388462 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
-	.A2(n_9153),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
-	.C1(n_8586),
-	.C2(n_1987),
-	.Y(n_9551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388463 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
-	.A2(n_9153),
-	.B1(FE_OFN1026_n_8194),
-	.B2(FE_OFN1547_n_13714),
-	.C1(n_8587),
-	.C2(n_1992),
-	.Y(n_9550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388464 (
-	.A1(FE_OFN1471_n_4495),
-	.A2(n_9130),
-	.B1(n_13751),
-	.B2(\uart_to_xbar[d_data] [1]),
-	.C1(n_9232),
-	.Y(n_9549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388465 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
-	.A2(n_9153),
-	.B1(FE_OFN1026_n_8194),
-	.B2(n_13710),
-	.C1(n_8592),
-	.C2(n_1986),
-	.Y(n_9548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g388466 (
-	.A(n_8985),
-	.B(n_8989),
-	.C(n_8983),
-	.D(n_9175),
-	.Y(n_9659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g388467 (
-	.A1(n_1250),
-	.A2(n_13244),
-	.B1(n_9424),
-	.X(n_9658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388468 (
-	.A(n_9113),
-	.B(n_5172),
-	.C(n_1677),
-	.D(n_3178),
-	.Y(n_9657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388469 (
-	.A(n_9244),
-	.B(n_9394),
-	.Y(n_9656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388470 (
-	.A(n_9167),
-	.B(n_9385),
-	.Y(n_9655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388471 (
-	.A(n_9132),
-	.B(n_5191),
-	.C(n_4716),
-	.D(n_8781),
-	.Y(n_9654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388472 (
-	.A(n_9395),
-	.B(n_9422),
-	.Y(n_9653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g388473 (
-	.A(n_9410),
-	.B(n_9317),
-	.X(n_9652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388474 (
-	.A(n_9381),
-	.B(n_9161),
-	.Y(n_9651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388475 (
-	.A(FE_OFN16682_n_9388),
-	.B(n_2150),
-	.Y(n_9650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388476 (
-	.A(n_9409),
-	.B(n_2152),
-	.Y(n_9649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388477 (
-	.A(n_9409),
-	.B(n_1985),
-	.Y(n_9547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g388478 (
-	.A(main_swith_host_lsu_num_req_outstanding[14]),
-	.B_N(n_9425),
-	.Y(n_9648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388479 (
-	.A(n_261),
-	.B(n_9174),
-	.Y(n_9647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388480 (
-	.A(n_9164),
-	.B(n_9392),
-	.Y(n_9646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g388482 (
-	.A_N(n_9411),
-	.B(FE_OFN1623_n_9245),
-	.Y(n_9645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388483 (
-	.A(n_9392),
-	.B(n_9410),
-	.Y(n_9644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388484 (
-	.A(n_1755),
-	.B(n_9173),
-	.Y(n_9643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388485 (
-	.A(n_7986),
-	.B(n_6354),
-	.C(n_2143),
-	.D(n_9069),
-	.Y(n_9642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388486 (
-	.A(n_9386),
-	.B(n_8983),
-	.Y(n_9641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g388487 (
-	.A(FE_OFN1623_n_9245),
-	.B(n_9411),
-	.X(n_9640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388489 (
-	.A(n_7948),
-	.B(n_6375),
-	.C(n_2143),
-	.D(n_9091),
-	.Y(n_9639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388491 (
-	.A(n_9386),
-	.B(n_9163),
-	.Y(n_9638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388492 (
-	.A(n_9381),
-	.B(n_8207),
-	.Y(n_9637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388493 (
-	.A(n_7994),
-	.B(n_6349),
-	.C(n_2143),
-	.D(n_9065),
-	.Y(n_9635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388495 (
-	.A(n_9247),
-	.B(n_9428),
-	.Y(n_9634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g388496 (
-	.A(FE_OFN1480_n_9543),
-	.Y(n_9542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388497 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [22]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [22]),
-	.C1(n_9282),
-	.X(n_9541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388498 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [23]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [23]),
-	.C1(n_9281),
-	.X(n_9540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388499 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [24]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [24]),
-	.C1(n_9280),
-	.X(n_9539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388500 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [25]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [25]),
-	.C1(n_9279),
-	.X(n_9538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388501 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [26]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [26]),
-	.C1(n_9278),
-	.X(n_9537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388502 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [27]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [27]),
-	.C1(n_9277),
-	.X(n_9536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388503 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [28]),
-	.B1(FE_OFN1787_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [28]),
-	.C1(n_9276),
-	.X(n_9535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388504 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [29]),
-	.B1(FE_OFN1787_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [29]),
-	.C1(n_9275),
-	.X(n_9534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388505 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [30]),
-	.B1(FE_OFN1788_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [30]),
-	.C1(n_9274),
-	.X(n_9533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388506 (
-	.A1(n_9156),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [31]),
-	.B1(FE_OFN1787_n_9008),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [31]),
-	.C1(n_9308),
-	.X(n_9532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388507 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [2]),
-	.C1(n_9272),
-	.X(n_9531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388508 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [3]),
-	.C1(n_9271),
-	.X(n_9530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388509 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [4]),
-	.C1(n_9270),
-	.X(n_9529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388510 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [5]),
-	.C1(n_9269),
-	.X(n_9528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388511 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [6]),
-	.C1(n_9268),
-	.X(n_9527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388512 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [7]),
-	.C1(n_9267),
-	.X(n_9526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388513 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [8]),
-	.C1(n_9266),
-	.X(n_9525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388514 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [9]),
-	.C1(n_9265),
-	.X(n_9524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388515 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [10]),
-	.C1(n_9264),
-	.X(n_9523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388516 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [11]),
-	.C1(n_9263),
-	.X(n_9522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388517 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [12]),
-	.C1(n_9262),
-	.X(n_9521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388518 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [13]),
-	.C1(n_9261),
-	.X(n_9520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388519 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [14]),
-	.C1(n_9260),
-	.X(n_9519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388520 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [15]),
-	.C1(n_9259),
-	.X(n_9518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388521 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [18]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
-	.C1(n_9258),
-	.X(n_9517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388522 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [19]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
-	.C1(n_9257),
-	.X(n_9516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388523 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [20]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
-	.C1(n_9256),
-	.X(n_9515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388524 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [21]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
-	.C1(n_9255),
-	.X(n_9514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388525 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [22]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
-	.C1(n_9254),
-	.X(n_9513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388526 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [23]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
-	.C1(n_9253),
-	.X(n_9512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388527 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [24]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
-	.C1(n_9252),
-	.X(n_9511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388528 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [25]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
-	.C1(n_9251),
-	.X(n_9510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388529 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [26]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
-	.C1(n_9250),
-	.X(n_9509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388530 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [27]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
-	.C1(n_9273),
-	.X(n_9508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388531 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [28]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
-	.C1(n_9302),
-	.X(n_9507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388532 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [29]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
-	.C1(n_9305),
-	.X(n_9506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388533 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [30]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
-	.C1(n_9306),
-	.X(n_9505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388534 (
-	.A1(n_9152),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [31]),
-	.B1(FE_OFN1786_n_8974),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
-	.C1(n_9301),
-	.X(n_9504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388535 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
-	.A2(n_9153),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
-	.C1(n_8592),
-	.C2(n_1987),
-	.Y(n_9503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388536 (
-	.A1(n_9248),
-	.A2(main_swith_host_lsu_num_req_outstanding[10]),
-	.B1(n_1257),
-	.B2(n_13743),
-	.C1(n_9204),
-	.X(n_9502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388537 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
-	.A2(n_9153),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
-	.C1(n_8587),
-	.C2(n_1993),
-	.Y(n_9501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388538 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
-	.A2(n_9153),
-	.B1(FE_OFN1026_n_8194),
-	.B2(FE_OFN1548_n_13715),
-	.C1(n_8586),
-	.C2(n_1713),
-	.Y(n_9500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388539 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
-	.A2(n_9153),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
-	.C1(n_8589),
-	.C2(n_1989),
-	.Y(n_9499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388540 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
-	.A2(n_9153),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
-	.C1(n_8592),
-	.C2(n_1720),
-	.Y(n_9498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388541 (
-	.A1(n_9108),
-	.A2(n_3951),
-	.B1(n_13751),
-	.B2(\uart_to_xbar[d_data] [3]),
-	.C1(n_5032),
-	.C2(n_9139),
-	.Y(n_9497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388542 (
-	.A1(n_9103),
-	.A2(n_3951),
-	.B1(n_13751),
-	.B2(\uart_to_xbar[d_data] [4]),
-	.C1(n_5032),
-	.C2(n_9105),
-	.Y(n_9496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388543 (
-	.A1(n_9140),
-	.A2(n_3951),
-	.B1(n_5032),
-	.B2(n_9101),
-	.C1(n_13751),
-	.C2(\uart_to_xbar[d_data] [5]),
-	.Y(n_9495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388544 (
-	.A1(n_9099),
-	.A2(n_3951),
-	.B1(n_5032),
-	.B2(n_9141),
-	.C1(n_13751),
-	.C2(\uart_to_xbar[d_data] [6]),
-	.Y(n_9494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388545 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [31]),
-	.B1(FE_OFN824_lsu_to_xbar_a_address__30),
-	.B2(n_4262),
-	.C1(n_4912),
-	.Y(n_9493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g388546 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [27]),
-	.B1(n_9127),
-	.C1(n_4572),
-	.Y(n_9492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388547 (
-	.A(FE_OFN1605_n_9233),
-	.B(n_9307),
-	.C(n_1047),
-	.Y(n_9491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388548 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [2]),
-	.B1(FE_OFN1060_n_16023),
-	.B2(n_4262),
-	.C1(n_4913),
-	.Y(n_9490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388549 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [4]),
-	.B1(FE_OFN851_xbar_to_dccm_a_address__3),
-	.B2(n_4262),
-	.C1(n_4562),
-	.Y(n_9489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388550 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [7]),
-	.B1(FE_OFN848_xbar_to_dccm_a_address__6),
-	.B2(n_4262),
-	.C1(n_4915),
-	.Y(n_9488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388551 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [8]),
-	.B1(FE_OFN847_xbar_to_dccm_a_address__7),
-	.B2(n_4262),
-	.C1(n_4653),
-	.Y(n_9487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388552 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [12]),
-	.B1(FE_OFN1858_xbar_to_dccm_a_address__11),
-	.B2(n_4262),
-	.C1(n_4918),
-	.Y(n_9486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388553 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [13]),
-	.B1(FE_OFN842_xbar_to_dccm_a_address__12),
-	.B2(n_4262),
-	.C1(n_4566),
-	.Y(n_9485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388554 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [14]),
-	.B1(FE_OFN841_xbar_to_dccm_a_address__13),
-	.B2(n_4262),
-	.C1(n_4570),
-	.Y(n_9484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388555 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [17]),
-	.B1(FE_OFN838_lsu_to_xbar_a_address__16),
-	.B2(n_4262),
-	.C1(n_4919),
-	.Y(n_9483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388556 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [18]),
-	.B1(FE_OFN15955_lsu_to_xbar_a_address__17),
-	.B2(n_4262),
-	.C1(n_4909),
-	.Y(n_9482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388557 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [19]),
-	.B1(FE_OFN15966_lsu_to_xbar_a_address__18),
-	.B2(n_4262),
-	.C1(n_4573),
-	.Y(n_9481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388558 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [20]),
-	.B1(FE_OFN1790_lsu_to_xbar_a_address__19),
-	.B2(n_4262),
-	.C1(n_4564),
-	.Y(n_9480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388559 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [21]),
-	.B1(FE_OFN834_lsu_to_xbar_a_address__20),
-	.B2(n_4262),
-	.C1(n_4719),
-	.Y(n_9479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388560 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [24]),
-	.B1(FE_OFN831_lsu_to_xbar_a_address__23),
-	.B2(n_4262),
-	.C1(n_4569),
-	.Y(n_9478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388561 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [25]),
-	.B1(FE_OFN1846_lsu_to_xbar_a_address__24),
-	.B2(n_4262),
-	.C1(n_4571),
-	.Y(n_9477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388562 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [28]),
-	.B1(FE_OFN827_lsu_to_xbar_a_address__27),
-	.B2(n_4262),
-	.C1(n_4561),
-	.Y(n_9476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388563 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [29]),
-	.B1(FE_OFN826_lsu_to_xbar_a_address__28),
-	.B2(n_4262),
-	.C1(n_4726),
-	.Y(n_9475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388564 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [30]),
-	.B1(FE_OFN1885_n),
-	.B2(n_4262),
-	.C1(n_4740),
-	.Y(n_9474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388565 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [5]),
-	.B1(FE_OFN1832_xbar_to_dccm_a_address__4),
-	.B2(n_4262),
-	.C1(n_4565),
-	.Y(n_9473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388567 (
-	.A1(FE_OFN1595_n_8978),
-	.A2(n_9242),
-	.B1(n_9313),
-	.Y(n_9471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g388568 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [26]),
-	.B1(n_9121),
-	.C1(n_4739),
-	.Y(n_9470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388569 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
-	.A2(n_9153),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
-	.C1(n_8593),
-	.C2(n_1986),
-	.Y(n_9469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388570 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
-	.A2(n_9153),
-	.B1(FE_OFN1026_n_8194),
-	.B2(FE_OFN1553_n_13721),
-	.C1(n_8589),
-	.C2(n_1718),
-	.Y(n_9468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388571 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
-	.A2(n_9153),
-	.B1(FE_OFN1026_n_8194),
-	.B2(FE_OFN1545_n_13707),
-	.C1(n_8592),
-	.C2(n_1713),
-	.Y(n_9467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388572 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
-	.A2(n_9153),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
-	.C1(n_8584),
-	.C2(n_1989),
-	.Y(n_9466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388573 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[30]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[30]),
-	.C1(n_9338),
-	.Y(n_9465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388574 (
-	.A1(n_1712),
-	.A2(n_8594),
-	.B1(n_9370),
-	.Y(n_9464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388575 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
-	.A2(n_9153),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
-	.C1(n_8593),
-	.C2(n_1987),
-	.Y(n_9463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g388576 (
-	.A1(n_1994),
-	.A2(n_8591),
-	.B1(n_9371),
-	.Y(n_9462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388577 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
-	.A2(n_9153),
-	.B1(FE_OFN1026_n_8194),
-	.B2(FE_OFN16783_n_285),
-	.C1(n_8584),
-	.C2(n_1993),
-	.Y(n_9461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388578 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
-	.A2(n_9153),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
-	.C1(n_8589),
-	.C2(n_1993),
-	.Y(n_9460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g388579 (
-	.A1(n_1991),
-	.A2(n_8591),
-	.B1(n_9372),
-	.Y(n_9459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388580 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
-	.A2(n_9153),
-	.B1(FE_OFN1026_n_8194),
-	.B2(FE_OFN1886_n_13712),
-	.C1(n_8584),
-	.C2(n_1992),
-	.Y(n_9458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388581 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
-	.A2(n_9153),
-	.B1(FE_OFN1026_n_8194),
-	.B2(FE_OFN1546_n_13713),
-	.C1(n_8589),
-	.C2(n_1992),
-	.Y(n_9457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g388582 (
-	.A1(n_1717),
-	.A2(n_8591),
-	.B1(n_9373),
-	.Y(n_9456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388583 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
-	.A2(n_9153),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
-	.C1(n_8584),
-	.C2(n_1718),
-	.Y(n_9455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388584 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
-	.A2(n_9153),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
-	.C1(n_8590),
-	.C2(n_1989),
-	.Y(n_9454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388585 (
-	.A1(n_1257),
-	.A2(n_13742),
-	.B1(n_8995),
-	.B2(main_swith_host_lsu_num_req_outstanding[9]),
-	.C1(n_9304),
-	.X(n_9453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g388586 (
-	.A1(n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
-	.C1(n_9356),
-	.Y(n_9452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g388587 (
-	.A1(n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
-	.C1(n_9357),
-	.Y(n_9451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g388588 (
-	.A1(n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
-	.C1(n_9358),
-	.Y(n_9450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g388589 (
-	.A1(n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
-	.C1(n_9359),
-	.Y(n_9449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g388590 (
-	.A1(n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
-	.C1(n_9360),
-	.Y(n_9448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388591 (
-	.A1(n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
-	.C1(n_9361),
-	.Y(n_9447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388592 (
-	.A(n_2235),
-	.B(n_3103),
-	.C(n_5182),
-	.D(n_9115),
-	.Y(n_9446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388593 (
-	.A1(FE_OFN1621_n_1979),
-	.A2(u_top_u_core_csr_mepc[9]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[9]),
-	.C1(n_9365),
-	.Y(n_9445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388594 (
-	.A1(FE_OFN1621_n_1979),
-	.A2(u_top_u_core_csr_mepc[10]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[10]),
-	.C1(n_9364),
-	.Y(n_9444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388595 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[11]),
-	.C1(n_9369),
-	.Y(n_9443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388596 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[14]),
-	.B1(FE_OFN1620_n_1975),
-	.B2(u_top_u_core_csr_depc[14]),
-	.C1(n_9363),
-	.Y(n_9442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388597 (
-	.A(n_2771),
-	.B(n_9107),
-	.C(n_2224),
-	.D(n_5122),
-	.Y(n_9441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388598 (
-	.A(n_2963),
-	.B(n_9104),
-	.C(n_2222),
-	.D(n_5112),
-	.Y(n_9440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g388599 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [3]),
-	.B1(n_9134),
-	.C1(n_4568),
-	.Y(n_9439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g388600 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [6]),
-	.B1(n_9135),
-	.C1(n_4914),
-	.Y(n_9438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g388601 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [9]),
-	.B1(n_9136),
-	.C1(n_4916),
-	.Y(n_9437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g388602 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [10]),
-	.B1(n_9150),
-	.C1(n_4917),
-	.Y(n_9436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g388603 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [11]),
-	.B1(n_9133),
-	.C1(n_4567),
-	.Y(n_9435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g388604 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [15]),
-	.B1(n_9126),
-	.C1(n_4582),
-	.Y(n_9434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g388605 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [16]),
-	.B1(n_9122),
-	.C1(n_4910),
-	.Y(n_9433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g388606 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [22]),
-	.B1(n_9119),
-	.C1(n_4920),
-	.Y(n_9432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g388607 (
-	.A1(n_9151),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [23]),
-	.B1(n_9120),
-	.C1(n_4560),
-	.Y(n_9431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388608 (
-	.A1(n_9314),
-	.A2(n_8195),
-	.B1(n_9428),
-	.Y(n_9546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388609 (
-	.A1(n_9246),
-	.A2(n_8207),
-	.B1(n_8583),
-	.Y(n_9545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_4 g388610 (
-	.A(n_8983),
-	.B(FE_OFN1597_n_8990),
-	.C(n_8985),
-	.D(n_9175),
-	.X(n_9544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g388611 (
-	.A1(n_9176),
-	.A2(n_661),
-	.A3(u_top_u_core_csr_op[1]),
-	.B1(FE_PSN3876_n_13304),
-	.B2(n_1248),
-	.Y(n_9543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g388741 (
-	.A(n_9367),
-	.Y(n_9430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g388742 (
-	.A(n_9421),
-	.Y(n_9420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g388743 (
-	.A(n_9412),
-	.Y(n_9413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g388744 (
-	.A(n_9395),
-	.Y(n_9394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g388745 (
-	.A(n_9393),
-	.Y(n_9392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g388746 (
-	.A(n_9391),
-	.Y(n_9390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g388747 (
-	.A(FE_OFN16682_n_9388),
-	.Y(n_9387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g388748 (
-	.A(n_9386),
-	.Y(n_9385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g388749 (
-	.A(FE_OFN17046_n_9384),
-	.Y(n_9383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g388750 (
-	.A(n_9382),
-	.Y(n_9381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388751 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
-	.A2(FE_OFN1333_n_8198),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
-	.C1(n_4266),
-	.C2(n_13662),
-	.Y(n_9380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388752 (
-	.A(n_4268),
-	.B(n_9223),
-	.Y(n_9379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388753 (
-	.A(n_9315),
-	.B(n_8207),
-	.Y(n_9378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388754 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[29]),
-	.B1(n_8202),
-	.B2(u_top_u_core_pc_if[29]),
-	.C1(n_9123),
-	.X(n_9377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g388755 (
-	.A1_N(\u_top_u_core_imd_val_q_ex[0] [0]),
-	.A2_N(n_9151),
-	.B1(FE_OFN1793_n_288),
-	.B2(n_6587),
-	.Y(n_9376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388756 (
-	.A(n_9243),
-	.B(FE_OFN1343_n_8980),
-	.Y(n_9375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388757 (
-	.A1(n_1990),
-	.A2(u_top_u_core_cs_registers_i_mcause_q[2]),
-	.B1(n_2201),
-	.B2(u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
-	.C1(n_9116),
-	.Y(n_9374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388758 (
-	.A1(n_9153),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
-	.Y(n_9373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388759 (
-	.A1(n_9153),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
-	.Y(n_9372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388760 (
-	.A1(n_9153),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
-	.Y(n_9371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388761 (
-	.A1(n_9153),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
-	.B1(FE_OFN1025_n_8192),
-	.B2(FE_OFN16794_n_13700),
-	.Y(n_9370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388762 (
-	.A(n_9112),
-	.B(n_5145),
-	.C(n_8814),
-	.Y(n_9369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g388763 (
-	.A1(FE_OFN1344_n_8982),
-	.A2(n_8207),
-	.B1(n_9247),
-	.X(n_9368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388764 (
-	.A1(n_8858),
-	.A2(n_1250),
-	.B1(n_8995),
-	.B2(main_swith_host_lsu_num_req_outstanding[8]),
-	.C1(n_1257),
-	.C2(n_13741),
-	.Y(n_9367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388765 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_9207),
-	.Y(n_9366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388766 (
-	.A(n_3230),
-	.B(n_5157),
-	.C(n_9202),
-	.Y(n_9365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388767 (
-	.A(n_3249),
-	.B(n_5150),
-	.C(n_9201),
-	.Y(n_9364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388768 (
-	.A(n_5129),
-	.B(n_9199),
-	.C(n_2830),
-	.Y(n_9363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g388769 (
-	.A(FE_OFN1592_n_8630),
-	.B(n_9164),
-	.C(n_9309),
-	.Y(n_9362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388770 (
-	.A(n_7982),
-	.B(n_8270),
-	.C(n_9072),
-	.Y(n_9361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388771 (
-	.A(n_7977),
-	.B(n_8269),
-	.C(n_9076),
-	.Y(n_9360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388772 (
-	.A(n_7973),
-	.B(n_8268),
-	.C(n_9078),
-	.Y(n_9359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388773 (
-	.A(n_7960),
-	.B(n_8267),
-	.C(n_9085),
-	.Y(n_9358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388774 (
-	.A(n_7956),
-	.B(n_8266),
-	.C(n_9087),
-	.Y(n_9357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388775 (
-	.A(n_7945),
-	.B(n_8265),
-	.C(n_9093),
-	.Y(n_9356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388776 (
-	.A1(\u_top_u_core_imd_val_q_ex[0] [32]),
-	.A2(n_9151),
-	.B1(n_15768),
-	.B2(n_2065),
-	.C1(n_13365),
-	.C2(n_3715),
-	.Y(n_9355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g388777 (
-	.A1(FE_OFN5763_lsu_to_xbar_a_address__31),
-	.A2(n_4264),
-	.B1(n_4266),
-	.B2(n_13665),
-	.C1(n_9235),
-	.X(n_9354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388778 (
-	.A1(n_13665),
-	.A2(n_8567),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
-	.C1(n_4266),
-	.C2(n_13664),
-	.Y(n_9353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388779 (
-	.A1(n_13662),
-	.A2(n_8567),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
-	.C1(FE_OFN827_lsu_to_xbar_a_address__27),
-	.C2(n_4264),
-	.Y(n_9352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388780 (
-	.A1(n_13664),
-	.A2(n_8567),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
-	.C1(n_4266),
-	.C2(n_13663),
-	.Y(n_9351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388781 (
-	.A(n_9225),
-	.B(n_1251),
-	.Y(n_9350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388782 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
-	.A2(FE_OFN1333_n_8198),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
-	.C1(FE_OFN828_lsu_to_xbar_a_address__26),
-	.C2(n_4264),
-	.Y(n_9349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388783 (
-	.A1(FE_OFN1377_n_13660),
-	.A2(n_8567),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
-	.C1(n_4266),
-	.C2(FE_OFN1376_n_13659),
-	.Y(n_9348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388784 (
-	.A1(FE_OFN1376_n_13659),
-	.A2(n_8567),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
-	.C1(n_4266),
-	.C2(n_13658),
-	.Y(n_9347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388785 (
-	.A1(n_13658),
-	.A2(n_8567),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
-	.C1(n_4266),
-	.C2(FE_OFN16072_n_13657),
-	.Y(n_9346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388786 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
-	.A2(FE_OFN1333_n_8198),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
-	.C1(FE_OFN16746_lsu_to_xbar_a_address__21),
-	.C2(n_4264),
-	.Y(n_9345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388787 (
-	.A1(FE_OFN16072_n_13657),
-	.A2(n_8567),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
-	.C1(FE_OFN16792_n),
-	.C2(n_4264),
-	.Y(n_9344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388788 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
-	.A2(FE_OFN1333_n_8198),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
-	.C1(FE_OFN834_lsu_to_xbar_a_address__20),
-	.C2(n_4264),
-	.Y(n_9343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388789 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
-	.A2(FE_OFN1333_n_8198),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
-	.C1(n_4266),
-	.C2(FE_OFN16800_n_13653),
-	.Y(n_9342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388790 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
-	.A2(FE_OFN1333_n_8198),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
-	.C1(n_4266),
-	.C2(n_13652),
-	.Y(n_9341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388791 (
-	.A1(n_13652),
-	.A2(n_8567),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
-	.C1(n_4266),
-	.C2(FE_OFN15862_n_13651),
-	.Y(n_9340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388792 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
-	.A2(FE_OFN1333_n_8198),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
-	.C1(n_4266),
-	.C2(FE_OFN15838_n_13650),
-	.Y(n_9339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388793 (
-	.A(n_2580),
-	.B(n_9046),
-	.C(n_5083),
-	.Y(n_9338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g388794 (
-	.A1(FE_OFN1024_n_6565),
-	.A2(n_8931),
-	.B1(n_1259),
-	.B2(n_8191),
-	.C1(n_8690),
-	.Y(n_9337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388795 (
-	.A1(FE_OFN15833_n_13635),
-	.A2(n_8567),
-	.B1(n_8976),
-	.B2(FE_OFN822_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.C1(n_4266),
-	.C2(FE_OCPN16835_u_top_u_core_multdiv_operand_b_ex_0),
-	.Y(n_9336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g388796 (
-	.A(main_swith_host_lsu_num_req_outstanding[12]),
-	.B(n_9168),
-	.C(n_1251),
-	.Y(n_9335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388797 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
-	.A2(n_8198),
-	.B1(n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
-	.C1(n_4266),
-	.C2(FE_OFN15833_n_13635),
-	.Y(n_9334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388798 (
-	.A1(FE_OCPN16824_n_13637),
-	.A2(n_8567),
-	.B1(n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
-	.C1(n_4266),
-	.C2(FE_OFN15965_n_13636),
-	.Y(n_9333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388799 (
-	.A1(FE_OFN15960_n_13638),
-	.A2(n_8567),
-	.B1(n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
-	.C1(n_4266),
-	.C2(FE_OCPN16824_n_13637),
-	.Y(n_9332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388800 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
-	.A2(n_8198),
-	.B1(n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
-	.C1(n_4266),
-	.C2(FE_OFN15960_n_13638),
-	.Y(n_9331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388801 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
-	.A2(n_8198),
-	.B1(n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
-	.C1(FE_OFN16787_xbar_to_dccm_a_address__5),
-	.C2(n_4264),
-	.Y(n_9330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388802 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
-	.A2(n_8198),
-	.B1(n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
-	.C1(FE_OFN848_xbar_to_dccm_a_address__6),
-	.C2(n_4264),
-	.Y(n_9329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388803 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
-	.A2(n_8198),
-	.B1(n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
-	.C1(FE_OFN847_xbar_to_dccm_a_address__7),
-	.C2(n_4264),
-	.Y(n_9328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388804 (
-	.A1(FE_OFN1372_n_13643),
-	.A2(n_8567),
-	.B1(n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
-	.C1(n_4266),
-	.C2(FE_OFN15845_n_13642),
-	.Y(n_9327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388805 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
-	.A2(n_8198),
-	.B1(n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
-	.C1(n_4266),
-	.C2(FE_OFN1372_n_13643),
-	.Y(n_9326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388806 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
-	.A2(FE_OFN1333_n_8198),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
-	.C1(FE_OFN844_xbar_to_dccm_a_address__10),
-	.C2(n_4264),
-	.Y(n_9325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388807 (
-	.A1(FE_OFN15855_n_13646),
-	.A2(n_8567),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
-	.C1(FE_OFN1858_xbar_to_dccm_a_address__11),
-	.C2(n_4264),
-	.Y(n_9324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388808 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
-	.A2(FE_OFN1333_n_8198),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
-	.C1(n_4266),
-	.C2(FE_OFN15855_n_13646),
-	.Y(n_9323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388809 (
-	.A1(FE_OFN1373_n_13648),
-	.A2(n_8567),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
-	.C1(n_4266),
-	.C2(FE_OFN1540_n_13647),
-	.Y(n_9322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388810 (
-	.A1(n_13649),
-	.A2(n_8567),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
-	.C1(n_4266),
-	.C2(FE_OFN1373_n_13648),
-	.Y(n_9321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388811 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
-	.A2(FE_OFN1333_n_8198),
-	.B1(FE_OFN1476_n_8976),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
-	.C1(n_4266),
-	.C2(n_13649),
-	.Y(n_9320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388814 (
-	.A(n_9162),
-	.B(n_9312),
-	.Y(n_9429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388818 (
-	.A(n_8206),
-	.B(n_9246),
-	.Y(n_9428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388825 (
-	.A(n_8866),
-	.B(n_2236),
-	.C(n_5188),
-	.D(n_7998),
-	.Y(n_9427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g388829 (
-	.A(n_8865),
-	.B(n_5175),
-	.C(n_4704),
-	.D(n_3358),
-	.Y(n_9426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g388832 (
-	.A(n_13244),
-	.B(n_9168),
-	.C(n_1251),
-	.Y(n_9425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388845 (
-	.A1(n_9169),
-	.A2(n_1251),
-	.B1(n_1714),
-	.Y(n_9424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388846 (
-	.A(n_9317),
-	.B(n_8195),
-	.Y(n_9423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g388847 (
-	.A(FE_OFN1343_n_8980),
-	.B_N(n_9319),
-	.Y(n_9422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388848 (
-	.A(n_7988),
-	.B(n_9068),
-	.C(n_2143),
-	.Y(n_9421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388849 (
-	.A(n_7980),
-	.B(n_9073),
-	.C(n_2143),
-	.Y(n_9419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388850 (
-	.A(n_7975),
-	.B(n_9077),
-	.C(n_2143),
-	.Y(n_9418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388851 (
-	.A(n_7971),
-	.B(n_9079),
-	.C(n_2143),
-	.Y(n_9417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388852 (
-	.A(n_7957),
-	.B(n_9086),
-	.C(n_2143),
-	.Y(n_9416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388853 (
-	.A(n_7954),
-	.B(n_9088),
-	.C(n_2143),
-	.Y(n_9415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388854 (
-	.A(n_7932),
-	.B(n_9094),
-	.C(n_2143),
-	.Y(n_9414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388855 (
-	.A(n_9311),
-	.B(n_8569),
-	.Y(n_9412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388856 (
-	.A(n_8568),
-	.B(FE_OFN1824_n_9310),
-	.Y(n_9411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388857 (
-	.A(FE_OFN1824_n_9310),
-	.B(n_9164),
-	.Y(n_9410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388858 (
-	.A(n_7997),
-	.B(n_9062),
-	.C(n_2143),
-	.Y(n_9409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388859 (
-	.A(n_7970),
-	.B(n_9080),
-	.C(FE_OFN974_n_2143),
-	.Y(n_9408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388860 (
-	.A(n_7969),
-	.B(n_9081),
-	.C(FE_OFN974_n_2143),
-	.Y(n_9407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388861 (
-	.A(n_7966),
-	.B(n_9084),
-	.C(FE_OFN974_n_2143),
-	.Y(n_9406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388862 (
-	.A(n_7963),
-	.B(n_9083),
-	.C(n_2143),
-	.Y(n_9405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388863 (
-	.A(n_7985),
-	.B(n_9070),
-	.C(FE_OFN974_n_2143),
-	.Y(n_9404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388864 (
-	.A(n_7983),
-	.B(n_9071),
-	.C(FE_OFN974_n_2143),
-	.Y(n_9403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388865 (
-	.A(n_7953),
-	.B(n_9089),
-	.C(n_2143),
-	.Y(n_9402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388866 (
-	.A(n_7952),
-	.B(n_9090),
-	.C(FE_OFN974_n_2143),
-	.Y(n_9401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388867 (
-	.A(n_7946),
-	.B(n_9092),
-	.C(FE_OFN974_n_2143),
-	.Y(n_9400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388868 (
-	.A(n_7990),
-	.B(n_9066),
-	.C(FE_OFN974_n_2143),
-	.Y(n_9399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388869 (
-	.A(n_7979),
-	.B(n_9074),
-	.C(FE_OFN974_n_2143),
-	.Y(n_9398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388870 (
-	.A(n_7978),
-	.B(n_9075),
-	.C(FE_OFN974_n_2143),
-	.Y(n_9397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g388871 (
-	.A(n_7989),
-	.B(n_9067),
-	.C(FE_OFN974_n_2143),
-	.Y(n_9396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388872 (
-	.A(n_9311),
-	.B(n_8207),
-	.Y(n_9395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388873 (
-	.A(n_8977),
-	.B(n_9309),
-	.Y(n_9393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388874 (
-	.A(n_9309),
-	.B(n_8195),
-	.Y(n_9391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g388875 (
-	.A(FE_OFN1343_n_8980),
-	.B(n_9316),
-	.X(n_9389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g388876 (
-	.A(n_7995),
-	.B(n_9064),
-	.C(FE_OFN974_n_2143),
-	.Y(n_9388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388877 (
-	.A(FE_OFN1595_n_8978),
-	.B(n_9309),
-	.Y(n_9386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g388878 (
-	.A(n_7996),
-	.B(n_9063),
-	.C(FE_OFN974_n_2143),
-	.Y(n_9384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388879 (
-	.A(n_8979),
-	.B(n_9246),
-	.Y(n_9382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g388880 (
-	.A(n_9314),
-	.Y(n_9313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g388881 (
-	.A(n_9312),
-	.Y(n_9311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388883 (
-	.A(FE_OFN1827_n_8225),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g388884 (
-	.A1(n_8296),
-	.A2(n_8327),
-	.A3(n_8374),
-	.A4(n_8326),
-	.B1(n_3951),
-	.Y(n_9307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388885 (
-	.A(FE_OFN1335_n_8229),
-	.B(n_9157),
-	.Y(n_9306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388886 (
-	.A(n_8231),
-	.B(n_9157),
-	.Y(n_9305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388887 (
-	.A(n_9131),
-	.B(n_1251),
-	.Y(n_9304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388888 (
-	.A(n_9151),
-	.B(\u_top_u_core_imd_val_q_ex[0] [1]),
-	.Y(n_9303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388889 (
-	.A(FE_OFN1336_n_8233),
-	.B(n_9157),
-	.Y(n_9302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388890 (
-	.A(FE_OFN1827_n_8225),
-	.B(n_9157),
-	.Y(n_9301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388891 (
-	.A(n_4509),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388892 (
-	.A(n_4511),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388893 (
-	.A(n_4516),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388894 (
-	.A(n_4501),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388895 (
-	.A(n_4513),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388896 (
-	.A(n_4505),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388897 (
-	.A(FE_OFN1474_n_8220),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388898 (
-	.A(FE_OFN1854_n_8218),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388899 (
-	.A(FE_OFN1473_n_8216),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388900 (
-	.A(FE_OFN1829_n_8214),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388901 (
-	.A(FE_OFN1472_n_8212),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388902 (
-	.A(FE_OFN1475_n_8245),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388903 (
-	.A(n_8243),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388904 (
-	.A(FE_OFN1339_n_8241),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388905 (
-	.A(n_4514),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388906 (
-	.A(n_4506),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388907 (
-	.A(n_4518),
-	.B(n_9155),
-	.Y(n_9284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388908 (
-	.A(n_4496),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388909 (
-	.A(n_4502),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388910 (
-	.A(n_4498),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388911 (
-	.A(FE_OFN1845_n_8223),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388912 (
-	.A(FE_OFN1816_n_8239),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388913 (
-	.A(FE_OFN1337_n_8237),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388914 (
-	.A(n_8235),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388915 (
-	.A(FE_OFN1336_n_8233),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388916 (
-	.A(n_8231),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388917 (
-	.A(FE_OFN1335_n_8229),
-	.B(FE_OFN1799_n_9155),
-	.Y(n_9274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388918 (
-	.A(n_8235),
-	.B(n_9157),
-	.Y(n_9273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388919 (
-	.A(n_4509),
-	.B(n_9157),
-	.Y(n_9272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388920 (
-	.A(n_4511),
-	.B(n_9157),
-	.Y(n_9271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388921 (
-	.A(n_4516),
-	.B(n_9157),
-	.Y(n_9270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388922 (
-	.A(n_4501),
-	.B(n_9157),
-	.Y(n_9269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388923 (
-	.A(n_4513),
-	.B(n_9157),
-	.Y(n_9268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388924 (
-	.A(n_4505),
-	.B(n_9157),
-	.Y(n_9267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388925 (
-	.A(FE_OFN1474_n_8220),
-	.B(n_9157),
-	.Y(n_9266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388926 (
-	.A(FE_OFN1854_n_8218),
-	.B(n_9157),
-	.Y(n_9265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388927 (
-	.A(FE_OFN1473_n_8216),
-	.B(n_9157),
-	.Y(n_9264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388928 (
-	.A(FE_OFN1829_n_8214),
-	.B(n_9157),
-	.Y(n_9263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388929 (
-	.A(FE_OFN1472_n_8212),
-	.B(n_9157),
-	.Y(n_9262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388930 (
-	.A(FE_OFN1475_n_8245),
-	.B(n_9157),
-	.Y(n_9261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388931 (
-	.A(n_8243),
-	.B(n_9157),
-	.Y(n_9260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388932 (
-	.A(FE_OFN1339_n_8241),
-	.B(n_9157),
-	.Y(n_9259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388933 (
-	.A(n_4514),
-	.B(n_9157),
-	.Y(n_9258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388934 (
-	.A(n_4506),
-	.B(n_9157),
-	.Y(n_9257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388935 (
-	.A(n_4518),
-	.B(n_9157),
-	.Y(n_9256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388936 (
-	.A(n_4496),
-	.B(n_9157),
-	.Y(n_9255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388937 (
-	.A(n_4502),
-	.B(n_9157),
-	.Y(n_9254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388938 (
-	.A(n_4498),
-	.B(n_9157),
-	.Y(n_9253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388939 (
-	.A(FE_OFN1845_n_8223),
-	.B(n_9157),
-	.Y(n_9252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388940 (
-	.A(FE_OFN1816_n_8239),
-	.B(n_9157),
-	.Y(n_9251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388941 (
-	.A(FE_OFN1337_n_8237),
-	.B(n_9157),
-	.Y(n_9250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g388942 (
-	.A(n_8569),
-	.B_N(n_9170),
-	.Y(n_9319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388943 (
-	.A(n_15780),
-	.B(n_9162),
-	.Y(n_9318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388944 (
-	.A(n_9160),
-	.B(n_8977),
-	.Y(n_9317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388945 (
-	.A(n_8206),
-	.B(n_9170),
-	.Y(n_9316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388946 (
-	.A(n_9170),
-	.B(FE_OFN1343_n_8980),
-	.Y(n_9315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g388948 (
-	.A(n_9159),
-	.B(n_9161),
-	.Y(n_9314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388950 (
-	.A(n_8979),
-	.B(n_9171),
-	.Y(n_9312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388952 (
-	.A(FE_OFN1343_n_8980),
-	.B(n_9171),
-	.Y(n_9310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g388954 (
-	.A(n_9244),
-	.Y(n_9243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g388956 (
-	.A1(n_5792),
-	.A2(n_3636),
-	.B1(n_9095),
-	.Y(n_9240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g388957 (
-	.A1(n_8368),
-	.A2(n_8319),
-	.A3(n_8395),
-	.A4(n_8369),
-	.B1(n_3951),
-	.Y(n_9239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g388958 (
-	.A1(n_4268),
-	.A2(n_8875),
-	.B1(n_5795),
-	.B2(n_5819),
-	.Y(n_9238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g388959 (
-	.A1(n_8333),
-	.A2(n_8332),
-	.A3(n_8377),
-	.A4(n_8299),
-	.B1(n_5032),
-	.Y(n_9237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g388960 (
-	.A1(n_8318),
-	.A2(n_8394),
-	.A3(n_8366),
-	.A4(n_8367),
-	.B1(n_5032),
-	.Y(n_9236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g388961 (
-	.A1(n_697),
-	.A2(n_8975),
-	.B1(n_1285),
-	.B2(n_8566),
-	.Y(n_9235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g388962 (
-	.A1(n_8373),
-	.A2(n_8322),
-	.A3(n_8295),
-	.A4(n_8323),
-	.B1(n_5030),
-	.Y(n_9234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g388963 (
-	.A1(n_8329),
-	.A2(n_8297),
-	.A3(n_8375),
-	.A4(n_8328),
-	.B1(FE_OFN1471_n_4495),
-	.Y(n_9233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388964 (
-	.A(n_9114),
-	.B(n_5031),
-	.Y(n_9232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g388965 (
-	.A1(n_8336),
-	.A2(n_8337),
-	.A3(n_8302),
-	.A4(n_8380),
-	.B1(FE_OFN1471_n_4495),
-	.Y(n_9231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g388966 (
-	.A1(n_8306),
-	.A2(n_8343),
-	.A3(n_8344),
-	.A4(n_8385),
-	.B1(FE_OFN1471_n_4495),
-	.Y(n_9230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g388967 (
-	.A1(n_8310),
-	.A2(n_8349),
-	.A3(n_8350),
-	.A4(n_8387),
-	.B1(FE_OFN1471_n_4495),
-	.Y(n_9229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g388968 (
-	.A1(n_8388),
-	.A2(n_8356),
-	.A3(n_8311),
-	.A4(n_8355),
-	.B1(FE_OFN1471_n_4495),
-	.Y(n_9228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g388969 (
-	.A1(n_8363),
-	.A2(n_8392),
-	.A3(n_8362),
-	.A4(n_8316),
-	.B1(FE_OFN1471_n_4495),
-	.Y(n_9227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g388970 (
-	.A(n_9098),
-	.B(n_5031),
-	.Y(n_9226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g388971 (
-	.A1(main_swith_host_lsu_num_req_outstanding[10]),
-	.A2(main_swith_host_lsu_num_req_outstanding[11]),
-	.B1(n_9169),
-	.Y(n_9225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g388972 (
-	.A1(n_3668),
-	.A2(n_5793),
-	.B1(n_3710),
-	.B2(n_5794),
-	.C1(n_8944),
-	.Y(n_9224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g388973 (
-	.A1(n_3627),
-	.A2(n_5793),
-	.B1(n_3626),
-	.B2(n_5794),
-	.C1(n_8951),
-	.Y(n_9223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388974 (
-	.A1(n_3635),
-	.A2(n_5794),
-	.B1(n_9082),
-	.Y(n_9222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388975 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
-	.C1(n_9060),
-	.Y(n_9221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388976 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
-	.C1(n_9058),
-	.Y(n_9220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388977 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
-	.C1(n_9057),
-	.Y(n_9219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388978 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
-	.C1(n_9056),
-	.Y(n_9218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388979 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
-	.C1(n_9054),
-	.Y(n_9217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388980 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
-	.C1(n_9053),
-	.Y(n_9216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388981 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
-	.C1(n_9052),
-	.Y(n_9215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388982 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
-	.C1(n_9051),
-	.Y(n_9214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388983 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
-	.C1(n_9050),
-	.Y(n_9213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388984 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
-	.C1(n_9049),
-	.Y(n_9212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388985 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
-	.C1(n_9048),
-	.Y(n_9211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g388986 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
-	.C1(n_9047),
-	.Y(n_9210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g388987 (
-	.A1(n_1756),
-	.A2(n_8595),
-	.B1(n_9173),
-	.X(n_9209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g388988 (
-	.A1(FE_OFN1477_n_8993),
-	.A2(FE_OFN1345_n_8984),
-	.B1(n_9167),
-	.Y(n_9208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g388989 (
-	.A1_N(u_uart_u_uart_core_rx_buffer_size[7]),
-	.A2_N(n_8599),
-	.B1(u_uart_u_uart_core_rx_buffer_size[7]),
-	.B2(n_8599),
-	.Y(n_9207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g388990 (
-	.A1(u_uart_u_uart_core_read_fifo_raddr[2]),
-	.A2(n_8597),
-	.B1(n_9174),
-	.Y(n_9206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g388991 (
-	.A1(n_8298),
-	.A2(n_8330),
-	.A3(n_8331),
-	.A4(n_8376),
-	.B1(n_3951),
-	.Y(n_9205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g388992 (
-	.A(main_swith_host_lsu_num_req_outstanding[10]),
-	.B(n_9012),
-	.C(n_1251),
-	.Y(n_9204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388993 (
-	.A1(\u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
-	.A2(n_3508),
-	.B1(n_8782),
-	.B2(u_top_u_core_csr_mtvec[8]),
-	.C1(FE_OFN1580_n_2147),
-	.C2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
-	.Y(n_9203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388994 (
-	.A1(u_top_u_core_csr_mtvec[9]),
-	.A2(n_8782),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[9]),
-	.C1(FE_OFN1580_n_2147),
-	.C2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
-	.Y(n_9202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388995 (
-	.A1(u_top_u_core_csr_mtvec[10]),
-	.A2(n_8782),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[10]),
-	.C1(FE_OFN1580_n_2147),
-	.C2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
-	.Y(n_9201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388996 (
-	.A1(\u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
-	.A2(n_3508),
-	.B1(n_8782),
-	.B2(u_top_u_core_csr_mtvec[13]),
-	.C1(FE_OFN1580_n_2147),
-	.C2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
-	.Y(n_9200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388997 (
-	.A1(u_top_u_core_csr_mtvec[14]),
-	.A2(n_8782),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[14]),
-	.C1(FE_OFN1580_n_2147),
-	.C2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
-	.Y(n_9199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388998 (
-	.A1(\u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
-	.A2(n_3510),
-	.B1(n_8782),
-	.B2(u_top_u_core_csr_mtvec[15]),
-	.C1(FE_OFN1580_n_2147),
-	.C2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
-	.Y(n_9198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g388999 (
-	.A1(\u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
-	.A2(n_3510),
-	.B1(n_8782),
-	.B2(u_top_u_core_csr_mtvec[31]),
-	.C1(FE_OFN1580_n_2147),
-	.C2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
-	.Y(n_9197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389000 (
-	.A1(n_1257),
-	.A2(n_13740),
-	.B1(n_8626),
-	.B2(main_swith_host_lsu_num_req_outstanding[7]),
-	.C1(n_9002),
-	.X(n_9196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389001 (
-	.A(n_8891),
-	.B(n_1783),
-	.C(n_2688),
-	.Y(n_9195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389002 (
-	.A(n_8892),
-	.B(n_1792),
-	.C(n_2936),
-	.Y(n_9194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389003 (
-	.A(n_2952),
-	.B(n_1769),
-	.C(n_8893),
-	.Y(n_9193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389004 (
-	.A(n_2496),
-	.B(n_1790),
-	.C(n_8909),
-	.Y(n_9192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389005 (
-	.A(n_3219),
-	.B(n_1766),
-	.C(n_8908),
-	.Y(n_9191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389006 (
-	.A(n_2475),
-	.B(n_1796),
-	.C(n_8972),
-	.Y(n_9190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389007 (
-	.A(n_2804),
-	.B(n_1782),
-	.C(n_8906),
-	.Y(n_9189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389008 (
-	.A(n_2702),
-	.B(n_1789),
-	.C(n_8905),
-	.Y(n_9188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389009 (
-	.A(n_2941),
-	.B(n_1770),
-	.C(n_8904),
-	.Y(n_9187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389010 (
-	.A(n_2419),
-	.B(n_1795),
-	.C(n_8903),
-	.Y(n_9186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389011 (
-	.A(n_3012),
-	.B(n_1788),
-	.C(n_8902),
-	.Y(n_9185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389012 (
-	.A(n_2356),
-	.B(n_1775),
-	.C(n_8901),
-	.Y(n_9184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389013 (
-	.A(n_3224),
-	.B(n_1767),
-	.C(n_8900),
-	.Y(n_9183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389014 (
-	.A(n_2709),
-	.B(n_1771),
-	.C(n_8899),
-	.Y(n_9182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389015 (
-	.A(n_2985),
-	.B(n_1784),
-	.C(n_8898),
-	.Y(n_9181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389016 (
-	.A(n_2940),
-	.B(n_1781),
-	.C(n_8897),
-	.Y(n_9180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389017 (
-	.A(n_2324),
-	.B(n_1779),
-	.C(n_8896),
-	.Y(n_9179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389018 (
-	.A(n_3108),
-	.B(n_1793),
-	.C(n_8895),
-	.Y(n_9178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389019 (
-	.A(n_2559),
-	.B(n_1780),
-	.C(n_8894),
-	.Y(n_9177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g389020 (
-	.A(n_8736),
-	.B(n_5179),
-	.C(n_4708),
-	.D(n_1677),
-	.Y(n_9249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389021 (
-	.A1(n_9011),
-	.A2(n_1251),
-	.B1(n_1714),
-	.Y(n_9248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g389022 (
-	.A1(FE_OFN1344_n_8982),
-	.A2(n_8569),
-	.B1(n_8583),
-	.X(n_9247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389023 (
-	.A1(n_8987),
-	.A2(FE_OFN1343_n_8980),
-	.B1(FE_OFN1344_n_8982),
-	.Y(n_9246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_4 g389024 (
-	.A(FE_OFN1589_n_8577),
-	.B(n_8574),
-	.C(n_8580),
-	.D(n_8825),
-	.Y(n_9245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389025 (
-	.A(n_15780),
-	.B(n_9160),
-	.Y(n_9244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389026 (
-	.A(FE_OFN1343_n_8980),
-	.B(n_8981),
-	.C(n_8987),
-	.Y(n_9242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389027 (
-	.A(n_9169),
-	.Y(n_9168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389029 (
-	.A(n_9164),
-	.Y(n_9163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g389030 (
-	.A(n_9162),
-	.Y(n_9161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389031 (
-	.A(n_9160),
-	.Y(n_9159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389034 (
-	.A1(FE_OFN922_n_296),
-	.A2(n_6567),
-	.B1(n_8919),
-	.Y(n_9150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g389035 (
-	.A1(FE_OFN1582_n_4267),
-	.A2(n_5851),
-	.B1(n_8811),
-	.C1(n_8411),
-	.Y(n_9149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389036 (
-	.A1(n_5842),
-	.A2(FE_OFN1582_n_4267),
-	.B1(n_4270),
-	.B2(n_5841),
-	.C1(n_8627),
-	.C2(n_3507),
-	.Y(n_9148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389037 (
-	.A1(n_5838),
-	.A2(FE_OFN1582_n_4267),
-	.B1(n_4270),
-	.B2(n_5839),
-	.C1(n_8624),
-	.C2(n_3507),
-	.Y(n_9147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389038 (
-	.A1(n_8609),
-	.A2(n_3507),
-	.B1(n_5826),
-	.B2(n_4267),
-	.C1(n_8403),
-	.Y(n_9146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389039 (
-	.A1(n_8619),
-	.A2(n_3507),
-	.B1(n_5822),
-	.B2(FE_OFN1582_n_4267),
-	.C1(n_8565),
-	.Y(n_9145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g389040 (
-	.A(FE_OFN1538_n_13644),
-	.B(FE_OFN1372_n_13643),
-	.C(n_13645),
-	.D(n_8286),
-	.X(n_9144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g389041 (
-	.A1(FE_OFN1582_n_4267),
-	.A2(n_5852),
-	.B1(n_8802),
-	.C1(n_8412),
-	.Y(n_9143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g389042 (
-	.A1(FE_OFN948_n_1673),
-	.A2(n_5385),
-	.B1(FE_OFN947_n_1672),
-	.B2(n_5399),
-	.C1(n_8936),
-	.Y(n_9142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g389043 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5415),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5416),
-	.C1(n_8937),
-	.Y(n_9141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g389044 (
-	.A1(n_1973),
-	.A2(n_5443),
-	.B1(n_1674),
-	.B2(n_5387),
-	.C1(n_8938),
-	.Y(n_9140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g389045 (
-	.A1(FE_OFN948_n_1673),
-	.A2(n_5494),
-	.B1(FE_OFN947_n_1672),
-	.B2(n_5495),
-	.C1(n_8939),
-	.Y(n_9139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g389046 (
-	.A1(n_1673),
-	.A2(n_5523),
-	.B1(n_1672),
-	.B2(n_5525),
-	.C1(n_8940),
-	.Y(n_9138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389047 (
-	.A(n_8339),
-	.B(n_8338),
-	.C(n_8303),
-	.D(n_8381),
-	.Y(n_9137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389048 (
-	.A1(FE_OFN921_n_295),
-	.A2(n_6567),
-	.B1(n_8920),
-	.Y(n_9136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389049 (
-	.A1(n_742),
-	.A2(n_6567),
-	.B1(n_8889),
-	.Y(n_9135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389050 (
-	.A1(FE_OFN920_n_285),
-	.A2(n_6567),
-	.B1(n_8921),
-	.Y(n_9134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389051 (
-	.A1(n_270),
-	.A2(n_6567),
-	.B1(n_8918),
-	.Y(n_9133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389052 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
-	.B1(FE_OFN1579_n_1971),
-	.B2(u_top_u_core_cs_registers_i_dscratch0_q[0]),
-	.C1(n_8963),
-	.Y(n_9132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g389053 (
-	.A1(main_swith_host_lsu_num_req_outstanding[8]),
-	.A2(main_swith_host_lsu_num_req_outstanding[9]),
-	.B1(n_9011),
-	.Y(n_9131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g389054 (
-	.A1(FE_OFN948_n_1673),
-	.A2(n_5555),
-	.B1(FE_OFN947_n_1672),
-	.B2(n_5556),
-	.C1(n_8941),
-	.Y(n_9130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g389055 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5593),
-	.B1(n_1970),
-	.B2(n_5594),
-	.C1(n_8942),
-	.Y(n_9129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g389056 (
-	.A1(n_5056),
-	.A2(n_701),
-	.B1(u_uart_u_uart_core_rx_fifo_rst),
-	.C1(n_8597),
-	.Y(n_9128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389057 (
-	.A1(n_754),
-	.A2(n_6567),
-	.B1(n_8912),
-	.Y(n_9127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389058 (
-	.A1(n_306),
-	.A2(n_6567),
-	.B1(n_8917),
-	.Y(n_9126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389059 (
-	.A(n_2117),
-	.B(n_8911),
-	.Y(n_9125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g389060 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_8815),
-	.Y(n_9124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389062 (
-	.A1(n_760),
-	.A2(n_6567),
-	.B1(n_8916),
-	.Y(n_9122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389063 (
-	.A1(n_335),
-	.A2(n_6567),
-	.B1(n_8913),
-	.Y(n_9121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389064 (
-	.A1(n_311),
-	.A2(n_6567),
-	.B1(n_8914),
-	.Y(n_9120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389065 (
-	.A1(n_265),
-	.A2(n_6567),
-	.B1(n_8915),
-	.Y(n_9119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g389067 (
-	.A(n_8399),
-	.B(n_5178),
-	.C(n_4706),
-	.D(n_1677),
-	.Y(n_9176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389068 (
-	.A(FE_OFN1347_n_8992),
-	.B(FE_OFN1590_n_8579),
-	.Y(n_9175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389074 (
-	.A(n_8597),
-	.B(u_uart_u_uart_core_read_fifo_raddr[2]),
-	.Y(n_9174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389075 (
-	.A(n_8595),
-	.B(n_1756),
-	.Y(n_9173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g389076 (
-	.A(FE_OFN1595_n_8978),
-	.B(n_8195),
-	.Y(n_9172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389077 (
-	.A(FE_OFN1346_n_8988),
-	.B(FE_OFN1344_n_8982),
-	.Y(n_9171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g389078 (
-	.A(FE_OFN1346_n_8988),
-	.B(n_8981),
-	.Y(n_9170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g389079 (
-	.A(n_13243),
-	.B(n_9012),
-	.Y(n_9169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389080 (
-	.A(n_8983),
-	.B(FE_OFN1813_n_8986),
-	.Y(n_9167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389083 (
-	.A(n_8568),
-	.B(FE_OFN1344_n_8982),
-	.Y(n_9166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389085 (
-	.A(n_8983),
-	.B(n_8985),
-	.Y(n_9164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g389086 (
-	.A(FE_OFN1346_n_8988),
-	.B(FE_OFN1343_n_8980),
-	.Y(n_9162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g389098 (
-	.A(n_8987),
-	.B(FE_OFN1344_n_8982),
-	.Y(n_9160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389101 (
-	.A(n_8973),
-	.B(n_186),
-	.Y(n_9158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g389102 (
-	.A(n_9007),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [1]),
-	.X(n_9156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389103 (
-	.A(n_9007),
-	.B(n_276),
-	.Y(n_9155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g389104 (
-	.A(n_8929),
-	.B(n_3516),
-	.Y(n_9153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g389105 (
-	.A(n_8973),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [0]),
-	.X(n_9152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_2 g389106 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.B1(n_2164),
-	.C1(n_8800),
-	.X(n_9151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g389107 (
-	.A1(FE_OFN1582_n_4267),
-	.A2(n_5856),
-	.B1(n_8808),
-	.C1(n_8415),
-	.Y(n_9117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389108 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
-	.C1(n_8867),
-	.X(n_9116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389109 (
-	.A1(u_top_u_core_csr_mstatus_mie),
-	.A2(n_8582),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
-	.C1(n_3510),
-	.C2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
-	.Y(n_9115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389110 (
-	.A(n_8334),
-	.B(n_8335),
-	.C(n_8301),
-	.D(n_8379),
-	.Y(n_9114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389111 (
-	.A1(n_8582),
-	.A2(u_top_u_core_cs_registers_i_csr_rdata_int[7]),
-	.B1(FE_OFN1619_n_1682),
-	.B2(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
-	.C1(n_8743),
-	.Y(n_9113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389112 (
-	.A1(\u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
-	.A2(n_3510),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[11]),
-	.C1(n_8782),
-	.C2(u_top_u_core_csr_mtvec[11]),
-	.Y(n_9112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g389113 (
-	.A1(FE_OFN948_n_1673),
-	.A2(n_5517),
-	.B1(FE_OFN947_n_1672),
-	.B2(n_5518),
-	.C1(n_8927),
-	.Y(n_9111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389114 (
-	.A1(u_top_u_core_csr_mtvec[12]),
-	.A2(n_8782),
-	.B1(FE_OFN1580_n_2147),
-	.B2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
-	.C1(FE_OFN1616_n_1060),
-	.C2(u_top_u_core_cs_registers_i_mtval_q[12]),
-	.Y(n_9110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389115 (
-	.A(n_8342),
-	.B(n_8341),
-	.C(n_8384),
-	.D(n_8305),
-	.Y(n_9109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g389116 (
-	.A1(n_1671),
-	.A2(n_5488),
-	.B1(n_1976),
-	.B2(n_5489),
-	.C1(n_8926),
-	.Y(n_9108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389117 (
-	.A1(\u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
-	.A2(FE_OFN1054_n_15788),
-	.B1(n_8582),
-	.B2(u_top_u_core_cs_registers_i_csr_rdata_int[17]),
-	.C1(n_3510),
-	.C2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
-	.Y(n_9107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389118 (
-	.A(n_8309),
-	.B(n_8386),
-	.C(n_8347),
-	.D(n_8348),
-	.Y(n_9106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g389119 (
-	.A1(n_1671),
-	.A2(n_5468),
-	.B1(n_1976),
-	.B2(n_5469),
-	.C1(n_8925),
-	.Y(n_9105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389120 (
-	.A1(\u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
-	.A2(FE_OFN1054_n_15788),
-	.B1(n_8582),
-	.B2(u_top_u_core_csr_mstatus_tw),
-	.C1(n_3508),
-	.C2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
-	.Y(n_9104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g389121 (
-	.A1(n_1671),
-	.A2(n_5462),
-	.B1(n_1976),
-	.B2(n_5463),
-	.C1(n_8924),
-	.Y(n_9103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389122 (
-	.A(n_8358),
-	.B(n_8389),
-	.C(n_8312),
-	.D(n_8357),
-	.Y(n_9102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g389123 (
-	.A1(FE_OFN948_n_1673),
-	.A2(n_5437),
-	.B1(FE_OFN947_n_1672),
-	.B2(n_5438),
-	.C1(n_8923),
-	.Y(n_9101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389124 (
-	.A(n_8315),
-	.B(n_8360),
-	.C(n_8391),
-	.D(n_8361),
-	.Y(n_9100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g389125 (
-	.A1(n_1671),
-	.A2(n_5603),
-	.B1(n_1976),
-	.B2(n_5380),
-	.C1(n_8922),
-	.Y(n_9099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389126 (
-	.A(n_8372),
-	.B(n_8321),
-	.C(n_8396),
-	.D(n_8371),
-	.Y(n_9098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g389127 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
-	.C(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
-	.D(n_8287),
-	.X(n_9097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389128 (
-	.A1(n_8255),
-	.A2(n_1251),
-	.B1(n_8864),
-	.Y(n_9096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g389129 (
-	.A1(n_3638),
-	.A2(n_5794),
-	.B1(n_3506),
-	.B2(n_8625),
-	.C1(n_7702),
-	.Y(n_9095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389130 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
-	.A2(FE_OFN989_n_4936),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8834),
-	.Y(n_9094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389131 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [1]),
-	.A2(n_4935),
-	.B1(n_1716),
-	.B2(\uart_to_xbar[d_data] [0]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8857),
-	.Y(n_9093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389132 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
-	.A2(FE_OFN988_n_4934),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8841),
-	.Y(n_9092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389133 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]),
-	.A2(n_4935),
-	.B1(n_1716),
-	.B2(\uart_to_xbar[d_data] [8]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8849),
-	.Y(n_9091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389134 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]),
-	.A2(n_4935),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8840),
-	.Y(n_9090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389135 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
-	.A2(FE_OFN988_n_4934),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8848),
-	.Y(n_9089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389136 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
-	.A2(FE_OFN988_n_4934),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8832),
-	.Y(n_9088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389137 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]),
-	.A2(n_4935),
-	.B1(n_1716),
-	.B2(\uart_to_xbar[d_data] [1]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8856),
-	.Y(n_9087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389138 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
-	.A2(n_4935),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8831),
-	.Y(n_9086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389139 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]),
-	.A2(n_4935),
-	.B1(n_1716),
-	.B2(\uart_to_xbar[d_data] [2]),
-	.C1(n_3269),
-	.C2(n_8855),
-	.Y(n_9085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389140 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
-	.A2(FE_OFN988_n_4934),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8839),
-	.Y(n_9084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389141 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
-	.A2(FE_OFN988_n_4934),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8847),
-	.Y(n_9083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389142 (
-	.A1(n_3507),
-	.A2(n_8610),
-	.B1(n_4267),
-	.B2(n_5858),
-	.C1(n_5792),
-	.C2(n_3451),
-	.Y(n_9082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389143 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
-	.A2(FE_OFN988_n_4934),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8846),
-	.Y(n_9081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389144 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
-	.A2(FE_OFN988_n_4934),
-	.B1(n_4935),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8838),
-	.Y(n_9080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389145 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]),
-	.A2(n_4935),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8830),
-	.Y(n_9079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389146 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]),
-	.A2(n_4942),
-	.B1(n_1716),
-	.B2(\uart_to_xbar[d_data] [3]),
-	.C1(n_3269),
-	.C2(n_8854),
-	.Y(n_9078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389147 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
-	.A2(FE_OFN988_n_4934),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8829),
-	.Y(n_9077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389148 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]),
-	.A2(n_4935),
-	.B1(n_1716),
-	.B2(\uart_to_xbar[d_data] [4]),
-	.C1(n_3269),
-	.C2(n_8853),
-	.Y(n_9076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389149 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
-	.A2(n_4935),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8837),
-	.Y(n_9075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389150 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
-	.A2(FE_OFN988_n_4934),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8845),
-	.Y(n_9074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389151 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
-	.A2(n_4935),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8828),
-	.Y(n_9073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389152 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]),
-	.A2(n_4935),
-	.B1(n_1716),
-	.B2(\uart_to_xbar[d_data] [5]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8852),
-	.Y(n_9072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389153 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
-	.A2(FE_OFN988_n_4934),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [14]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8844),
-	.Y(n_9071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389154 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
-	.A2(n_4935),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8836),
-	.Y(n_9070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389155 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]),
-	.A2(n_4935),
-	.B1(n_1716),
-	.B2(\uart_to_xbar[d_data] [6]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8851),
-	.Y(n_9069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389156 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
-	.A2(n_4935),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8827),
-	.Y(n_9068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389157 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
-	.A2(FE_OFN989_n_4936),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8835),
-	.Y(n_9067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389158 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
-	.A2(FE_OFN988_n_4934),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8843),
-	.Y(n_9066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389159 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
-	.A2(FE_OFN989_n_4936),
-	.B1(n_1716),
-	.B2(\uart_to_xbar[d_data] [7]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8850),
-	.Y(n_9065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389160 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]),
-	.A2(n_4935),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8833),
-	.Y(n_9064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389161 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]),
-	.A2(n_4935),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [16]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8842),
-	.Y(n_9063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389162 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
-	.A2(FE_OFN988_n_4934),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
-	.C1(FE_OFN981_n_3269),
-	.C2(n_8826),
-	.Y(n_9062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389163 (
-	.A1(FE_OFN1582_n_4267),
-	.A2(n_5828),
-	.B1(n_8617),
-	.B2(n_3507),
-	.C1(n_8404),
-	.Y(n_9061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389164 (
-	.A1(n_8782),
-	.A2(u_top_u_core_csr_mtvec[16]),
-	.B1(FE_OFN1621_n_1979),
-	.B2(u_top_u_core_csr_mepc[16]),
-	.X(n_9060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389165 (
-	.A1(n_8782),
-	.A2(u_top_u_core_csr_mtvec[17]),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[17]),
-	.Y(n_9059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389166 (
-	.A1(n_8782),
-	.A2(u_top_u_core_csr_mtvec[18]),
-	.B1(FE_OFN1621_n_1979),
-	.B2(u_top_u_core_csr_mepc[18]),
-	.X(n_9058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389167 (
-	.A1(n_8782),
-	.A2(u_top_u_core_csr_mtvec[19]),
-	.B1(FE_OFN1621_n_1979),
-	.B2(u_top_u_core_csr_mepc[19]),
-	.X(n_9057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389168 (
-	.A1(n_8782),
-	.A2(u_top_u_core_csr_mtvec[20]),
-	.B1(FE_OFN1621_n_1979),
-	.B2(u_top_u_core_csr_mepc[20]),
-	.X(n_9056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389169 (
-	.A1(n_8782),
-	.A2(u_top_u_core_csr_mtvec[21]),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[21]),
-	.Y(n_9055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389170 (
-	.A1(n_8782),
-	.A2(u_top_u_core_csr_mtvec[22]),
-	.B1(FE_OFN1621_n_1979),
-	.B2(u_top_u_core_csr_mepc[22]),
-	.X(n_9054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389171 (
-	.A1(n_8782),
-	.A2(u_top_u_core_csr_mtvec[23]),
-	.B1(FE_OFN1621_n_1979),
-	.B2(u_top_u_core_csr_mepc[23]),
-	.X(n_9053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389172 (
-	.A1(n_8782),
-	.A2(u_top_u_core_csr_mtvec[24]),
-	.B1(FE_OFN1621_n_1979),
-	.B2(u_top_u_core_csr_mepc[24]),
-	.X(n_9052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389173 (
-	.A1(n_8782),
-	.A2(u_top_u_core_csr_mtvec[25]),
-	.B1(FE_OFN1621_n_1979),
-	.B2(u_top_u_core_csr_mepc[25]),
-	.X(n_9051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389174 (
-	.A1(n_8782),
-	.A2(u_top_u_core_csr_mtvec[26]),
-	.B1(FE_OFN1621_n_1979),
-	.B2(u_top_u_core_csr_mepc[26]),
-	.X(n_9050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389175 (
-	.A1(n_8782),
-	.A2(u_top_u_core_csr_mtvec[27]),
-	.B1(FE_OFN1621_n_1979),
-	.B2(u_top_u_core_csr_mepc[27]),
-	.X(n_9049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389176 (
-	.A1(n_8782),
-	.A2(u_top_u_core_csr_mtvec[28]),
-	.B1(FE_OFN1621_n_1979),
-	.B2(u_top_u_core_csr_mepc[28]),
-	.X(n_9048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389177 (
-	.A1(n_8782),
-	.A2(u_top_u_core_csr_mtvec[29]),
-	.B1(FE_OFN1621_n_1979),
-	.B2(u_top_u_core_csr_mepc[29]),
-	.X(n_9047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389178 (
-	.A1(n_8782),
-	.A2(u_top_u_core_csr_mtvec[30]),
-	.B1(FE_OFN1621_n_1979),
-	.B2(u_top_u_core_csr_mepc[30]),
-	.Y(n_9046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_2 g389179 (
-	.A1(n_8781),
-	.A2(n_1255),
-	.B1(n_1679),
-	.X(n_9118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389180 (
-	.A(n_9012),
-	.Y(n_9011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g389181 (
-	.A(n_9010),
-	.Y(n_9009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g389183 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_8779),
-	.Y(n_9006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389184 (
-	.A(n_15745),
-	.B(n_8664),
-	.Y(n_9005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389185 (
-	.A(n_15746),
-	.B(n_8663),
-	.Y(n_9004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g389186 (
-	.A1(n_7679),
-	.A2(n_7691),
-	.A3(n_1094),
-	.B1(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_9003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g389187 (
-	.A(n_8795),
-	.B(n_1251),
-	.Y(n_9002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g389271 (
-	.A(n_2191),
-	.B(n_8824),
-	.Y(n_9045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389272 (
-	.A(n_8839),
-	.B(FE_OFN22_system_rst_ni),
-	.X(n_9044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389273 (
-	.A(n_8856),
-	.B(FE_OFN84_system_rst_ni),
-	.X(n_9043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389274 (
-	.A(n_8855),
-	.B(FE_OFN25_system_rst_ni),
-	.X(n_9042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389275 (
-	.A(n_8854),
-	.B(FE_OFN25_system_rst_ni),
-	.X(n_9041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389276 (
-	.A(n_8853),
-	.B(FE_OFN84_system_rst_ni),
-	.X(n_9040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389277 (
-	.A(n_8852),
-	.B(FE_OFN84_system_rst_ni),
-	.X(n_9039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389278 (
-	.A(n_8851),
-	.B(FE_OFN24_system_rst_ni),
-	.X(n_9038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389279 (
-	.A(n_8850),
-	.B(FE_OFN24_system_rst_ni),
-	.X(n_9037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389280 (
-	.A(n_8849),
-	.B(FE_OFN24_system_rst_ni),
-	.X(n_9036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389281 (
-	.A(n_8848),
-	.B(FE_OFN23_system_rst_ni),
-	.X(n_9035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389282 (
-	.A(n_8847),
-	.B(FE_OFN23_system_rst_ni),
-	.X(n_9034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389283 (
-	.A(n_8846),
-	.B(FE_OFN21_system_rst_ni),
-	.X(n_9033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389284 (
-	.A(n_8845),
-	.B(FE_OFN22_system_rst_ni),
-	.X(n_9032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389285 (
-	.A(n_8844),
-	.B(FE_OFN21_system_rst_ni),
-	.X(n_9031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389286 (
-	.A(n_8843),
-	.B(FE_OFN22_system_rst_ni),
-	.X(n_9030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389287 (
-	.A(n_8842),
-	.B(FE_OFN22_system_rst_ni),
-	.X(n_9029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389288 (
-	.A(n_8841),
-	.B(FE_OFN21_system_rst_ni),
-	.X(n_9028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389289 (
-	.A(n_8840),
-	.B(FE_OFN21_system_rst_ni),
-	.X(n_9027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389290 (
-	.A(n_8857),
-	.B(FE_OFN84_system_rst_ni),
-	.X(n_9026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389291 (
-	.A(n_8838),
-	.B(FE_OFN22_system_rst_ni),
-	.X(n_9025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389292 (
-	.A(n_8836),
-	.B(FE_OFN82_system_rst_ni),
-	.X(n_9024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389293 (
-	.A(n_8837),
-	.B(FE_OFN82_system_rst_ni),
-	.X(n_9023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389294 (
-	.A(n_8835),
-	.B(FE_OFN82_system_rst_ni),
-	.X(n_9022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389295 (
-	.A(n_8834),
-	.B(FE_OFN24_system_rst_ni),
-	.X(n_9021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389296 (
-	.A(n_8833),
-	.B(FE_OFN82_system_rst_ni),
-	.X(n_9020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389297 (
-	.A(n_8832),
-	.B(FE_OFN24_system_rst_ni),
-	.X(n_9019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389298 (
-	.A(n_8831),
-	.B(FE_OFN24_system_rst_ni),
-	.X(n_9018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389299 (
-	.A(n_8830),
-	.B(FE_OFN23_system_rst_ni),
-	.X(n_9017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389300 (
-	.A(n_8829),
-	.B(FE_OFN24_system_rst_ni),
-	.X(n_9016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389301 (
-	.A(n_8828),
-	.B(FE_OFN24_system_rst_ni),
-	.X(n_9015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389302 (
-	.A(n_8827),
-	.B(FE_OFN24_system_rst_ni),
-	.X(n_9014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g389303 (
-	.A(n_8826),
-	.B(FE_OFN24_system_rst_ni),
-	.X(n_9013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g389304 (
-	.A_N(main_swith_host_lsu_num_req_outstanding[9]),
-	.B(n_8858),
-	.Y(n_9012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389312 (
-	.A(n_8824),
-	.B(n_2192),
-	.Y(n_9010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31a_1 g389313 (
-	.A1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [0]),
-	.A2(n_2310),
-	.A3(n_8227),
-	.B1(n_8635),
-	.X(n_9008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389314 (
-	.A(n_8910),
-	.Y(n_9001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389315 (
-	.A(FE_OFN1477_n_8993),
-	.Y(n_8994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389316 (
-	.A(FE_OFN1347_n_8992),
-	.Y(n_8991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g389320 (
-	.A(FE_OFN1345_n_8984),
-	.Y(n_8983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389321 (
-	.A(FE_OFN1344_n_8982),
-	.Y(n_8981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389322 (
-	.A(FE_OFN1343_n_8980),
-	.Y(n_8979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g389323 (
-	.A(FE_OFN1595_n_8978),
-	.Y(n_8977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389324 (
-	.A(n_8976),
-	.Y(n_8975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389326 (
-	.A1(u_top_u_core_pc_if[14]),
-	.A2(n_8202),
-	.B1(n_1252),
-	.B2(n_13549),
-	.C1(n_13380),
-	.C2(FE_OFN1792_n_8201),
-	.Y(n_8972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389327 (
-	.A(n_15748),
-	.B(n_8661),
-	.Y(n_8971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389328 (
-	.A(n_15749),
-	.B(n_8660),
-	.Y(n_8970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389329 (
-	.A(n_1319),
-	.B(n_8659),
-	.Y(n_8969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389330 (
-	.A(n_1315),
-	.B(n_8658),
-	.Y(n_8968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389331 (
-	.A1(n_1068),
-	.A2(FE_OFN1472_n_8212),
-	.B1(n_8742),
-	.Y(n_8967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g389332 (
-	.A1(n_8635),
-	.A2(FE_OFN1873_u_top_u_core_pc_set),
-	.B1(n_3397),
-	.B2(n_8596),
-	.Y(n_8966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g389333 (
-	.A1(n_8632),
-	.A2(n_3506),
-	.B1(n_4943),
-	.Y(n_8965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g389334 (
-	.A(n_7687),
-	.B(n_7693),
-	.C(n_1280),
-	.D(n_6569),
-	.Y(n_8964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389335 (
-	.A1(n_3508),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[0]),
-	.C1(n_8272),
-	.X(n_8963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389336 (
-	.A1(n_5795),
-	.A2(n_8610),
-	.B1(n_2145),
-	.B2(\lsu_to_xbar[a_address] [14]),
-	.X(n_8962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389337 (
-	.A1(n_8567),
-	.A2(n_13663),
-	.B1(FE_OFN826_lsu_to_xbar_a_address__28),
-	.B2(n_4264),
-	.Y(n_8961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_4 g389338 (
-	.A1(n_8230),
-	.A2(n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
-	.C1(n_8722),
-	.X(n_8960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389340 (
-	.A1(n_8567),
-	.A2(n_13661),
-	.B1(n_4266),
-	.B2(FE_OFN1377_n_13660),
-	.Y(n_8958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389341 (
-	.A1(n_8567),
-	.A2(FE_OFN16745_n_13656),
-	.B1(n_4266),
-	.B2(FE_OFN15842_n_13655),
-	.Y(n_8957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389342 (
-	.A1(n_8567),
-	.A2(FE_OFN15842_n_13655),
-	.B1(n_4266),
-	.B2(FE_OFN1542_n_13654),
-	.Y(n_8956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389343 (
-	.A1(n_8567),
-	.A2(FE_OFN1542_n_13654),
-	.B1(FE_OFN1790_lsu_to_xbar_a_address__19),
-	.B2(n_4264),
-	.Y(n_8955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389344 (
-	.A1(n_8567),
-	.A2(FE_OFN16800_n_13653),
-	.B1(FE_OFN15966_lsu_to_xbar_a_address__18),
-	.B2(n_4264),
-	.Y(n_8954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389345 (
-	.A1(n_8567),
-	.A2(FE_OFN15862_n_13651),
-	.B1(FE_OFN838_lsu_to_xbar_a_address__16),
-	.B2(n_4264),
-	.Y(n_8953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389346 (
-	.A1(n_8567),
-	.A2(FE_OFN15838_n_13650),
-	.B1(FE_OFN839_lsu_to_xbar_a_address__15),
-	.B2(n_4264),
-	.Y(n_8952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389347 (
-	.A1(n_8602),
-	.A2(n_3507),
-	.B1(n_5841),
-	.B2(FE_OFN1582_n_4267),
-	.Y(n_8951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389348 (
-	.A1(n_8567),
-	.A2(FE_OFN1540_n_13647),
-	.B1(FE_OFN842_xbar_to_dccm_a_address__12),
-	.B2(n_4264),
-	.Y(n_8950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389349 (
-	.A1(n_8567),
-	.A2(n_13645),
-	.B1(n_4266),
-	.B2(FE_OFN1538_n_13644),
-	.Y(n_8949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g389350 (
-	.A1(n_8628),
-	.A2(n_3506),
-	.B1(n_4943),
-	.Y(n_8948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g389351 (
-	.A1(n_8627),
-	.A2(n_3506),
-	.B1(n_4943),
-	.Y(n_8947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389352 (
-	.A1(n_13376),
-	.A2(n_8201),
-	.B1(n_8202),
-	.B2(u_top_u_core_pc_if[10]),
-	.C1(n_3974),
-	.X(n_8946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389353 (
-	.A1(n_13377),
-	.A2(n_8201),
-	.B1(n_8202),
-	.B2(u_top_u_core_pc_if[11]),
-	.C1(n_3977),
-	.X(n_8945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389354 (
-	.A1(n_8606),
-	.A2(n_3507),
-	.B1(n_5835),
-	.B2(FE_OFN1582_n_4267),
-	.Y(n_8944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g389355 (
-	.A1(n_8629),
-	.A2(n_3506),
-	.B1(n_4943),
-	.Y(n_8943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389356 (
-	.A(n_7671),
-	.B(n_7670),
-	.C(n_8325),
-	.D(n_8324),
-	.Y(n_8942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389357 (
-	.A(n_7672),
-	.B(n_7669),
-	.C(n_8378),
-	.D(n_8300),
-	.Y(n_8941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389358 (
-	.A(n_7673),
-	.B(n_7668),
-	.C(n_8382),
-	.D(n_8304),
-	.Y(n_8940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389359 (
-	.A(n_7677),
-	.B(n_7666),
-	.C(n_8307),
-	.D(n_8345),
-	.Y(n_8939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389360 (
-	.A(n_7662),
-	.B(n_7684),
-	.C(n_8313),
-	.D(n_8359),
-	.Y(n_8938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389361 (
-	.A(n_7692),
-	.B(n_8190),
-	.C(n_8393),
-	.D(n_8365),
-	.Y(n_8937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389362 (
-	.A(n_7694),
-	.B(n_7658),
-	.C(n_8370),
-	.D(n_8320),
-	.Y(n_8936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389363 (
-	.A1(n_3507),
-	.A2(n_8618),
-	.B1(n_4944),
-	.Y(n_8935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389364 (
-	.A1(n_3507),
-	.A2(n_8616),
-	.B1(n_4944),
-	.Y(n_8934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389365 (
-	.A1(n_3507),
-	.A2(n_8614),
-	.B1(n_4944),
-	.Y(n_8933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g389366 (
-	.A1(n_8633),
-	.A2(FE_OFN1873_u_top_u_core_pc_set),
-	.B1(n_2260),
-	.B2(n_8596),
-	.Y(n_8932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389367 (
-	.A(n_8566),
-	.B(n_4932),
-	.C(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
-	.Y(n_8931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389368 (
-	.A1(FE_OFN1061_n_16024),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3503),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [31]),
-	.C1(n_8397),
-	.Y(n_8930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389369 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.B(n_1323),
-	.C(n_5809),
-	.D(n_8252),
-	.Y(n_8929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389370 (
-	.A1(n_5835),
-	.A2(n_4270),
-	.B1(FE_OFN1582_n_4267),
-	.B2(n_5836),
-	.C1(n_8628),
-	.C2(n_3507),
-	.Y(n_8928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389371 (
-	.A(n_7676),
-	.B(n_7667),
-	.C(n_8340),
-	.D(n_8383),
-	.Y(n_8927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389372 (
-	.A(n_7678),
-	.B(n_7665),
-	.C(n_8308),
-	.D(n_8346),
-	.Y(n_8926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389373 (
-	.A(n_7682),
-	.B(n_7664),
-	.C(n_8352),
-	.D(n_8351),
-	.Y(n_8925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389374 (
-	.A(n_7683),
-	.B(n_7663),
-	.C(n_8354),
-	.D(n_8353),
-	.Y(n_8924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389375 (
-	.A(n_7685),
-	.B(n_7661),
-	.C(n_8314),
-	.D(n_8390),
-	.Y(n_8923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389376 (
-	.A(n_7688),
-	.B(n_7660),
-	.C(n_8317),
-	.D(n_8364),
-	.Y(n_8922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389377 (
-	.A1(n_310),
-	.A2(n_8203),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
-	.C1(FE_OFN852_xbar_to_dccm_a_address__2),
-	.C2(n_4262),
-	.Y(n_8921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389378 (
-	.A1(FE_OFN924_n_303),
-	.A2(n_8203),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
-	.C1(FE_OFN846_xbar_to_dccm_a_address__8),
-	.C2(n_4262),
-	.Y(n_8920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389379 (
-	.A1(n_13710),
-	.A2(n_8203),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
-	.C1(FE_OFN845_xbar_to_dccm_a_address__9),
-	.C2(n_4262),
-	.Y(n_8919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389380 (
-	.A1(n_13711),
-	.A2(n_8203),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
-	.C1(FE_OFN844_xbar_to_dccm_a_address__10),
-	.C2(n_4262),
-	.Y(n_8918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389381 (
-	.A1(FE_OFN1548_n_13715),
-	.A2(n_8203),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
-	.C1(FE_OFN840_lsu_to_xbar_a_address__14),
-	.C2(n_4262),
-	.Y(n_8917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389382 (
-	.A1(FE_OFN1549_n_13716),
-	.A2(n_8203),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
-	.C1(FE_OFN839_lsu_to_xbar_a_address__15),
-	.C2(n_4262),
-	.Y(n_8916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389383 (
-	.A1(n_13722),
-	.A2(n_8203),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
-	.C1(FE_OFN16746_lsu_to_xbar_a_address__21),
-	.C2(n_4262),
-	.Y(n_8915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389384 (
-	.A1(n_13723),
-	.A2(n_8203),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
-	.C1(FE_OFN16792_n),
-	.C2(n_4262),
-	.Y(n_8914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389385 (
-	.A1(n_13726),
-	.A2(n_8203),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
-	.C1(FE_OFN829_lsu_to_xbar_a_address__25),
-	.C2(n_4262),
-	.Y(n_8913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389386 (
-	.A1(n_13727),
-	.A2(n_8203),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
-	.C1(FE_OFN828_lsu_to_xbar_a_address__26),
-	.C2(n_4262),
-	.Y(n_8912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389387 (
-	.A1(n_13367),
-	.A2(n_8201),
-	.B1(n_8202),
-	.B2(FE_OFN823_u_top_u_core_pc_if_1),
-	.C1(n_1254),
-	.C2(u_top_u_core_csr_mepc[1]),
-	.Y(n_8911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389388 (
-	.A1(n_13368),
-	.A2(n_8201),
-	.B1(n_8202),
-	.B2(u_top_u_core_pc_if[2]),
-	.C1(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.C2(n_13333),
-	.Y(n_8910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389389 (
-	.A1(u_top_u_core_pc_if[12]),
-	.A2(n_8202),
-	.B1(n_1252),
-	.B2(n_13547),
-	.C1(n_13378),
-	.C2(FE_OFN1792_n_8201),
-	.Y(n_8909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389390 (
-	.A1(u_top_u_core_pc_if[13]),
-	.A2(n_8202),
-	.B1(n_1252),
-	.B2(n_13548),
-	.C1(n_13379),
-	.C2(FE_OFN1792_n_8201),
-	.Y(n_8908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389391 (
-	.A(n_15747),
-	.B(n_8662),
-	.Y(n_8907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389392 (
-	.A1(u_top_u_core_pc_if[15]),
-	.A2(n_8202),
-	.B1(n_1252),
-	.B2(n_13550),
-	.C1(n_13381),
-	.C2(FE_OFN1792_n_8201),
-	.Y(n_8906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389393 (
-	.A1(u_top_u_core_pc_if[16]),
-	.A2(n_8202),
-	.B1(n_13382),
-	.B2(FE_OFN13728_n),
-	.C1(n_1252),
-	.C2(n_13551),
-	.Y(n_8905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389394 (
-	.A1(u_top_u_core_pc_if[17]),
-	.A2(n_8202),
-	.B1(n_1252),
-	.B2(n_13552),
-	.C1(n_13383),
-	.C2(FE_OFN13728_n),
-	.Y(n_8904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389395 (
-	.A1(u_top_u_core_pc_if[18]),
-	.A2(n_8202),
-	.B1(n_13384),
-	.B2(FE_OFN13728_n),
-	.C1(n_1252),
-	.C2(n_13553),
-	.Y(n_8903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389396 (
-	.A1(u_top_u_core_pc_if[19]),
-	.A2(n_8202),
-	.B1(n_1252),
-	.B2(n_13554),
-	.C1(n_13385),
-	.C2(FE_OFN13728_n),
-	.Y(n_8902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389397 (
-	.A1(u_top_u_core_pc_if[20]),
-	.A2(n_8202),
-	.B1(n_1252),
-	.B2(n_13555),
-	.C1(n_13386),
-	.C2(FE_OFN13728_n),
-	.Y(n_8901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389398 (
-	.A1(u_top_u_core_pc_if[21]),
-	.A2(n_8202),
-	.B1(n_13387),
-	.B2(FE_OFN13728_n),
-	.C1(n_1252),
-	.C2(n_13556),
-	.Y(n_8900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389399 (
-	.A1(u_top_u_core_pc_if[22]),
-	.A2(n_8202),
-	.B1(n_1252),
-	.B2(n_13557),
-	.C1(n_13388),
-	.C2(FE_OFN13728_n),
-	.Y(n_8899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389400 (
-	.A1(u_top_u_core_pc_if[23]),
-	.A2(n_8202),
-	.B1(n_13389),
-	.B2(FE_OFN13728_n),
-	.C1(n_1252),
-	.C2(n_13558),
-	.Y(n_8898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389401 (
-	.A1(u_top_u_core_pc_if[24]),
-	.A2(n_8202),
-	.B1(n_13390),
-	.B2(FE_OFN13728_n),
-	.C1(n_1252),
-	.C2(n_13559),
-	.Y(n_8897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389402 (
-	.A1(u_top_u_core_pc_if[25]),
-	.A2(n_8202),
-	.B1(n_1252),
-	.B2(n_13560),
-	.C1(n_13391),
-	.C2(FE_OFN13728_n),
-	.Y(n_8896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389403 (
-	.A1(u_top_u_core_pc_if[26]),
-	.A2(n_8202),
-	.B1(n_13392),
-	.B2(FE_OFN13728_n),
-	.C1(n_1252),
-	.C2(n_13561),
-	.Y(n_8895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389404 (
-	.A1(u_top_u_core_pc_if[27]),
-	.A2(n_8202),
-	.B1(n_1252),
-	.B2(n_13562),
-	.C1(n_13393),
-	.C2(FE_OFN13728_n),
-	.Y(n_8894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389405 (
-	.A1(u_top_u_core_pc_if[28]),
-	.A2(n_8202),
-	.B1(n_1252),
-	.B2(n_13563),
-	.C1(n_13394),
-	.C2(FE_OFN13728_n),
-	.Y(n_8893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389406 (
-	.A1(u_top_u_core_pc_if[30]),
-	.A2(n_8202),
-	.B1(n_13396),
-	.B2(FE_OFN1792_n_8201),
-	.C1(n_1252),
-	.C2(n_13565),
-	.Y(n_8892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389407 (
-	.A1(u_top_u_core_pc_if[31]),
-	.A2(n_8202),
-	.B1(n_13397),
-	.B2(FE_OFN1792_n_8201),
-	.C1(n_1252),
-	.C2(n_13566),
-	.Y(n_8891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g389408 (
-	.A1(n_8609),
-	.A2(n_3506),
-	.B1(n_4943),
-	.X(n_8890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389409 (
-	.A1(n_13706),
-	.A2(n_8203),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
-	.C1(FE_OFN16787_xbar_to_dccm_a_address__5),
-	.C2(n_4262),
-	.Y(n_8889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g389410 (
-	.A1(n_8624),
-	.A2(n_3506),
-	.B1(n_4943),
-	.Y(n_8888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389411 (
-	.A1(n_3507),
-	.A2(n_8608),
-	.B1(n_4944),
-	.Y(n_8887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389412 (
-	.A1(n_5831),
-	.A2(n_4270),
-	.B1(FE_OFN1582_n_4267),
-	.B2(n_5830),
-	.C1(n_8623),
-	.C2(n_3507),
-	.Y(n_8886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g389413 (
-	.A1(n_8623),
-	.A2(n_3506),
-	.B1(n_4943),
-	.Y(n_8885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389414 (
-	.A1(n_3507),
-	.A2(n_8604),
-	.B1(n_4944),
-	.Y(n_8884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389415 (
-	.A1(n_5826),
-	.A2(n_4270),
-	.B1(FE_OFN1582_n_4267),
-	.B2(n_5827),
-	.C1(n_8621),
-	.C2(n_3507),
-	.Y(n_8883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g389416 (
-	.A1(n_8621),
-	.A2(n_3506),
-	.B1(n_4943),
-	.Y(n_8882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389417 (
-	.A1(n_3507),
-	.A2(n_8607),
-	.B1(n_4944),
-	.Y(n_8881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389418 (
-	.A1(n_3507),
-	.A2(n_8603),
-	.B1(n_4944),
-	.Y(n_8880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g389419 (
-	.A1(n_8620),
-	.A2(n_3506),
-	.B1(n_4943),
-	.Y(n_8879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389420 (
-	.A1(n_8567),
-	.A2(FE_OFN1538_n_13644),
-	.B1(FE_OFN845_xbar_to_dccm_a_address__9),
-	.B2(n_4264),
-	.Y(n_8878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389421 (
-	.A1(n_5828),
-	.A2(n_4270),
-	.B1(FE_OFN1582_n_4267),
-	.B2(n_5829),
-	.C1(n_8620),
-	.C2(n_3507),
-	.Y(n_8877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389422 (
-	.A1(n_1257),
-	.A2(n_13738),
-	.B1(n_5817),
-	.B2(main_swith_host_lsu_num_req_outstanding[5]),
-	.C1(n_8264),
-	.X(n_8876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389423 (
-	.A1(n_3506),
-	.A2(n_8608),
-	.B1(n_8807),
-	.Y(n_8875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389424 (
-	.A1(FE_OFN1582_n_4267),
-	.A2(n_5834),
-	.B1(n_8615),
-	.B2(n_3507),
-	.C1(n_8406),
-	.Y(n_8874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389425 (
-	.A1(FE_OFN1582_n_4267),
-	.A2(n_5839),
-	.B1(n_8605),
-	.B2(n_3507),
-	.C1(n_8407),
-	.Y(n_8873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389426 (
-	.A1(n_5822),
-	.A2(n_4270),
-	.B1(FE_OFN1582_n_4267),
-	.B2(n_5825),
-	.C1(n_8632),
-	.C2(n_3507),
-	.Y(n_8872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389427 (
-	.A1(n_5834),
-	.A2(n_4270),
-	.B1(FE_OFN1582_n_4267),
-	.B2(n_5833),
-	.C1(n_8629),
-	.C2(n_3507),
-	.Y(n_8871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g389428 (
-	.A1(FE_OFN1582_n_4267),
-	.A2(n_5853),
-	.B1(n_8413),
-	.C1(n_8810),
-	.Y(n_8870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g389429 (
-	.A1(FE_OFN1582_n_4267),
-	.A2(n_5855),
-	.B1(n_8414),
-	.C1(n_8809),
-	.Y(n_8869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389430 (
-	.A1(n_3506),
-	.A2(n_8611),
-	.B1(n_8780),
-	.Y(n_8868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g389431 (
-	.A(n_7716),
-	.B(n_3026),
-	.C(n_5195),
-	.D(n_2907),
-	.Y(n_8867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389432 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[1]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[1]),
-	.C1(n_8737),
-	.Y(n_8866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389433 (
-	.A1(FE_OFN1621_n_1979),
-	.A2(u_top_u_core_csr_mepc[6]),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[6]),
-	.C1(n_8801),
-	.Y(n_8865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389434 (
-	.A1(n_8626),
-	.A2(main_swith_host_lsu_num_req_outstanding[6]),
-	.B1(n_1257),
-	.B2(n_13739),
-	.Y(n_8864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389435 (
-	.A1(n_8567),
-	.A2(FE_OFN15965_n_13636),
-	.B1(FE_OFN1060_n_16023),
-	.B2(n_4264),
-	.Y(n_8863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389436 (
-	.A1(n_8567),
-	.A2(FE_OFN16765_n),
-	.B1(FE_OFN1832_xbar_to_dccm_a_address__4),
-	.B2(n_4264),
-	.Y(n_8862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389437 (
-	.A1(n_8567),
-	.A2(FE_OFN16764_n_13640),
-	.B1(n_4266),
-	.B2(FE_OFN16765_n),
-	.Y(n_8861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389438 (
-	.A1(n_8567),
-	.A2(FE_OFN16754_n_13641),
-	.B1(n_4266),
-	.B2(FE_OFN16764_n_13640),
-	.Y(n_8860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389439 (
-	.A1(n_8567),
-	.A2(FE_OFN15845_n_13642),
-	.B1(n_4266),
-	.B2(FE_OFN16754_n_13641),
-	.Y(n_8859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389440 (
-	.A1(n_8228),
-	.A2(FE_OFN929_n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
-	.C1(n_8721),
-	.Y(n_9000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389441 (
-	.A1(FE_OFN1338_n_8239),
-	.A2(FE_OFN929_n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
-	.C1(n_8725),
-	.Y(n_8999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_4 g389442 (
-	.A1(n_8236),
-	.A2(n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
-	.C1(n_8724),
-	.X(n_8998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389443 (
-	.A1(n_8234),
-	.A2(n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
-	.C1(n_8723),
-	.Y(n_8997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389444 (
-	.A1(n_8222),
-	.A2(FE_OFN929_n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
-	.C1(n_8717),
-	.Y(n_8996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389445 (
-	.A1(n_8598),
-	.A2(n_1251),
-	.B1(n_1714),
-	.Y(n_8995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389446 (
-	.A1(n_8224),
-	.A2(n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
-	.C1(n_8720),
-	.Y(n_8993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389447 (
-	.A1(n_8217),
-	.A2(FE_OFN929_n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [9]),
-	.C1(n_8726),
-	.Y(n_8992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g389448 (
-	.A1(n_8219),
-	.A2(FE_OFN929_n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [8]),
-	.C1(n_8727),
-	.Y(n_8990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389449 (
-	.A1(n_8242),
-	.A2(FE_OFN929_n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [14]),
-	.C1(n_8733),
-	.Y(n_8988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_4 g389450 (
-	.A1(n_8215),
-	.A2(n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [10]),
-	.C1(n_8728),
-	.Y(n_8986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389451 (
-	.A1(n_8213),
-	.A2(n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [11]),
-	.C1(n_8729),
-	.Y(n_8984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g389452 (
-	.A(n_8732),
-	.B(n_8731),
-	.Y(n_8982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g389453 (
-	.A(n_8735),
-	.B(n_8734),
-	.Y(n_8980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g389454 (
-	.A1(n_8211),
-	.A2(FE_OFN929_n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [12]),
-	.C1(n_8730),
-	.Y(n_8978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g389455 (
-	.A(n_8567),
-	.B(n_15761),
-	.Y(n_8976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31a_1 g389456 (
-	.A1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.A2(n_1997),
-	.A3(n_8227),
-	.B1(n_8633),
-	.X(n_8974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389457 (
-	.A1(n_5854),
-	.A2(n_4270),
-	.B1(n_5819),
-	.B2(n_3507),
-	.C1(n_4267),
-	.C2(n_5846),
-	.Y(n_8822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389460 (
-	.A1(n_4270),
-	.A2(n_5853),
-	.B1(FE_OFN1582_n_4267),
-	.B2(n_5845),
-	.C1(n_7675),
-	.Y(n_8820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389461 (
-	.A1(n_5852),
-	.A2(n_4270),
-	.B1(n_4267),
-	.B2(n_5844),
-	.C1(n_5063),
-	.C2(n_3507),
-	.Y(n_8819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g389462 (
-	.A1(n_7791),
-	.A2(n_1091),
-	.B1(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_8818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g389463 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
-	.A2(n_5807),
-	.B1(n_6569),
-	.C1(n_7690),
-	.Y(n_8817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g389464 (
-	.A(n_5820),
-	.B(n_6555),
-	.C(n_1283),
-	.D(n_6569),
-	.Y(n_8816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g389465 (
-	.A1(u_uart_u_uart_core_rx_buffer_size[6]),
-	.A2(n_8259),
-	.B1(n_8599),
-	.Y(n_8815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389466 (
-	.A(n_8582),
-	.B(u_top_u_core_cs_registers_i_csr_rdata_int[11]),
-	.Y(n_8814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389467 (
-	.A(n_8582),
-	.B(u_top_u_core_cs_registers_i_csr_rdata_int[12]),
-	.Y(n_8813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g389468 (
-	.A(n_15772),
-	.B(n_8596),
-	.Y(n_8812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g389469 (
-	.A(n_3506),
-	.B(n_8613),
-	.Y(n_8811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g389470 (
-	.A(n_3506),
-	.B(n_8622),
-	.Y(n_8810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g389471 (
-	.A(n_3506),
-	.B(n_8612),
-	.Y(n_8809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g389472 (
-	.A(n_3506),
-	.B(n_8600),
-	.Y(n_8808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g389473 (
-	.A1(n_4267),
-	.A2(n_5831),
-	.B1(n_8405),
-	.Y(n_8807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389474 (
-	.A(n_8569),
-	.B(n_8207),
-	.Y(n_8806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g389475 (
-	.A1(n_3515),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.B1(n_4799),
-	.C1(n_8634),
-	.Y(n_8805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g389476 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_8564),
-	.Y(n_8804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389477 (
-	.A(n_8280),
-	.B(n_6569),
-	.Y(n_8803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g389478 (
-	.A(n_3506),
-	.B(n_8601),
-	.Y(n_8802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389479 (
-	.A(n_3153),
-	.B(n_5173),
-	.C(n_8288),
-	.Y(n_8801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g389480 (
-	.A1(n_13278),
-	.A2(n_7689),
-	.B1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.B2(n_13283),
-	.Y(n_8800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g389481 (
-	.A1(n_8221),
-	.A2(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
-	.B1(n_8585),
-	.X(n_8799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g389482 (
-	.A1(n_8221),
-	.A2(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
-	.B1(n_8585),
-	.X(n_8798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g389483 (
-	.A1(n_8221),
-	.A2(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
-	.B1(n_8585),
-	.X(n_8797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g389484 (
-	.A1(n_8221),
-	.A2(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
-	.B1(n_8585),
-	.X(n_8796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g389485 (
-	.A1(main_swith_host_lsu_num_req_outstanding[6]),
-	.A2(main_swith_host_lsu_num_req_outstanding[7]),
-	.B1(n_8598),
-	.Y(n_8795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389486 (
-	.A1(n_502),
-	.A2(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B1(n_8278),
-	.Y(n_8794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g389487 (
-	.A1(n_5055),
-	.A2(n_1759),
-	.B1(n_8595),
-	.Y(n_8793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g389506 (
-	.A(main_swith_host_lsu_num_req_outstanding[8]),
-	.B_N(n_8598),
-	.Y(n_8858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g389527 (
-	.A1(n_6584),
-	.A2(u_dccm_rdata4[0]),
-	.B1(n_6578),
-	.B2(FE_OFN513_u_dccm_rdata3_0),
-	.C1(n_8418),
-	.X(n_8857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g389528 (
-	.A1(n_6584),
-	.A2(u_dccm_rdata4[1]),
-	.B1(n_6578),
-	.B2(FE_OFN512_u_dccm_rdata3_1),
-	.C1(n_8419),
-	.X(n_8856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g389529 (
-	.A1(n_6584),
-	.A2(u_dccm_rdata4[2]),
-	.B1(n_6578),
-	.B2(FE_OFN511_u_dccm_rdata3_2),
-	.C1(n_8420),
-	.X(n_8855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g389530 (
-	.A1(n_6584),
-	.A2(u_dccm_rdata4[3]),
-	.B1(n_6578),
-	.B2(FE_PDN3660_FE_OFN510_u_dccm_rdata3_3),
-	.C1(n_8421),
-	.X(n_8854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g389531 (
-	.A1(n_6584),
-	.A2(u_dccm_rdata4[4]),
-	.B1(n_6578),
-	.B2(FE_OFN509_u_dccm_rdata3_4),
-	.C1(n_8422),
-	.X(n_8853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g389532 (
-	.A1(n_6584),
-	.A2(u_dccm_rdata4[5]),
-	.B1(n_6578),
-	.B2(FE_PDN3652_FE_OFN508_u_dccm_rdata3_5),
-	.C1(n_8423),
-	.X(n_8852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389533 (
-	.A1(n_6584),
-	.A2(u_dccm_rdata4[6]),
-	.B1(n_6578),
-	.B2(FE_OFN507_u_dccm_rdata3_6),
-	.C1(n_8424),
-	.X(n_8851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389534 (
-	.A1(n_6584),
-	.A2(u_dccm_rdata4[7]),
-	.B1(n_6578),
-	.B2(FE_PDN3832_FE_OFN506_u_dccm_rdata3_7),
-	.C1(n_8425),
-	.X(n_8850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389535 (
-	.A1(n_6581),
-	.A2(u_dccm_rdata4[8]),
-	.B1(n_6582),
-	.B2(FE_OFN505_u_dccm_rdata3_8),
-	.C1(n_8426),
-	.X(n_8849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389536 (
-	.A1(n_6581),
-	.A2(u_dccm_rdata4[9]),
-	.B1(n_6582),
-	.B2(FE_PDN3658_FE_OFN504_u_dccm_rdata3_9),
-	.C1(n_8427),
-	.X(n_8848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389537 (
-	.A1(n_6581),
-	.A2(u_dccm_rdata4[10]),
-	.B1(n_6582),
-	.B2(FE_OFN503_u_dccm_rdata3_10),
-	.C1(n_8428),
-	.X(n_8847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389538 (
-	.A1(n_6581),
-	.A2(u_dccm_rdata4[11]),
-	.B1(n_6582),
-	.B2(FE_OFN502_u_dccm_rdata3_11),
-	.C1(n_8429),
-	.X(n_8846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389539 (
-	.A1(n_6581),
-	.A2(u_dccm_rdata4[12]),
-	.B1(n_6582),
-	.B2(FE_OFN501_u_dccm_rdata3_12),
-	.C1(n_8430),
-	.X(n_8845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389540 (
-	.A1(n_6581),
-	.A2(u_dccm_rdata4[13]),
-	.B1(n_6582),
-	.B2(FE_PDN3831_FE_OFN500_u_dccm_rdata3_13),
-	.C1(n_8431),
-	.X(n_8844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389541 (
-	.A1(n_6581),
-	.A2(u_dccm_rdata4[14]),
-	.B1(n_6582),
-	.B2(FE_OFN499_u_dccm_rdata3_14),
-	.C1(n_8432),
-	.X(n_8843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389542 (
-	.A1(n_6581),
-	.A2(u_dccm_rdata4[15]),
-	.B1(n_6582),
-	.B2(FE_OFN498_u_dccm_rdata3_15),
-	.C1(n_8433),
-	.X(n_8842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389543 (
-	.A1(n_6575),
-	.A2(u_dccm_rdata4[16]),
-	.B1(n_6579),
-	.B2(FE_PDN17101_FE_OFN497_u_dccm_rdata3_16),
-	.C1(n_8434),
-	.X(n_8841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389544 (
-	.A1(n_6575),
-	.A2(u_dccm_rdata4[17]),
-	.B1(n_6579),
-	.B2(FE_OFN496_u_dccm_rdata3_17),
-	.C1(n_8435),
-	.X(n_8840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389545 (
-	.A1(n_6575),
-	.A2(u_dccm_rdata4[18]),
-	.B1(n_6579),
-	.B2(FE_OFN495_u_dccm_rdata3_18),
-	.C1(n_8436),
-	.X(n_8839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389546 (
-	.A1(n_6575),
-	.A2(u_dccm_rdata4[19]),
-	.B1(n_6579),
-	.B2(FE_OFN494_u_dccm_rdata3_19),
-	.C1(n_8437),
-	.X(n_8838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389547 (
-	.A1(n_6575),
-	.A2(u_dccm_rdata4[20]),
-	.B1(n_6579),
-	.B2(FE_OFN493_u_dccm_rdata3_20),
-	.C1(n_8438),
-	.X(n_8837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389548 (
-	.A1(n_6575),
-	.A2(u_dccm_rdata4[21]),
-	.B1(n_6579),
-	.B2(FE_PDN3653_FE_OFN492_u_dccm_rdata3_21),
-	.C1(n_8439),
-	.X(n_8836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389549 (
-	.A1(n_6575),
-	.A2(u_dccm_rdata4[22]),
-	.B1(n_6579),
-	.B2(FE_OFN491_u_dccm_rdata3_22),
-	.C1(n_8440),
-	.X(n_8835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389550 (
-	.A1(n_6576),
-	.A2(FE_OFN457_u_dccm_rdata2_24),
-	.B1(n_6571),
-	.B2(u_dccm_rdata4[24]),
-	.C1(n_8442),
-	.X(n_8834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389551 (
-	.A1(n_6575),
-	.A2(u_dccm_rdata4[23]),
-	.B1(n_6579),
-	.B2(FE_PDN17107_FE_OFN490_u_dccm_rdata3_23),
-	.C1(n_8441),
-	.X(n_8833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389552 (
-	.A1(n_6576),
-	.A2(FE_OFN456_u_dccm_rdata2_25),
-	.B1(n_6571),
-	.B2(u_dccm_rdata4[25]),
-	.C1(n_8443),
-	.X(n_8832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389553 (
-	.A1(n_6576),
-	.A2(FE_OFN455_u_dccm_rdata2_26),
-	.B1(n_6571),
-	.B2(u_dccm_rdata4[26]),
-	.C1(n_8444),
-	.X(n_8831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389554 (
-	.A1(n_6576),
-	.A2(FE_PDN3739_FE_OFN454_u_dccm_rdata2_27),
-	.B1(n_6571),
-	.B2(u_dccm_rdata4[27]),
-	.C1(n_8445),
-	.X(n_8830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389555 (
-	.A1(n_6576),
-	.A2(FE_OFN453_u_dccm_rdata2_28),
-	.B1(n_6571),
-	.B2(u_dccm_rdata4[28]),
-	.C1(FE_PDN3788_n_8446),
-	.X(n_8829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389556 (
-	.A1(n_6576),
-	.A2(FE_PDN3724_FE_OFN452_u_dccm_rdata2_29),
-	.B1(n_6571),
-	.B2(u_dccm_rdata4[29]),
-	.C1(n_8447),
-	.X(n_8828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389557 (
-	.A1(n_6576),
-	.A2(FE_PDN3731_FE_OFN451_u_dccm_rdata2_30),
-	.B1(n_6571),
-	.B2(u_dccm_rdata4[30]),
-	.C1(n_8448),
-	.X(n_8827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g389558 (
-	.A1(n_6576),
-	.A2(FE_PDN3753_FE_OFN450_u_dccm_rdata2_31),
-	.B1(n_6571),
-	.B2(u_dccm_rdata4[31]),
-	.C1(n_8449),
-	.X(n_8826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389580 (
-	.A(FE_OFN1341_n_8571),
-	.B(FE_OFN1587_n_8573),
-	.Y(n_8825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g389599 (
-	.A(n_1256),
-	.B(n_8582),
-	.Y(n_8824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g389601 (
-	.A(n_608),
-	.B(n_2267),
-	.C(n_2266),
-	.D(n_5663),
-	.Y(n_8823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389603 (
-	.A(n_8777),
-	.Y(n_8792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389604 (
-	.A(n_8772),
-	.Y(n_8791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389605 (
-	.A(n_8770),
-	.Y(n_8790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389606 (
-	.A(n_8769),
-	.Y(n_8789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389607 (
-	.A(n_8767),
-	.Y(n_8788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389608 (
-	.A(n_8764),
-	.Y(n_8787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389609 (
-	.A(n_8763),
-	.Y(n_8786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389610 (
-	.A(n_8761),
-	.Y(n_8785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389611 (
-	.A(n_8752),
-	.Y(n_8784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389612 (
-	.A(n_8748),
-	.Y(n_8783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g389613 (
-	.A(n_8782),
-	.Y(n_8781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g389614 (
-	.A1(n_5857),
-	.A2(FE_OFN1582_n_4267),
-	.B1(n_8416),
-	.Y(n_8780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g389615 (
-	.A(u_uart_u_uart_core_rx_buffer_size[8]),
-	.B(n_7653),
-	.Y(n_8779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389616 (
-	.A1(FE_OFN1793_n_288),
-	.A2(n_5789),
-	.B1(n_8543),
-	.Y(n_8778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389617 (
-	.A1(FE_OFN16796_n_13701),
-	.A2(n_5788),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [1]),
-	.C1(FE_OFN1332_n_5791),
-	.C2(FE_OFN1060_n_16023),
-	.Y(n_8777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389618 (
-	.A1(FE_OFN15929_n_766),
-	.A2(n_5789),
-	.B1(n_8544),
-	.Y(n_8776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389619 (
-	.A1(n_13703),
-	.A2(n_5789),
-	.B1(n_8545),
-	.Y(n_8775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389620 (
-	.A1(FE_OFN920_n_285),
-	.A2(n_5789),
-	.B1(n_8546),
-	.Y(n_8774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389621 (
-	.A1(FE_OFN926_n_721),
-	.A2(n_5789),
-	.B1(n_8547),
-	.Y(n_8773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389622 (
-	.A1(n_13706),
-	.A2(n_5788),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [6]),
-	.C1(FE_OFN848_xbar_to_dccm_a_address__6),
-	.C2(FE_OFN1332_n_5791),
-	.Y(n_8772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389623 (
-	.A1(n_742),
-	.A2(n_5789),
-	.B1(n_8548),
-	.Y(n_8771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389624 (
-	.A1(n_13708),
-	.A2(n_5788),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [8]),
-	.C1(FE_OFN846_xbar_to_dccm_a_address__8),
-	.C2(FE_OFN1332_n_5791),
-	.Y(n_8770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389625 (
-	.A1(FE_OFN924_n_303),
-	.A2(n_5788),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [9]),
-	.C1(FE_OFN845_xbar_to_dccm_a_address__9),
-	.C2(FE_OFN1332_n_5791),
-	.Y(n_8769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389626 (
-	.A1(FE_OFN921_n_295),
-	.A2(n_5789),
-	.B1(n_8549),
-	.Y(n_8768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389627 (
-	.A1(n_13711),
-	.A2(n_5788),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [11]),
-	.C1(FE_OFN1858_xbar_to_dccm_a_address__11),
-	.C2(FE_OFN1332_n_5791),
-	.Y(n_8767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389628 (
-	.A1(n_270),
-	.A2(n_5789),
-	.B1(n_8550),
-	.Y(n_8766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389629 (
-	.A1(n_312),
-	.A2(n_5789),
-	.B1(n_8551),
-	.Y(n_8765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389630 (
-	.A1(FE_OFN1547_n_13714),
-	.A2(n_5788),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [14]),
-	.C1(FE_OFN840_lsu_to_xbar_a_address__14),
-	.C2(FE_OFN1332_n_5791),
-	.Y(n_8764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389631 (
-	.A1(FE_OFN1548_n_13715),
-	.A2(n_5788),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [15]),
-	.C1(FE_OFN839_lsu_to_xbar_a_address__15),
-	.C2(FE_OFN1332_n_5791),
-	.Y(n_8763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389632 (
-	.A1(n_306),
-	.A2(n_5789),
-	.B1(n_8552),
-	.Y(n_8762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389633 (
-	.A1(FE_OFN1550_n_13717),
-	.A2(n_5788),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [17]),
-	.C1(FE_OFN15955_lsu_to_xbar_a_address__17),
-	.C2(FE_OFN1332_n_5791),
-	.Y(n_8761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389634 (
-	.A1(n_753),
-	.A2(n_5789),
-	.B1(n_8553),
-	.Y(n_8760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389635 (
-	.A1(n_724),
-	.A2(n_5789),
-	.B1(n_8554),
-	.Y(n_8759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389636 (
-	.A1(n_733),
-	.A2(n_5789),
-	.B1(n_8555),
-	.Y(n_8758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389637 (
-	.A1(n_318),
-	.A2(n_5789),
-	.B1(n_8556),
-	.Y(n_8757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389638 (
-	.A1(n_734),
-	.A2(n_5789),
-	.B1(n_8557),
-	.Y(n_8756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389639 (
-	.A1(n_265),
-	.A2(n_5789),
-	.B1(n_8558),
-	.Y(n_8755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389640 (
-	.A1(n_311),
-	.A2(n_5789),
-	.B1(n_8559),
-	.Y(n_8754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389641 (
-	.A1(n_336),
-	.A2(n_5789),
-	.B1(n_8560),
-	.Y(n_8753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389642 (
-	.A1(n_13726),
-	.A2(n_5788),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [26]),
-	.C1(FE_OFN828_lsu_to_xbar_a_address__26),
-	.C2(FE_OFN1332_n_5791),
-	.Y(n_8752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389643 (
-	.A1(n_335),
-	.A2(n_5789),
-	.B1(n_8561),
-	.Y(n_8751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389644 (
-	.A1(n_754),
-	.A2(n_5789),
-	.B1(n_8562),
-	.Y(n_8750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389645 (
-	.A1(n_329),
-	.A2(n_5789),
-	.B1(n_8563),
-	.Y(n_8749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389646 (
-	.A1(n_13730),
-	.A2(n_5788),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [30]),
-	.C1(FE_OFN16757_n),
-	.C2(FE_OFN1332_n_5791),
-	.Y(n_8748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g389647 (
-	.A1(n_5790),
-	.A2(n_205),
-	.B1(n_233),
-	.B2(n_5789),
-	.C1(n_6504),
-	.Y(n_8747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389648 (
-	.A1(n_5059),
-	.A2(n_1251),
-	.B1(n_8402),
-	.Y(n_8746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389649 (
-	.A1(n_5851),
-	.A2(n_4270),
-	.B1(n_5061),
-	.B2(n_3507),
-	.C1(n_4267),
-	.C2(n_5843),
-	.Y(n_8745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g389651 (
-	.A(n_5169),
-	.B(n_3194),
-	.C(n_5168),
-	.D(n_8015),
-	.Y(n_8743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389652 (
-	.A1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
-	.A2(n_1062),
-	.B1(n_1066),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
-	.C1(n_8232),
-	.C2(n_1064),
-	.Y(n_8742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389653 (
-	.A1(n_4270),
-	.A2(n_5855),
-	.B1(FE_OFN1582_n_4267),
-	.B2(n_5847),
-	.C1(n_8271),
-	.Y(n_8741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g389654 (
-	.A1(n_5856),
-	.A2(n_4270),
-	.B1(n_4267),
-	.B2(n_5848),
-	.C1(n_8263),
-	.C2(n_3507),
-	.Y(n_8740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389655 (
-	.A1(n_3506),
-	.A2(n_8256),
-	.B1(n_8409),
-	.Y(n_8739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g389656 (
-	.A1(n_3506),
-	.A2(n_8257),
-	.B1(n_8410),
-	.Y(n_8738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g389657 (
-	.A(n_3454),
-	.B(n_7718),
-	.C(n_2211),
-	.Y(n_8737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g389658 (
-	.A1(n_1990),
-	.A2(u_top_u_core_cs_registers_i_mcause_q[4]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[4]),
-	.C1(n_8275),
-	.Y(n_8736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389659 (
-	.A1(n_8224),
-	.A2(n_1069),
-	.B1(n_1070),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
-	.X(n_8735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389660 (
-	.A1(n_8240),
-	.A2(n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [15]),
-	.X(n_8734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389661 (
-	.A1(n_8228),
-	.A2(n_1069),
-	.B1(n_1070),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
-	.X(n_8733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389662 (
-	.A1(n_8230),
-	.A2(n_1069),
-	.B1(n_1070),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
-	.X(n_8732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389663 (
-	.A1(n_8244),
-	.A2(n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [13]),
-	.X(n_8731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389664 (
-	.A1(n_8232),
-	.A2(n_1069),
-	.B1(n_1070),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
-	.X(n_8730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389665 (
-	.A1(n_8234),
-	.A2(n_1069),
-	.B1(n_1070),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
-	.X(n_8729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389666 (
-	.A1(n_8236),
-	.A2(n_1069),
-	.B1(n_1070),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
-	.X(n_8728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389667 (
-	.A1(n_8222),
-	.A2(n_1069),
-	.B1(n_1070),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
-	.X(n_8727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g389668 (
-	.A1(FE_OFN1338_n_8239),
-	.A2(n_1069),
-	.B1(n_1070),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
-	.X(n_8726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g389669 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
-	.A2_N(n_1066),
-	.B1(n_1068),
-	.B2(FE_OFN1854_n_8218),
-	.Y(n_8725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g389670 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
-	.A2_N(n_1066),
-	.B1(n_1068),
-	.B2(FE_OFN1473_n_8216),
-	.Y(n_8724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g389671 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
-	.A2_N(n_1066),
-	.B1(n_1068),
-	.B2(FE_OFN1829_n_8214),
-	.Y(n_8723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g389672 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
-	.A2_N(n_1066),
-	.B1(n_1068),
-	.B2(FE_OFN1475_n_8245),
-	.Y(n_8722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g389673 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
-	.A2_N(n_1066),
-	.B1(n_1068),
-	.B2(n_8243),
-	.Y(n_8721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g389674 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
-	.A2_N(n_1066),
-	.B1(n_1068),
-	.B2(FE_OFN1339_n_8241),
-	.Y(n_8720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g389675 (
-	.A1_N(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ),
-	.A2_N(n_15762),
-	.B1(n_15762),
-	.B2(n_13212),
-	.Y(n_8719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389676 (
-	.A1(n_13212),
-	.A2(n_8252),
-	.B1(n_3515),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
-	.Y(n_8718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g389677 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
-	.A2_N(n_1066),
-	.B1(n_1068),
-	.B2(FE_OFN1474_n_8220),
-	.Y(n_8717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389678 (
-	.A1(FE_OFN1026_n_8194),
-	.A2(n_13702),
-	.B1(FE_OFN1025_n_8192),
-	.B2(n_310),
-	.Y(n_8716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389679 (
-	.A1(FE_OFN1025_n_8192),
-	.A2(n_13705),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
-	.Y(n_8715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389680 (
-	.A1(FE_OFN1026_n_8194),
-	.A2(n_13705),
-	.B1(FE_OFN1025_n_8192),
-	.B2(n_13706),
-	.Y(n_8714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389681 (
-	.A1(FE_OFN1026_n_8194),
-	.A2(n_13706),
-	.B1(FE_OFN1025_n_8192),
-	.B2(FE_OFN1545_n_13707),
-	.Y(n_8713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389682 (
-	.A1(FE_OFN1025_n_8192),
-	.A2(n_13708),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
-	.Y(n_8712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389683 (
-	.A1(FE_OFN1026_n_8194),
-	.A2(n_13708),
-	.B1(FE_OFN1025_n_8192),
-	.B2(FE_OFN924_n_303),
-	.Y(n_8711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389684 (
-	.A1(FE_OFN1026_n_8194),
-	.A2(FE_OFN924_n_303),
-	.B1(FE_OFN1025_n_8192),
-	.B2(n_13710),
-	.Y(n_8710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389685 (
-	.A1(FE_OFN1025_n_8192),
-	.A2(n_13711),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
-	.Y(n_8709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389686 (
-	.A1(FE_OFN1025_n_8192),
-	.A2(FE_OFN1546_n_13713),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
-	.Y(n_8708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389687 (
-	.A1(FE_OFN1025_n_8192),
-	.A2(FE_OFN1547_n_13714),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
-	.Y(n_8707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389688 (
-	.A1(FE_OFN1025_n_8192),
-	.A2(FE_OFN1548_n_13715),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
-	.Y(n_8706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389689 (
-	.A1(FE_OFN1025_n_8192),
-	.A2(FE_OFN1549_n_13716),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
-	.Y(n_8705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389690 (
-	.A1(FE_OFN1025_n_8192),
-	.A2(FE_OFN1550_n_13717),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
-	.Y(n_8704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389691 (
-	.A1(FE_OFN1026_n_8194),
-	.A2(FE_OFN1550_n_13717),
-	.B1(FE_OFN1025_n_8192),
-	.B2(n_13718),
-	.Y(n_8703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389692 (
-	.A1(FE_OFN1025_n_8192),
-	.A2(FE_OFN1551_n_13719),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
-	.Y(n_8702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389693 (
-	.A1(FE_OFN1026_n_8194),
-	.A2(FE_OFN1552_n_13720),
-	.B1(FE_OFN1025_n_8192),
-	.B2(FE_OFN1553_n_13721),
-	.Y(n_8701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389694 (
-	.A1(FE_OFN1025_n_8192),
-	.A2(n_13722),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
-	.Y(n_8700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389695 (
-	.A1(FE_OFN1026_n_8194),
-	.A2(n_13722),
-	.B1(FE_OFN1025_n_8192),
-	.B2(n_13723),
-	.Y(n_8699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389696 (
-	.A1(FE_OFN1025_n_8192),
-	.A2(n_13724),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
-	.Y(n_8698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389697 (
-	.A1(FE_OFN1026_n_8194),
-	.A2(n_13724),
-	.B1(FE_OFN1025_n_8192),
-	.B2(n_13725),
-	.Y(n_8697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389698 (
-	.A1(FE_OFN1026_n_8194),
-	.A2(n_13725),
-	.B1(FE_OFN1025_n_8192),
-	.B2(n_13726),
-	.Y(n_8696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389699 (
-	.A1(FE_OFN1025_n_8192),
-	.A2(n_13727),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
-	.Y(n_8695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389700 (
-	.A1(FE_OFN1026_n_8194),
-	.A2(n_13727),
-	.B1(FE_OFN1025_n_8192),
-	.B2(n_13728),
-	.Y(n_8694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389701 (
-	.A1(FE_OFN1026_n_8194),
-	.A2(n_13728),
-	.B1(FE_OFN1025_n_8192),
-	.B2(n_13729),
-	.Y(n_8693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389702 (
-	.A1(FE_OFN1026_n_8194),
-	.A2(n_13729),
-	.B1(FE_OFN1025_n_8192),
-	.B2(n_13730),
-	.Y(n_8692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389703 (
-	.A1(FE_OFN1025_n_8192),
-	.A2(n_13731),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
-	.Y(n_8691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389704 (
-	.A1(FE_OFN1026_n_8194),
-	.A2(n_13731),
-	.B1(FE_OFN1024_n_6565),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
-	.Y(n_8690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389705 (
-	.A1(n_8198),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
-	.B1(FE_OFN1061_n_16024),
-	.B2(n_4264),
-	.Y(n_8689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389706 (
-	.A1(n_8198),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
-	.B1(FE_OFN852_xbar_to_dccm_a_address__2),
-	.B2(n_4264),
-	.Y(n_8688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389707 (
-	.A1(n_8198),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
-	.B1(FE_OFN851_xbar_to_dccm_a_address__3),
-	.B2(n_4264),
-	.Y(n_8687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389708 (
-	.A1(n_5795),
-	.A2(n_8263),
-	.B1(FE_OFN1554_n_15782),
-	.B2(\u_top_u_core_imd_val_q_ex[0] [5]),
-	.Y(n_8686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389709 (
-	.A1(n_8198),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
-	.B1(FE_OFN846_xbar_to_dccm_a_address__8),
-	.B2(n_4264),
-	.Y(n_8685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389710 (
-	.A1(FE_OFN1333_n_8198),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
-	.B1(n_4266),
-	.B2(n_13645),
-	.Y(n_8684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389711 (
-	.A1(FE_OFN1333_n_8198),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
-	.B1(FE_OFN841_xbar_to_dccm_a_address__13),
-	.B2(n_4264),
-	.Y(n_8683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389712 (
-	.A1(FE_OFN1333_n_8198),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
-	.B1(FE_OFN840_lsu_to_xbar_a_address__14),
-	.B2(n_4264),
-	.Y(n_8682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389713 (
-	.A1(FE_OFN1333_n_8198),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
-	.B1(FE_OFN15955_lsu_to_xbar_a_address__17),
-	.B2(n_4264),
-	.Y(n_8681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389714 (
-	.A1(FE_OFN1333_n_8198),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
-	.B1(n_4266),
-	.B2(FE_OFN16745_n_13656),
-	.Y(n_8680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389715 (
-	.A1(FE_OFN1333_n_8198),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
-	.B1(FE_OFN831_lsu_to_xbar_a_address__23),
-	.B2(n_4264),
-	.Y(n_8679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389716 (
-	.A1(FE_OFN1333_n_8198),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
-	.B1(FE_OFN1846_lsu_to_xbar_a_address__24),
-	.B2(n_4264),
-	.Y(n_8678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389717 (
-	.A1(FE_OFN1333_n_8198),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
-	.B1(FE_OFN829_lsu_to_xbar_a_address__25),
-	.B2(n_4264),
-	.Y(n_8677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389718 (
-	.A1(FE_OFN1333_n_8198),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
-	.B1(n_4266),
-	.B2(n_13661),
-	.Y(n_8676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389719 (
-	.A1(FE_OFN1333_n_8198),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
-	.B1(FE_OFN1885_n),
-	.B2(n_4264),
-	.Y(n_8675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389720 (
-	.A1(FE_OFN1333_n_8198),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
-	.B1(FE_OFN16757_n),
-	.B2(n_4264),
-	.Y(n_8674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g389721 (
-	.A1_N(n_2176),
-	.A2_N(n_3395),
-	.B1(FE_OFN1027_n_8199),
-	.B2(FE_OFN852_xbar_to_dccm_a_address__2),
-	.Y(n_8673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g389722 (
-	.A1_N(n_2173),
-	.A2_N(n_3395),
-	.B1(FE_OFN1027_n_8199),
-	.B2(FE_OFN851_xbar_to_dccm_a_address__3),
-	.Y(n_8672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389723 (
-	.A1(FE_OFN848_xbar_to_dccm_a_address__6),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3365),
-	.B2(n_2172),
-	.Y(n_8671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389724 (
-	.A1(FE_OFN847_xbar_to_dccm_a_address__7),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3370),
-	.B2(n_2172),
-	.Y(n_8670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389725 (
-	.A1(FE_OFN839_lsu_to_xbar_a_address__15),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3370),
-	.B2(n_2175),
-	.Y(n_8669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389726 (
-	.A1(FE_OFN831_lsu_to_xbar_a_address__23),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3370),
-	.B2(n_2165),
-	.Y(n_8668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g389727 (
-	.A1(FE_OFN1846_lsu_to_xbar_a_address__24),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3728),
-	.Y(n_8667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g389728 (
-	.A1_N(n_2169),
-	.A2_N(n_3363),
-	.B1(FE_OFN1027_n_8199),
-	.B2(FE_OFN826_lsu_to_xbar_a_address__28),
-	.Y(n_8666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389729 (
-	.A1(n_8203),
-	.A2(n_13731),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
-	.Y(n_8665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389730 (
-	.A1(n_8201),
-	.A2(n_13369),
-	.B1(n_8202),
-	.B2(u_top_u_core_pc_if[3]),
-	.Y(n_8664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389731 (
-	.A1(n_8201),
-	.A2(n_13370),
-	.B1(n_8202),
-	.B2(u_top_u_core_pc_if[4]),
-	.Y(n_8663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389732 (
-	.A1(n_8201),
-	.A2(n_13371),
-	.B1(n_8202),
-	.B2(u_top_u_core_pc_if[5]),
-	.Y(n_8662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389733 (
-	.A1(n_8201),
-	.A2(n_13372),
-	.B1(n_8202),
-	.B2(u_top_u_core_pc_if[6]),
-	.Y(n_8661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389734 (
-	.A1(n_13373),
-	.A2(n_8201),
-	.B1(n_8202),
-	.B2(u_top_u_core_pc_if[7]),
-	.Y(n_8660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389735 (
-	.A1(n_13374),
-	.A2(n_8201),
-	.B1(n_8202),
-	.B2(u_top_u_core_pc_if[8]),
-	.Y(n_8659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389736 (
-	.A1(n_13375),
-	.A2(n_8201),
-	.B1(n_8202),
-	.B2(u_top_u_core_pc_if[9]),
-	.Y(n_8658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_4 g389737 (
-	.A1(n_13395),
-	.A2(FE_OFN1792_n_8201),
-	.B1(n_1252),
-	.B2(n_13564),
-	.Y(n_8657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389738 (
-	.A1(FE_OFN1858_xbar_to_dccm_a_address__11),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3369),
-	.B2(n_2174),
-	.Y(n_8656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389739 (
-	.A1(FE_OFN834_lsu_to_xbar_a_address__20),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3364),
-	.B2(n_2165),
-	.Y(n_8655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389740 (
-	.A1(FE_OFN829_lsu_to_xbar_a_address__25),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3371),
-	.B2(n_15781),
-	.Y(n_8654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389741 (
-	.A1(FE_OFN840_lsu_to_xbar_a_address__14),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3365),
-	.B2(n_2175),
-	.Y(n_8653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389742 (
-	.A1(FE_OFN828_lsu_to_xbar_a_address__26),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3371),
-	.B2(n_2177),
-	.Y(n_8652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389743 (
-	.A1(FE_OFN844_xbar_to_dccm_a_address__10),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3369),
-	.B2(n_2177),
-	.Y(n_8651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389744 (
-	.A1(FE_OFN842_xbar_to_dccm_a_address__12),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3364),
-	.B2(n_2175),
-	.Y(n_8650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389745 (
-	.A1(FE_OFN1790_lsu_to_xbar_a_address__19),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3362),
-	.B2(n_2174),
-	.Y(n_8649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389746 (
-	.A1(FE_OFN845_xbar_to_dccm_a_address__9),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3369),
-	.B2(n_15781),
-	.Y(n_8648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389747 (
-	.A1(FE_OFN16792_n),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3365),
-	.B2(n_2165),
-	.Y(n_8647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389748 (
-	.A1(FE_OFN16787_xbar_to_dccm_a_address__5),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3372),
-	.B2(n_2172),
-	.Y(n_8646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389749 (
-	.A1(FE_OFN827_lsu_to_xbar_a_address__27),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3371),
-	.B2(n_2174),
-	.Y(n_8645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389750 (
-	.A1(FE_OFN841_xbar_to_dccm_a_address__13),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3372),
-	.B2(n_2175),
-	.Y(n_8644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389751 (
-	.A1(FE_OFN15966_lsu_to_xbar_a_address__18),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3362),
-	.B2(n_2177),
-	.Y(n_8643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389752 (
-	.A1(FE_OFN1832_xbar_to_dccm_a_address__4),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3364),
-	.B2(n_2172),
-	.Y(n_8642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g389753 (
-	.A1_N(n_2171),
-	.A2_N(n_3368),
-	.B1(FE_OFN1027_n_8199),
-	.B2(FE_OFN846_xbar_to_dccm_a_address__8),
-	.Y(n_8641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389754 (
-	.A1(FE_OFN1885_n),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3372),
-	.B2(n_2170),
-	.Y(n_8640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g389755 (
-	.A1_N(n_2171),
-	.A2_N(n_3361),
-	.B1(FE_OFN1027_n_8199),
-	.B2(FE_OFN838_lsu_to_xbar_a_address__16),
-	.Y(n_8639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389756 (
-	.A1(FE_OFN824_lsu_to_xbar_a_address__30),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3365),
-	.B2(n_2170),
-	.Y(n_8638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389757 (
-	.A1(FE_OFN15955_lsu_to_xbar_a_address__17),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3362),
-	.B2(n_15781),
-	.Y(n_8637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g389758 (
-	.A1(FE_OFN16746_lsu_to_xbar_a_address__21),
-	.A2(FE_OFN1027_n_8199),
-	.B1(n_3372),
-	.B2(n_2165),
-	.Y(n_8636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_2 g389759 (
-	.A(n_8253),
-	.B(FE_OCPN16286_u_top_u_core_alu_operand_b_ex_2),
-	.C(u_top_u_core_alu_operand_b_ex[0]),
-	.X(n_8782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g390781 (
-	.A(n_8618),
-	.Y(n_8619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g390782 (
-	.A(n_8616),
-	.Y(n_8617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g390783 (
-	.A(n_8614),
-	.Y(n_8615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g390784 (
-	.A(n_8606),
-	.Y(n_8607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g390785 (
-	.A(n_8604),
-	.Y(n_8605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g390786 (
-	.A(n_8602),
-	.Y(n_8603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g390787 (
-	.A(n_8593),
-	.Y(n_8594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g390788 (
-	.A(n_8591),
-	.Y(n_8590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g390789 (
-	.A(FE_OFN1591_n_8581),
-	.Y(n_8580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g390790 (
-	.A(FE_OFN1590_n_8579),
-	.Y(n_8578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g390792 (
-	.A(FE_OFN1588_n_8575),
-	.Y(n_8574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g390793 (
-	.A(FE_OFN1587_n_8573),
-	.Y(n_8572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g390794 (
-	.A(FE_OFN1341_n_8571),
-	.Y(n_8570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g390796 (
-	.A(n_8567),
-	.Y(n_8566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390797 (
-	.A1(n_4242),
-	.A2(n_5793),
-	.B1(n_3674),
-	.B2(n_5794),
-	.Y(n_8565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g390798 (
-	.A(u_uart_u_uart_core_rx_buffer_size[4]),
-	.B(n_5805),
-	.Y(n_8564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390799 (
-	.A1(FE_OFN1885_n),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [29]),
-	.Y(n_8563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390800 (
-	.A1(FE_OFN826_lsu_to_xbar_a_address__28),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [28]),
-	.Y(n_8562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390801 (
-	.A1(FE_OFN827_lsu_to_xbar_a_address__27),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [27]),
-	.Y(n_8561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390802 (
-	.A1(FE_OFN829_lsu_to_xbar_a_address__25),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [25]),
-	.Y(n_8560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390803 (
-	.A1(FE_OFN1846_lsu_to_xbar_a_address__24),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [24]),
-	.Y(n_8559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390804 (
-	.A1(FE_OFN831_lsu_to_xbar_a_address__23),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [23]),
-	.Y(n_8558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390805 (
-	.A1(FE_OFN16792_n),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [22]),
-	.Y(n_8557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390806 (
-	.A1(FE_OFN16746_lsu_to_xbar_a_address__21),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [21]),
-	.Y(n_8556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390807 (
-	.A1(FE_OFN834_lsu_to_xbar_a_address__20),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [20]),
-	.Y(n_8555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390808 (
-	.A1(FE_OFN1790_lsu_to_xbar_a_address__19),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [19]),
-	.Y(n_8554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390809 (
-	.A1(FE_OFN15966_lsu_to_xbar_a_address__18),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [18]),
-	.Y(n_8553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390810 (
-	.A1(FE_OFN838_lsu_to_xbar_a_address__16),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [16]),
-	.Y(n_8552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390811 (
-	.A1(FE_OFN841_xbar_to_dccm_a_address__13),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [13]),
-	.Y(n_8551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390812 (
-	.A1(FE_OFN842_xbar_to_dccm_a_address__12),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [12]),
-	.Y(n_8550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390813 (
-	.A1(FE_OFN844_xbar_to_dccm_a_address__10),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [10]),
-	.Y(n_8549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390814 (
-	.A1(FE_OFN847_xbar_to_dccm_a_address__7),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [7]),
-	.Y(n_8548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390815 (
-	.A1(FE_OFN16787_xbar_to_dccm_a_address__5),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [5]),
-	.Y(n_8547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390816 (
-	.A1(FE_OFN1832_xbar_to_dccm_a_address__4),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [4]),
-	.Y(n_8546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390817 (
-	.A1(FE_OFN851_xbar_to_dccm_a_address__3),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [3]),
-	.Y(n_8545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390818 (
-	.A1(FE_OFN852_xbar_to_dccm_a_address__2),
-	.A2(FE_OFN1332_n_5791),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [2]),
-	.Y(n_8544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390819 (
-	.A1(FE_OFN1332_n_5791),
-	.A2(FE_OFN1061_n_16024),
-	.B1(n_4932),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [0]),
-	.Y(n_8543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g390820 (
-	.A1_N(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.A2_N(n_3961),
-	.B1(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B2(n_3961),
-	.Y(n_8542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390821 (
-	.A1(n_13467),
-	.A2(n_5762),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
-	.Y(n_8541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390822 (
-	.A1(n_13466),
-	.A2(n_5762),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
-	.Y(n_8540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390823 (
-	.A1(n_13465),
-	.A2(n_5762),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
-	.Y(n_8539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390824 (
-	.A1(n_13464),
-	.A2(n_5762),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
-	.Y(n_8538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390825 (
-	.A1(n_13463),
-	.A2(n_5762),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
-	.Y(n_8537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390826 (
-	.A1(n_13462),
-	.A2(n_5762),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
-	.Y(n_8536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390827 (
-	.A1(n_13461),
-	.A2(n_5762),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
-	.Y(n_8535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390828 (
-	.A1(n_13460),
-	.A2(n_5762),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
-	.Y(n_8534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390829 (
-	.A1(n_13459),
-	.A2(n_5762),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
-	.Y(n_8533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390830 (
-	.A1(n_13458),
-	.A2(n_5762),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
-	.Y(n_8532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390831 (
-	.A1(n_5762),
-	.A2(n_13457),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
-	.Y(n_8531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390832 (
-	.A1(n_5762),
-	.A2(n_13456),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
-	.Y(n_8530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390833 (
-	.A1(n_5762),
-	.A2(n_13455),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
-	.Y(n_8529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390834 (
-	.A1(n_5762),
-	.A2(n_13454),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
-	.Y(n_8528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390835 (
-	.A1(n_5762),
-	.A2(n_13453),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
-	.Y(n_8527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390836 (
-	.A1(n_5762),
-	.A2(n_13452),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
-	.Y(n_8526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390837 (
-	.A1(n_5762),
-	.A2(n_13451),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
-	.Y(n_8525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390838 (
-	.A1(n_5762),
-	.A2(n_13450),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
-	.Y(n_8524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390839 (
-	.A1(n_5762),
-	.A2(n_13449),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
-	.Y(n_8523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390840 (
-	.A1(n_5762),
-	.A2(n_13448),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
-	.Y(n_8522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390841 (
-	.A1(n_5762),
-	.A2(n_13447),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
-	.Y(n_8521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390842 (
-	.A1(n_5762),
-	.A2(n_13446),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
-	.Y(n_8520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390843 (
-	.A1(n_5762),
-	.A2(n_13445),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
-	.Y(n_8519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390844 (
-	.A1(n_5762),
-	.A2(n_13444),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
-	.Y(n_8518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390845 (
-	.A1(n_5762),
-	.A2(n_13443),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
-	.Y(n_8517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390846 (
-	.A1(n_5762),
-	.A2(n_13442),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
-	.Y(n_8516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390847 (
-	.A1(n_5762),
-	.A2(n_13441),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
-	.Y(n_8515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390848 (
-	.A1(n_5762),
-	.A2(n_13440),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
-	.Y(n_8514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390849 (
-	.A1(n_5762),
-	.A2(n_13439),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
-	.Y(n_8513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390850 (
-	.A1(n_5762),
-	.A2(n_13438),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
-	.Y(n_8512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390851 (
-	.A1(n_5762),
-	.A2(n_13437),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
-	.Y(n_8511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390852 (
-	.A1(n_5762),
-	.A2(n_13436),
-	.B1(FE_OFN1796_n_4928),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
-	.Y(n_8510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390853 (
-	.A1(n_5759),
-	.A2(n_13532),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
-	.Y(n_8509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390854 (
-	.A1(n_5759),
-	.A2(n_13531),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
-	.Y(n_8508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390855 (
-	.A1(n_5759),
-	.A2(n_13530),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
-	.Y(n_8507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390856 (
-	.A1(n_5759),
-	.A2(n_13529),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
-	.Y(n_8506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390857 (
-	.A1(n_5759),
-	.A2(n_13528),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
-	.Y(n_8505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390858 (
-	.A1(n_5759),
-	.A2(n_13527),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
-	.Y(n_8504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390859 (
-	.A1(n_5759),
-	.A2(n_13526),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
-	.Y(n_8503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390860 (
-	.A1(n_5759),
-	.A2(n_13525),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
-	.Y(n_8502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390861 (
-	.A1(n_5759),
-	.A2(n_13524),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
-	.Y(n_8501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390862 (
-	.A1(n_5759),
-	.A2(n_13523),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
-	.Y(n_8500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390863 (
-	.A1(n_5759),
-	.A2(n_13522),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
-	.Y(n_8499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390864 (
-	.A1(n_5759),
-	.A2(n_13521),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
-	.Y(n_8498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390865 (
-	.A1(n_5759),
-	.A2(n_13520),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
-	.Y(n_8497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390866 (
-	.A1(n_5759),
-	.A2(n_13519),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
-	.Y(n_8496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390867 (
-	.A1(n_5759),
-	.A2(n_13518),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
-	.Y(n_8495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390868 (
-	.A1(n_5759),
-	.A2(n_13517),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
-	.Y(n_8494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390869 (
-	.A1(n_5759),
-	.A2(n_13516),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
-	.Y(n_8493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390870 (
-	.A1(n_5035),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_5801),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [7]),
-	.X(n_8492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390871 (
-	.A1(n_5035),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_5801),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [6]),
-	.X(n_8491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390872 (
-	.A1(n_5035),
-	.A2(n_1235),
-	.B1(n_5801),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [5]),
-	.X(n_8490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390873 (
-	.A1(n_5035),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_5801),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [4]),
-	.X(n_8489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390874 (
-	.A1(n_5035),
-	.A2(n_1055),
-	.B1(n_5801),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [3]),
-	.X(n_8488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390875 (
-	.A1(n_5035),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_5801),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [2]),
-	.X(n_8487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390876 (
-	.A1(n_5759),
-	.A2(n_13515),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
-	.Y(n_8486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390877 (
-	.A1(n_5035),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_5801),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [1]),
-	.X(n_8485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390878 (
-	.A1(n_5033),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_5800),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [7]),
-	.X(n_8484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390879 (
-	.A1(n_5033),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_5800),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [6]),
-	.X(n_8483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390880 (
-	.A1(n_5033),
-	.A2(n_1235),
-	.B1(n_5800),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [5]),
-	.X(n_8482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390881 (
-	.A1(n_5759),
-	.A2(n_13514),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
-	.Y(n_8481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390882 (
-	.A1(n_5033),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_5800),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [4]),
-	.X(n_8480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390883 (
-	.A1(n_5033),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_5800),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [3]),
-	.X(n_8479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390884 (
-	.A1(n_5033),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_5800),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [2]),
-	.X(n_8478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390885 (
-	.A1(n_5033),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_5800),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [1]),
-	.X(n_8477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390886 (
-	.A1(n_5034),
-	.A2(n_1233),
-	.B1(n_5802),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [7]),
-	.X(n_8476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390887 (
-	.A1(n_5759),
-	.A2(n_13513),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
-	.Y(n_8475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390888 (
-	.A1(n_5034),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_5802),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [6]),
-	.X(n_8474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390889 (
-	.A1(n_5034),
-	.A2(n_1235),
-	.B1(n_5802),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [5]),
-	.X(n_8473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390890 (
-	.A1(n_5034),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_5802),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [4]),
-	.X(n_8472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390891 (
-	.A1(n_5034),
-	.A2(n_1055),
-	.B1(n_5802),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [3]),
-	.X(n_8471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390892 (
-	.A1(n_5034),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_5802),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [2]),
-	.X(n_8470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390893 (
-	.A1(n_5034),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_5802),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [1]),
-	.X(n_8469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390894 (
-	.A1(n_5759),
-	.A2(n_13512),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
-	.Y(n_8468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g390895 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_15767),
-	.B1(n_7696),
-	.Y(n_8467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g390896 (
-	.A1(n_1240),
-	.A2(n_15767),
-	.B1(n_7697),
-	.Y(n_8466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g390897 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_15767),
-	.B1(n_7695),
-	.Y(n_8465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390898 (
-	.A1(n_5759),
-	.A2(n_13511),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
-	.Y(n_8464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g390899 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_15767),
-	.B1(n_7698),
-	.Y(n_8463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g390900 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_15767),
-	.B1(n_7699),
-	.Y(n_8462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g390901 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_15767),
-	.B1(n_7700),
-	.Y(n_8461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g390902 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_15767),
-	.B1(n_7701),
-	.Y(n_8460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390903 (
-	.A1(n_5759),
-	.A2(n_13510),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
-	.Y(n_8459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390904 (
-	.A1(n_5759),
-	.A2(n_13509),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
-	.Y(n_8458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390905 (
-	.A1(n_5759),
-	.A2(n_13508),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
-	.Y(n_8457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390906 (
-	.A1(n_5759),
-	.A2(n_13507),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
-	.Y(n_8456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390907 (
-	.A1(n_5759),
-	.A2(n_13506),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
-	.Y(n_8455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390908 (
-	.A1(n_5759),
-	.A2(n_13505),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
-	.Y(n_8454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390909 (
-	.A1(n_5759),
-	.A2(n_13504),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
-	.Y(n_8453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390910 (
-	.A1(n_5759),
-	.A2(n_13503),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
-	.Y(n_8452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390911 (
-	.A1(n_5759),
-	.A2(n_13502),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
-	.Y(n_8451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390912 (
-	.A1(n_5759),
-	.A2(n_13501),
-	.B1(FE_OFN1795_n_4924),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
-	.Y(n_8450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g390913 (
-	.A1(n_6570),
-	.A2(FE_OFN482_u_dccm_rdata3_31),
-	.B1(n_6572),
-	.B2(u_dccm_rdata1[31]),
-	.X(n_8449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g390914 (
-	.A1(n_6570),
-	.A2(FE_PDN3740_FE_OFN483_u_dccm_rdata3_30),
-	.B1(n_6572),
-	.B2(u_dccm_rdata1[30]),
-	.X(n_8448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g390915 (
-	.A1(n_6570),
-	.A2(FE_OFN484_u_dccm_rdata3_29),
-	.B1(n_6572),
-	.B2(u_dccm_rdata1[29]),
-	.X(n_8447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g390916 (
-	.A1(n_6570),
-	.A2(FE_OFN485_u_dccm_rdata3_28),
-	.B1(n_6572),
-	.B2(u_dccm_rdata1[28]),
-	.X(n_8446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g390917 (
-	.A1(n_6570),
-	.A2(FE_OFN486_u_dccm_rdata3_27),
-	.B1(n_6572),
-	.B2(u_dccm_rdata1[27]),
-	.X(n_8445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g390918 (
-	.A1(n_6570),
-	.A2(FE_OFN487_u_dccm_rdata3_26),
-	.B1(n_6572),
-	.B2(u_dccm_rdata1[26]),
-	.X(n_8444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g390919 (
-	.A1(n_6570),
-	.A2(FE_PDN3711_FE_OFN488_u_dccm_rdata3_25),
-	.B1(n_6572),
-	.B2(u_dccm_rdata1[25]),
-	.X(n_8443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g390920 (
-	.A1(n_6570),
-	.A2(FE_OFN489_u_dccm_rdata3_24),
-	.B1(n_6572),
-	.B2(u_dccm_rdata1[24]),
-	.X(n_8442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390921 (
-	.A1(n_6573),
-	.A2(FE_OFN458_u_dccm_rdata2_23),
-	.B1(n_6574),
-	.B2(FE_PDN3706_FE_OFN426_u_dccm_rdata1_23),
-	.X(n_8441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390922 (
-	.A1(n_6573),
-	.A2(FE_PDN3676_FE_OFN459_u_dccm_rdata2_22),
-	.B1(n_6574),
-	.B2(FE_OFN427_u_dccm_rdata1_22),
-	.X(n_8440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390923 (
-	.A1(n_6573),
-	.A2(FE_OFN460_u_dccm_rdata2_21),
-	.B1(n_6574),
-	.B2(FE_PDN3688_FE_OFN428_u_dccm_rdata1_21),
-	.X(n_8439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390924 (
-	.A1(n_6573),
-	.A2(FE_OFN461_u_dccm_rdata2_20),
-	.B1(n_6574),
-	.B2(FE_PDN3714_FE_OFN429_u_dccm_rdata1_20),
-	.X(n_8438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390925 (
-	.A1(n_6573),
-	.A2(FE_OFN462_u_dccm_rdata2_19),
-	.B1(n_6574),
-	.B2(FE_PDN3686_FE_OFN430_u_dccm_rdata1_19),
-	.X(n_8437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390926 (
-	.A1(n_6573),
-	.A2(FE_OFN463_u_dccm_rdata2_18),
-	.B1(n_6574),
-	.B2(FE_OFN431_u_dccm_rdata1_18),
-	.X(n_8436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390927 (
-	.A1(n_6573),
-	.A2(FE_OFN464_u_dccm_rdata2_17),
-	.B1(n_6574),
-	.B2(FE_PDN3696_FE_OFN432_u_dccm_rdata1_17),
-	.X(n_8435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390928 (
-	.A1(n_6573),
-	.A2(FE_OFN465_u_dccm_rdata2_16),
-	.B1(n_6574),
-	.B2(FE_OFN433_u_dccm_rdata1_16),
-	.X(n_8434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390929 (
-	.A1(n_6577),
-	.A2(FE_PDN3684_FE_OFN466_u_dccm_rdata2_15),
-	.B1(n_6580),
-	.B2(FE_OFN434_u_dccm_rdata1_15),
-	.X(n_8433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390930 (
-	.A1(n_6577),
-	.A2(FE_OFN467_u_dccm_rdata2_14),
-	.B1(n_6580),
-	.B2(FE_OFN435_u_dccm_rdata1_14),
-	.X(n_8432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390931 (
-	.A1(n_6577),
-	.A2(FE_PDN3681_FE_OFN468_u_dccm_rdata2_13),
-	.B1(n_6580),
-	.B2(FE_PDN3687_FE_OFN436_u_dccm_rdata1_13),
-	.X(n_8431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390932 (
-	.A1(n_6577),
-	.A2(FE_OFN469_u_dccm_rdata2_12),
-	.B1(n_6580),
-	.B2(FE_PDN3694_FE_OFN437_u_dccm_rdata1_12),
-	.X(n_8430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390933 (
-	.A1(n_6577),
-	.A2(FE_OFN470_u_dccm_rdata2_11),
-	.B1(n_6580),
-	.B2(FE_OFN438_u_dccm_rdata1_11),
-	.X(n_8429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390934 (
-	.A1(n_6577),
-	.A2(FE_OFN471_u_dccm_rdata2_10),
-	.B1(n_6580),
-	.B2(FE_PDN3682_FE_OFN439_u_dccm_rdata1_10),
-	.X(n_8428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390935 (
-	.A1(n_6577),
-	.A2(FE_OFN472_u_dccm_rdata2_9),
-	.B1(n_6580),
-	.B2(FE_PDN3704_FE_OFN440_u_dccm_rdata1_9),
-	.X(n_8427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390936 (
-	.A1(n_6577),
-	.A2(FE_OFN473_u_dccm_rdata2_8),
-	.B1(n_6580),
-	.B2(FE_OFN441_u_dccm_rdata1_8),
-	.X(n_8426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390937 (
-	.A1(n_6585),
-	.A2(FE_OFN474_u_dccm_rdata2_7),
-	.B1(n_6583),
-	.B2(FE_PDN3779_FE_OFN442_u_dccm_rdata1_7),
-	.X(n_8425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390938 (
-	.A1(n_6585),
-	.A2(FE_OFN475_u_dccm_rdata2_6),
-	.B1(n_6583),
-	.B2(FE_PDN3701_FE_OFN443_u_dccm_rdata1_6),
-	.X(n_8424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390939 (
-	.A1(n_6585),
-	.A2(FE_OFN476_u_dccm_rdata2_5),
-	.B1(n_6583),
-	.B2(FE_OFN444_u_dccm_rdata1_5),
-	.X(n_8423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390940 (
-	.A1(n_6585),
-	.A2(FE_OFN477_u_dccm_rdata2_4),
-	.B1(n_6583),
-	.B2(FE_OFN445_u_dccm_rdata1_4),
-	.X(n_8422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390941 (
-	.A1(n_6585),
-	.A2(FE_OFN478_u_dccm_rdata2_3),
-	.B1(n_6583),
-	.B2(FE_OFN446_u_dccm_rdata1_3),
-	.X(n_8421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390942 (
-	.A1(n_6585),
-	.A2(FE_PDN3745_FE_OFN479_u_dccm_rdata2_2),
-	.B1(n_6583),
-	.B2(FE_OFN447_u_dccm_rdata1_2),
-	.X(n_8420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390943 (
-	.A1(n_6585),
-	.A2(FE_OFN480_u_dccm_rdata2_1),
-	.B1(n_6583),
-	.B2(FE_OFN448_u_dccm_rdata1_1),
-	.X(n_8419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g390944 (
-	.A1(n_6585),
-	.A2(FE_OFN481_u_dccm_rdata2_0),
-	.B1(n_6583),
-	.B2(FE_OFN449_u_dccm_rdata1_0),
-	.X(n_8418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g390945 (
-	.A1_N(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
-	.A2_N(n_3962),
-	.B1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B2(n_3962),
-	.Y(n_8417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390946 (
-	.A1(n_3442),
-	.A2(n_5793),
-	.B1(n_3650),
-	.B2(n_5794),
-	.Y(n_8416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390947 (
-	.A1(n_3417),
-	.A2(n_5793),
-	.B1(n_3648),
-	.B2(n_5794),
-	.Y(n_8415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390948 (
-	.A1(n_3419),
-	.A2(n_5793),
-	.B1(n_3637),
-	.B2(n_5794),
-	.Y(n_8414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390949 (
-	.A1(n_3650),
-	.A2(n_5793),
-	.B1(n_3639),
-	.B2(n_5794),
-	.Y(n_8413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390950 (
-	.A1(n_3648),
-	.A2(n_5793),
-	.B1(n_3640),
-	.B2(n_5794),
-	.Y(n_8412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390951 (
-	.A1(n_3637),
-	.A2(n_5793),
-	.B1(n_3641),
-	.B2(n_5794),
-	.Y(n_8411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390952 (
-	.A1(n_4270),
-	.A2(n_5858),
-	.B1(n_4267),
-	.B2(n_5850),
-	.Y(n_8410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390953 (
-	.A1(n_4270),
-	.A2(n_5857),
-	.B1(n_4267),
-	.B2(n_5849),
-	.Y(n_8409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g390954 (
-	.A1_N(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
-	.A2_N(n_3963),
-	.B1(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B2(n_3963),
-	.Y(n_8408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390955 (
-	.A1(n_3660),
-	.A2(n_5793),
-	.B1(n_3661),
-	.B2(n_5794),
-	.Y(n_8407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390956 (
-	.A1(n_3674),
-	.A2(n_5793),
-	.B1(n_3672),
-	.B2(n_5794),
-	.Y(n_8406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390957 (
-	.A1(n_3416),
-	.A2(n_5793),
-	.B1(n_3627),
-	.B2(n_5794),
-	.Y(n_8405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390958 (
-	.A1(n_3418),
-	.A2(n_5793),
-	.B1(n_3660),
-	.B2(n_5794),
-	.Y(n_8404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390959 (
-	.A1(n_3441),
-	.A2(n_5793),
-	.B1(n_3668),
-	.B2(n_5794),
-	.Y(n_8403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g390960 (
-	.A1(n_5817),
-	.A2(main_swith_host_lsu_num_req_outstanding[4]),
-	.B1(n_1257),
-	.B2(n_13737),
-	.Y(n_8402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g390961 (
-	.A1(n_5795),
-	.A2(n_5061),
-	.B1(n_5649),
-	.Y(n_8401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g390962 (
-	.A1_N(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.A2_N(n_3958),
-	.B1(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B2(n_3958),
-	.Y(n_8400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g390963 (
-	.A1(FE_OFN1621_n_1979),
-	.A2(u_top_u_core_csr_mepc[5]),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[5]),
-	.C1(n_7725),
-	.Y(n_8399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g390964 (
-	.A1(n_1257),
-	.A2(n_13736),
-	.B1(n_3659),
-	.B2(main_swith_host_lsu_num_req_outstanding[3]),
-	.C1(n_6412),
-	.X(n_8398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g390965 (
-	.A1(n_1994),
-	.A2(n_4093),
-	.B1(n_4248),
-	.B2(n_1969),
-	.C1(n_6007),
-	.Y(n_8397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390966 (
-	.A1(n_1671),
-	.A2(n_5391),
-	.B1(n_1976),
-	.B2(n_5392),
-	.Y(n_8396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390968 (
-	.A1(n_1671),
-	.A2(n_5402),
-	.B1(n_1976),
-	.B2(n_5403),
-	.Y(n_8395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390969 (
-	.A1(n_1671),
-	.A2(n_5381),
-	.B1(n_1976),
-	.B2(n_5383),
-	.Y(n_8394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390970 (
-	.A1(FE_OFN946_n_1671),
-	.A2(n_5411),
-	.B1(n_1976),
-	.B2(n_5412),
-	.Y(n_8393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390971 (
-	.A1(FE_OFN946_n_1671),
-	.A2(n_5420),
-	.B1(n_1976),
-	.B2(n_5421),
-	.Y(n_8392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390972 (
-	.A1(n_1671),
-	.A2(n_5378),
-	.B1(n_1976),
-	.B2(n_5431),
-	.Y(n_8391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390973 (
-	.A1(n_1671),
-	.A2(n_5436),
-	.B1(n_1976),
-	.B2(n_5524),
-	.Y(n_8390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390974 (
-	.A1(n_1671),
-	.A2(n_5449),
-	.B1(n_1976),
-	.B2(n_5450),
-	.Y(n_8389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390975 (
-	.A1(FE_OFN946_n_1671),
-	.A2(n_5604),
-	.B1(n_1976),
-	.B2(n_5451),
-	.Y(n_8388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390976 (
-	.A1(FE_OFN946_n_1671),
-	.A2(n_5542),
-	.B1(n_1976),
-	.B2(n_5470),
-	.Y(n_8387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390977 (
-	.A1(n_1671),
-	.A2(n_5479),
-	.B1(n_1976),
-	.B2(n_5481),
-	.Y(n_8386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390978 (
-	.A1(FE_OFN946_n_1671),
-	.A2(n_5496),
-	.B1(n_1976),
-	.B2(n_5497),
-	.Y(n_8385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390979 (
-	.A1(n_1671),
-	.A2(n_5508),
-	.B1(n_1976),
-	.B2(n_5509),
-	.Y(n_8384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390980 (
-	.A1(FE_OFN946_n_1671),
-	.A2(n_5515),
-	.B1(n_1976),
-	.B2(n_5516),
-	.Y(n_8383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390981 (
-	.A1(n_1671),
-	.A2(n_5519),
-	.B1(n_1976),
-	.B2(n_5520),
-	.Y(n_8382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390982 (
-	.A1(n_1671),
-	.A2(n_5526),
-	.B1(n_1976),
-	.B2(n_5527),
-	.Y(n_8381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390983 (
-	.A1(FE_OFN946_n_1671),
-	.A2(n_5534),
-	.B1(n_1976),
-	.B2(n_5535),
-	.Y(n_8380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390984 (
-	.A1(n_1671),
-	.A2(n_5549),
-	.B1(n_1976),
-	.B2(n_5550),
-	.Y(n_8379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390985 (
-	.A1(FE_OFN946_n_1671),
-	.A2(n_5551),
-	.B1(n_1976),
-	.B2(n_5552),
-	.Y(n_8378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390986 (
-	.A1(n_1671),
-	.A2(n_5563),
-	.B1(n_1976),
-	.B2(n_5564),
-	.Y(n_8377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390987 (
-	.A1(n_1671),
-	.A2(n_5565),
-	.B1(n_1976),
-	.B2(n_5566),
-	.Y(n_8376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390988 (
-	.A1(FE_OFN946_n_1671),
-	.A2(n_5577),
-	.B1(n_1976),
-	.B2(n_5578),
-	.Y(n_8375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390989 (
-	.A1(n_1671),
-	.A2(n_5585),
-	.B1(n_1976),
-	.B2(n_5586),
-	.Y(n_8374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390990 (
-	.A1(n_1671),
-	.A2(n_5595),
-	.B1(n_1976),
-	.B2(n_5596),
-	.Y(n_8373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390991 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5388),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5375),
-	.Y(n_8372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390992 (
-	.A1(n_1673),
-	.A2(n_5393),
-	.B1(n_1672),
-	.B2(n_5394),
-	.Y(n_8371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390993 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5395),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5396),
-	.Y(n_8370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390994 (
-	.A1(n_1973),
-	.A2(n_5400),
-	.B1(n_1674),
-	.B2(n_5386),
-	.Y(n_8369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390995 (
-	.A1(n_1673),
-	.A2(n_5382),
-	.B1(n_1672),
-	.B2(n_5401),
-	.Y(n_8368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390996 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5406),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5407),
-	.Y(n_8367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390997 (
-	.A1(FE_OFN948_n_1673),
-	.A2(n_5379),
-	.B1(FE_OFN947_n_1672),
-	.B2(n_5408),
-	.Y(n_8366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390998 (
-	.A1(FE_OFN948_n_1673),
-	.A2(n_5413),
-	.B1(FE_OFN947_n_1672),
-	.B2(n_5414),
-	.Y(n_8365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g390999 (
-	.A1(n_1673),
-	.A2(n_5418),
-	.B1(n_1672),
-	.B2(n_5419),
-	.Y(n_8364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391000 (
-	.A1(FE_OFN948_n_1673),
-	.A2(n_5424),
-	.B1(FE_OFN947_n_1672),
-	.B2(n_5425),
-	.Y(n_8363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391001 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5376),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5426),
-	.Y(n_8362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391002 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5427),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5428),
-	.Y(n_8361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391003 (
-	.A1(n_1673),
-	.A2(n_5432),
-	.B1(n_1672),
-	.B2(n_5433),
-	.Y(n_8360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391004 (
-	.A1(n_1673),
-	.A2(n_5439),
-	.B1(n_1672),
-	.B2(n_5440),
-	.Y(n_8359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391005 (
-	.A1(FE_OFN949_n_1674),
-	.A2(n_5444),
-	.B1(FE_OFN972_n_1973),
-	.B2(n_5445),
-	.Y(n_8358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391006 (
-	.A1(n_1673),
-	.A2(n_5377),
-	.B1(n_1672),
-	.B2(n_5446),
-	.Y(n_8357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391007 (
-	.A1(FE_OFN949_n_1674),
-	.A2(n_5452),
-	.B1(FE_OFN972_n_1973),
-	.B2(n_5453),
-	.Y(n_8356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391008 (
-	.A1(FE_OFN948_n_1673),
-	.A2(n_5454),
-	.B1(FE_OFN947_n_1672),
-	.B2(n_5455),
-	.Y(n_8355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391009 (
-	.A1(n_1673),
-	.A2(n_5458),
-	.B1(n_1672),
-	.B2(n_5459),
-	.Y(n_8354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391010 (
-	.A1(n_1973),
-	.A2(n_5460),
-	.B1(n_1674),
-	.B2(n_5461),
-	.Y(n_8353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391011 (
-	.A1(FE_OFN948_n_1673),
-	.A2(n_5464),
-	.B1(FE_OFN947_n_1672),
-	.B2(n_5465),
-	.Y(n_8352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391012 (
-	.A1(FE_OFN949_n_1674),
-	.A2(n_5466),
-	.B1(FE_OFN972_n_1973),
-	.B2(n_5467),
-	.Y(n_8351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391013 (
-	.A1(FE_OFN948_n_1673),
-	.A2(n_5471),
-	.B1(FE_OFN947_n_1672),
-	.B2(n_5472),
-	.Y(n_8350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391014 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5514),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5473),
-	.Y(n_8349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391015 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5476),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5477),
-	.Y(n_8348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391016 (
-	.A1(n_1673),
-	.A2(n_5480),
-	.B1(n_1672),
-	.B2(n_5478),
-	.Y(n_8347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391017 (
-	.A1(n_1673),
-	.A2(n_5486),
-	.B1(n_1672),
-	.B2(n_5487),
-	.Y(n_8346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391018 (
-	.A1(FE_OFN949_n_1674),
-	.A2(n_5492),
-	.B1(FE_OFN972_n_1973),
-	.B2(n_5493),
-	.Y(n_8345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391019 (
-	.A1(FE_OFN948_n_1673),
-	.A2(n_5498),
-	.B1(FE_OFN947_n_1672),
-	.B2(n_5499),
-	.Y(n_8344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391020 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5502),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5503),
-	.Y(n_8343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391021 (
-	.A1(n_1673),
-	.A2(n_5504),
-	.B1(n_1672),
-	.B2(n_5505),
-	.Y(n_8342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391022 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5510),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5511),
-	.Y(n_8341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391023 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5512),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5513),
-	.Y(n_8340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391024 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5530),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5531),
-	.Y(n_8339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391025 (
-	.A1(n_1673),
-	.A2(n_5532),
-	.B1(n_1672),
-	.B2(n_5533),
-	.Y(n_8338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391026 (
-	.A1(FE_OFN948_n_1673),
-	.A2(n_5538),
-	.B1(FE_OFN947_n_1672),
-	.B2(n_5539),
-	.Y(n_8337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391027 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5540),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5541),
-	.Y(n_8336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391028 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5543),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5544),
-	.Y(n_8335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391029 (
-	.A1(n_1673),
-	.A2(n_5545),
-	.B1(n_1672),
-	.B2(n_5546),
-	.Y(n_8334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391030 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5557),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5558),
-	.Y(n_8333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391031 (
-	.A1(FE_OFN948_n_1673),
-	.A2(n_5561),
-	.B1(FE_OFN947_n_1672),
-	.B2(n_5562),
-	.Y(n_8332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391032 (
-	.A1(n_1673),
-	.A2(n_5567),
-	.B1(n_1672),
-	.B2(n_5568),
-	.Y(n_8331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391033 (
-	.A1(n_1973),
-	.A2(n_5569),
-	.B1(n_1674),
-	.B2(n_5570),
-	.Y(n_8330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391034 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5573),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5574),
-	.Y(n_8329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391035 (
-	.A1(FE_OFN948_n_1673),
-	.A2(n_5579),
-	.B1(FE_OFN947_n_1672),
-	.B2(n_5580),
-	.Y(n_8328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391036 (
-	.A1(n_1973),
-	.A2(n_5581),
-	.B1(n_1674),
-	.B2(n_5582),
-	.Y(n_8327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391037 (
-	.A1(n_1673),
-	.A2(n_5587),
-	.B1(n_1672),
-	.B2(n_5588),
-	.Y(n_8326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391038 (
-	.A1(FE_OFN948_n_1673),
-	.A2(n_5589),
-	.B1(FE_OFN947_n_1672),
-	.B2(n_5590),
-	.Y(n_8325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391039 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5591),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5592),
-	.Y(n_8324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391040 (
-	.A1(FE_OFN972_n_1973),
-	.A2(n_5597),
-	.B1(FE_OFN949_n_1674),
-	.B2(n_5598),
-	.Y(n_8323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391041 (
-	.A1(n_1673),
-	.A2(n_5601),
-	.B1(n_1672),
-	.B2(n_5602),
-	.Y(n_8322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391042 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5389),
-	.B1(n_1970),
-	.B2(n_5390),
-	.Y(n_8321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391043 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5397),
-	.B1(n_1970),
-	.B2(n_5398),
-	.Y(n_8320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391044 (
-	.A1(n_1675),
-	.A2(n_5404),
-	.B1(n_1970),
-	.B2(n_5405),
-	.Y(n_8319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391045 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5409),
-	.B1(n_1970),
-	.B2(n_5410),
-	.Y(n_8318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391046 (
-	.A1(n_1675),
-	.A2(n_5384),
-	.B1(n_1970),
-	.B2(n_5417),
-	.Y(n_8317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391047 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5422),
-	.B1(n_1970),
-	.B2(n_5423),
-	.Y(n_8316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391048 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5429),
-	.B1(n_1970),
-	.B2(n_5430),
-	.Y(n_8315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391049 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5434),
-	.B1(n_1970),
-	.B2(n_5435),
-	.Y(n_8314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391050 (
-	.A1(n_1675),
-	.A2(n_5441),
-	.B1(n_1970),
-	.B2(n_5442),
-	.Y(n_8313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391051 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5447),
-	.B1(n_1970),
-	.B2(n_5448),
-	.Y(n_8312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391052 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5456),
-	.B1(n_1970),
-	.B2(n_5457),
-	.Y(n_8311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391053 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5474),
-	.B1(n_1970),
-	.B2(n_5475),
-	.Y(n_8310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391054 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5482),
-	.B1(n_1970),
-	.B2(n_5483),
-	.Y(n_8309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391055 (
-	.A1(n_1675),
-	.A2(n_5484),
-	.B1(n_1970),
-	.B2(n_5485),
-	.Y(n_8308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391056 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5490),
-	.B1(n_1970),
-	.B2(n_5491),
-	.Y(n_8307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391057 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5500),
-	.B1(n_1970),
-	.B2(n_5501),
-	.Y(n_8306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391058 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5506),
-	.B1(n_1970),
-	.B2(n_5507),
-	.Y(n_8305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391059 (
-	.A1(n_1675),
-	.A2(n_5521),
-	.B1(n_1970),
-	.B2(n_5522),
-	.Y(n_8304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391060 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5528),
-	.B1(n_1970),
-	.B2(n_5529),
-	.Y(n_8303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391061 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5536),
-	.B1(n_1970),
-	.B2(n_5537),
-	.Y(n_8302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391062 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5547),
-	.B1(n_1970),
-	.B2(n_5548),
-	.Y(n_8301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391063 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5553),
-	.B1(n_1970),
-	.B2(n_5554),
-	.Y(n_8300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391064 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5559),
-	.B1(n_1970),
-	.B2(n_5560),
-	.Y(n_8299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391065 (
-	.A1(n_1675),
-	.A2(n_5571),
-	.B1(n_1970),
-	.B2(n_5572),
-	.Y(n_8298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391066 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5575),
-	.B1(n_1970),
-	.B2(n_5576),
-	.Y(n_8297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391067 (
-	.A1(n_1675),
-	.A2(n_5583),
-	.B1(n_1970),
-	.B2(n_5584),
-	.Y(n_8296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g391068 (
-	.A1(FE_OFN950_n_1675),
-	.A2(n_5599),
-	.B1(n_1970),
-	.B2(n_5600),
-	.Y(n_8295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g391071 (
-	.A1(n_6566),
-	.A2(FE_PSN3877_n_13702),
-	.B1(n_5642),
-	.Y(n_8292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391072 (
-	.A1(n_1717),
-	.A2(n_3473),
-	.B1(n_7723),
-	.Y(n_8291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g391073 (
-	.A1(n_2314),
-	.A2(n_1713),
-	.A3(\u_top_u_core_imd_val_q_ex[1] [23]),
-	.B1(n_7254),
-	.Y(n_8290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391074 (
-	.A1(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.A2(n_5810),
-	.B1(n_7703),
-	.Y(n_8289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g391075 (
-	.A1(\u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
-	.A2(n_4274),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[6]),
-	.C1(FE_OFN1580_n_2147),
-	.C2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
-	.Y(n_8288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g391076 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
-	.C(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
-	.D(n_4235),
-	.X(n_8287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g391077 (
-	.A(FE_OFN15855_n_13646),
-	.B(n_13649),
-	.C(FE_OFN1540_n_13647),
-	.D(n_4233),
-	.X(n_8286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g391079 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
-	.A2(n_5021),
-	.B1(n_6569),
-	.C1(n_6556),
-	.Y(n_8284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g391080 (
-	.A1(n_4497),
-	.A2(FE_OFN929_n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
-	.C1(n_7888),
-	.Y(n_8283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g391081 (
-	.A1(n_4507),
-	.A2(FE_OFN929_n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
-	.C1(n_7872),
-	.Y(n_8282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g391082 (
-	.A1(n_4515),
-	.A2(FE_OFN929_n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
-	.C1(n_7868),
-	.Y(n_8281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g391083 (
-	.A1(n_5820),
-	.A2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
-	.B1(n_5806),
-	.Y(n_8280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391084 (
-	.A1(n_1063),
-	.A2(n_4518),
-	.B1(n_7724),
-	.Y(n_8279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391085 (
-	.A1(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
-	.A2(n_6588),
-	.B1(FE_OFN3_system_rst_ni),
-	.Y(n_8278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g391086 (
-	.A1(FE_OFN5759_n),
-	.A2(u_top_u_core_instr_rdata_c_id[1]),
-	.B1(n_8261),
-	.X(n_8277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g391087 (
-	.A1(FE_OFN5759_n),
-	.A2(u_top_u_core_instr_rdata_c_id[0]),
-	.B1(n_8262),
-	.X(n_8276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g391088 (
-	.A(n_7719),
-	.B(n_3126),
-	.C(n_3128),
-	.Y(n_8275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g391089 (
-	.A(u_uart_u_uart_core_rx_fifo_rst),
-	.B(n_5644),
-	.Y(n_8274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g391090 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_7931),
-	.Y(n_8273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g391091 (
-	.A(n_7717),
-	.B(n_3065),
-	.Y(n_8272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g391092 (
-	.A(n_3506),
-	.B(n_8258),
-	.Y(n_8271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g391093 (
-	.A(n_8210),
-	.B(FE_OFN806_u_tcam_rdata_5),
-	.Y(n_8270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g391094 (
-	.A(n_8210),
-	.B(FE_OFN807_u_tcam_rdata_4),
-	.Y(n_8269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g391095 (
-	.A(n_8210),
-	.B(FE_OFN809_u_tcam_rdata_3),
-	.Y(n_8268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g391096 (
-	.A(n_8210),
-	.B(FE_PSN3870_FE_OFN811_u_tcam_rdata_2),
-	.Y(n_8267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g391097 (
-	.A(n_8210),
-	.B(FE_PSN3871_FE_OFN813_u_tcam_rdata_1),
-	.Y(n_8266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g391098 (
-	.A(n_8210),
-	.B(FE_OFN1769_u_tcam_rdata_0),
-	.Y(n_8265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g391099 (
-	.A(n_7659),
-	.B(n_1251),
-	.Y(n_8264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g391462 (
-	.A_N(n_15772),
-	.B(n_8227),
-	.Y(n_8635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g391463 (
-	.A(n_13212),
-	.B_N(n_8252),
-	.Y(n_8634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g391476 (
-	.A_N(n_3397),
-	.B(n_8227),
-	.Y(n_8633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391497 (
-	.A1(FE_OFN1555_n_16022),
-	.A2(n_5824),
-	.B1(n_3953),
-	.Y(n_8632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g391504 (
-	.A1(n_4503),
-	.A2(FE_OFN929_n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
-	.C1(n_7892),
-	.Y(n_8631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g391505 (
-	.A1(n_4499),
-	.A2(FE_OFN929_n_1064),
-	.B1(n_1062),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
-	.C1(n_7896),
-	.Y(n_8630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391512 (
-	.A1(FE_OFN1555_n_16022),
-	.A2(n_5816),
-	.B1(n_3953),
-	.Y(n_8629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391513 (
-	.A1(FE_OFN1555_n_16022),
-	.A2(n_5811),
-	.B1(n_3953),
-	.Y(n_8628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391514 (
-	.A1(FE_OFN1555_n_16022),
-	.A2(n_5812),
-	.B1(n_3953),
-	.Y(n_8627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391515 (
-	.A1(n_6586),
-	.A2(n_1251),
-	.B1(n_1714),
-	.Y(n_8626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g391520 (
-	.A1(n_5846),
-	.A2(n_3263),
-	.B1(n_5647),
-	.Y(n_8625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391606 (
-	.A1(FE_OFN1555_n_16022),
-	.A2(n_5813),
-	.B1(n_3953),
-	.Y(n_8624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391631 (
-	.A1(FE_OFN1555_n_16022),
-	.A2(n_5867),
-	.B1(n_3953),
-	.Y(n_8623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g391632 (
-	.A1(n_3624),
-	.A2(n_16022),
-	.A3(n_2135),
-	.B1(n_5845),
-	.B2(n_3263),
-	.Y(n_8622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391633 (
-	.A1(FE_OFN1555_n_16022),
-	.A2(n_5864),
-	.B1(n_3953),
-	.Y(n_8621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391634 (
-	.A1(FE_OFN1555_n_16022),
-	.A2(n_5866),
-	.B1(n_3953),
-	.Y(n_8620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g391635 (
-	.A1(n_5825),
-	.A2(n_3263),
-	.B1(n_5823),
-	.B2(FE_OFN1555_n_16022),
-	.Y(n_8618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g391636 (
-	.A1(n_5829),
-	.A2(n_3263),
-	.B1(n_5865),
-	.B2(FE_OFN1555_n_16022),
-	.Y(n_8616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g391637 (
-	.A1(n_5833),
-	.A2(n_3263),
-	.B1(n_5815),
-	.B2(FE_OFN1555_n_16022),
-	.Y(n_8614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g391638 (
-	.A1(n_5843),
-	.A2(n_3263),
-	.B1(n_3711),
-	.B2(FE_OFN1555_n_16022),
-	.Y(n_8613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g391639 (
-	.A1(n_5847),
-	.A2(n_3263),
-	.B1(n_5832),
-	.B2(n_16022),
-	.Y(n_8612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g391640 (
-	.A1(n_5849),
-	.A2(n_3263),
-	.B1(n_5837),
-	.B2(FE_OFN1555_n_16022),
-	.Y(n_8611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391641 (
-	.A1(n_5850),
-	.A2(n_3263),
-	.B1(n_5840),
-	.B2(FE_OFN1555_n_16022),
-	.X(n_8610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391649 (
-	.A1_N(n_3263),
-	.A2_N(n_5827),
-	.B1(n_3263),
-	.B2(n_5864),
-	.Y(n_8609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g391651 (
-	.A1(n_5830),
-	.A2(n_3263),
-	.B1(n_5868),
-	.B2(FE_OFN1555_n_16022),
-	.Y(n_8608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391654 (
-	.A1_N(n_3263),
-	.A2_N(n_5836),
-	.B1(n_3263),
-	.B2(n_5811),
-	.Y(n_8606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g391655 (
-	.A1(n_5838),
-	.A2(n_3263),
-	.B1(n_5814),
-	.B2(FE_OFN1555_n_16022),
-	.Y(n_8604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391657 (
-	.A1_N(n_3263),
-	.A2_N(n_5842),
-	.B1(n_3263),
-	.B2(n_5812),
-	.Y(n_8602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g391658 (
-	.A1_N(n_3263),
-	.A2_N(n_3718),
-	.B1(n_3263),
-	.B2(n_5844),
-	.Y(n_8601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g391659 (
-	.A1(n_5848),
-	.A2(n_3263),
-	.B1(n_5863),
-	.B2(FE_OFN1555_n_16022),
-	.Y(n_8600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g391790 (
-	.A(n_8259),
-	.B(u_uart_u_uart_core_rx_buffer_size[6]),
-	.Y(n_8599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g391792 (
-	.A(main_swith_host_lsu_num_req_outstanding[7]),
-	.B(n_8255),
-	.Y(n_8598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g391793 (
-	.A(n_701),
-	.B(n_5056),
-	.Y(n_8597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g391794 (
-	.A(n_609),
-	.B(n_8226),
-	.Y(n_8596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g391795 (
-	.A(n_1759),
-	.B(n_5055),
-	.Y(n_8595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g391797 (
-	.A(n_1266),
-	.B(n_8208),
-	.Y(n_8593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g391799 (
-	.A(n_1101),
-	.B(n_8208),
-	.Y(n_8592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g391800 (
-	.A(n_8209),
-	.B(n_1282),
-	.Y(n_8591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g391801 (
-	.A(n_1099),
-	.B(n_8208),
-	.Y(n_8589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g391802 (
-	.A(n_1280),
-	.B(n_8208),
-	.Y(n_8588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g391803 (
-	.A(n_1283),
-	.B(n_8208),
-	.Y(n_8587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g391804 (
-	.A(n_1103),
-	.B(n_8208),
-	.Y(n_8586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g391805 (
-	.A(n_0),
-	.B(n_8221),
-	.Y(n_8585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g391806 (
-	.A(n_1102),
-	.B(n_8208),
-	.Y(n_8584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g391807 (
-	.A(n_8262),
-	.B(n_1725),
-	.Y(n_8583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g391810 (
-	.A(n_8253),
-	.B(n_1110),
-	.X(n_8582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g391811 (
-	.A1(n_4519),
-	.A2(n_1069),
-	.B1(n_1070),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
-	.C1(n_7770),
-	.Y(n_8581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g391812 (
-	.A1(n_4499),
-	.A2(n_1069),
-	.B1(n_1070),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
-	.C1(n_7774),
-	.Y(n_8579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g391813 (
-	.A1(n_4507),
-	.A2(n_1069),
-	.B1(n_1070),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
-	.C1(n_7768),
-	.Y(n_8577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g391814 (
-	.A1(n_4515),
-	.A2(n_1069),
-	.B1(n_1070),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
-	.C1(n_7769),
-	.Y(n_8575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g391815 (
-	.A1(n_4497),
-	.A2(n_1069),
-	.B1(n_1070),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
-	.C1(n_7773),
-	.Y(n_8573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g391816 (
-	.A(n_7771),
-	.B(n_7772),
-	.Y(n_8571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g391817 (
-	.A(n_8261),
-	.B(FE_OFN16141_n_1722),
-	.Y(n_8569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g391818 (
-	.A(n_8193),
-	.B(n_8191),
-	.Y(n_8567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g391819 (
-	.A(FE_OFN1475_n_8245),
-	.Y(n_8244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g391820 (
-	.A(n_8243),
-	.Y(n_8242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g391821 (
-	.A(FE_OFN1339_n_8241),
-	.Y(n_8240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g391823 (
-	.A(FE_OFN1337_n_8237),
-	.Y(n_8236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g391824 (
-	.A(n_8235),
-	.Y(n_8234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g391825 (
-	.A(FE_OFN1336_n_8233),
-	.Y(n_8232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g391826 (
-	.A(n_8231),
-	.Y(n_8230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g391827 (
-	.A(FE_OFN1335_n_8229),
-	.Y(n_8228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g391828 (
-	.A(n_8226),
-	.Y(n_8227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g391831 (
-	.A(FE_OFN1474_n_8220),
-	.Y(n_8219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g391833 (
-	.A(FE_OFN1473_n_8216),
-	.Y(n_8215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g391835 (
-	.A(FE_OFN1472_n_8212),
-	.Y(n_8211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g391836 (
-	.A(n_8208),
-	.Y(n_8209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g391837 (
-	.A(n_8207),
-	.Y(n_8206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g391838 (
-	.A(n_8205),
-	.Y(n_8204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g391842 (
-	.A(FE_OFN1026_n_8194),
-	.Y(n_8193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g391843 (
-	.A(FE_OFN1025_n_8192),
-	.Y(n_8191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g391844 (
-	.A1(n_2465),
-	.A2(n_2555),
-	.A3(n_2425),
-	.A4(n_2556),
-	.B1(n_1970),
-	.Y(n_8190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391845 (
-	.A1(n_3813),
-	.A2(FE_OFN1574_n_1233),
-	.B1(n_4473),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [7]),
-	.X(n_8189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391846 (
-	.A1(n_3813),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4473),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [6]),
-	.X(n_8188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391847 (
-	.A1(n_3813),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4473),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [4]),
-	.X(n_8187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391848 (
-	.A1(n_3813),
-	.A2(n_1055),
-	.B1(n_4473),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [3]),
-	.X(n_8186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391849 (
-	.A1(n_3813),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4473),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [2]),
-	.X(n_8185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391850 (
-	.A1(n_3818),
-	.A2(FE_OFN1574_n_1233),
-	.B1(n_4472),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [7]),
-	.X(n_8184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391851 (
-	.A1(n_3818),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4472),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [5]),
-	.X(n_8183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391852 (
-	.A1(n_3818),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4472),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [4]),
-	.X(n_8182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391853 (
-	.A1(n_3818),
-	.A2(n_1055),
-	.B1(n_4472),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [3]),
-	.X(n_8181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391854 (
-	.A1(n_3818),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4472),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [2]),
-	.X(n_8180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391855 (
-	.A1(n_3818),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4472),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [1]),
-	.X(n_8179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391856 (
-	.A1(n_3817),
-	.A2(FE_OFN1574_n_1233),
-	.B1(n_4354),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [7]),
-	.X(n_8178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391857 (
-	.A1(n_3817),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4354),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [6]),
-	.X(n_8177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391858 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [5]),
-	.A2_N(n_4301),
-	.B1(FE_OFN1454_n_1236),
-	.B2(n_3605),
-	.Y(n_8176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391859 (
-	.A1(n_3817),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4354),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [5]),
-	.X(n_8175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391860 (
-	.A1(n_3817),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4354),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [4]),
-	.X(n_8174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391861 (
-	.A1(n_3817),
-	.A2(n_1055),
-	.B1(n_4354),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [3]),
-	.X(n_8173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391862 (
-	.A1(n_3817),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4354),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [2]),
-	.X(n_8172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391863 (
-	.A1(n_3817),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4354),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [1]),
-	.X(n_8171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391864 (
-	.A1(n_3816),
-	.A2(FE_OFN1574_n_1233),
-	.B1(n_4444),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [7]),
-	.X(n_8170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391865 (
-	.A1(n_3816),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4444),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [6]),
-	.X(n_8169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391866 (
-	.A1(n_3816),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4444),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [4]),
-	.X(n_8168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391867 (
-	.A1(n_3816),
-	.A2(n_1055),
-	.B1(n_4444),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [3]),
-	.X(n_8167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391868 (
-	.A1(n_3816),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4444),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [2]),
-	.X(n_8166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391869 (
-	.A1(n_3816),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4444),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [1]),
-	.X(n_8165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391870 (
-	.A1(n_3845),
-	.A2(FE_OFN1574_n_1233),
-	.B1(n_4325),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [7]),
-	.X(n_8164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391871 (
-	.A1(n_3845),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4325),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [6]),
-	.X(n_8163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391872 (
-	.A1(n_3845),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4325),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [5]),
-	.X(n_8162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391873 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3924),
-	.B1(n_6199),
-	.Y(n_8161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391874 (
-	.A1(n_3845),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4325),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [4]),
-	.X(n_8160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391875 (
-	.A1(n_3845),
-	.A2(n_1055),
-	.B1(n_4325),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [3]),
-	.X(n_8159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391876 (
-	.A1(n_3845),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4325),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [2]),
-	.X(n_8158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391877 (
-	.A1(n_3845),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4325),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [1]),
-	.X(n_8157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391878 (
-	.A1(n_3844),
-	.A2(FE_OFN1574_n_1233),
-	.B1(n_4324),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [7]),
-	.X(n_8156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391879 (
-	.A1(n_3844),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4324),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [6]),
-	.X(n_8155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391880 (
-	.A1(n_3844),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4324),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [5]),
-	.X(n_8154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391881 (
-	.A1(n_3814),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4367),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [4]),
-	.X(n_8153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391882 (
-	.A1(n_3844),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4324),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [4]),
-	.X(n_8152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391883 (
-	.A1(n_3844),
-	.A2(n_1055),
-	.B1(n_4324),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [3]),
-	.X(n_8151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391884 (
-	.A1(n_3844),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4324),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [2]),
-	.X(n_8150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391885 (
-	.A1(n_3844),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4324),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [1]),
-	.X(n_8149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391886 (
-	.A1(n_3909),
-	.A2(FE_OFN1574_n_1233),
-	.B1(n_5017),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [7]),
-	.X(n_8148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391887 (
-	.A1(n_3909),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_5017),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [6]),
-	.X(n_8147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391888 (
-	.A1(n_3909),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_5017),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [5]),
-	.X(n_8146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391889 (
-	.A1(n_3909),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_5017),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [4]),
-	.X(n_8145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391890 (
-	.A1(n_3909),
-	.A2(n_1055),
-	.B1(n_5017),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [3]),
-	.X(n_8144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391891 (
-	.A1(n_3909),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_5017),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [2]),
-	.X(n_8143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391892 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [7]),
-	.A2_N(n_4293),
-	.B1(FE_OFN1450_n_1234),
-	.B2(n_3822),
-	.Y(n_8142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391893 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [6]),
-	.A2_N(n_4293),
-	.B1(n_1240),
-	.B2(n_3822),
-	.Y(n_8141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391894 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [5]),
-	.A2_N(n_4293),
-	.B1(FE_OFN1453_n_1236),
-	.B2(n_3822),
-	.Y(n_8140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391895 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [4]),
-	.A2_N(n_4293),
-	.B1(FE_OFN1447_n_1058),
-	.B2(n_3822),
-	.Y(n_8139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391896 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [3]),
-	.A2_N(n_4293),
-	.B1(FE_OFN1443_n_1056),
-	.B2(n_3822),
-	.Y(n_8138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391897 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [1]),
-	.A2_N(n_4295),
-	.B1(n_1054),
-	.B2(n_3785),
-	.Y(n_8137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391898 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [1]),
-	.A2_N(n_4293),
-	.B1(FE_OFN1440_n_1054),
-	.B2(n_3822),
-	.Y(n_8136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391899 (
-	.A1(n_3608),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4477),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [4]),
-	.X(n_8135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391900 (
-	.A1(n_3814),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4367),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [6]),
-	.X(n_8134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391901 (
-	.A1(n_3877),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4280),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [7]),
-	.X(n_8133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391902 (
-	.A1(n_3814),
-	.A2(n_1235),
-	.B1(n_4367),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [5]),
-	.X(n_8132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391903 (
-	.A1(n_3814),
-	.A2(n_1055),
-	.B1(n_4367),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [3]),
-	.X(n_8131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391904 (
-	.A1(n_3814),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4367),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [2]),
-	.X(n_8130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391905 (
-	.A1(n_3814),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4367),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [1]),
-	.X(n_8129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391906 (
-	.A1(n_3815),
-	.A2(FE_OFN1574_n_1233),
-	.B1(n_4368),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [7]),
-	.X(n_8128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391907 (
-	.A1(n_3815),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4368),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [6]),
-	.X(n_8127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391908 (
-	.A1(n_3815),
-	.A2(n_1235),
-	.B1(n_4368),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [5]),
-	.X(n_8126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391909 (
-	.A1(n_3815),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4368),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [4]),
-	.X(n_8125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391910 (
-	.A1(n_3815),
-	.A2(n_1055),
-	.B1(n_4368),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [3]),
-	.X(n_8124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391911 (
-	.A1(n_3815),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4368),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [2]),
-	.X(n_8123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391912 (
-	.A1(n_3815),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4368),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [1]),
-	.X(n_8122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391913 (
-	.A1(n_3814),
-	.A2(FE_OFN1574_n_1233),
-	.B1(n_4367),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [7]),
-	.X(n_8121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391914 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3792),
-	.B1(n_6197),
-	.Y(n_8120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391915 (
-	.A1(n_3883),
-	.A2(FE_OFN1574_n_1233),
-	.B1(n_4356),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [7]),
-	.X(n_8119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391916 (
-	.A1(n_3883),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4356),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [6]),
-	.X(n_8118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391917 (
-	.A1(n_3883),
-	.A2(n_1235),
-	.B1(n_4356),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [5]),
-	.X(n_8117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391918 (
-	.A1(n_3883),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4356),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [4]),
-	.X(n_8116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391919 (
-	.A1(n_3883),
-	.A2(n_1055),
-	.B1(n_4356),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [3]),
-	.X(n_8115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391920 (
-	.A1(n_3883),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4356),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [2]),
-	.X(n_8114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391921 (
-	.A1(n_3883),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4356),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [1]),
-	.X(n_8113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391922 (
-	.A1(n_3902),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4346),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [2]),
-	.X(n_8112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391923 (
-	.A1(n_3827),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4350),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [7]),
-	.X(n_8111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391924 (
-	.A1(FE_OFN1460_n_1240),
-	.A2(n_3826),
-	.B1(n_6029),
-	.Y(n_8110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391925 (
-	.A1(n_3876),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4317),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [4]),
-	.X(n_8109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391926 (
-	.A1(n_3867),
-	.A2(n_1057),
-	.B1(n_4308),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [4]),
-	.X(n_8108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391927 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
-	.A2_N(n_3268),
-	.B1(FE_OFN1322_n_4531),
-	.B2(n_3513),
-	.Y(n_8107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391928 (
-	.A1(n_3611),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4475),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [4]),
-	.X(n_8106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391929 (
-	.A1(n_3802),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4468),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [2]),
-	.X(n_8105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391930 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [5]),
-	.A2_N(n_4295),
-	.B1(n_1236),
-	.B2(n_3785),
-	.Y(n_8104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391931 (
-	.A1(n_3802),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4468),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [4]),
-	.X(n_8103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391932 (
-	.A1(n_3875),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4399),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [5]),
-	.X(n_8102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391933 (
-	.A1(n_3802),
-	.A2(n_1233),
-	.B1(n_4468),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [7]),
-	.X(n_8101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391934 (
-	.A1(n_3802),
-	.A2(n_1235),
-	.B1(n_4468),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [5]),
-	.X(n_8100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391935 (
-	.A1(n_3841),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4336),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [3]),
-	.X(n_8099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391936 (
-	.A1(n_3801),
-	.A2(n_1235),
-	.B1(n_4470),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [5]),
-	.X(n_8098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391937 (
-	.A1(n_3875),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4399),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [3]),
-	.X(n_8097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391938 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3837),
-	.B1(n_6242),
-	.Y(n_8096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391939 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3789),
-	.B1(n_6209),
-	.Y(n_8095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391940 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3789),
-	.B1(n_6212),
-	.Y(n_8094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391941 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3789),
-	.B1(n_6210),
-	.Y(n_8093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391942 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
-	.A2_N(n_3266),
-	.B1(FE_OFN16217_n_4527),
-	.B2(n_3739),
-	.Y(n_8092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391943 (
-	.A1(FE_OFN1460_n_1240),
-	.A2(n_3597),
-	.B1(n_6202),
-	.Y(n_8091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391944 (
-	.A1(n_3771),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4359),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [6]),
-	.X(n_8090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391945 (
-	.A1(n_3803),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4353),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [3]),
-	.X(n_8089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391946 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3789),
-	.B1(n_6214),
-	.Y(n_8088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391947 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3792),
-	.B1(n_6152),
-	.Y(n_8087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391948 (
-	.A1(n_3940),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4992),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [1]),
-	.X(n_8086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391949 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3789),
-	.B1(n_6221),
-	.Y(n_8085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391950 (
-	.A1(n_3852),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4372),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [6]),
-	.X(n_8084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391951 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3895),
-	.B1(n_6456),
-	.Y(n_8083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391952 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3944),
-	.B1(n_5903),
-	.Y(n_8082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391953 (
-	.A1(n_3852),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4372),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [7]),
-	.X(n_8081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391954 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3811),
-	.B1(n_6232),
-	.Y(n_8080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391955 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3811),
-	.B1(n_6231),
-	.Y(n_8079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391956 (
-	.A1(n_3860),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4307),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [4]),
-	.X(n_8078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391957 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3811),
-	.B1(n_6235),
-	.Y(n_8077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391958 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
-	.A2_N(n_3268),
-	.B1(FE_OFN1839_n_4553),
-	.B2(n_3513),
-	.Y(n_8076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391959 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3811),
-	.B1(n_6234),
-	.Y(n_8075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391960 (
-	.A1(n_3798),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4479),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [1]),
-	.X(n_8074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391961 (
-	.A1(n_3928),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4970),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [1]),
-	.X(n_8073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391962 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3811),
-	.B1(n_6237),
-	.Y(n_8072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391963 (
-	.A1(n_3860),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4307),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [6]),
-	.X(n_8071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391964 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
-	.A2_N(n_3268),
-	.B1(n_4551),
-	.B2(n_3513),
-	.Y(n_8070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391965 (
-	.A1(n_3858),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4413),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [1]),
-	.X(n_8069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391966 (
-	.A1(n_3858),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4413),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [3]),
-	.X(n_8068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391967 (
-	.A1(n_3858),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4413),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [4]),
-	.X(n_8067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391968 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3812),
-	.B1(n_6250),
-	.Y(n_8066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391969 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3896),
-	.B1(n_6252),
-	.Y(n_8065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391970 (
-	.A1(n_3905),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_5016),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [1]),
-	.X(n_8064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391971 (
-	.A1(n_3858),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4413),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [5]),
-	.X(n_8063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391972 (
-	.A1(n_3858),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4413),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [7]),
-	.X(n_8062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391973 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3896),
-	.B1(n_6253),
-	.Y(n_8061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391974 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3896),
-	.B1(n_6260),
-	.Y(n_8060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391975 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3809),
-	.B1(n_6140),
-	.Y(n_8059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391976 (
-	.A1(n_3887),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_5028),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [5]),
-	.X(n_8058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391977 (
-	.A1(n_1054),
-	.A2(n_3782),
-	.B1(n_6262),
-	.Y(n_8057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391978 (
-	.A1(n_3928),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4970),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [6]),
-	.X(n_8056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391979 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3896),
-	.B1(n_6257),
-	.Y(n_8055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391980 (
-	.A1(n_3854),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4412),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [1]),
-	.X(n_8054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391981 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
-	.A2_N(n_3268),
-	.B1(FE_OFN1840_n_4539),
-	.B2(n_3513),
-	.Y(n_8053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391982 (
-	.A1(n_3854),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4412),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [3]),
-	.X(n_8052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391983 (
-	.A1(n_3820),
-	.A2(n_1053),
-	.B1(n_4464),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [1]),
-	.X(n_8051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391984 (
-	.A1(n_3884),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4302),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [3]),
-	.X(n_8050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391985 (
-	.A1(n_3828),
-	.A2(n_1239),
-	.B1(n_4463),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [6]),
-	.X(n_8049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391986 (
-	.A1(n_3839),
-	.A2(n_1055),
-	.B1(n_4337),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [3]),
-	.X(n_8048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391987 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3786),
-	.B1(n_6269),
-	.Y(n_8047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391988 (
-	.A1(n_1058),
-	.A2(n_3782),
-	.B1(n_6287),
-	.Y(n_8046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391989 (
-	.A1(n_3884),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4302),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [5]),
-	.X(n_8045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391990 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3786),
-	.B1(n_6273),
-	.Y(n_8044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391991 (
-	.A1(n_3915),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4963),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [3]),
-	.X(n_8043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391992 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3786),
-	.B1(n_6276),
-	.Y(n_8042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391993 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [4]),
-	.A2_N(n_4298),
-	.B1(FE_OFN1447_n_1058),
-	.B2(n_3614),
-	.Y(n_8041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391994 (
-	.A1(n_1054),
-	.A2(n_3870),
-	.B1(n_6537),
-	.Y(n_8040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391995 (
-	.A1(n_3930),
-	.A2(n_1237),
-	.B1(n_4972),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [2]),
-	.X(n_8039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g391996 (
-	.A1(n_1238),
-	.A2(n_3870),
-	.B1(n_6538),
-	.Y(n_8038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g391997 (
-	.A1(n_4274),
-	.A2(u_top_u_core_debug_ebreakm),
-	.B1(FE_OFN1620_n_1975),
-	.B2(u_top_u_core_csr_depc[15]),
-	.Y(n_8037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g391998 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
-	.A2_N(n_3268),
-	.B1(FE_OFN1326_n_4543),
-	.B2(n_3513),
-	.Y(n_8036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g391999 (
-	.A1(n_3793),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4371),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [7]),
-	.X(n_8035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392000 (
-	.A1(n_3931),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4973),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [7]),
-	.X(n_8034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392001 (
-	.A1(n_1238),
-	.A2(n_3872),
-	.B1(n_6310),
-	.Y(n_8033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392002 (
-	.A1(n_1236),
-	.A2(n_3782),
-	.B1(n_6299),
-	.Y(n_8032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392003 (
-	.A1(n_3771),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4359),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [1]),
-	.X(n_8031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392004 (
-	.A1(n_3880),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4483),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [3]),
-	.X(n_8030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392005 (
-	.A1(n_3929),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4971),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [7]),
-	.X(n_8029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392006 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3864),
-	.B1(n_6303),
-	.Y(n_8028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392007 (
-	.A1(n_3867),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4308),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [1]),
-	.X(n_8027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392008 (
-	.A1(n_3772),
-	.A2(n_1237),
-	.B1(n_4360),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [2]),
-	.X(n_8026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392009 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3864),
-	.B1(n_6301),
-	.Y(n_8025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392010 (
-	.A1(n_3772),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4360),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [3]),
-	.X(n_8024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392011 (
-	.A1(n_3930),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4972),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [5]),
-	.X(n_8023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392012 (
-	.A1(n_3875),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4399),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [6]),
-	.X(n_8022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392013 (
-	.A1_N(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.A2_N(n_3393),
-	.B1(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B2(n_3393),
-	.Y(n_8021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392014 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3916),
-	.B1(n_6493),
-	.Y(n_8020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392015 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3837),
-	.B1(n_5872),
-	.Y(n_8019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392016 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3581),
-	.B1(n_6322),
-	.Y(n_8018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392017 (
-	.A1(n_3798),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4479),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [5]),
-	.X(n_8017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392018 (
-	.A1(n_3893),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_5014),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [6]),
-	.X(n_8016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392019 (
-	.A1(n_4274),
-	.A2(\u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[7]),
-	.Y(n_8015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392020 (
-	.A1(n_3841),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4336),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [5]),
-	.X(n_8014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392021 (
-	.A1(n_1234),
-	.A2(n_3782),
-	.B1(n_6334),
-	.Y(n_8013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392022 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3590),
-	.B1(n_6335),
-	.Y(n_8012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392023 (
-	.A1(n_3856),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_5019),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [5]),
-	.X(n_8011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392024 (
-	.A1(n_3940),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4992),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [6]),
-	.X(n_8010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392025 (
-	.A1(FE_OFN1460_n_1240),
-	.A2(n_3912),
-	.B1(n_6397),
-	.Y(n_8009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392026 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
-	.A2_N(n_3268),
-	.B1(FE_OFN1818_n_4545),
-	.B2(n_3513),
-	.Y(n_8008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392027 (
-	.A1(FE_OFN1444_n_1056),
-	.A2(n_3938),
-	.B1(n_6344),
-	.Y(n_8007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392028 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3935),
-	.B1(n_6309),
-	.Y(n_8006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392029 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3837),
-	.B1(n_5874),
-	.Y(n_8005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392030 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3917),
-	.B1(n_6362),
-	.Y(n_8004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392031 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3866),
-	.B1(n_6383),
-	.Y(n_8003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392032 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3584),
-	.B1(n_5985),
-	.Y(n_8002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392033 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3783),
-	.B1(n_6347),
-	.Y(n_8001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392034 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3916),
-	.B1(n_6345),
-	.Y(n_8000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392035 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3899),
-	.B1(n_5960),
-	.Y(n_7999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392036 (
-	.A1(n_4274),
-	.A2(\u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[1]),
-	.Y(n_7998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392037 (
-	.A1(n_4935),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]),
-	.Y(n_7997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392038 (
-	.A1(FE_OFN988_n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
-	.Y(n_7996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392039 (
-	.A1(FE_PDN3677_FE_OFN988_n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
-	.Y(n_7995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392040 (
-	.A1(FE_OFN988_n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [8]),
-	.Y(n_7994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392041 (
-	.A1(n_3887),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_5028),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [7]),
-	.X(n_7993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392042 (
-	.A1(n_3880),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4483),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [1]),
-	.X(n_7992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392043 (
-	.A1(n_3875),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4399),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [1]),
-	.X(n_7991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392044 (
-	.A1(n_4935),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
-	.Y(n_7990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392045 (
-	.A1(FE_PDN3677_FE_OFN988_n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
-	.B1(n_4935),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
-	.Y(n_7989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392046 (
-	.A1(FE_OFN988_n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
-	.Y(n_7988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392047 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3775),
-	.B1(n_6132),
-	.Y(n_7987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392048 (
-	.A1(FE_OFN988_n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [7]),
-	.Y(n_7986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392049 (
-	.A1(FE_PDN3677_FE_OFN988_n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
-	.Y(n_7985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392050 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3842),
-	.B1(n_6381),
-	.Y(n_7984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392051 (
-	.A1(n_4935),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
-	.Y(n_7983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392052 (
-	.A1(n_5036),
-	.A2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [6]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]),
-	.Y(n_7982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392053 (
-	.A1(n_5037),
-	.A2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [6]),
-	.B1(n_5039),
-	.B2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [6]),
-	.Y(n_7981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392054 (
-	.A1(FE_OFN988_n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
-	.Y(n_7980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392055 (
-	.A1(n_4935),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [13]),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
-	.Y(n_7979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392056 (
-	.A1(FE_PDN3677_FE_OFN988_n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
-	.Y(n_7978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392057 (
-	.A1(n_5036),
-	.A2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [5]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]),
-	.Y(n_7977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392058 (
-	.A1(n_5037),
-	.A2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [5]),
-	.B1(n_5039),
-	.B2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [5]),
-	.Y(n_7976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392059 (
-	.A1(n_4935),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
-	.Y(n_7975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392060 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3917),
-	.B1(n_6410),
-	.Y(n_7974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392061 (
-	.A1(n_4935),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]),
-	.B1(n_5036),
-	.B2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [4]),
-	.Y(n_7973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392062 (
-	.A1(n_5037),
-	.A2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [4]),
-	.B1(n_5039),
-	.B2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [4]),
-	.Y(n_7972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392063 (
-	.A1(FE_OFN988_n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
-	.Y(n_7971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392064 (
-	.A1(FE_OFN989_n_4936),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
-	.Y(n_7970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392065 (
-	.A1(n_4935),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [12]),
-	.Y(n_7969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392066 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3775),
-	.B1(n_6400),
-	.Y(n_7968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g392067 (
-	.A1(FE_OFN990_n_4938),
-	.A2(n_13330),
-	.A3(u_top_u_core_alu_operand_b_ex[31]),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[31]),
-	.Y(n_7967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392068 (
-	.A1(n_4935),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]),
-	.Y(n_7966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392069 (
-	.A1(n_3887),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_5028),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [6]),
-	.X(n_7965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392070 (
-	.A1(n_3847),
-	.A2(n_1235),
-	.B1(n_4393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [5]),
-	.X(n_7964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392071 (
-	.A1(n_4935),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [11]),
-	.Y(n_7963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392072 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3869),
-	.B1(n_6366),
-	.Y(n_7962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392073 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3913),
-	.B1(n_5931),
-	.Y(n_7961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392074 (
-	.A1(n_5036),
-	.A2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [3]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]),
-	.Y(n_7960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392075 (
-	.A1(n_5037),
-	.A2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [3]),
-	.B1(n_5039),
-	.B2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [3]),
-	.Y(n_7959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392076 (
-	.A1(n_3909),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_5017),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [1]),
-	.X(n_7958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392077 (
-	.A1(FE_OFN988_n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
-	.Y(n_7957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392078 (
-	.A1(n_5036),
-	.A2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [2]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]),
-	.Y(n_7956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392079 (
-	.A1(n_5037),
-	.A2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [2]),
-	.B1(n_5039),
-	.B2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [2]),
-	.Y(n_7955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392080 (
-	.A1(n_4935),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
-	.Y(n_7954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392081 (
-	.A1(n_4935),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
-	.Y(n_7953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392082 (
-	.A1(FE_PDN3677_FE_OFN988_n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
-	.B1(FE_OFN989_n_4936),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
-	.Y(n_7952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392083 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3948),
-	.B1(n_6376),
-	.Y(n_7951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g392084 (
-	.A1(FE_OFN990_n_4938),
-	.A2(n_13322),
-	.A3(u_top_u_core_alu_operand_b_ex[23]),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[23]),
-	.Y(n_7950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392085 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3888),
-	.B1(n_6099),
-	.Y(n_7949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392086 (
-	.A1(FE_OFN989_n_4936),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [9]),
-	.Y(n_7948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g392087 (
-	.A1(FE_OFN990_n_4938),
-	.A2(n_13321),
-	.A3(u_top_u_core_alu_operand_b_ex[22]),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[22]),
-	.Y(n_7947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392088 (
-	.A1(n_4935),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
-	.Y(n_7946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392089 (
-	.A1(n_5036),
-	.A2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [1]),
-	.B1(n_4942),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [1]),
-	.Y(n_7945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g392090 (
-	.A1(FE_OFN990_n_4938),
-	.A2(n_13320),
-	.A3(u_top_u_core_alu_operand_b_ex[21]),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[21]),
-	.Y(n_7944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392091 (
-	.A1(n_3878),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4279),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [1]),
-	.X(n_7943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392092 (
-	.A1(n_3887),
-	.A2(n_1237),
-	.B1(n_5028),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [2]),
-	.X(n_7942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g392093 (
-	.A1(FE_OFN990_n_4938),
-	.A2(n_13319),
-	.A3(u_top_u_core_alu_operand_b_ex[20]),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[20]),
-	.Y(n_7941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392094 (
-	.A1(n_5037),
-	.A2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [1]),
-	.B1(n_5039),
-	.B2(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [1]),
-	.Y(n_7940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392095 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3831),
-	.B1(n_6386),
-	.Y(n_7939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g392096 (
-	.A1(FE_OFN990_n_4938),
-	.A2(n_13318),
-	.A3(u_top_u_core_alu_operand_b_ex[19]),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[19]),
-	.Y(n_7938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392097 (
-	.A1(n_3887),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_5028),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [1]),
-	.X(n_7937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g392098 (
-	.A1(FE_OFN990_n_4938),
-	.A2(n_13317),
-	.A3(u_top_u_core_alu_operand_b_ex[18]),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[18]),
-	.Y(n_7936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392099 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [3]),
-	.A2_N(n_4295),
-	.B1(n_1056),
-	.B2(n_3785),
-	.Y(n_7935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392100 (
-	.A1(n_3805),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4365),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [1]),
-	.X(n_7934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g392101 (
-	.A1(FE_OFN990_n_4938),
-	.A2(n_13316),
-	.A3(u_top_u_core_alu_operand_b_ex[17]),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[17]),
-	.Y(n_7933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g392102 (
-	.A1(FE_OFN988_n_4934),
-	.A2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
-	.B1(n_4935),
-	.B2(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
-	.Y(n_7932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g392103 (
-	.A(u_uart_u_uart_core_rx_buffer_size[2]),
-	.B(n_3357),
-	.X(n_7931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32oi_1 g392104 (
-	.A1(FE_OFN990_n_4938),
-	.A2(n_13315),
-	.A3(u_top_u_core_alu_operand_b_ex[16]),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[16]),
-	.Y(n_7930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392105 (
-	.A1(n_3931),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4973),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [6]),
-	.X(n_7929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392106 (
-	.A1(n_3878),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4279),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [7]),
-	.X(n_7928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392107 (
-	.A1(n_3848),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4394),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [1]),
-	.X(n_7927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392108 (
-	.A1(n_3583),
-	.A2(n_1239),
-	.B1(n_4448),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [6]),
-	.X(n_7926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392109 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3949),
-	.B1(n_6069),
-	.Y(n_7925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392110 (
-	.A1(n_3893),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_5014),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [3]),
-	.X(n_7924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392111 (
-	.A1(n_3848),
-	.A2(n_1237),
-	.B1(n_4394),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [2]),
-	.X(n_7923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392112 (
-	.A1(n_3807),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4369),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [1]),
-	.X(n_7922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392113 (
-	.A1(n_3807),
-	.A2(n_1233),
-	.B1(n_4369),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [7]),
-	.X(n_7921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392114 (
-	.A1(n_3893),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_5014),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [4]),
-	.X(n_7920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392115 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3892),
-	.B1(n_6395),
-	.Y(n_7919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392116 (
-	.A1(FE_OFN1441_n_1054),
-	.A2(n_3950),
-	.B1(n_6396),
-	.Y(n_7918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392117 (
-	.A1(n_3806),
-	.A2(n_1053),
-	.B1(n_4469),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [1]),
-	.X(n_7917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392118 (
-	.A1(FE_OFN1460_n_1240),
-	.A2(n_3926),
-	.B1(n_5912),
-	.Y(n_7916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392119 (
-	.A1(n_3848),
-	.A2(n_1239),
-	.B1(n_4394),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [6]),
-	.X(n_7915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392120 (
-	.A1_N(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.A2_N(n_3392),
-	.B1(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B2(n_3392),
-	.Y(n_7914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392121 (
-	.A1(n_3893),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_5014),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [1]),
-	.X(n_7913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392122 (
-	.A1(n_3816),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4444),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [5]),
-	.X(n_7912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392123 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3866),
-	.B1(n_5974),
-	.Y(n_7911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392124 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3859),
-	.B1(n_6408),
-	.Y(n_7910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392125 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3925),
-	.B1(n_6096),
-	.Y(n_7909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392126 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3941),
-	.B1(n_6407),
-	.Y(n_7908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392127 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3859),
-	.B1(n_6411),
-	.Y(n_7907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392128 (
-	.A1(n_3838),
-	.A2(n_1239),
-	.B1(n_4338),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [6]),
-	.X(n_7906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392129 (
-	.A1(n_1056),
-	.A2(n_3932),
-	.B1(n_6418),
-	.Y(n_7905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392130 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3912),
-	.B1(n_5940),
-	.Y(n_7904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392131 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3925),
-	.B1(n_6416),
-	.Y(n_7903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392132 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3925),
-	.B1(n_6092),
-	.Y(n_7902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392133 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3937),
-	.B1(n_5950),
-	.Y(n_7901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392134 (
-	.A1(n_1236),
-	.A2(n_3868),
-	.B1(n_5994),
-	.Y(n_7900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392135 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3598),
-	.B1(n_5966),
-	.Y(n_7899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392136 (
-	.A1(n_3875),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4399),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [7]),
-	.X(n_7898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392137 (
-	.A1(n_3800),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4471),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [2]),
-	.X(n_7897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392138 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
-	.A2_N(n_1066),
-	.B1(n_1068),
-	.B2(n_4505),
-	.Y(n_7896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392139 (
-	.A1(n_3835),
-	.A2(n_1055),
-	.B1(n_4348),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [3]),
-	.X(n_7895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392140 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [7]),
-	.A2_N(n_4296),
-	.B1(FE_OFN1451_n_1234),
-	.B2(n_3588),
-	.Y(n_7894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392141 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3911),
-	.B1(n_6224),
-	.Y(n_7893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392142 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
-	.A2_N(n_1066),
-	.B1(n_1068),
-	.B2(n_4513),
-	.Y(n_7892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392143 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3924),
-	.B1(n_6098),
-	.Y(n_7891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392144 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3924),
-	.B1(n_6203),
-	.Y(n_7890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392145 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3924),
-	.B1(n_6226),
-	.Y(n_7889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392146 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
-	.A2_N(n_1066),
-	.B1(n_1068),
-	.B2(n_4501),
-	.Y(n_7888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392147 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3891),
-	.B1(n_6479),
-	.Y(n_7887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392148 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3891),
-	.B1(n_6470),
-	.Y(n_7886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392149 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3891),
-	.B1(n_6471),
-	.Y(n_7885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392150 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3891),
-	.B1(n_6463),
-	.Y(n_7884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392151 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3891),
-	.B1(n_6428),
-	.Y(n_7883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392152 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3891),
-	.B1(n_6434),
-	.Y(n_7882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392153 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3891),
-	.B1(n_6336),
-	.Y(n_7881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392154 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3890),
-	.B1(n_6332),
-	.Y(n_7880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392155 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3890),
-	.B1(n_6328),
-	.Y(n_7879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392156 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3890),
-	.B1(n_6330),
-	.Y(n_7878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392157 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3890),
-	.B1(n_6326),
-	.Y(n_7877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392158 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
-	.A2_N(n_3268),
-	.B1(n_4535),
-	.B2(n_3513),
-	.Y(n_7876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392159 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3890),
-	.B1(n_6325),
-	.Y(n_7875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392160 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3890),
-	.B1(n_6324),
-	.Y(n_7874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392161 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3890),
-	.B1(n_6321),
-	.Y(n_7873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392162 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
-	.A2_N(n_1066),
-	.B1(n_1068),
-	.B2(n_4511),
-	.Y(n_7872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392163 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3773),
-	.B1(n_6317),
-	.Y(n_7871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392164 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3773),
-	.B1(n_6315),
-	.Y(n_7870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392165 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3773),
-	.B1(n_6436),
-	.Y(n_7869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392166 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
-	.A2_N(n_1066),
-	.B1(n_1068),
-	.B2(n_4509),
-	.Y(n_7868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392167 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3773),
-	.B1(n_6313),
-	.Y(n_7867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392168 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3773),
-	.B1(n_6437),
-	.Y(n_7866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392169 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3773),
-	.B1(n_6438),
-	.Y(n_7865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392170 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3773),
-	.B1(n_6439),
-	.Y(n_7864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392171 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3864),
-	.B1(n_6308),
-	.Y(n_7863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392172 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3857),
-	.B1(n_6304),
-	.Y(n_7862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392173 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3864),
-	.B1(n_6440),
-	.Y(n_7861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392174 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3864),
-	.B1(n_6306),
-	.Y(n_7860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392175 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3864),
-	.B1(n_6298),
-	.Y(n_7859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392176 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3942),
-	.B1(n_6170),
-	.Y(n_7858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392177 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3864),
-	.B1(n_6297),
-	.Y(n_7857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392178 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
-	.A2_N(n_3268),
-	.B1(n_4529),
-	.B2(n_3513),
-	.Y(n_7856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392179 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3777),
-	.B1(n_6294),
-	.Y(n_7855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392180 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3777),
-	.B1(n_6293),
-	.Y(n_7854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392181 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3777),
-	.B1(n_6291),
-	.Y(n_7853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392182 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3777),
-	.B1(n_6292),
-	.Y(n_7852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392183 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3777),
-	.B1(n_6289),
-	.Y(n_7851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392184 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3777),
-	.B1(n_6286),
-	.Y(n_7850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392185 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3777),
-	.B1(n_6285),
-	.Y(n_7849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392186 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3786),
-	.B1(n_6282),
-	.Y(n_7848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392187 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3786),
-	.B1(n_6283),
-	.Y(n_7847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392188 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3786),
-	.B1(n_6279),
-	.Y(n_7846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392189 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3786),
-	.B1(n_6278),
-	.Y(n_7845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392190 (
-	.A1(n_3930),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4972),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [6]),
-	.X(n_7844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392191 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [2]),
-	.A2_N(n_4293),
-	.B1(FE_OFN1456_n_1238),
-	.B2(n_3822),
-	.Y(n_7843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392192 (
-	.A1(n_3828),
-	.A2(n_1233),
-	.B1(n_4463),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [7]),
-	.X(n_7842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392193 (
-	.A1(n_3828),
-	.A2(n_1235),
-	.B1(n_4463),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [5]),
-	.X(n_7841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392194 (
-	.A1(n_3828),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4463),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [4]),
-	.X(n_7840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392195 (
-	.A1(n_3828),
-	.A2(n_1055),
-	.B1(n_4463),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [3]),
-	.X(n_7839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392196 (
-	.A1(n_3828),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4463),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [2]),
-	.X(n_7838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392197 (
-	.A1(n_3930),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4972),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [3]),
-	.X(n_7837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392198 (
-	.A1(n_3828),
-	.A2(n_1053),
-	.B1(n_4463),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [1]),
-	.X(n_7836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392199 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3896),
-	.B1(n_6256),
-	.Y(n_7835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392200 (
-	.A1(n_3931),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4973),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [4]),
-	.X(n_7834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392201 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3896),
-	.B1(n_6255),
-	.Y(n_7833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392202 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3861),
-	.B1(n_6165),
-	.Y(n_7832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392203 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3896),
-	.B1(n_6251),
-	.Y(n_7831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392204 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3812),
-	.B1(n_6247),
-	.Y(n_7830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392205 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3812),
-	.B1(n_6245),
-	.Y(n_7829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392206 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3812),
-	.B1(n_6243),
-	.Y(n_7828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392207 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3812),
-	.B1(n_6241),
-	.Y(n_7827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392208 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3812),
-	.B1(n_6240),
-	.Y(n_7826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392209 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3812),
-	.B1(n_6239),
-	.Y(n_7825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392210 (
-	.A1(n_3835),
-	.A2(n_1057),
-	.B1(n_4348),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [4]),
-	.X(n_7824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392211 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
-	.A2_N(n_3268),
-	.B1(FE_OFN1323_n_4533),
-	.B2(n_3513),
-	.Y(n_7823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392212 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3811),
-	.B1(n_6229),
-	.Y(n_7822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392213 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3811),
-	.B1(n_6228),
-	.Y(n_7821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392214 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [7]),
-	.A2_N(n_4493),
-	.B1(FE_OFN1450_n_1234),
-	.B2(n_3788),
-	.Y(n_7820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392215 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [6]),
-	.A2_N(n_4493),
-	.B1(FE_OFN1459_n_1240),
-	.B2(n_3788),
-	.Y(n_7819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392216 (
-	.A1(n_3806),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4469),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [5]),
-	.X(n_7818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392217 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [5]),
-	.A2_N(n_4493),
-	.B1(FE_OFN1453_n_1236),
-	.B2(n_3788),
-	.Y(n_7817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392218 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [4]),
-	.A2_N(n_4493),
-	.B1(FE_OFN1448_n_1058),
-	.B2(n_3788),
-	.Y(n_7816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392219 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [3]),
-	.A2_N(n_4493),
-	.B1(FE_OFN1445_n_1056),
-	.B2(n_3788),
-	.Y(n_7815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392220 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [2]),
-	.A2_N(n_4493),
-	.B1(FE_OFN1457_n_1238),
-	.B2(n_3788),
-	.Y(n_7814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392221 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [1]),
-	.A2_N(n_4493),
-	.B1(FE_OFN1440_n_1054),
-	.B2(n_3788),
-	.Y(n_7813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392222 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3789),
-	.B1(n_6216),
-	.Y(n_7812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392223 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3789),
-	.B1(n_6208),
-	.Y(n_7811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392224 (
-	.A1(n_3801),
-	.A2(n_1233),
-	.B1(n_4470),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [7]),
-	.X(n_7810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392225 (
-	.A1(n_3801),
-	.A2(n_1239),
-	.B1(n_4470),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [6]),
-	.X(n_7809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392226 (
-	.A1(n_3801),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4470),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [4]),
-	.X(n_7808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392227 (
-	.A1(n_3801),
-	.A2(n_1055),
-	.B1(n_4470),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [3]),
-	.X(n_7807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392228 (
-	.A1(n_3801),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4470),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [2]),
-	.X(n_7806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392229 (
-	.A1(n_3801),
-	.A2(n_1053),
-	.B1(n_4470),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [1]),
-	.X(n_7805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392230 (
-	.A1(n_3802),
-	.A2(n_1239),
-	.B1(n_4468),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [6]),
-	.X(n_7804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392231 (
-	.A1(n_3802),
-	.A2(n_1055),
-	.B1(n_4468),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [3]),
-	.X(n_7803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392232 (
-	.A1(n_3802),
-	.A2(n_1053),
-	.B1(n_4468),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [1]),
-	.X(n_7802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392233 (
-	.A1(n_3902),
-	.A2(n_1233),
-	.B1(n_4346),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [7]),
-	.X(n_7801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392234 (
-	.A1(n_3902),
-	.A2(n_1239),
-	.B1(n_4346),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [6]),
-	.X(n_7800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392235 (
-	.A1(n_3902),
-	.A2(n_1235),
-	.B1(n_4346),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [5]),
-	.X(n_7799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392236 (
-	.A1(n_3902),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4346),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [4]),
-	.X(n_7798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392237 (
-	.A1(n_3902),
-	.A2(n_1055),
-	.B1(n_4346),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [3]),
-	.X(n_7797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392238 (
-	.A1(n_3902),
-	.A2(n_1053),
-	.B1(n_4346),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [1]),
-	.X(n_7796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392239 (
-	.A1(n_3931),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4973),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [5]),
-	.X(n_7795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392240 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3866),
-	.B1(n_5973),
-	.Y(n_7794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392241 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
-	.A2_N(n_3268),
-	.B1(n_4540),
-	.B2(n_3513),
-	.Y(n_7793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392242 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
-	.A2_N(n_3268),
-	.B1(FE_OFN1330_n_4555),
-	.B2(n_3513),
-	.Y(n_7792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g392243 (
-	.A1_N(n_3357),
-	.A2_N(n_1086),
-	.B1(u_uart_u_uart_core_rx_buffer_size[3]),
-	.B2(n_3357),
-	.Y(n_7791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392244 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
-	.A2_N(n_3268),
-	.B1(FE_OFN1324_n_4537),
-	.B2(n_3513),
-	.Y(n_7790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392245 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
-	.A2_N(n_3268),
-	.B1(FE_OFN1328_n_4547),
-	.B2(n_3513),
-	.Y(n_7789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392246 (
-	.A1(FE_OFN1454_n_1236),
-	.A2(n_3945),
-	.B1(n_5906),
-	.Y(n_7788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392247 (
-	.A1(n_3849),
-	.A2(n_1237),
-	.B1(n_4467),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [2]),
-	.X(n_7787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392248 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
-	.A2_N(n_3268),
-	.B1(FE_OFN1329_n_4549),
-	.B2(n_3513),
-	.Y(n_7786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392249 (
-	.A1(n_3849),
-	.A2(n_1055),
-	.B1(n_4467),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [3]),
-	.X(n_7785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392250 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3591),
-	.B1(n_6458),
-	.Y(n_7784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392251 (
-	.A1(n_3940),
-	.A2(n_1057),
-	.B1(n_4992),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [4]),
-	.X(n_7783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392252 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3836),
-	.B1(n_6218),
-	.Y(n_7782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392253 (
-	.A1(n_3929),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4971),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [1]),
-	.X(n_7781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392254 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
-	.A2_N(n_3268),
-	.B1(FE_OFN16217_n_4527),
-	.B2(n_3513),
-	.Y(n_7780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392255 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [3]),
-	.A2_N(n_4296),
-	.B1(FE_OFN1445_n_1056),
-	.B2(n_3588),
-	.Y(n_7779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392256 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3937),
-	.B1(n_5946),
-	.Y(n_7778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392257 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [1]),
-	.A2_N(n_4488),
-	.B1(n_1054),
-	.B2(n_3781),
-	.Y(n_7777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392258 (
-	.A1(n_3849),
-	.A2(n_1235),
-	.B1(n_4467),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [5]),
-	.X(n_7776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392259 (
-	.A1(n_3893),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_5014),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [2]),
-	.X(n_7775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392260 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [7]),
-	.A2_N(n_1062),
-	.B1(n_1063),
-	.B2(n_4505),
-	.Y(n_7774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392261 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [5]),
-	.A2_N(n_1062),
-	.B1(n_1063),
-	.B2(n_4501),
-	.Y(n_7773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392262 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [6]),
-	.A2_N(n_1062),
-	.B1(n_1063),
-	.B2(n_4513),
-	.Y(n_7772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392263 (
-	.A1(n_4503),
-	.A2(n_1069),
-	.B1(n_1070),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
-	.X(n_7771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392264 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [4]),
-	.A2_N(n_1062),
-	.B1(n_1063),
-	.B2(n_4516),
-	.Y(n_7770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392265 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [2]),
-	.A2_N(n_1062),
-	.B1(n_1063),
-	.B2(n_4509),
-	.Y(n_7769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392266 (
-	.A1_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [3]),
-	.A2_N(n_1062),
-	.B1(n_1063),
-	.B2(n_4511),
-	.Y(n_7768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392267 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
-	.A2_N(n_3266),
-	.B1(n_4535),
-	.B2(n_3739),
-	.Y(n_7767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392268 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
-	.A2_N(n_3266),
-	.B1(n_4529),
-	.B2(n_3739),
-	.Y(n_7766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392269 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
-	.A2_N(n_3266),
-	.B1(FE_OFN1840_n_4539),
-	.B2(n_3739),
-	.Y(n_7765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392270 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
-	.A2_N(n_3266),
-	.B1(FE_OFN1326_n_4543),
-	.B2(n_3739),
-	.Y(n_7764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392271 (
-	.A1(n_3818),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4472),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [6]),
-	.X(n_7763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392272 (
-	.A1(n_3867),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4308),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [6]),
-	.X(n_7762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392273 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
-	.A2_N(n_3266),
-	.B1(FE_OFN1818_n_4545),
-	.B2(n_3739),
-	.Y(n_7761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392274 (
-	.A1(n_1240),
-	.A2(n_3932),
-	.B1(n_6469),
-	.Y(n_7760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392275 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
-	.A2_N(n_3266),
-	.B1(n_4551),
-	.B2(n_3739),
-	.Y(n_7759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392276 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3892),
-	.B1(n_6472),
-	.Y(n_7758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392277 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3863),
-	.B1(n_6117),
-	.Y(n_7757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392278 (
-	.A1(n_3907),
-	.A2(n_1237),
-	.B1(n_5018),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [2]),
-	.X(n_7756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392279 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
-	.A2_N(n_3266),
-	.B1(FE_OFN1839_n_4553),
-	.B2(n_3739),
-	.Y(n_7755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392280 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3939),
-	.B1(n_5923),
-	.Y(n_7754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392281 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
-	.A2_N(n_3266),
-	.B1(FE_OFN1323_n_4533),
-	.B2(n_3739),
-	.Y(n_7753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392282 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3924),
-	.B1(n_6475),
-	.Y(n_7752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392283 (
-	.A1(FE_OFN1441_n_1054),
-	.A2(n_3949),
-	.B1(n_6073),
-	.Y(n_7751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392284 (
-	.A1(n_1234),
-	.A2(n_3872),
-	.B1(n_6553),
-	.Y(n_7750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392285 (
-	.A1(n_1236),
-	.A2(n_3872),
-	.B1(n_5890),
-	.Y(n_7749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392286 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3774),
-	.B1(n_6477),
-	.Y(n_7748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392287 (
-	.A1(n_1058),
-	.A2(n_3872),
-	.B1(n_6546),
-	.Y(n_7747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392288 (
-	.A1(n_1056),
-	.A2(n_3872),
-	.B1(n_6176),
-	.Y(n_7746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392289 (
-	.A1(n_1054),
-	.A2(n_3872),
-	.B1(n_6300),
-	.Y(n_7745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392290 (
-	.A1(n_1234),
-	.A2(n_3778),
-	.B1(n_6284),
-	.Y(n_7744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392291 (
-	.A1(FE_OFN1460_n_1240),
-	.A2(n_3778),
-	.B1(n_6462),
-	.Y(n_7743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392292 (
-	.A1(FE_OFN1454_n_1236),
-	.A2(n_3778),
-	.B1(n_6465),
-	.Y(n_7742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392293 (
-	.A1(n_1058),
-	.A2(n_3778),
-	.B1(n_6459),
-	.Y(n_7741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392294 (
-	.A1(n_1056),
-	.A2(n_3778),
-	.B1(n_6461),
-	.Y(n_7740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392295 (
-	.A1(n_1238),
-	.A2(n_3778),
-	.B1(n_6455),
-	.Y(n_7739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392296 (
-	.A1(n_1054),
-	.A2(n_3778),
-	.B1(n_6483),
-	.Y(n_7738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392297 (
-	.A1(FE_OFN1460_n_1240),
-	.A2(n_3782),
-	.B1(n_6314),
-	.Y(n_7737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392298 (
-	.A1(n_1056),
-	.A2(n_3782),
-	.B1(n_6275),
-	.Y(n_7736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392299 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3923),
-	.B1(n_6482),
-	.Y(n_7735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392300 (
-	.A1(n_1238),
-	.A2(n_3782),
-	.B1(n_6264),
-	.Y(n_7734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392301 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [7]),
-	.A2_N(n_4294),
-	.B1(n_1234),
-	.B2(n_3617),
-	.Y(n_7733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392302 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [6]),
-	.A2_N(n_4294),
-	.B1(FE_OFN1460_n_1240),
-	.B2(n_3617),
-	.Y(n_7732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392303 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [5]),
-	.A2_N(n_4294),
-	.B1(n_1236),
-	.B2(n_3617),
-	.Y(n_7731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392304 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [4]),
-	.A2_N(n_4294),
-	.B1(n_1058),
-	.B2(n_3617),
-	.Y(n_7730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392305 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [3]),
-	.A2_N(n_4294),
-	.B1(n_1056),
-	.B2(n_3617),
-	.Y(n_7729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392306 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [2]),
-	.A2_N(n_4294),
-	.B1(n_1238),
-	.B2(n_3617),
-	.Y(n_7728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392307 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [1]),
-	.A2_N(n_4294),
-	.B1(n_1054),
-	.B2(n_3617),
-	.Y(n_7727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392308 (
-	.A1(n_1234),
-	.A2(n_3597),
-	.B1(n_6204),
-	.Y(n_7726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g392309 (
-	.A(n_4232),
-	.B(n_3140),
-	.C(n_4705),
-	.Y(n_7725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392310 (
-	.A1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
-	.A2(n_1062),
-	.B1(n_1066),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
-	.C1(n_4517),
-	.C2(n_1067),
-	.Y(n_7724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g392311 (
-	.A1(n_2306),
-	.A2(n_1718),
-	.A3(\u_top_u_core_imd_val_q_ex[1] [22]),
-	.B1(n_5645),
-	.Y(n_7723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392312 (
-	.A1(n_3408),
-	.A2(n_1251),
-	.B1(n_5223),
-	.Y(n_7722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g392313 (
-	.A1(n_1991),
-	.A2(n_4231),
-	.B1(n_1991),
-	.B2(n_3177),
-	.C1(n_3424),
-	.Y(n_7721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392314 (
-	.A1(\u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
-	.A2(n_3508),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[30]),
-	.C1(n_3510),
-	.C2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
-	.Y(n_7720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392315 (
-	.A1(\u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
-	.A2(FE_OFN1054_n_15788),
-	.B1(FE_OFN1059_n_15841),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
-	.C1(FE_OFN1617_n_1061),
-	.C2(u_top_u_core_cs_registers_i_dscratch1_q[4]),
-	.Y(n_7719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392316 (
-	.A1(\u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
-	.A2(n_3508),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[1]),
-	.C1(n_3510),
-	.C2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
-	.Y(n_7718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392317 (
-	.A1(\u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
-	.A2(n_4274),
-	.B1(n_1678),
-	.B2(u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
-	.C1(FE_OFN1618_n_1243),
-	.C2(u_top_u_core_cs_registers_i_mscratch_q[0]),
-	.Y(n_7717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392318 (
-	.A1(u_top_u_core_debug_single_step),
-	.A2(n_4274),
-	.B1(n_1678),
-	.B2(u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
-	.C1(FE_OFN1617_n_1061),
-	.C2(u_top_u_core_cs_registers_i_dscratch1_q[2]),
-	.Y(n_7716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g392319 (
-	.A1(n_1301),
-	.A2(n_4066),
-	.B1(n_693),
-	.B2(n_1723),
-	.Y(n_7715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392320 (
-	.A(FE_OFN1782_n_5758),
-	.B(FE_OCPN16268_FE_OFN16050_u_top_u_core_instr_rdata_id_21),
-	.Y(n_7714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392321 (
-	.A(FE_OFN1782_n_5758),
-	.B(FE_OFN818_u_top_u_core_instr_rdata_id_23),
-	.Y(n_7713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g392322 (
-	.A1(n_4274),
-	.A2(\u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
-	.B1(n_2094),
-	.Y(n_7712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g392323 (
-	.A1_N(n_1187),
-	.A2_N(FE_OFN1331_n_4941),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [28]),
-	.B2(FE_OFN1554_n_15782),
-	.Y(n_7711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g392324 (
-	.A1_N(n_1209),
-	.A2_N(FE_OFN1331_n_4941),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [27]),
-	.B2(FE_OFN1554_n_15782),
-	.Y(n_7710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392325 (
-	.A(FE_OFN1782_n_5758),
-	.B(FE_OFN1872_u_top_u_core_instr_rdata_id_24),
-	.Y(n_7709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g392326 (
-	.A1_N(n_1220),
-	.A2_N(FE_OFN1331_n_4941),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [26]),
-	.B2(FE_OFN1554_n_15782),
-	.Y(n_7708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g392327 (
-	.A1_N(n_1188),
-	.A2_N(FE_OFN1331_n_4941),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [25]),
-	.B2(FE_OFN1554_n_15782),
-	.Y(n_7707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g392328 (
-	.A1_N(n_1193),
-	.A2_N(FE_OFN1331_n_4941),
-	.B1(\u_top_u_core_imd_val_q_ex[0] [24]),
-	.B2(FE_OFN1554_n_15782),
-	.Y(n_7706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g392329 (
-	.A(n_4236),
-	.B(n_3964),
-	.C(n_1787),
-	.Y(n_7705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392330 (
-	.A1_N(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
-	.A2_N(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B1(n_0),
-	.B2(n_4083),
-	.Y(n_7704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g392331 (
-	.A(n_5810),
-	.B(n_2087),
-	.C(u_uart_u_uart_core_read_fifo_buffer_full_217),
-	.D(FE_OFN961_n_1797),
-	.Y(n_7703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392332 (
-	.A(n_5854),
-	.B(n_4267),
-	.Y(n_7702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392333 (
-	.A(n_5799),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [1]),
-	.Y(n_7701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392334 (
-	.A(n_5799),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [2]),
-	.Y(n_7700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392335 (
-	.A(n_5799),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [3]),
-	.Y(n_7699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392336 (
-	.A(n_5799),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [4]),
-	.Y(n_7698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392337 (
-	.A(n_5799),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [6]),
-	.Y(n_7697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392338 (
-	.A(n_5799),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [7]),
-	.Y(n_7696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392339 (
-	.A(n_5799),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [5]),
-	.Y(n_7695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392340 (
-	.A1(n_2448),
-	.A2(n_2501),
-	.A3(n_2449),
-	.A4(n_2463),
-	.B1(FE_OFN946_n_1671),
-	.Y(n_7694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392341 (
-	.A(n_5807),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
-	.Y(n_7693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392342 (
-	.A1(n_2554),
-	.A2(n_2420),
-	.A3(n_2553),
-	.A4(n_2423),
-	.B1(FE_OFN950_n_1675),
-	.Y(n_7692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392343 (
-	.A(n_5804),
-	.B(u_uart_u_uart_core_rx_buffer_size[5]),
-	.Y(n_7691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392344 (
-	.A(n_5807),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
-	.Y(n_7690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g392345 (
-	.A(n_257),
-	.B(n_5739),
-	.Y(n_7689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392346 (
-	.A1(n_2570),
-	.A2(n_2405),
-	.A3(n_2392),
-	.A4(n_2569),
-	.B1(n_1973),
-	.Y(n_7688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392347 (
-	.A(n_5806),
-	.B(n_1267),
-	.Y(n_7687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392348 (
-	.A(n_5795),
-	.B(n_5063),
-	.Y(n_7686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392349 (
-	.A1(n_2620),
-	.A2(n_2968),
-	.A3(n_2977),
-	.A4(n_2619),
-	.B1(FE_OFN972_n_1973),
-	.Y(n_7685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392350 (
-	.A1(n_2633),
-	.A2(n_2632),
-	.A3(n_3155),
-	.A4(n_2631),
-	.B1(n_1671),
-	.Y(n_7684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392351 (
-	.A1(n_2683),
-	.A2(n_3002),
-	.A3(n_2680),
-	.A4(n_2681),
-	.B1(n_1675),
-	.Y(n_7683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392352 (
-	.A1(n_2953),
-	.A2(n_2708),
-	.A3(n_2706),
-	.A4(n_2707),
-	.B1(FE_OFN950_n_1675),
-	.Y(n_7682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g392353 (
-	.A(n_1265),
-	.B_N(n_5818),
-	.Y(n_7681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392354 (
-	.A(n_5809),
-	.B(n_2295),
-	.Y(n_7680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392355 (
-	.A(n_5805),
-	.B(n_1093),
-	.Y(n_7679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392356 (
-	.A1(n_2762),
-	.A2(n_2759),
-	.A3(n_2761),
-	.A4(n_2760),
-	.B1(n_1973),
-	.Y(n_7678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392357 (
-	.A1(n_2781),
-	.A2(n_2778),
-	.A3(n_2780),
-	.A4(n_2779),
-	.B1(FE_OFN946_n_1671),
-	.Y(n_7677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392358 (
-	.A1(n_2854),
-	.A2(n_2853),
-	.A3(n_2851),
-	.A4(n_2852),
-	.B1(FE_OFN950_n_1675),
-	.Y(n_7676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g392359 (
-	.A(n_3506),
-	.B(n_5821),
-	.Y(n_7675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g392360 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
-	.C1(n_5142),
-	.Y(n_7674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392361 (
-	.A1(n_2409),
-	.A2(n_2880),
-	.A3(n_2879),
-	.A4(n_2365),
-	.B1(n_1973),
-	.Y(n_7673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392362 (
-	.A1(n_3162),
-	.A2(n_2742),
-	.A3(n_3164),
-	.A4(n_3163),
-	.B1(FE_OFN972_n_1973),
-	.Y(n_7672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392363 (
-	.A1(n_3043),
-	.A2(n_3044),
-	.A3(n_3045),
-	.A4(n_2368),
-	.B1(n_1671),
-	.Y(n_7671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392364 (
-	.A1(n_2364),
-	.A2(n_3037),
-	.A3(n_3039),
-	.A4(n_3040),
-	.B1(n_1976),
-	.Y(n_7670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392365 (
-	.A1(n_2582),
-	.A2(n_3159),
-	.A3(n_3161),
-	.A4(n_3160),
-	.B1(FE_OFN949_n_1674),
-	.Y(n_7669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392366 (
-	.A1(n_3055),
-	.A2(n_2939),
-	.A3(n_2881),
-	.A4(n_2882),
-	.B1(n_1674),
-	.Y(n_7668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392367 (
-	.A1(n_2858),
-	.A2(n_2857),
-	.A3(n_2855),
-	.A4(n_2856),
-	.B1(n_1970),
-	.Y(n_7667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392368 (
-	.A1(n_2784),
-	.A2(n_2566),
-	.A3(n_2783),
-	.A4(n_2562),
-	.B1(n_1976),
-	.Y(n_7666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392369 (
-	.A1(n_2765),
-	.A2(n_2763),
-	.A3(n_2659),
-	.A4(n_2764),
-	.B1(n_1674),
-	.Y(n_7665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392370 (
-	.A1(n_2947),
-	.A2(n_2713),
-	.A3(n_2710),
-	.A4(n_3015),
-	.B1(n_1970),
-	.Y(n_7664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392371 (
-	.A1(n_2687),
-	.A2(n_2703),
-	.A3(n_2684),
-	.A4(n_2685),
-	.B1(n_1970),
-	.Y(n_7663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392372 (
-	.A1(n_2346),
-	.A2(n_2636),
-	.A3(n_2635),
-	.A4(n_2634),
-	.B1(n_1976),
-	.Y(n_7662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392373 (
-	.A1(n_2622),
-	.A2(n_2969),
-	.A3(n_2988),
-	.A4(n_2989),
-	.B1(FE_OFN949_n_1674),
-	.Y(n_7661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392374 (
-	.A1(n_2485),
-	.A2(n_2404),
-	.A3(n_2978),
-	.A4(n_2418),
-	.B1(n_1674),
-	.Y(n_7660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g392375 (
-	.A1(main_swith_host_lsu_num_req_outstanding[4]),
-	.A2(main_swith_host_lsu_num_req_outstanding[5]),
-	.B1(n_6586),
-	.Y(n_7659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a41oi_1 g392376 (
-	.A1(n_3013),
-	.A2(n_3068),
-	.A3(n_2335),
-	.A4(n_2352),
-	.B1(n_1976),
-	.Y(n_7658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392377 (
-	.A1(n_1029),
-	.A2(n_4520),
-	.B1(n_5808),
-	.Y(n_7657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392378 (
-	.A1(n_604),
-	.A2(n_4520),
-	.B1(n_5808),
-	.Y(n_7656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392379 (
-	.A1(n_1032),
-	.A2(n_4520),
-	.B1(n_5808),
-	.Y(n_7655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392380 (
-	.A1(n_1031),
-	.A2(n_4520),
-	.B1(n_5808),
-	.Y(n_7654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g392382 (
-	.A(FE_OFN1555_n_16022),
-	.B_N(n_5863),
-	.Y(n_8263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g392386 (
-	.A(FE_OFN16141_n_1722),
-	.B(FE_OFN1781_n_5758),
-	.Y(n_8262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g392387 (
-	.A(FE_OFN1578_n_1726),
-	.B(n_5758),
-	.Y(n_8261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g392390 (
-	.A(n_1104),
-	.B(n_5804),
-	.Y(n_8259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g392391 (
-	.A(n_2307),
-	.B(n_5804),
-	.Y(n_7653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392392 (
-	.A(n_5832),
-	.B(n_3263),
-	.Y(n_8258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392401 (
-	.A(n_5840),
-	.B(n_3263),
-	.Y(n_8257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392403 (
-	.A1(FE_OFN1062_u_top_data_we),
-	.A2(n_4104),
-	.B1(n_613),
-	.Y(n_7652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392404 (
-	.A(n_5837),
-	.B(n_3263),
-	.Y(n_8256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g392405 (
-	.A_N(main_swith_host_lsu_num_req_outstanding[6]),
-	.B(n_6586),
-	.Y(n_8255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g392406 (
-	.A(n_5060),
-	.B(FE_OFN1808_n_198),
-	.C(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.Y(n_8254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g392414 (
-	.A(FE_OFN15968_u_top_u_core_alu_operand_b_ex_7),
-	.B(u_top_u_core_alu_operand_b_ex[4]),
-	.C(FE_OFN15970_u_top_u_core_alu_operand_b_ex_5),
-	.D(n_3426),
-	.Y(n_8253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g392860 (
-	.A(n_197),
-	.B(n_6569),
-	.Y(n_8252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g392862 (
-	.A(n_5803),
-	.B(FE_OFN1769_u_tcam_rdata_0),
-	.X(n_8251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g392863 (
-	.A(n_5803),
-	.B(FE_PSN3870_FE_OFN811_u_tcam_rdata_2),
-	.X(n_8250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g392864 (
-	.A(n_5803),
-	.B(FE_OFN809_u_tcam_rdata_3),
-	.X(n_8249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g392865 (
-	.A(n_5803),
-	.B(FE_OFN807_u_tcam_rdata_4),
-	.X(n_8248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g392866 (
-	.A(n_5803),
-	.B(FE_OFN806_u_tcam_rdata_5),
-	.X(n_8247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g392868 (
-	.A(n_5803),
-	.B(FE_PSN3871_FE_OFN813_u_tcam_rdata_1),
-	.X(n_8246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392869 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
-	.A2(FE_OFN1043_n_13297),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
-	.C1(FE_OFN1042_n_13296),
-	.C2(n_4554),
-	.Y(n_8245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392870 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
-	.A2(FE_OFN1043_n_13297),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
-	.C1(n_13296),
-	.C2(FE_OFN1325_n_4541),
-	.Y(n_8243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392871 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
-	.A2(FE_OFN1043_n_13297),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
-	.C1(FE_OFN1042_n_13296),
-	.C2(n_4556),
-	.Y(n_8241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392872 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
-	.A2(FE_OFN1043_n_13297),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
-	.C1(FE_OFN1042_n_13296),
-	.C2(n_4552),
-	.Y(n_8239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392873 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
-	.A2(FE_OFN1043_n_13297),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
-	.C1(FE_OFN1042_n_13296),
-	.C2(n_4550),
-	.Y(n_8237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392874 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
-	.A2(FE_OFN1043_n_13297),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
-	.C1(n_13296),
-	.C2(n_4544),
-	.Y(n_8235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392875 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
-	.A2(FE_OFN1043_n_13297),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
-	.C1(FE_OFN1042_n_13296),
-	.C2(n_4538),
-	.Y(n_8233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392876 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
-	.A2(FE_OFN1043_n_13297),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
-	.C1(n_13296),
-	.C2(n_4542),
-	.Y(n_8231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392877 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
-	.A2(FE_OFN1043_n_13297),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
-	.C1(FE_OFN1042_n_13296),
-	.C2(n_4528),
-	.Y(n_8229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392878 (
-	.A(FE_OFN991_n_5758),
-	.B(n_13260),
-	.Y(n_8226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392879 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
-	.A2(FE_OFN1043_n_13297),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
-	.C1(FE_OFN1042_n_13296),
-	.C2(n_4534),
-	.Y(n_8225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392880 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
-	.A2(FE_OFN1043_n_13297),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
-	.C1(n_13296),
-	.C2(n_4532),
-	.Y(n_8223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g392882 (
-	.A1(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2(n_0),
-	.B1_N(n_3958),
-	.Y(n_8221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392883 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
-	.A2(FE_OFN1043_n_13297),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
-	.C1(FE_OFN1042_n_13296),
-	.C2(n_4526),
-	.Y(n_8220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392884 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
-	.A2(FE_OFN1043_n_13297),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
-	.C1(n_13296),
-	.C2(n_4548),
-	.Y(n_8218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392885 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
-	.A2(FE_OFN1043_n_13297),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
-	.C1(FE_OFN1042_n_13296),
-	.C2(n_4530),
-	.Y(n_8216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392886 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
-	.A2(FE_OFN1043_n_13297),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
-	.C1(FE_OFN1042_n_13296),
-	.C2(n_4546),
-	.Y(n_8214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g392887 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
-	.A2(FE_OFN1043_n_13297),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
-	.C1(FE_OFN1042_n_13296),
-	.C2(n_4536),
-	.Y(n_8212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g392888 (
-	.A(u_tcam_u_tcam_adapter_u_rspfifo_n_638),
-	.B(n_5062),
-	.C(n_2199),
-	.Y(n_8210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g392893 (
-	.A(n_2138),
-	.B(n_5020),
-	.C(FE_OFN1808_n_198),
-	.Y(n_8208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g392894 (
-	.A(FE_OFN991_n_5758),
-	.B(FE_OFN1578_n_1726),
-	.C(FE_OFN16141_n_1722),
-	.Y(n_8207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g392896 (
-	.A(n_5797),
-	.B(n_1241),
-	.Y(n_8205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g392897 (
-	.A(n_6587),
-	.B(n_5050),
-	.Y(n_8203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g392898 (
-	.A(n_609),
-	.B(FE_OFN1781_n_5758),
-	.X(n_8202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g392899 (
-	.A(FE_OFN1781_n_5758),
-	.B(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.Y(n_8201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392900 (
-	.A1(n_13278),
-	.A2(n_4243),
-	.B1(n_3714),
-	.Y(n_8199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392901 (
-	.A1(n_13402),
-	.A2(n_5021),
-	.B1(n_6564),
-	.Y(n_8198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g392903 (
-	.A(n_13289),
-	.B(n_6569),
-	.Y(n_8194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g392904 (
-	.A(n_13402),
-	.B(n_6569),
-	.Y(n_8192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392906 (
-	.A1(n_1054),
-	.A2(n_3868),
-	.B1(n_6431),
-	.Y(n_7651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392907 (
-	.A1(n_1238),
-	.A2(n_3868),
-	.B1(n_6192),
-	.Y(n_7650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392908 (
-	.A1(n_1056),
-	.A2(n_3868),
-	.B1(n_6384),
-	.Y(n_7649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392909 (
-	.A1(n_1058),
-	.A2(n_3868),
-	.B1(n_6191),
-	.Y(n_7648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392910 (
-	.A1(n_1240),
-	.A2(n_3868),
-	.B1(n_6190),
-	.Y(n_7647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392911 (
-	.A1(n_1234),
-	.A2(n_3868),
-	.B1(n_6189),
-	.Y(n_7646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392912 (
-	.A1(n_3908),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_5027),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [1]),
-	.X(n_7645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392913 (
-	.A1(n_3908),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_5027),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [2]),
-	.X(n_7644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392914 (
-	.A1(n_3908),
-	.A2(n_1055),
-	.B1(n_5027),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [3]),
-	.X(n_7643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392915 (
-	.A1(n_3908),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_5027),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [4]),
-	.X(n_7642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392916 (
-	.A1(n_3908),
-	.A2(n_1235),
-	.B1(n_5027),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [5]),
-	.X(n_7641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392917 (
-	.A1(n_3908),
-	.A2(n_1239),
-	.B1(n_5027),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [6]),
-	.X(n_7640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392918 (
-	.A1(n_3908),
-	.A2(n_1233),
-	.B1(n_5027),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [7]),
-	.X(n_7639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392919 (
-	.A1(n_3839),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4337),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [1]),
-	.X(n_7638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392920 (
-	.A1(n_3839),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4337),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [2]),
-	.X(n_7637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392921 (
-	.A1(n_3839),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4337),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [4]),
-	.X(n_7636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392922 (
-	.A1(n_3839),
-	.A2(n_1235),
-	.B1(n_4337),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [5]),
-	.X(n_7635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392923 (
-	.A1(n_3839),
-	.A2(n_1239),
-	.B1(n_4337),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [6]),
-	.X(n_7634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392924 (
-	.A1(n_3839),
-	.A2(n_1233),
-	.B1(n_4337),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [7]),
-	.X(n_7633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392925 (
-	.A1(n_3838),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4338),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [1]),
-	.X(n_7632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392926 (
-	.A1(n_3838),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4338),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [2]),
-	.X(n_7631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392927 (
-	.A1(n_3838),
-	.A2(n_1055),
-	.B1(n_4338),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [3]),
-	.X(n_7630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392928 (
-	.A1(n_3838),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4338),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [4]),
-	.X(n_7629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392929 (
-	.A1(n_3838),
-	.A2(n_1235),
-	.B1(n_4338),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [5]),
-	.X(n_7628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392930 (
-	.A1(n_3838),
-	.A2(n_1233),
-	.B1(n_4338),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [7]),
-	.X(n_7627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392931 (
-	.A1(n_3594),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4462),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [1]),
-	.X(n_7626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392932 (
-	.A1(n_3594),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4462),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [2]),
-	.X(n_7625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392933 (
-	.A1(n_3594),
-	.A2(n_1055),
-	.B1(n_4462),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [3]),
-	.X(n_7624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392934 (
-	.A1(n_3594),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4462),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [4]),
-	.X(n_7623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392935 (
-	.A1(n_3594),
-	.A2(n_1235),
-	.B1(n_4462),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [5]),
-	.X(n_7622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392936 (
-	.A1(n_3594),
-	.A2(n_1239),
-	.B1(n_4462),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [6]),
-	.X(n_7621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392937 (
-	.A1(n_3594),
-	.A2(n_1233),
-	.B1(n_4462),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [7]),
-	.X(n_7620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392938 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3924),
-	.B1(n_6193),
-	.Y(n_7619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392939 (
-	.A1(n_3807),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4369),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [2]),
-	.X(n_7618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392940 (
-	.A1(n_3910),
-	.A2(n_1057),
-	.B1(n_5009),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [4]),
-	.X(n_7617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392941 (
-	.A1(n_3807),
-	.A2(n_1055),
-	.B1(n_4369),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [3]),
-	.X(n_7616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392942 (
-	.A1(n_3807),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4369),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [4]),
-	.X(n_7615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392943 (
-	.A1(n_3807),
-	.A2(n_1235),
-	.B1(n_4369),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [5]),
-	.X(n_7614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392944 (
-	.A1(n_3807),
-	.A2(n_1239),
-	.B1(n_4369),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [6]),
-	.X(n_7613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392945 (
-	.A1(n_3873),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4381),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [6]),
-	.X(n_7612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392946 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [1]),
-	.A2_N(n_4491),
-	.B1(FE_OFN1442_n_1054),
-	.B2(n_3602),
-	.Y(n_7611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392947 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [2]),
-	.A2_N(n_4491),
-	.B1(FE_OFN1457_n_1238),
-	.B2(n_3602),
-	.Y(n_7610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392948 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [3]),
-	.A2_N(n_4491),
-	.B1(FE_OFN1443_n_1056),
-	.B2(n_3602),
-	.Y(n_7609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392949 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [4]),
-	.A2_N(n_4491),
-	.B1(FE_OFN1447_n_1058),
-	.B2(n_3602),
-	.Y(n_7608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392950 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [5]),
-	.A2_N(n_4491),
-	.B1(FE_OFN1453_n_1236),
-	.B2(n_3602),
-	.Y(n_7607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392951 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [6]),
-	.A2_N(n_4491),
-	.B1(FE_OFN1459_n_1240),
-	.B2(n_3602),
-	.Y(n_7606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392952 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [7]),
-	.A2_N(n_4491),
-	.B1(FE_OFN1450_n_1234),
-	.B2(n_3602),
-	.Y(n_7605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392953 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [1]),
-	.A2_N(n_4490),
-	.B1(FE_OFN1442_n_1054),
-	.B2(n_3600),
-	.Y(n_7604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392954 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [2]),
-	.A2_N(n_4490),
-	.B1(FE_OFN1457_n_1238),
-	.B2(n_3600),
-	.Y(n_7603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392955 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [3]),
-	.A2_N(n_4490),
-	.B1(FE_OFN1443_n_1056),
-	.B2(n_3600),
-	.Y(n_7602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392956 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [4]),
-	.A2_N(n_4490),
-	.B1(FE_OFN1447_n_1058),
-	.B2(n_3600),
-	.Y(n_7601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392957 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [5]),
-	.A2_N(n_4490),
-	.B1(FE_OFN1453_n_1236),
-	.B2(n_3600),
-	.Y(n_7600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392958 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [6]),
-	.A2_N(n_4490),
-	.B1(FE_OFN1459_n_1240),
-	.B2(n_3600),
-	.Y(n_7599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g392959 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [7]),
-	.A2_N(n_4490),
-	.B1(FE_OFN1450_n_1234),
-	.B2(n_3600),
-	.Y(n_7598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392960 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3819),
-	.B1(n_6186),
-	.Y(n_7597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392961 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3819),
-	.B1(n_6423),
-	.Y(n_7596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392962 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3819),
-	.B1(n_6198),
-	.Y(n_7595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392963 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3819),
-	.B1(n_6505),
-	.Y(n_7594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392964 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3819),
-	.B1(n_6185),
-	.Y(n_7593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392965 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3819),
-	.B1(n_6201),
-	.Y(n_7592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392966 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3819),
-	.B1(n_6200),
-	.Y(n_7591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392967 (
-	.A1(n_3843),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4334),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [1]),
-	.X(n_7590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392968 (
-	.A1(n_3843),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4334),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [2]),
-	.X(n_7589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392969 (
-	.A1(n_3843),
-	.A2(n_1055),
-	.B1(n_4334),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [3]),
-	.X(n_7588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392970 (
-	.A1(n_3843),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4334),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [4]),
-	.X(n_7587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392971 (
-	.A1(n_3843),
-	.A2(n_1235),
-	.B1(n_4334),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [5]),
-	.X(n_7586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392972 (
-	.A1(n_3843),
-	.A2(n_1239),
-	.B1(n_4334),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [6]),
-	.X(n_7585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392973 (
-	.A1(n_3843),
-	.A2(FE_OFN1574_n_1233),
-	.B1(n_4334),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [7]),
-	.X(n_7584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392974 (
-	.A1(n_3583),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4448),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [1]),
-	.X(n_7583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392975 (
-	.A1(n_3583),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4448),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [2]),
-	.X(n_7582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392976 (
-	.A1(n_3583),
-	.A2(n_1055),
-	.B1(n_4448),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [3]),
-	.X(n_7581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392977 (
-	.A1(n_3583),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4448),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [4]),
-	.X(n_7580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392978 (
-	.A1(n_3583),
-	.A2(n_1235),
-	.B1(n_4448),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [5]),
-	.X(n_7579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392979 (
-	.A1(n_3583),
-	.A2(n_1233),
-	.B1(n_4448),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [7]),
-	.X(n_7578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392980 (
-	.A1(n_3582),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4447),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [1]),
-	.X(n_7577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392981 (
-	.A1(n_3582),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4447),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [2]),
-	.X(n_7576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392982 (
-	.A1(n_3582),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4447),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [4]),
-	.X(n_7575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392983 (
-	.A1(n_3582),
-	.A2(n_1235),
-	.B1(n_4447),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [5]),
-	.X(n_7574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392984 (
-	.A1(n_3582),
-	.A2(n_1239),
-	.B1(n_4447),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [6]),
-	.X(n_7573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392985 (
-	.A1(n_3582),
-	.A2(FE_OFN1574_n_1233),
-	.B1(n_4447),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [7]),
-	.X(n_7572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392986 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3593),
-	.B1(n_5992),
-	.Y(n_7571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392987 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3593),
-	.B1(n_6207),
-	.Y(n_7570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392988 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3593),
-	.B1(n_6254),
-	.Y(n_7569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392989 (
-	.A1(n_1058),
-	.A2(n_3593),
-	.B1(n_6261),
-	.Y(n_7568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392990 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3593),
-	.B1(n_6329),
-	.Y(n_7567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392991 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3593),
-	.B1(n_6348),
-	.Y(n_7566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g392992 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3593),
-	.B1(n_6184),
-	.Y(n_7565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392993 (
-	.A1(n_3611),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4475),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [1]),
-	.X(n_7564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392994 (
-	.A1(n_3885),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_5022),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [7]),
-	.X(n_7563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392995 (
-	.A1(n_3611),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4475),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [2]),
-	.X(n_7562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392996 (
-	.A1(n_3611),
-	.A2(n_1055),
-	.B1(n_4475),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [3]),
-	.X(n_7561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392997 (
-	.A1(n_3611),
-	.A2(n_1235),
-	.B1(n_4475),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [5]),
-	.X(n_7560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392998 (
-	.A1(n_3611),
-	.A2(n_1239),
-	.B1(n_4475),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [6]),
-	.X(n_7559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g392999 (
-	.A1(n_3611),
-	.A2(FE_OFN1574_n_1233),
-	.B1(n_4475),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [7]),
-	.X(n_7558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393000 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3779),
-	.B1(n_6449),
-	.Y(n_7557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393001 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3779),
-	.B1(n_6519),
-	.Y(n_7556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393002 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3779),
-	.B1(n_6508),
-	.Y(n_7555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393003 (
-	.A1(n_1058),
-	.A2(n_3779),
-	.B1(n_6402),
-	.Y(n_7554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393004 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3779),
-	.B1(n_6379),
-	.Y(n_7553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393005 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3779),
-	.B1(n_6509),
-	.Y(n_7552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393006 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3779),
-	.B1(n_6213),
-	.Y(n_7551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393007 (
-	.A1(n_1054),
-	.A2(n_3597),
-	.B1(n_6181),
-	.Y(n_7550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393008 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3783),
-	.B1(n_6230),
-	.Y(n_7549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393009 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3783),
-	.B1(n_6182),
-	.Y(n_7548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393010 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3783),
-	.B1(n_6268),
-	.Y(n_7547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393011 (
-	.A1(n_1058),
-	.A2(n_3783),
-	.B1(n_6265),
-	.Y(n_7546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393012 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3783),
-	.B1(n_6295),
-	.Y(n_7545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393013 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3783),
-	.B1(n_6180),
-	.Y(n_7544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393014 (
-	.A1(n_1236),
-	.A2(n_3808),
-	.B1(n_6178),
-	.Y(n_7543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393015 (
-	.A1(n_3797),
-	.A2(n_1057),
-	.B1(n_4357),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [4]),
-	.X(n_7542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393016 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3869),
-	.B1(n_6360),
-	.Y(n_7541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393017 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3869),
-	.B1(n_6358),
-	.Y(n_7540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393018 (
-	.A1(n_1058),
-	.A2(n_3869),
-	.B1(n_6179),
-	.Y(n_7539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393019 (
-	.A1(n_1236),
-	.A2(n_3869),
-	.B1(n_6372),
-	.Y(n_7538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393020 (
-	.A1(n_1240),
-	.A2(n_3869),
-	.B1(n_6215),
-	.Y(n_7537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393021 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3869),
-	.B1(n_6290),
-	.Y(n_7536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393022 (
-	.A1(n_3903),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_5023),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [1]),
-	.X(n_7535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393023 (
-	.A1(n_3903),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_5023),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [2]),
-	.X(n_7534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393024 (
-	.A1(n_3903),
-	.A2(n_1055),
-	.B1(n_5023),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [3]),
-	.X(n_7533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393025 (
-	.A1(n_3903),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_5023),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [4]),
-	.X(n_7532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393026 (
-	.A1(n_3903),
-	.A2(n_1235),
-	.B1(n_5023),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [5]),
-	.X(n_7531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393027 (
-	.A1(n_3903),
-	.A2(n_1239),
-	.B1(n_5023),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [6]),
-	.X(n_7530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393028 (
-	.A1(n_3903),
-	.A2(n_1233),
-	.B1(n_5023),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [7]),
-	.X(n_7529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393029 (
-	.A1(n_3805),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4365),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [2]),
-	.X(n_7528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393030 (
-	.A1(n_3805),
-	.A2(n_1055),
-	.B1(n_4365),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [3]),
-	.X(n_7527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393031 (
-	.A1(n_3805),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4365),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [4]),
-	.X(n_7526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393032 (
-	.A1(n_3805),
-	.A2(n_1235),
-	.B1(n_4365),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [5]),
-	.X(n_7525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393033 (
-	.A1(n_3805),
-	.A2(n_1239),
-	.B1(n_4365),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [6]),
-	.X(n_7524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393034 (
-	.A1(n_3805),
-	.A2(n_1233),
-	.B1(n_4365),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [7]),
-	.X(n_7523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393035 (
-	.A1(n_3804),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4366),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [1]),
-	.X(n_7522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393036 (
-	.A1(n_3804),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4366),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [2]),
-	.X(n_7521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393037 (
-	.A1(n_3804),
-	.A2(n_1055),
-	.B1(n_4366),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [3]),
-	.X(n_7520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393038 (
-	.A1(n_3804),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4366),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [4]),
-	.X(n_7519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393039 (
-	.A1(n_3804),
-	.A2(n_1235),
-	.B1(n_4366),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [5]),
-	.X(n_7518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393040 (
-	.A1(n_3804),
-	.A2(n_1239),
-	.B1(n_4366),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [6]),
-	.X(n_7517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393041 (
-	.A1(n_3804),
-	.A2(n_1233),
-	.B1(n_4366),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [7]),
-	.X(n_7516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393042 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [1]),
-	.A2_N(n_4298),
-	.B1(FE_OFN1442_n_1054),
-	.B2(n_3614),
-	.Y(n_7515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393043 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [2]),
-	.A2_N(n_4298),
-	.B1(FE_OFN1457_n_1238),
-	.B2(n_3614),
-	.Y(n_7514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393044 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [3]),
-	.A2_N(n_4298),
-	.B1(FE_OFN1445_n_1056),
-	.B2(n_3614),
-	.Y(n_7513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393045 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [5]),
-	.A2_N(n_4298),
-	.B1(FE_OFN1455_n_1236),
-	.B2(n_3614),
-	.Y(n_7512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393046 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [6]),
-	.A2_N(n_4298),
-	.B1(FE_OFN1459_n_1240),
-	.B2(n_3614),
-	.Y(n_7511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393047 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [7]),
-	.A2_N(n_4298),
-	.B1(FE_OFN1451_n_1234),
-	.B2(n_3614),
-	.Y(n_7510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393048 (
-	.A1(n_3612),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4411),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [1]),
-	.X(n_7509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393049 (
-	.A1(n_3612),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4411),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [2]),
-	.X(n_7508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393050 (
-	.A1(n_3612),
-	.A2(n_1055),
-	.B1(n_4411),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [3]),
-	.X(n_7507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393051 (
-	.A1(n_3612),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4411),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [4]),
-	.X(n_7506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393052 (
-	.A1(n_3612),
-	.A2(n_1235),
-	.B1(n_4411),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [5]),
-	.X(n_7505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393053 (
-	.A1(n_3612),
-	.A2(n_1239),
-	.B1(n_4411),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [6]),
-	.X(n_7504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393054 (
-	.A1(n_3612),
-	.A2(n_1233),
-	.B1(n_4411),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [7]),
-	.X(n_7503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393055 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3581),
-	.B1(n_6220),
-	.Y(n_7502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393056 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3581),
-	.B1(n_6466),
-	.Y(n_7501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393057 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3581),
-	.B1(n_6175),
-	.Y(n_7500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393058 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3581),
-	.B1(n_6259),
-	.Y(n_7499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393059 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3581),
-	.B1(n_6174),
-	.Y(n_7498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393060 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3810),
-	.B1(n_6177),
-	.Y(n_7497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393061 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3581),
-	.B1(n_6173),
-	.Y(n_7496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393062 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3580),
-	.B1(n_6211),
-	.Y(n_7495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393063 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3580),
-	.B1(n_6172),
-	.Y(n_7494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393064 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3580),
-	.B1(n_6337),
-	.Y(n_7493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393065 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3580),
-	.B1(n_6339),
-	.Y(n_7492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393066 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3580),
-	.B1(n_6374),
-	.Y(n_7491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393067 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3580),
-	.B1(n_6171),
-	.Y(n_7490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393068 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3842),
-	.B1(n_6011),
-	.Y(n_7489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393069 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3842),
-	.B1(n_6521),
-	.Y(n_7488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393070 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3842),
-	.B1(n_6523),
-	.Y(n_7487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393071 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3842),
-	.B1(n_6169),
-	.Y(n_7486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393072 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3842),
-	.B1(n_6512),
-	.Y(n_7485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393073 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3842),
-	.B1(n_6426),
-	.Y(n_7484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393074 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3810),
-	.B1(n_6160),
-	.Y(n_7483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393075 (
-	.A1(n_3829),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4358),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [1]),
-	.X(n_7482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393076 (
-	.A1(n_3829),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4358),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [2]),
-	.X(n_7481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393077 (
-	.A1(n_3829),
-	.A2(n_1055),
-	.B1(n_4358),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [3]),
-	.X(n_7480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393078 (
-	.A1(n_3829),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4358),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [4]),
-	.X(n_7479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393079 (
-	.A1(n_3829),
-	.A2(n_1235),
-	.B1(n_4358),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [5]),
-	.X(n_7478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393080 (
-	.A1(n_3829),
-	.A2(n_1239),
-	.B1(n_4358),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [6]),
-	.X(n_7477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393081 (
-	.A1(n_3829),
-	.A2(FE_OFN1574_n_1233),
-	.B1(n_4358),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [7]),
-	.X(n_7476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393082 (
-	.A1(n_3608),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4477),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [1]),
-	.X(n_7475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393083 (
-	.A1(n_3608),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4477),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [2]),
-	.X(n_7474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393084 (
-	.A1(n_3608),
-	.A2(n_1055),
-	.B1(n_4477),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [3]),
-	.X(n_7473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393085 (
-	.A1(n_3853),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4400),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [5]),
-	.X(n_7472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393086 (
-	.A1(n_3608),
-	.A2(n_1235),
-	.B1(n_4477),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [5]),
-	.X(n_7471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393087 (
-	.A1(n_3608),
-	.A2(n_1239),
-	.B1(n_4477),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [6]),
-	.X(n_7470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393088 (
-	.A1(n_3608),
-	.A2(FE_OFN1574_n_1233),
-	.B1(n_4477),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [7]),
-	.X(n_7469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393089 (
-	.A1(n_3609),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4478),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [1]),
-	.X(n_7468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393090 (
-	.A1(n_3609),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4478),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [2]),
-	.X(n_7467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393091 (
-	.A1(n_3609),
-	.A2(n_1055),
-	.B1(n_4478),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [3]),
-	.X(n_7466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393092 (
-	.A1(n_3609),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4478),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [4]),
-	.X(n_7465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393093 (
-	.A1(n_3609),
-	.A2(n_1235),
-	.B1(n_4478),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [5]),
-	.X(n_7464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393094 (
-	.A1(n_3609),
-	.A2(n_1239),
-	.B1(n_4478),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [6]),
-	.X(n_7463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393095 (
-	.A1(n_3609),
-	.A2(FE_OFN1574_n_1233),
-	.B1(n_4478),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [7]),
-	.X(n_7462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393096 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3808),
-	.B1(n_6133),
-	.Y(n_7461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393097 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3808),
-	.B1(n_6150),
-	.Y(n_7460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393098 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3808),
-	.B1(n_6157),
-	.Y(n_7459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393099 (
-	.A1(n_1058),
-	.A2(n_3808),
-	.B1(n_6167),
-	.Y(n_7458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393100 (
-	.A1(n_1240),
-	.A2(n_3808),
-	.B1(n_6558),
-	.Y(n_7457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393101 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3808),
-	.B1(n_6183),
-	.Y(n_7456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393102 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [1]),
-	.A2_N(n_4299),
-	.B1(n_1054),
-	.B2(n_3596),
-	.Y(n_7455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393103 (
-	.A1(n_3853),
-	.A2(n_1237),
-	.B1(n_4400),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [2]),
-	.X(n_7454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393104 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [2]),
-	.A2_N(n_4299),
-	.B1(n_1238),
-	.B2(n_3596),
-	.Y(n_7453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393105 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [3]),
-	.A2_N(n_4299),
-	.B1(n_1056),
-	.B2(n_3596),
-	.Y(n_7452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393106 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [4]),
-	.A2_N(n_4299),
-	.B1(n_1058),
-	.B2(n_3596),
-	.Y(n_7451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393107 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [5]),
-	.A2_N(n_4299),
-	.B1(n_1236),
-	.B2(n_3596),
-	.Y(n_7450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393108 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [6]),
-	.A2_N(n_4299),
-	.B1(n_1240),
-	.B2(n_3596),
-	.Y(n_7449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393109 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [7]),
-	.A2_N(n_4299),
-	.B1(n_1234),
-	.B2(n_3596),
-	.Y(n_7448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393110 (
-	.A1(n_1054),
-	.A2(n_3832),
-	.B1(n_6163),
-	.Y(n_7447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393111 (
-	.A1(n_1238),
-	.A2(n_3832),
-	.B1(n_6441),
-	.Y(n_7446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393112 (
-	.A1(n_1056),
-	.A2(n_3832),
-	.B1(n_6518),
-	.Y(n_7445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393113 (
-	.A1(n_1236),
-	.A2(n_3832),
-	.B1(n_6554),
-	.Y(n_7444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393114 (
-	.A1(n_1240),
-	.A2(n_3832),
-	.B1(n_6550),
-	.Y(n_7443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393115 (
-	.A1(n_1234),
-	.A2(n_3832),
-	.B1(n_6161),
-	.Y(n_7442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393116 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3831),
-	.B1(n_6534),
-	.Y(n_7441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393117 (
-	.A1(n_1238),
-	.A2(n_3831),
-	.B1(n_6532),
-	.Y(n_7440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393118 (
-	.A1(n_1058),
-	.A2(n_3831),
-	.B1(n_6159),
-	.Y(n_7439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393119 (
-	.A1(n_1236),
-	.A2(n_3831),
-	.B1(n_6158),
-	.Y(n_7438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393120 (
-	.A1(n_1240),
-	.A2(n_3831),
-	.B1(n_6390),
-	.Y(n_7437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393121 (
-	.A1(n_1234),
-	.A2(n_3831),
-	.B1(n_6393),
-	.Y(n_7436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393122 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
-	.A2_N(n_3266),
-	.B1(FE_OFN1324_n_4537),
-	.B2(n_3739),
-	.Y(n_7435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393123 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3892),
-	.B1(n_6401),
-	.Y(n_7434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393124 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3892),
-	.B1(n_6403),
-	.Y(n_7433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393125 (
-	.A1(n_1058),
-	.A2(n_3892),
-	.B1(n_6404),
-	.Y(n_7432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393126 (
-	.A1(n_1236),
-	.A2(n_3892),
-	.B1(n_6485),
-	.Y(n_7431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393127 (
-	.A1(n_1240),
-	.A2(n_3892),
-	.B1(n_6467),
-	.Y(n_7430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393128 (
-	.A1(n_3885),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_5022),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [1]),
-	.X(n_7429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393129 (
-	.A1(n_3904),
-	.A2(n_1053),
-	.B1(n_4345),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [1]),
-	.X(n_7428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393130 (
-	.A1(n_3904),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4345),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [2]),
-	.X(n_7427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393131 (
-	.A1(n_3904),
-	.A2(n_1055),
-	.B1(n_4345),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [3]),
-	.X(n_7426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393132 (
-	.A1(n_3904),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4345),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [4]),
-	.X(n_7425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393133 (
-	.A1(n_3904),
-	.A2(n_1235),
-	.B1(n_4345),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [5]),
-	.X(n_7424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393134 (
-	.A1(n_3904),
-	.A2(n_1239),
-	.B1(n_4345),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [6]),
-	.X(n_7423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393135 (
-	.A1(n_3904),
-	.A2(n_1233),
-	.B1(n_4345),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [7]),
-	.X(n_7422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393136 (
-	.A1(n_3800),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4471),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [1]),
-	.X(n_7421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393137 (
-	.A1(n_3800),
-	.A2(n_1055),
-	.B1(n_4471),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [3]),
-	.X(n_7420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393138 (
-	.A1(n_3929),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4971),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [5]),
-	.X(n_7419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393139 (
-	.A1(n_3800),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4471),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [4]),
-	.X(n_7418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393140 (
-	.A1(n_3800),
-	.A2(n_1235),
-	.B1(n_4471),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [5]),
-	.X(n_7417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393141 (
-	.A1(n_3800),
-	.A2(n_1239),
-	.B1(n_4471),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [6]),
-	.X(n_7416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393142 (
-	.A1(n_3800),
-	.A2(n_1233),
-	.B1(n_4471),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [7]),
-	.X(n_7415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393143 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3924),
-	.B1(n_6450),
-	.Y(n_7414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393144 (
-	.A1(n_3806),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4469),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [2]),
-	.X(n_7413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393145 (
-	.A1(n_3806),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4469),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [3]),
-	.X(n_7412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393146 (
-	.A1(n_3806),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4469),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [4]),
-	.X(n_7411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393147 (
-	.A1(n_3806),
-	.A2(n_1239),
-	.B1(n_4469),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [6]),
-	.X(n_7410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393148 (
-	.A1(n_3806),
-	.A2(n_1233),
-	.B1(n_4469),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [7]),
-	.X(n_7409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393149 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3792),
-	.B1(n_6155),
-	.Y(n_7408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393150 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3792),
-	.B1(n_6154),
-	.Y(n_7407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393151 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3792),
-	.B1(n_6153),
-	.Y(n_7406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393152 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3792),
-	.B1(n_6151),
-	.Y(n_7405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393153 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [1]),
-	.A2_N(n_4494),
-	.B1(FE_OFN1442_n_1054),
-	.B2(n_3791),
-	.Y(n_7404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393154 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [2]),
-	.A2_N(n_4494),
-	.B1(FE_OFN1458_n_1238),
-	.B2(n_3791),
-	.Y(n_7403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393155 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [3]),
-	.A2_N(n_4494),
-	.B1(FE_OFN1445_n_1056),
-	.B2(n_3791),
-	.Y(n_7402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393156 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [4]),
-	.A2_N(n_4494),
-	.B1(FE_OFN1449_n_1058),
-	.B2(n_3791),
-	.Y(n_7401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393157 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [5]),
-	.A2_N(n_4494),
-	.B1(FE_OFN1455_n_1236),
-	.B2(n_3791),
-	.Y(n_7400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393158 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [6]),
-	.A2_N(n_4494),
-	.B1(FE_OFN1461_n_1240),
-	.B2(n_3791),
-	.Y(n_7399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393159 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [7]),
-	.A2_N(n_4494),
-	.B1(FE_OFN1451_n_1234),
-	.B2(n_3791),
-	.Y(n_7398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393160 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3810),
-	.B1(n_6149),
-	.Y(n_7397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393161 (
-	.A1(n_3798),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4479),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [7]),
-	.X(n_7396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393162 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3810),
-	.B1(n_6147),
-	.Y(n_7395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393163 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3810),
-	.B1(n_6146),
-	.Y(n_7394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393164 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3810),
-	.B1(n_6145),
-	.Y(n_7393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393165 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3809),
-	.B1(n_6144),
-	.Y(n_7392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393166 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3809),
-	.B1(n_6143),
-	.Y(n_7391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393167 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3809),
-	.B1(n_6142),
-	.Y(n_7390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393168 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3809),
-	.B1(n_6236),
-	.Y(n_7389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393169 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3809),
-	.B1(n_6141),
-	.Y(n_7388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393170 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3809),
-	.B1(n_6267),
-	.Y(n_7387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393171 (
-	.A1(n_3855),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4480),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [5]),
-	.X(n_7386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393172 (
-	.A1(n_3793),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4371),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [1]),
-	.X(n_7385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393173 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3895),
-	.B1(n_6139),
-	.Y(n_7384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393174 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3895),
-	.B1(n_6138),
-	.Y(n_7383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393175 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3895),
-	.B1(n_6137),
-	.Y(n_7382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393176 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3895),
-	.B1(n_6136),
-	.Y(n_7381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393177 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3895),
-	.B1(n_6135),
-	.Y(n_7380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393178 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3895),
-	.B1(n_6134),
-	.Y(n_7379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393179 (
-	.A1(n_3855),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4480),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [6]),
-	.X(n_7378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393180 (
-	.A1(n_3820),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4464),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [2]),
-	.X(n_7377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393181 (
-	.A1(n_3820),
-	.A2(n_1055),
-	.B1(n_4464),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [3]),
-	.X(n_7376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393182 (
-	.A1(n_3820),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4464),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [4]),
-	.X(n_7375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393183 (
-	.A1(n_3820),
-	.A2(n_1235),
-	.B1(n_4464),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [5]),
-	.X(n_7374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393184 (
-	.A1(n_3820),
-	.A2(n_1239),
-	.B1(n_4464),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [6]),
-	.X(n_7373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393185 (
-	.A1(n_3820),
-	.A2(n_1233),
-	.B1(n_4464),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [7]),
-	.X(n_7372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393186 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3775),
-	.B1(n_6131),
-	.Y(n_7371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393187 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3775),
-	.B1(n_6130),
-	.Y(n_7370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393188 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3775),
-	.B1(n_6129),
-	.Y(n_7369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393189 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3775),
-	.B1(n_6128),
-	.Y(n_7368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393190 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3775),
-	.B1(n_6127),
-	.Y(n_7367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393191 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3776),
-	.B1(n_6126),
-	.Y(n_7366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393192 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3776),
-	.B1(n_6233),
-	.Y(n_7365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393193 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3776),
-	.B1(n_6125),
-	.Y(n_7364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393194 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3776),
-	.B1(n_6124),
-	.Y(n_7363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393195 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3776),
-	.B1(n_6123),
-	.Y(n_7362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393196 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3810),
-	.B1(n_6148),
-	.Y(n_7361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393197 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3776),
-	.B1(n_6510),
-	.Y(n_7360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393198 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3776),
-	.B1(n_6122),
-	.Y(n_7359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393199 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3863),
-	.B1(n_6120),
-	.Y(n_7358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393200 (
-	.A1(n_3855),
-	.A2(n_1057),
-	.B1(n_4480),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [4]),
-	.X(n_7357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393201 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3863),
-	.B1(n_6119),
-	.Y(n_7356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393202 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3863),
-	.B1(n_6118),
-	.Y(n_7355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393203 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3863),
-	.B1(n_6116),
-	.Y(n_7354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393204 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3774),
-	.B1(n_6115),
-	.Y(n_7353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393205 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3774),
-	.B1(n_6481),
-	.Y(n_7352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393206 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3774),
-	.B1(n_6114),
-	.Y(n_7351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393207 (
-	.A1(n_3813),
-	.A2(FE_OFN1570_n_1053),
-	.B1(n_4473),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [1]),
-	.X(n_7350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393208 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3774),
-	.B1(n_6113),
-	.Y(n_7349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393209 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3774),
-	.B1(n_6535),
-	.Y(n_7348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393210 (
-	.A1(n_3894),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4991),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [4]),
-	.X(n_7347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393211 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3774),
-	.B1(n_6112),
-	.Y(n_7346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393212 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3889),
-	.B1(n_6111),
-	.Y(n_7345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393213 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3889),
-	.B1(n_6110),
-	.Y(n_7344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393214 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3889),
-	.B1(n_6109),
-	.Y(n_7343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393215 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3889),
-	.B1(n_6108),
-	.Y(n_7342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393216 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3889),
-	.B1(n_6107),
-	.Y(n_7341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393217 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3889),
-	.B1(n_6106),
-	.Y(n_7340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393218 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3888),
-	.B1(n_6104),
-	.Y(n_7339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393219 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3888),
-	.B1(n_6103),
-	.Y(n_7338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393220 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3888),
-	.B1(n_6102),
-	.Y(n_7337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393221 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3888),
-	.B1(n_6101),
-	.Y(n_7336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393222 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3888),
-	.B1(n_6100),
-	.Y(n_7335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393223 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3888),
-	.B1(n_5971),
-	.Y(n_7334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393224 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3925),
-	.B1(n_6097),
-	.Y(n_7333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393225 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3925),
-	.B1(n_6095),
-	.Y(n_7332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393226 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3925),
-	.B1(n_6094),
-	.Y(n_7331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393227 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3925),
-	.B1(n_6093),
-	.Y(n_7330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393228 (
-	.A1(n_3893),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_5014),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [7]),
-	.X(n_7329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393229 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3921),
-	.B1(n_6091),
-	.Y(n_7328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393230 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3921),
-	.B1(n_6090),
-	.Y(n_7327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393231 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3921),
-	.B1(n_6089),
-	.Y(n_7326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393232 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3921),
-	.B1(n_6088),
-	.Y(n_7325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393233 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3921),
-	.B1(n_6087),
-	.Y(n_7324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393234 (
-	.A1(n_1240),
-	.A2(n_3921),
-	.B1(n_6468),
-	.Y(n_7323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393235 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3921),
-	.B1(n_6086),
-	.Y(n_7322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393236 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3923),
-	.B1(n_6474),
-	.Y(n_7321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393237 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3923),
-	.B1(n_6085),
-	.Y(n_7320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393238 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3923),
-	.B1(n_6478),
-	.Y(n_7319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393239 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3923),
-	.B1(n_6484),
-	.Y(n_7318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393240 (
-	.A1(n_1240),
-	.A2(n_3923),
-	.B1(n_6524),
-	.Y(n_7317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393241 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3923),
-	.B1(n_6552),
-	.Y(n_7316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393242 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3919),
-	.B1(n_6083),
-	.Y(n_7315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393243 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3919),
-	.B1(n_6082),
-	.Y(n_7314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393244 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3919),
-	.B1(n_6081),
-	.Y(n_7313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393245 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3919),
-	.B1(n_6080),
-	.Y(n_7312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393246 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3919),
-	.B1(n_6078),
-	.Y(n_7311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393247 (
-	.A1(FE_OFN1441_n_1054),
-	.A2(n_3941),
-	.B1(n_5887),
-	.Y(n_7310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393248 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3941),
-	.B1(n_5889),
-	.Y(n_7309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393249 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3941),
-	.B1(n_6425),
-	.Y(n_7308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393250 (
-	.A1(n_1240),
-	.A2(n_3941),
-	.B1(n_6077),
-	.Y(n_7307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393251 (
-	.A1(n_3874),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4382),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [7]),
-	.X(n_7306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393252 (
-	.A1(FE_OFN1441_n_1054),
-	.A2(n_3932),
-	.B1(n_6414),
-	.Y(n_7305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393253 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3932),
-	.B1(n_6443),
-	.Y(n_7304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393254 (
-	.A1(n_3915),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4963),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [7]),
-	.X(n_7303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393255 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3932),
-	.B1(n_6422),
-	.Y(n_7302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393256 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3932),
-	.B1(n_6075),
-	.Y(n_7301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393257 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3932),
-	.B1(n_6074),
-	.Y(n_7300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393258 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3949),
-	.B1(n_6072),
-	.Y(n_7299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393259 (
-	.A1(n_1056),
-	.A2(n_3949),
-	.B1(n_6071),
-	.Y(n_7298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393260 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3949),
-	.B1(n_6070),
-	.Y(n_7297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393261 (
-	.A1(n_1240),
-	.A2(n_3949),
-	.B1(n_6068),
-	.Y(n_7296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393262 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3949),
-	.B1(n_6398),
-	.Y(n_7295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393263 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3948),
-	.B1(n_6353),
-	.Y(n_7294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393264 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3948),
-	.B1(n_6373),
-	.Y(n_7293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393265 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3948),
-	.B1(n_6067),
-	.Y(n_7292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393266 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3948),
-	.B1(n_6385),
-	.Y(n_7291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393267 (
-	.A1(n_1240),
-	.A2(n_3948),
-	.B1(n_6389),
-	.Y(n_7290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393268 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3948),
-	.B1(n_6391),
-	.Y(n_7289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393269 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3950),
-	.B1(n_6066),
-	.Y(n_7288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393270 (
-	.A1(n_1056),
-	.A2(n_3950),
-	.B1(n_6065),
-	.Y(n_7287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393271 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3950),
-	.B1(n_6063),
-	.Y(n_7286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393272 (
-	.A1(n_1240),
-	.A2(n_3950),
-	.B1(n_6062),
-	.Y(n_7285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393273 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3950),
-	.B1(n_6061),
-	.Y(n_7284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393274 (
-	.A1(n_3847),
-	.A2(n_1053),
-	.B1(n_4393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [1]),
-	.X(n_7283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393275 (
-	.A1(n_3847),
-	.A2(n_1237),
-	.B1(n_4393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [2]),
-	.X(n_7282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393276 (
-	.A1(n_3847),
-	.A2(n_1055),
-	.B1(n_4393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [3]),
-	.X(n_7281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393277 (
-	.A1(n_3847),
-	.A2(n_1057),
-	.B1(n_4393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [4]),
-	.X(n_7280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393278 (
-	.A1(n_3847),
-	.A2(n_1239),
-	.B1(n_4393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [6]),
-	.X(n_7279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393279 (
-	.A1(n_3847),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [7]),
-	.X(n_7278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393280 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3591),
-	.B1(n_6453),
-	.Y(n_7277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393281 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3591),
-	.B1(n_6059),
-	.Y(n_7276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393282 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3591),
-	.B1(n_6457),
-	.Y(n_7275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393283 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3591),
-	.B1(n_6058),
-	.Y(n_7274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393284 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3591),
-	.B1(n_6057),
-	.Y(n_7273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393285 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3591),
-	.B1(n_6056),
-	.Y(n_7272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393286 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3589),
-	.B1(n_6369),
-	.Y(n_7271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393287 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3589),
-	.B1(n_6055),
-	.Y(n_7270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393288 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3589),
-	.B1(n_5991),
-	.Y(n_7269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393289 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3589),
-	.B1(n_6054),
-	.Y(n_7268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393290 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3589),
-	.B1(n_6053),
-	.Y(n_7267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393291 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3589),
-	.B1(n_6023),
-	.Y(n_7266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393292 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3589),
-	.B1(n_6052),
-	.Y(n_7265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393293 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3865),
-	.B1(n_6051),
-	.Y(n_7264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393294 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3865),
-	.B1(n_6050),
-	.Y(n_7263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393295 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3865),
-	.B1(n_6049),
-	.Y(n_7262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393296 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3865),
-	.B1(n_6048),
-	.Y(n_7261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393297 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3865),
-	.B1(n_6047),
-	.Y(n_7260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393298 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3865),
-	.B1(n_6046),
-	.Y(n_7259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393299 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3865),
-	.B1(n_6045),
-	.Y(n_7258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393300 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3952),
-	.B1(n_6044),
-	.Y(n_7257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393301 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3952),
-	.B1(n_6188),
-	.Y(n_7256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393302 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3952),
-	.B1(n_6043),
-	.Y(n_7255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g393303 (
-	.A1(n_1988),
-	.A2(n_4234),
-	.B1(n_3425),
-	.B2(n_2205),
-	.Y(n_7254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393304 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3952),
-	.B1(n_6195),
-	.Y(n_7253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393305 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3952),
-	.B1(n_6497),
-	.Y(n_7252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393306 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3952),
-	.B1(n_6042),
-	.Y(n_7251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393307 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3952),
-	.B1(n_6041),
-	.Y(n_7250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393308 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3898),
-	.B1(n_6040),
-	.Y(n_7249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393309 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3898),
-	.B1(n_6039),
-	.Y(n_7248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393310 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3898),
-	.B1(n_6038),
-	.Y(n_7247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393311 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3898),
-	.B1(n_6341),
-	.Y(n_7246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393312 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3898),
-	.B1(n_6037),
-	.Y(n_7245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393313 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3898),
-	.B1(n_6036),
-	.Y(n_7244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393314 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3898),
-	.B1(n_6258),
-	.Y(n_7243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393315 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3900),
-	.B1(n_6035),
-	.Y(n_7242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393316 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3900),
-	.B1(n_6034),
-	.Y(n_7241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393317 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3900),
-	.B1(n_6076),
-	.Y(n_7240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393318 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3900),
-	.B1(n_6522),
-	.Y(n_7239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393319 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3900),
-	.B1(n_6033),
-	.Y(n_7238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393320 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3900),
-	.B1(n_6506),
-	.Y(n_7237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393321 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3900),
-	.B1(n_6511),
-	.Y(n_7236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393322 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3936),
-	.B1(n_6032),
-	.Y(n_7235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393323 (
-	.A1(n_3873),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4381),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [2]),
-	.X(n_7234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393324 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3936),
-	.B1(n_6031),
-	.Y(n_7233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393325 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3936),
-	.B1(n_5918),
-	.Y(n_7232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393326 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3936),
-	.B1(n_6405),
-	.Y(n_7231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393327 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3936),
-	.B1(n_6030),
-	.Y(n_7230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393328 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3936),
-	.B1(n_6028),
-	.Y(n_7229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393329 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3936),
-	.B1(n_6027),
-	.Y(n_7228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393330 (
-	.A1(FE_OFN1441_n_1054),
-	.A2(n_3922),
-	.B1(n_6026),
-	.Y(n_7227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393331 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3922),
-	.B1(n_6223),
-	.Y(n_7226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393332 (
-	.A1(FE_OFN1444_n_1056),
-	.A2(n_3922),
-	.B1(n_6024),
-	.Y(n_7225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393333 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3922),
-	.B1(n_6388),
-	.Y(n_7224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393334 (
-	.A1(FE_OFN1454_n_1236),
-	.A2(n_3922),
-	.B1(n_6022),
-	.Y(n_7223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393335 (
-	.A1(FE_OFN1460_n_1240),
-	.A2(n_3922),
-	.B1(n_6021),
-	.Y(n_7222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393336 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3922),
-	.B1(n_6020),
-	.Y(n_7221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393337 (
-	.A1(FE_OFN1441_n_1054),
-	.A2(n_3920),
-	.B1(n_5924),
-	.Y(n_7220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393338 (
-	.A1(n_1056),
-	.A2(n_3920),
-	.B1(n_6018),
-	.Y(n_7219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393339 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3920),
-	.B1(n_6444),
-	.Y(n_7218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393340 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3920),
-	.B1(n_6280),
-	.Y(n_7217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393341 (
-	.A1(n_1240),
-	.A2(n_3920),
-	.B1(n_6419),
-	.Y(n_7216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393342 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3920),
-	.B1(n_6017),
-	.Y(n_7215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393343 (
-	.A1(FE_OFN1441_n_1054),
-	.A2(n_3918),
-	.B1(n_6016),
-	.Y(n_7214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393344 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3918),
-	.B1(n_6544),
-	.Y(n_7213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393345 (
-	.A1(FE_OFN1444_n_1056),
-	.A2(n_3918),
-	.B1(n_6536),
-	.Y(n_7212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393346 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3918),
-	.B1(n_6015),
-	.Y(n_7211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393347 (
-	.A1(FE_OFN1454_n_1236),
-	.A2(n_3918),
-	.B1(n_6014),
-	.Y(n_7210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393348 (
-	.A1(FE_OFN1460_n_1240),
-	.A2(n_3918),
-	.B1(n_6013),
-	.Y(n_7209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393349 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3918),
-	.B1(n_6012),
-	.Y(n_7208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393350 (
-	.A1(FE_OFN1441_n_1054),
-	.A2(n_3943),
-	.B1(n_6473),
-	.Y(n_7207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393351 (
-	.A1(n_1238),
-	.A2(n_3943),
-	.B1(n_6476),
-	.Y(n_7206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393352 (
-	.A1(FE_OFN1444_n_1056),
-	.A2(n_3943),
-	.B1(n_6480),
-	.Y(n_7205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393353 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3943),
-	.B1(n_6010),
-	.Y(n_7204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393354 (
-	.A1(FE_OFN1454_n_1236),
-	.A2(n_3943),
-	.B1(n_6533),
-	.Y(n_7203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393355 (
-	.A1(FE_OFN1460_n_1240),
-	.A2(n_3943),
-	.B1(n_6009),
-	.Y(n_7202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393356 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3943),
-	.B1(n_6008),
-	.Y(n_7201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393357 (
-	.A1(FE_OFN1441_n_1054),
-	.A2(n_3933),
-	.B1(n_6006),
-	.Y(n_7200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393358 (
-	.A1(n_1238),
-	.A2(n_3933),
-	.B1(n_6005),
-	.Y(n_7199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393359 (
-	.A1(FE_OFN1444_n_1056),
-	.A2(n_3933),
-	.B1(n_6004),
-	.Y(n_7198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393360 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3933),
-	.B1(n_6377),
-	.Y(n_7197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393361 (
-	.A1(FE_OFN1454_n_1236),
-	.A2(n_3933),
-	.B1(n_6003),
-	.Y(n_7196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393362 (
-	.A1(FE_OFN1460_n_1240),
-	.A2(n_3933),
-	.B1(n_6002),
-	.Y(n_7195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393363 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3933),
-	.B1(n_6001),
-	.Y(n_7194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393364 (
-	.A1(FE_OFN1441_n_1054),
-	.A2(n_3946),
-	.B1(n_6451),
-	.Y(n_7193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393365 (
-	.A1(n_1238),
-	.A2(n_3946),
-	.B1(n_6448),
-	.Y(n_7192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393366 (
-	.A1(FE_OFN1444_n_1056),
-	.A2(n_3946),
-	.B1(n_6460),
-	.Y(n_7191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393367 (
-	.A1(n_3867),
-	.A2(n_1237),
-	.B1(n_4308),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [2]),
-	.X(n_7190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393368 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3946),
-	.B1(n_6318),
-	.Y(n_7189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393369 (
-	.A1(FE_OFN1454_n_1236),
-	.A2(n_3946),
-	.B1(n_6320),
-	.Y(n_7188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393370 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3950),
-	.B1(n_6064),
-	.Y(n_7187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393371 (
-	.A1(FE_OFN1460_n_1240),
-	.A2(n_3946),
-	.B1(n_6427),
-	.Y(n_7186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393372 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3946),
-	.B1(n_6331),
-	.Y(n_7185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393373 (
-	.A1(FE_OFN1460_n_1240),
-	.A2(n_3872),
-	.B1(n_6206),
-	.Y(n_7184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393374 (
-	.A1(FE_OFN1441_n_1054),
-	.A2(n_3947),
-	.B1(n_6000),
-	.Y(n_7183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393375 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3947),
-	.B1(n_5999),
-	.Y(n_7182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393376 (
-	.A1(n_1056),
-	.A2(n_3947),
-	.B1(n_5998),
-	.Y(n_7181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393377 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3947),
-	.B1(n_5997),
-	.Y(n_7180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393378 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3947),
-	.B1(n_6413),
-	.Y(n_7179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393379 (
-	.A1(n_1240),
-	.A2(n_3947),
-	.B1(n_5996),
-	.Y(n_7178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393380 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3947),
-	.B1(n_6415),
-	.Y(n_7177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393381 (
-	.A1(FE_OFN1441_n_1054),
-	.A2(n_3938),
-	.B1(n_6355),
-	.Y(n_7176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393382 (
-	.A1(n_1238),
-	.A2(n_3938),
-	.B1(n_6338),
-	.Y(n_7175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393383 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3938),
-	.B1(n_6350),
-	.Y(n_7174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393384 (
-	.A1(FE_OFN1454_n_1236),
-	.A2(n_3938),
-	.B1(n_6357),
-	.Y(n_7173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393385 (
-	.A1(FE_OFN1460_n_1240),
-	.A2(n_3938),
-	.B1(n_5993),
-	.Y(n_7172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393386 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3938),
-	.B1(n_6340),
-	.Y(n_7171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393387 (
-	.A1(n_3848),
-	.A2(n_1055),
-	.B1(n_4394),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [3]),
-	.X(n_7170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393388 (
-	.A1(n_3848),
-	.A2(n_1057),
-	.B1(n_4394),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [4]),
-	.X(n_7169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393389 (
-	.A1(n_3848),
-	.A2(n_1235),
-	.B1(n_4394),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [5]),
-	.X(n_7168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393390 (
-	.A1(n_3848),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4394),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [7]),
-	.X(n_7167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393391 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3584),
-	.B1(n_5990),
-	.Y(n_7166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393392 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3584),
-	.B1(n_5989),
-	.Y(n_7165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393393 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3584),
-	.B1(n_5988),
-	.Y(n_7164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393394 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3584),
-	.B1(n_5987),
-	.Y(n_7163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393395 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3584),
-	.B1(n_5986),
-	.Y(n_7162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393396 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3584),
-	.B1(n_5984),
-	.Y(n_7161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393397 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3590),
-	.B1(n_5983),
-	.Y(n_7160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393398 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3590),
-	.B1(n_5981),
-	.Y(n_7159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393399 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3590),
-	.B1(n_5980),
-	.Y(n_7158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393400 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3590),
-	.B1(n_5979),
-	.Y(n_7157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393401 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3590),
-	.B1(n_5978),
-	.Y(n_7156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393402 (
-	.A1(n_3877),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4280),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [6]),
-	.X(n_7155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393403 (
-	.A1(n_1056),
-	.A2(n_3941),
-	.B1(n_5957),
-	.Y(n_7154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393404 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3590),
-	.B1(n_5977),
-	.Y(n_7153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393405 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3941),
-	.B1(n_6380),
-	.Y(n_7152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393406 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3866),
-	.B1(n_5976),
-	.Y(n_7151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393407 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3866),
-	.B1(n_5975),
-	.Y(n_7150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393408 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3857),
-	.B1(n_6274),
-	.Y(n_7149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393409 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3866),
-	.B1(n_5972),
-	.Y(n_7148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393410 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3866),
-	.B1(n_5970),
-	.Y(n_7147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393411 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3598),
-	.B1(n_5969),
-	.Y(n_7146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393412 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3598),
-	.B1(n_5968),
-	.Y(n_7145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393413 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3598),
-	.B1(n_5967),
-	.Y(n_7144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393414 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3598),
-	.B1(n_5965),
-	.Y(n_7143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393415 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3598),
-	.B1(n_6447),
-	.Y(n_7142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393416 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3598),
-	.B1(n_5964),
-	.Y(n_7141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393417 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3899),
-	.B1(n_5963),
-	.Y(n_7140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393418 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3899),
-	.B1(n_5962),
-	.Y(n_7139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393419 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3899),
-	.B1(n_5961),
-	.Y(n_7138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393420 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3899),
-	.B1(n_6487),
-	.Y(n_7137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393421 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3899),
-	.B1(n_5959),
-	.Y(n_7136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393422 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3899),
-	.B1(n_5958),
-	.Y(n_7135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393423 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3897),
-	.B1(n_5921),
-	.Y(n_7134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393424 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3897),
-	.B1(n_5956),
-	.Y(n_7133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393425 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3897),
-	.B1(n_5955),
-	.Y(n_7132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393426 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3897),
-	.B1(n_5954),
-	.Y(n_7131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393427 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3897),
-	.B1(n_5953),
-	.Y(n_7130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393428 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3897),
-	.B1(n_5952),
-	.Y(n_7129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393429 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3897),
-	.B1(n_5951),
-	.Y(n_7128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393430 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3937),
-	.B1(n_5949),
-	.Y(n_7127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393431 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3937),
-	.B1(n_5948),
-	.Y(n_7126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393432 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3937),
-	.B1(n_5947),
-	.Y(n_7125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393433 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3937),
-	.B1(n_5945),
-	.Y(n_7124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393434 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3937),
-	.B1(n_5944),
-	.Y(n_7123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393435 (
-	.A1(FE_OFN1441_n_1054),
-	.A2(n_3912),
-	.B1(n_5943),
-	.Y(n_7122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393436 (
-	.A1(n_1238),
-	.A2(n_3912),
-	.B1(n_5942),
-	.Y(n_7121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393437 (
-	.A1(FE_OFN1444_n_1056),
-	.A2(n_3912),
-	.B1(n_5941),
-	.Y(n_7120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393438 (
-	.A1(FE_OFN1454_n_1236),
-	.A2(n_3912),
-	.B1(n_5939),
-	.Y(n_7119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393439 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3912),
-	.B1(n_5938),
-	.Y(n_7118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393440 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3913),
-	.B1(n_5937),
-	.Y(n_7117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393441 (
-	.A1(n_3910),
-	.A2(n_1053),
-	.B1(n_5009),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [1]),
-	.X(n_7116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393442 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3913),
-	.B1(n_5936),
-	.Y(n_7115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393443 (
-	.A1(FE_OFN1444_n_1056),
-	.A2(n_3913),
-	.B1(n_5935),
-	.Y(n_7114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393444 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3913),
-	.B1(n_5934),
-	.Y(n_7113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393445 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3913),
-	.B1(n_5933),
-	.Y(n_7112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393446 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3913),
-	.B1(n_5932),
-	.Y(n_7111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393447 (
-	.A1(FE_OFN1441_n_1054),
-	.A2(n_3914),
-	.B1(n_6520),
-	.Y(n_7110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393448 (
-	.A1(n_1238),
-	.A2(n_3914),
-	.B1(n_5930),
-	.Y(n_7109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393449 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3914),
-	.B1(n_6545),
-	.Y(n_7108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393450 (
-	.A1(FE_OFN1454_n_1236),
-	.A2(n_3914),
-	.B1(n_5929),
-	.Y(n_7107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393451 (
-	.A1(FE_OFN1460_n_1240),
-	.A2(n_3914),
-	.B1(n_5928),
-	.Y(n_7106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393452 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3914),
-	.B1(n_6429),
-	.Y(n_7105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393453 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3939),
-	.B1(n_5927),
-	.Y(n_7104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393454 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3939),
-	.B1(n_5926),
-	.Y(n_7103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393455 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3939),
-	.B1(n_5925),
-	.Y(n_7102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393456 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3939),
-	.B1(n_5922),
-	.Y(n_7101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393457 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3939),
-	.B1(n_5920),
-	.Y(n_7100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393458 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3939),
-	.B1(n_5919),
-	.Y(n_7099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393459 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3926),
-	.B1(n_5917),
-	.Y(n_7098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393460 (
-	.A1(n_1238),
-	.A2(n_3926),
-	.B1(n_5916),
-	.Y(n_7097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393461 (
-	.A1(FE_OFN1444_n_1056),
-	.A2(n_3926),
-	.B1(n_5915),
-	.Y(n_7096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393462 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3926),
-	.B1(n_5914),
-	.Y(n_7095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393463 (
-	.A1(FE_OFN1454_n_1236),
-	.A2(n_3926),
-	.B1(n_5913),
-	.Y(n_7094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393464 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3926),
-	.B1(n_5911),
-	.Y(n_7093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393465 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3945),
-	.B1(n_5910),
-	.Y(n_7092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393466 (
-	.A1(n_1238),
-	.A2(n_3945),
-	.B1(n_5909),
-	.Y(n_7091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393467 (
-	.A1(FE_OFN1444_n_1056),
-	.A2(n_3945),
-	.B1(n_5908),
-	.Y(n_7090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393468 (
-	.A1(n_3931),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4973),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [2]),
-	.X(n_7089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393469 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3945),
-	.B1(n_5907),
-	.Y(n_7088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393470 (
-	.A1(FE_OFN1460_n_1240),
-	.A2(n_3945),
-	.B1(n_5905),
-	.Y(n_7087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393471 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3945),
-	.B1(n_5904),
-	.Y(n_7086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393472 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3944),
-	.B1(n_5902),
-	.Y(n_7085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393473 (
-	.A1(FE_OFN1444_n_1056),
-	.A2(n_3944),
-	.B1(n_5901),
-	.Y(n_7084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393474 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3944),
-	.B1(n_6446),
-	.Y(n_7083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393475 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3944),
-	.B1(n_5900),
-	.Y(n_7082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393476 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3592),
-	.B1(n_6225),
-	.Y(n_7081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393477 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3944),
-	.B1(n_5899),
-	.Y(n_7080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393478 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3944),
-	.B1(n_5898),
-	.Y(n_7079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393479 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3886),
-	.B1(n_5897),
-	.Y(n_7078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393480 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3886),
-	.B1(n_5896),
-	.Y(n_7077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393481 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3886),
-	.B1(n_5895),
-	.Y(n_7076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393482 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3886),
-	.B1(n_5894),
-	.Y(n_7075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393483 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3886),
-	.B1(n_5893),
-	.Y(n_7074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393484 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3886),
-	.B1(n_5892),
-	.Y(n_7073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393485 (
-	.A1(FE_OFN1452_n_1234),
-	.A2(n_3886),
-	.B1(n_5891),
-	.Y(n_7072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393486 (
-	.A1(n_3846),
-	.A2(n_1053),
-	.B1(n_4355),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [1]),
-	.X(n_7071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393487 (
-	.A1(n_3846),
-	.A2(n_1237),
-	.B1(n_4355),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [2]),
-	.X(n_7070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393488 (
-	.A1(n_3846),
-	.A2(n_1055),
-	.B1(n_4355),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [3]),
-	.X(n_7069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393489 (
-	.A1(n_3846),
-	.A2(n_1057),
-	.B1(n_4355),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [4]),
-	.X(n_7068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393490 (
-	.A1(n_3846),
-	.A2(n_1235),
-	.B1(n_4355),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [5]),
-	.X(n_7067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393491 (
-	.A1(n_3877),
-	.A2(n_1057),
-	.B1(n_4280),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [4]),
-	.X(n_7066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393492 (
-	.A1(n_3846),
-	.A2(n_1239),
-	.B1(n_4355),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [6]),
-	.X(n_7065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393493 (
-	.A1(n_3846),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4355),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [7]),
-	.X(n_7064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393494 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [1]),
-	.A2_N(n_4296),
-	.B1(FE_OFN1442_n_1054),
-	.B2(n_3588),
-	.Y(n_7063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393495 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [2]),
-	.A2_N(n_4296),
-	.B1(FE_OFN1458_n_1238),
-	.B2(n_3588),
-	.Y(n_7062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393496 (
-	.A1(n_3877),
-	.A2(n_1237),
-	.B1(n_4280),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [2]),
-	.X(n_7061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393497 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [4]),
-	.A2_N(n_4296),
-	.B1(FE_OFN1449_n_1058),
-	.B2(n_3588),
-	.Y(n_7060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393498 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [5]),
-	.A2_N(n_4296),
-	.B1(FE_OFN1455_n_1236),
-	.B2(n_3588),
-	.Y(n_7059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393499 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [6]),
-	.A2_N(n_4296),
-	.B1(FE_OFN1461_n_1240),
-	.B2(n_3588),
-	.Y(n_7058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393500 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [1]),
-	.A2_N(n_4489),
-	.B1(FE_OFN1442_n_1054),
-	.B2(n_3586),
-	.Y(n_7057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393501 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [2]),
-	.A2_N(n_4489),
-	.B1(FE_OFN1458_n_1238),
-	.B2(n_3586),
-	.Y(n_7056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393502 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [3]),
-	.A2_N(n_4489),
-	.B1(FE_OFN1445_n_1056),
-	.B2(n_3586),
-	.Y(n_7055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393503 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [4]),
-	.A2_N(n_4489),
-	.B1(FE_OFN1449_n_1058),
-	.B2(n_3586),
-	.Y(n_7054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393504 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [5]),
-	.A2_N(n_4489),
-	.B1(FE_OFN1455_n_1236),
-	.B2(n_3586),
-	.Y(n_7053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393505 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [6]),
-	.A2_N(n_4489),
-	.B1(FE_OFN1461_n_1240),
-	.B2(n_3586),
-	.Y(n_7052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393506 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [7]),
-	.A2_N(n_4489),
-	.B1(FE_OFN1451_n_1234),
-	.B2(n_3586),
-	.Y(n_7051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393507 (
-	.A1(n_3835),
-	.A2(n_1239),
-	.B1(n_4348),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [6]),
-	.X(n_7050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393508 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3859),
-	.B1(n_6406),
-	.Y(n_7049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393509 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3859),
-	.B1(n_6417),
-	.Y(n_7048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393510 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3859),
-	.B1(n_5886),
-	.Y(n_7047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393511 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3859),
-	.B1(n_6421),
-	.Y(n_7046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393512 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3859),
-	.B1(n_6420),
-	.Y(n_7045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393513 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3592),
-	.B1(n_6316),
-	.Y(n_7044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393514 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3592),
-	.B1(n_5884),
-	.Y(n_7043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393515 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3592),
-	.B1(n_6312),
-	.Y(n_7042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393516 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3592),
-	.B1(n_6399),
-	.Y(n_7041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393517 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3592),
-	.B1(n_6464),
-	.Y(n_7040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393518 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3592),
-	.B1(n_6351),
-	.Y(n_7039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393519 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3882),
-	.B1(n_6025),
-	.Y(n_7038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393520 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3882),
-	.B1(n_6272),
-	.Y(n_7037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393521 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3882),
-	.B1(n_6238),
-	.Y(n_7036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393522 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3882),
-	.B1(n_6263),
-	.Y(n_7035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393523 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3882),
-	.B1(n_6424),
-	.Y(n_7034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393524 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3882),
-	.B1(n_5883),
-	.Y(n_7033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393525 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3882),
-	.B1(n_6323),
-	.Y(n_7032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393526 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3881),
-	.B1(n_5882),
-	.Y(n_7031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393527 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3881),
-	.B1(n_5881),
-	.Y(n_7030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393528 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3881),
-	.B1(n_5880),
-	.Y(n_7029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393529 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3881),
-	.B1(n_6442),
-	.Y(n_7028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393530 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3881),
-	.B1(n_6363),
-	.Y(n_7027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393531 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3881),
-	.B1(n_6361),
-	.Y(n_7026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393532 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3881),
-	.B1(n_5879),
-	.Y(n_7025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393533 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3935),
-	.B1(n_6288),
-	.Y(n_7024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393534 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3935),
-	.B1(n_5995),
-	.Y(n_7023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393535 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3920),
-	.B1(n_5877),
-	.Y(n_7022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393536 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3935),
-	.B1(n_6227),
-	.Y(n_7021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393537 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3935),
-	.B1(n_6503),
-	.Y(n_7020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393538 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3935),
-	.B1(n_6296),
-	.Y(n_7019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393539 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3935),
-	.B1(n_5878),
-	.Y(n_7018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393540 (
-	.A1(n_3849),
-	.A2(n_1053),
-	.B1(n_4467),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [1]),
-	.X(n_7017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393541 (
-	.A1(n_3849),
-	.A2(n_1057),
-	.B1(n_4467),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [4]),
-	.X(n_7016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393542 (
-	.A1(n_3849),
-	.A2(n_1239),
-	.B1(n_4467),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [6]),
-	.X(n_7015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393543 (
-	.A1(n_3849),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4467),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [7]),
-	.X(n_7014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393544 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3837),
-	.B1(n_5876),
-	.Y(n_7013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393545 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3837),
-	.B1(n_5875),
-	.Y(n_7012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393546 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3837),
-	.B1(n_5873),
-	.Y(n_7011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393547 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3836),
-	.B1(n_5870),
-	.Y(n_7010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393548 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3836),
-	.B1(n_6019),
-	.Y(n_7009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393549 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3836),
-	.B1(n_6164),
-	.Y(n_7008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393550 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3836),
-	.B1(n_6266),
-	.Y(n_7007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393551 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3836),
-	.B1(n_6217),
-	.Y(n_7006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393552 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3580),
-	.B1(n_6490),
-	.Y(n_7005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393553 (
-	.A1(n_1240),
-	.A2(n_3919),
-	.B1(n_6079),
-	.Y(n_7004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393554 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3836),
-	.B1(n_6507),
-	.Y(n_7003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393555 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3911),
-	.B1(n_6219),
-	.Y(n_7002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393556 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3911),
-	.B1(n_6244),
-	.Y(n_7001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393557 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3911),
-	.B1(n_6246),
-	.Y(n_7000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393558 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3911),
-	.B1(n_6248),
-	.Y(n_6999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393559 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3911),
-	.B1(n_6249),
-	.Y(n_6998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393560 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3857),
-	.B1(n_6270),
-	.Y(n_6997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393561 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3857),
-	.B1(n_6271),
-	.Y(n_6996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393562 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3857),
-	.B1(n_6277),
-	.Y(n_6995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393563 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3857),
-	.B1(n_6305),
-	.Y(n_6994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393564 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3857),
-	.B1(n_6307),
-	.Y(n_6993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393565 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3917),
-	.B1(n_6311),
-	.Y(n_6992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393566 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3917),
-	.B1(n_6060),
-	.Y(n_6991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393567 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3917),
-	.B1(n_6166),
-	.Y(n_6990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393568 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3917),
-	.B1(n_6327),
-	.Y(n_6989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393569 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3917),
-	.B1(n_6352),
-	.Y(n_6988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393570 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3916),
-	.B1(n_6486),
-	.Y(n_6987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393571 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3916),
-	.B1(n_6488),
-	.Y(n_6986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393572 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3916),
-	.B1(n_6489),
-	.Y(n_6985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393573 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3916),
-	.B1(n_6491),
-	.Y(n_6984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393574 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3916),
-	.B1(n_6492),
-	.Y(n_6983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393575 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3942),
-	.B1(n_6454),
-	.Y(n_6982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393576 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3942),
-	.B1(n_6494),
-	.Y(n_6981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393577 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3942),
-	.B1(n_5885),
-	.Y(n_6980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393578 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3942),
-	.B1(n_6495),
-	.Y(n_6979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393579 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3942),
-	.B1(n_5888),
-	.Y(n_6978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393580 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3942),
-	.B1(n_6496),
-	.Y(n_6977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393581 (
-	.A1(n_3910),
-	.A2(n_1237),
-	.B1(n_5009),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [2]),
-	.X(n_6976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393582 (
-	.A1(n_3910),
-	.A2(n_1055),
-	.B1(n_5009),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [3]),
-	.X(n_6975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393583 (
-	.A1(n_3910),
-	.A2(n_1235),
-	.B1(n_5009),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [5]),
-	.X(n_6974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393584 (
-	.A1(n_3910),
-	.A2(n_1239),
-	.B1(n_5009),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [6]),
-	.X(n_6973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393585 (
-	.A1(n_3910),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_5009),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [7]),
-	.X(n_6972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393586 (
-	.A1(n_3877),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4280),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [1]),
-	.X(n_6971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393587 (
-	.A1(n_3834),
-	.A2(n_1053),
-	.B1(n_4347),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [1]),
-	.X(n_6970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393588 (
-	.A1(n_3834),
-	.A2(n_1237),
-	.B1(n_4347),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [2]),
-	.X(n_6969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393589 (
-	.A1(n_3834),
-	.A2(n_1055),
-	.B1(n_4347),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [3]),
-	.X(n_6968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393590 (
-	.A1(n_3834),
-	.A2(n_1057),
-	.B1(n_4347),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [4]),
-	.X(n_6967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393591 (
-	.A1(n_3834),
-	.A2(n_1235),
-	.B1(n_4347),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [5]),
-	.X(n_6966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393592 (
-	.A1(n_3834),
-	.A2(n_1239),
-	.B1(n_4347),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [6]),
-	.X(n_6965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393593 (
-	.A1(n_3834),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4347),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [7]),
-	.X(n_6964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393594 (
-	.A1(n_3835),
-	.A2(n_1053),
-	.B1(n_4348),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [1]),
-	.X(n_6963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393595 (
-	.A1(n_3835),
-	.A2(n_1237),
-	.B1(n_4348),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [2]),
-	.X(n_6962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393596 (
-	.A1(n_3835),
-	.A2(n_1235),
-	.B1(n_4348),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [5]),
-	.X(n_6961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393597 (
-	.A1(n_3835),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4348),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [7]),
-	.X(n_6960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393598 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [1]),
-	.A2_N(n_4297),
-	.B1(FE_OFN1442_n_1054),
-	.B2(n_3851),
-	.Y(n_6959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393599 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [2]),
-	.A2_N(n_4297),
-	.B1(FE_OFN1458_n_1238),
-	.B2(n_3851),
-	.Y(n_6958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393600 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [3]),
-	.A2_N(n_4297),
-	.B1(FE_OFN1445_n_1056),
-	.B2(n_3851),
-	.Y(n_6957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393601 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [4]),
-	.A2_N(n_4297),
-	.B1(FE_OFN1449_n_1058),
-	.B2(n_3851),
-	.Y(n_6956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393602 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [5]),
-	.A2_N(n_4297),
-	.B1(FE_OFN1455_n_1236),
-	.B2(n_3851),
-	.Y(n_6955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393603 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [6]),
-	.A2_N(n_4297),
-	.B1(FE_OFN1461_n_1240),
-	.B2(n_3851),
-	.Y(n_6954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393604 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [7]),
-	.A2_N(n_4297),
-	.B1(FE_OFN1451_n_1234),
-	.B2(n_3851),
-	.Y(n_6953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393605 (
-	.A1(n_3833),
-	.A2(n_1053),
-	.B1(n_4395),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [1]),
-	.X(n_6952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393606 (
-	.A1(n_3833),
-	.A2(n_1237),
-	.B1(n_4395),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [2]),
-	.X(n_6951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393607 (
-	.A1(n_3833),
-	.A2(n_1055),
-	.B1(n_4395),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [3]),
-	.X(n_6950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393608 (
-	.A1(n_3833),
-	.A2(n_1057),
-	.B1(n_4395),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [4]),
-	.X(n_6949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393609 (
-	.A1(n_3833),
-	.A2(n_1235),
-	.B1(n_4395),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [5]),
-	.X(n_6948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393610 (
-	.A1(n_3833),
-	.A2(n_1239),
-	.B1(n_4395),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [6]),
-	.X(n_6947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393611 (
-	.A1(n_3833),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4395),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [7]),
-	.X(n_6946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393612 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3862),
-	.B1(n_6432),
-	.Y(n_6945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393613 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3862),
-	.B1(n_6302),
-	.Y(n_6944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393614 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3862),
-	.B1(n_6498),
-	.Y(n_6943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393615 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3862),
-	.B1(n_6343),
-	.Y(n_6942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393616 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3862),
-	.B1(n_6394),
-	.Y(n_6941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393617 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3862),
-	.B1(n_5982),
-	.Y(n_6940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393618 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3862),
-	.B1(n_6499),
-	.Y(n_6939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393619 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3861),
-	.B1(n_6342),
-	.Y(n_6938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393620 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3861),
-	.B1(n_6551),
-	.Y(n_6937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393621 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3861),
-	.B1(n_6222),
-	.Y(n_6936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393622 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3861),
-	.B1(n_6162),
-	.Y(n_6935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393623 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3911),
-	.B1(n_6435),
-	.Y(n_6934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393624 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3861),
-	.B1(n_6548),
-	.Y(n_6933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393625 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3861),
-	.B1(n_6346),
-	.Y(n_6932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393626 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3927),
-	.B1(n_6281),
-	.Y(n_6931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393627 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3927),
-	.B1(n_6501),
-	.Y(n_6930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393628 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3927),
-	.B1(n_6333),
-	.Y(n_6929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393629 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3927),
-	.B1(n_6387),
-	.Y(n_6928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393630 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3927),
-	.B1(n_6409),
-	.Y(n_6927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393631 (
-	.A1(n_3813),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4473),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [5]),
-	.X(n_6926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393632 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3927),
-	.B1(n_6502),
-	.Y(n_6925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393633 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3927),
-	.B1(n_6365),
-	.Y(n_6924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393634 (
-	.A1(n_3940),
-	.A2(n_1237),
-	.B1(n_4992),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [2]),
-	.X(n_6923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393635 (
-	.A1(n_3940),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4992),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [3]),
-	.X(n_6922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393636 (
-	.A1(n_3940),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4992),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [5]),
-	.X(n_6921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393637 (
-	.A1(n_3940),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4992),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [7]),
-	.X(n_6920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393638 (
-	.A1(n_3930),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4972),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [1]),
-	.X(n_6919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393639 (
-	.A1(n_3930),
-	.A2(n_1057),
-	.B1(n_4972),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [4]),
-	.X(n_6918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393640 (
-	.A1(n_3907),
-	.A2(n_1057),
-	.B1(n_5018),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [4]),
-	.X(n_6917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393641 (
-	.A1(n_3930),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4972),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [7]),
-	.X(n_6916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393642 (
-	.A1(n_3929),
-	.A2(n_1237),
-	.B1(n_4971),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [2]),
-	.X(n_6915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393643 (
-	.A1(n_3929),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4971),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [3]),
-	.X(n_6914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393644 (
-	.A1(n_3929),
-	.A2(n_1057),
-	.B1(n_4971),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [4]),
-	.X(n_6913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393645 (
-	.A1(n_3929),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4971),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [6]),
-	.X(n_6912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393646 (
-	.A1(n_3887),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_5028),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [3]),
-	.X(n_6911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393647 (
-	.A1(n_3887),
-	.A2(n_1057),
-	.B1(n_5028),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [4]),
-	.X(n_6910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393648 (
-	.A1(n_3915),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4963),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [1]),
-	.X(n_6909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393649 (
-	.A1(n_3915),
-	.A2(n_1237),
-	.B1(n_4963),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [2]),
-	.X(n_6908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393650 (
-	.A1(n_3915),
-	.A2(n_1057),
-	.B1(n_4963),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [4]),
-	.X(n_6907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393651 (
-	.A1(n_3915),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4963),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [5]),
-	.X(n_6906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393652 (
-	.A1(n_3915),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4963),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [6]),
-	.X(n_6905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393653 (
-	.A1(n_3877),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4280),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [3]),
-	.X(n_6904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393654 (
-	.A1(n_3877),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4280),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [5]),
-	.X(n_6903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393655 (
-	.A1(n_3512),
-	.A2(n_4556),
-	.B1(n_3268),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
-	.X(n_6902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393656 (
-	.A1(n_3878),
-	.A2(n_1237),
-	.B1(n_4279),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [2]),
-	.X(n_6901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393657 (
-	.A1(n_3878),
-	.A2(n_1057),
-	.B1(n_4279),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [4]),
-	.X(n_6900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393658 (
-	.A1(n_3878),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4279),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [5]),
-	.X(n_6899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393659 (
-	.A1(n_3610),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4465),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [5]),
-	.X(n_6898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393660 (
-	.A1(n_3878),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4279),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [6]),
-	.X(n_6897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393661 (
-	.A1(n_3875),
-	.A2(n_1237),
-	.B1(n_4399),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [2]),
-	.X(n_6896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393662 (
-	.A1(n_3875),
-	.A2(n_1057),
-	.B1(n_4399),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [4]),
-	.X(n_6895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393663 (
-	.A1(n_3931),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4973),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [1]),
-	.X(n_6894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393664 (
-	.A1(n_3931),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4973),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [3]),
-	.X(n_6893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393665 (
-	.A1(n_3893),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_5014),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [5]),
-	.X(n_6892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393666 (
-	.A1(n_3894),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4991),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [1]),
-	.X(n_6891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393667 (
-	.A1(n_3894),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4991),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [3]),
-	.X(n_6890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393668 (
-	.A1(n_3894),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4991),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [5]),
-	.X(n_6889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393669 (
-	.A1(n_3894),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4991),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [6]),
-	.X(n_6888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393670 (
-	.A1(n_3894),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4991),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [7]),
-	.X(n_6887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393671 (
-	.A1(n_3879),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4351),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [1]),
-	.X(n_6886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393672 (
-	.A1(n_3879),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4351),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [2]),
-	.X(n_6885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393673 (
-	.A1(n_3879),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4351),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [3]),
-	.X(n_6884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393674 (
-	.A1(n_3879),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4351),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [4]),
-	.X(n_6883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393675 (
-	.A1(n_3879),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4351),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [5]),
-	.X(n_6882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393676 (
-	.A1(n_3879),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4351),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [6]),
-	.X(n_6881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393677 (
-	.A1(n_3879),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4351),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [7]),
-	.X(n_6880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393678 (
-	.A1(n_3876),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4317),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [1]),
-	.X(n_6879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393679 (
-	.A1(n_3876),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4317),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [2]),
-	.X(n_6878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393680 (
-	.A1(n_3876),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4317),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [3]),
-	.X(n_6877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393681 (
-	.A1(n_3876),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4317),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [5]),
-	.X(n_6876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393682 (
-	.A1(n_3876),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4317),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [6]),
-	.X(n_6875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393683 (
-	.A1(n_3876),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4317),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [7]),
-	.X(n_6874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393684 (
-	.A1(n_3873),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4381),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [1]),
-	.X(n_6873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393685 (
-	.A1(n_3873),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4381),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [3]),
-	.X(n_6872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393686 (
-	.A1(n_3873),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4381),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [4]),
-	.X(n_6871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393687 (
-	.A1(n_3873),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4381),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [5]),
-	.X(n_6870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393688 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [6]),
-	.A2_N(n_4295),
-	.B1(FE_OFN1460_n_1240),
-	.B2(n_3785),
-	.Y(n_6869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393689 (
-	.A1(n_3874),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4382),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [1]),
-	.X(n_6868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393690 (
-	.A1(n_3874),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4382),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [2]),
-	.X(n_6867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393691 (
-	.A1(n_3874),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4382),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [3]),
-	.X(n_6866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393692 (
-	.A1(n_3874),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4382),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [4]),
-	.X(n_6865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393693 (
-	.A1(n_3874),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4382),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [5]),
-	.X(n_6864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393694 (
-	.A1(n_3874),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4382),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [6]),
-	.X(n_6863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393695 (
-	.A1(n_3878),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4279),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [3]),
-	.X(n_6862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393696 (
-	.A1(n_3880),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4483),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [2]),
-	.X(n_6861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393697 (
-	.A1(n_3880),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4483),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [4]),
-	.X(n_6860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393698 (
-	.A1(n_3880),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4483),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [5]),
-	.X(n_6859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393699 (
-	.A1(n_3880),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4483),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [6]),
-	.X(n_6858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393700 (
-	.A1(n_3880),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4483),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [7]),
-	.X(n_6857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393701 (
-	.A1(n_3934),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4978),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [1]),
-	.X(n_6856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393702 (
-	.A1(n_3934),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4978),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [2]),
-	.X(n_6855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393703 (
-	.A1(n_3934),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4978),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [3]),
-	.X(n_6854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393704 (
-	.A1(n_3934),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4978),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [4]),
-	.X(n_6853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393705 (
-	.A1(n_3934),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4978),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [5]),
-	.X(n_6852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393706 (
-	.A1(n_3934),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4978),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [6]),
-	.X(n_6851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393707 (
-	.A1(n_3934),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4978),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [7]),
-	.X(n_6850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393708 (
-	.A1(n_3906),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_5015),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [1]),
-	.X(n_6849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393709 (
-	.A1(n_3906),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_5015),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [2]),
-	.X(n_6848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393710 (
-	.A1(n_3906),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_5015),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [3]),
-	.X(n_6847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393711 (
-	.A1(n_3906),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_5015),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [4]),
-	.X(n_6846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393712 (
-	.A1(n_3906),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_5015),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [5]),
-	.X(n_6845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393713 (
-	.A1(n_3906),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_5015),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [6]),
-	.X(n_6844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393714 (
-	.A1(n_3906),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_5015),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [7]),
-	.X(n_6843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393715 (
-	.A1(n_3905),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_5016),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [2]),
-	.X(n_6842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393716 (
-	.A1(n_3905),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_5016),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [3]),
-	.X(n_6841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393717 (
-	.A1(n_3905),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_5016),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [4]),
-	.X(n_6840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393718 (
-	.A1(n_3905),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_5016),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [5]),
-	.X(n_6839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393719 (
-	.A1(n_3905),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_5016),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [6]),
-	.X(n_6838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393720 (
-	.A1(n_3905),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_5016),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [7]),
-	.X(n_6837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393721 (
-	.A1(n_3830),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4349),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [1]),
-	.X(n_6836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393722 (
-	.A1(n_3873),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4381),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [7]),
-	.X(n_6835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393723 (
-	.A1(n_3830),
-	.A2(n_1237),
-	.B1(n_4349),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [2]),
-	.X(n_6834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393724 (
-	.A1(n_3830),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4349),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [3]),
-	.X(n_6833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393725 (
-	.A1(n_3830),
-	.A2(n_1057),
-	.B1(n_4349),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [4]),
-	.X(n_6832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393726 (
-	.A1(n_3830),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4349),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [5]),
-	.X(n_6831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393727 (
-	.A1(n_3830),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4349),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [6]),
-	.X(n_6830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393728 (
-	.A1(n_3830),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4349),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [7]),
-	.X(n_6829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393729 (
-	.A1(n_3867),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4308),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [3]),
-	.X(n_6828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393730 (
-	.A1(n_3867),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4308),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [5]),
-	.X(n_6827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393731 (
-	.A1(n_3867),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4308),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [7]),
-	.X(n_6826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393732 (
-	.A1(n_3794),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4370),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [1]),
-	.X(n_6825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393733 (
-	.A1(n_3794),
-	.A2(n_1237),
-	.B1(n_4370),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [2]),
-	.X(n_6824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393734 (
-	.A1(n_3794),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4370),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [3]),
-	.X(n_6823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393735 (
-	.A1(n_3794),
-	.A2(n_1057),
-	.B1(n_4370),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [4]),
-	.X(n_6822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393736 (
-	.A1(n_3794),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4370),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [5]),
-	.X(n_6821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393737 (
-	.A1(n_3794),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4370),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [6]),
-	.X(n_6820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393738 (
-	.A1(n_3794),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4370),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [7]),
-	.X(n_6819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393739 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
-	.A2_N(n_3266),
-	.B1(FE_OFN1329_n_4549),
-	.B2(n_3739),
-	.Y(n_6818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393740 (
-	.A1(n_3793),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4371),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [2]),
-	.X(n_6817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393741 (
-	.A1(n_3793),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4371),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [3]),
-	.X(n_6816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393742 (
-	.A1(n_3793),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4371),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [4]),
-	.X(n_6815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393743 (
-	.A1(n_3793),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4371),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [5]),
-	.X(n_6814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393744 (
-	.A1(n_3793),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4371),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [6]),
-	.X(n_6813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393745 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [1]),
-	.A2_N(n_4300),
-	.B1(FE_OFN1440_n_1054),
-	.B2(n_3824),
-	.Y(n_6812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393746 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [2]),
-	.A2_N(n_4300),
-	.B1(FE_OFN1456_n_1238),
-	.B2(n_3824),
-	.Y(n_6811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393747 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [3]),
-	.A2_N(n_4300),
-	.B1(FE_OFN1443_n_1056),
-	.B2(n_3824),
-	.Y(n_6810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393748 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [4]),
-	.A2_N(n_4300),
-	.B1(FE_OFN1447_n_1058),
-	.B2(n_3824),
-	.Y(n_6809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393749 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [5]),
-	.A2_N(n_4300),
-	.B1(FE_OFN1453_n_1236),
-	.B2(n_3824),
-	.Y(n_6808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393750 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3792),
-	.B1(n_6156),
-	.Y(n_6807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393751 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [6]),
-	.A2_N(n_4300),
-	.B1(n_1240),
-	.B2(n_3824),
-	.Y(n_6806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393752 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [7]),
-	.A2_N(n_4300),
-	.B1(FE_OFN1452_n_1234),
-	.B2(n_3824),
-	.Y(n_6805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393753 (
-	.A1(n_3907),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_5018),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [1]),
-	.X(n_6804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393754 (
-	.A1(n_3907),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_5018),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [3]),
-	.X(n_6803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393755 (
-	.A1(n_3907),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_5018),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [5]),
-	.X(n_6802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393756 (
-	.A1(n_3907),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_5018),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [6]),
-	.X(n_6801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393757 (
-	.A1(n_3907),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_5018),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [7]),
-	.X(n_6800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393758 (
-	.A1(n_3840),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4335),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [1]),
-	.X(n_6799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393759 (
-	.A1(n_3840),
-	.A2(n_1237),
-	.B1(n_4335),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [2]),
-	.X(n_6798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393760 (
-	.A1(n_3840),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4335),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [3]),
-	.X(n_6797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393761 (
-	.A1(n_3840),
-	.A2(n_1057),
-	.B1(n_4335),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [4]),
-	.X(n_6796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393762 (
-	.A1(n_3840),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4335),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [5]),
-	.X(n_6795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393763 (
-	.A1(n_3840),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4335),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [6]),
-	.X(n_6794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393764 (
-	.A1(n_3840),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4335),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [7]),
-	.X(n_6793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393765 (
-	.A1(n_3841),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4336),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [1]),
-	.X(n_6792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393766 (
-	.A1(n_3841),
-	.A2(n_1237),
-	.B1(n_4336),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [2]),
-	.X(n_6791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393767 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
-	.A2_N(n_3266),
-	.B1(FE_OFN1322_n_4531),
-	.B2(n_3739),
-	.Y(n_6790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393768 (
-	.A1(n_3841),
-	.A2(n_1057),
-	.B1(n_4336),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [4]),
-	.X(n_6789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393769 (
-	.A1(n_3841),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4336),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [6]),
-	.X(n_6788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393770 (
-	.A1(n_3841),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4336),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [7]),
-	.X(n_6787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393771 (
-	.A1(n_3796),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4449),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [1]),
-	.X(n_6786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393772 (
-	.A1(n_3796),
-	.A2(n_1237),
-	.B1(n_4449),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [2]),
-	.X(n_6785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393773 (
-	.A1(n_3796),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4449),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [3]),
-	.X(n_6784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393774 (
-	.A1(n_3796),
-	.A2(n_1057),
-	.B1(n_4449),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [4]),
-	.X(n_6783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393775 (
-	.A1(n_3796),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4449),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [5]),
-	.X(n_6782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393776 (
-	.A1(n_3796),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4449),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [6]),
-	.X(n_6781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393777 (
-	.A1(n_3796),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4449),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [7]),
-	.X(n_6780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393778 (
-	.A1(n_3797),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4357),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [1]),
-	.X(n_6779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393779 (
-	.A1(n_3797),
-	.A2(n_1237),
-	.B1(n_4357),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [2]),
-	.X(n_6778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393780 (
-	.A1(n_3797),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4357),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [3]),
-	.X(n_6777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393781 (
-	.A1(n_3797),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4357),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [5]),
-	.X(n_6776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393782 (
-	.A1(n_3797),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4357),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [6]),
-	.X(n_6775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393783 (
-	.A1(n_3797),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4357),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [7]),
-	.X(n_6774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393784 (
-	.A1(n_3795),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4476),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [1]),
-	.X(n_6773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393785 (
-	.A1(n_3795),
-	.A2(n_1237),
-	.B1(n_4476),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [2]),
-	.X(n_6772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393786 (
-	.A1(n_3795),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4476),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [3]),
-	.X(n_6771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393787 (
-	.A1(n_3795),
-	.A2(n_1057),
-	.B1(n_4476),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [4]),
-	.X(n_6770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393788 (
-	.A1(n_3795),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4476),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [5]),
-	.X(n_6769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393789 (
-	.A1(n_3795),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4476),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [6]),
-	.X(n_6768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393790 (
-	.A1(n_3795),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4476),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [7]),
-	.X(n_6767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393791 (
-	.A1(n_3798),
-	.A2(n_1237),
-	.B1(n_4479),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [2]),
-	.X(n_6766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393792 (
-	.A1(n_3798),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4479),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [3]),
-	.X(n_6765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393793 (
-	.A1(n_3798),
-	.A2(n_1057),
-	.B1(n_4479),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [4]),
-	.X(n_6764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393794 (
-	.A1(n_3798),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4479),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [6]),
-	.X(n_6763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393795 (
-	.A1(n_1054),
-	.A2(n_3871),
-	.B1(n_6513),
-	.Y(n_6762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393796 (
-	.A1(n_1238),
-	.A2(n_3871),
-	.B1(n_6319),
-	.Y(n_6761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393797 (
-	.A1(n_1056),
-	.A2(n_3871),
-	.B1(n_6514),
-	.Y(n_6760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393798 (
-	.A1(n_1058),
-	.A2(n_3871),
-	.B1(n_6205),
-	.Y(n_6759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393799 (
-	.A1(n_1236),
-	.A2(n_3871),
-	.B1(n_6515),
-	.Y(n_6758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393800 (
-	.A1(FE_OFN1460_n_1240),
-	.A2(n_3871),
-	.B1(n_6516),
-	.Y(n_6757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393801 (
-	.A1(n_1234),
-	.A2(n_3871),
-	.B1(n_6517),
-	.Y(n_6756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393802 (
-	.A1(n_3928),
-	.A2(n_1237),
-	.B1(n_4970),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [2]),
-	.X(n_6755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393803 (
-	.A1(n_3928),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4970),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [3]),
-	.X(n_6754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393804 (
-	.A1(n_3928),
-	.A2(n_1057),
-	.B1(n_4970),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [4]),
-	.X(n_6753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393805 (
-	.A1(n_3928),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4970),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [5]),
-	.X(n_6752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393806 (
-	.A1(n_3928),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4970),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [7]),
-	.X(n_6751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393807 (
-	.A1(n_3884),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4302),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [1]),
-	.X(n_6750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393808 (
-	.A1(n_3884),
-	.A2(n_1237),
-	.B1(n_4302),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [2]),
-	.X(n_6749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393809 (
-	.A1(n_3884),
-	.A2(n_1057),
-	.B1(n_4302),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [4]),
-	.X(n_6748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393810 (
-	.A1(n_3884),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4302),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [6]),
-	.X(n_6747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393811 (
-	.A1(n_3884),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4302),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [7]),
-	.X(n_6746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393812 (
-	.A1(n_3885),
-	.A2(n_1237),
-	.B1(n_5022),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [2]),
-	.X(n_6745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393813 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
-	.A2_N(n_3266),
-	.B1(FE_OFN1328_n_4547),
-	.B2(n_3739),
-	.Y(n_6744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393814 (
-	.A1(n_3885),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_5022),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [3]),
-	.X(n_6743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393815 (
-	.A1(n_3582),
-	.A2(n_1055),
-	.B1(n_4447),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [3]),
-	.X(n_6742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393816 (
-	.A1(n_3885),
-	.A2(n_1057),
-	.B1(n_5022),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [4]),
-	.X(n_6741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393817 (
-	.A1(n_3885),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_5022),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [5]),
-	.X(n_6740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393818 (
-	.A1(n_3885),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_5022),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [6]),
-	.X(n_6739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393819 (
-	.A1(n_3852),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4372),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [1]),
-	.X(n_6738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393820 (
-	.A1(n_3852),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4372),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [2]),
-	.X(n_6737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393821 (
-	.A1(n_3852),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4372),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [3]),
-	.X(n_6736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393822 (
-	.A1(n_3852),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_4372),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [4]),
-	.X(n_6735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393823 (
-	.A1(n_3852),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4372),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [5]),
-	.X(n_6734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393824 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
-	.A2_N(n_3266),
-	.B1(FE_OFN1330_n_4555),
-	.B2(n_3739),
-	.Y(n_6733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393825 (
-	.A1(n_3860),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4307),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [1]),
-	.X(n_6732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393826 (
-	.A1(n_3860),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4307),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [2]),
-	.X(n_6731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393827 (
-	.A1(n_3860),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4307),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [3]),
-	.X(n_6730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393828 (
-	.A1(n_3860),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4307),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [5]),
-	.X(n_6729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393829 (
-	.A1(n_3860),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4307),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [7]),
-	.X(n_6728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393830 (
-	.A1(n_3858),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4413),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [2]),
-	.X(n_6727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393831 (
-	.A1(n_3858),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4413),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [6]),
-	.X(n_6726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393832 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
-	.A2_N(n_3266),
-	.B1(n_4540),
-	.B2(n_3739),
-	.Y(n_6725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393833 (
-	.A1(n_3854),
-	.A2(n_1237),
-	.B1(n_4412),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [2]),
-	.X(n_6724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393834 (
-	.A1(n_3854),
-	.A2(n_1057),
-	.B1(n_4412),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [4]),
-	.X(n_6723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393835 (
-	.A1(n_3854),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4412),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [5]),
-	.X(n_6722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393836 (
-	.A1(n_3854),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4412),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [6]),
-	.X(n_6721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393837 (
-	.A1(n_3854),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4412),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [7]),
-	.X(n_6720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393838 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3825),
-	.B1(n_6525),
-	.Y(n_6719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393839 (
-	.A1(FE_OFN1456_n_1238),
-	.A2(n_3825),
-	.B1(n_6526),
-	.Y(n_6718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393840 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3825),
-	.B1(n_6527),
-	.Y(n_6717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393841 (
-	.A1(FE_OFN1447_n_1058),
-	.A2(n_3825),
-	.B1(n_6528),
-	.Y(n_6716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393842 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3825),
-	.B1(n_6529),
-	.Y(n_6715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393843 (
-	.A1(n_1240),
-	.A2(n_3825),
-	.B1(n_6530),
-	.Y(n_6714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393844 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3825),
-	.B1(n_6531),
-	.Y(n_6713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393845 (
-	.A1(n_3856),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_5019),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [1]),
-	.X(n_6712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393846 (
-	.A1(n_3856),
-	.A2(n_1237),
-	.B1(n_5019),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [2]),
-	.X(n_6711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393847 (
-	.A1(n_3856),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_5019),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [3]),
-	.X(n_6710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393848 (
-	.A1(n_3856),
-	.A2(n_1057),
-	.B1(n_5019),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [4]),
-	.X(n_6709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393849 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3889),
-	.B1(n_6105),
-	.Y(n_6708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393850 (
-	.A1(n_3856),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_5019),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [6]),
-	.X(n_6707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393851 (
-	.A1(n_3856),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_5019),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [7]),
-	.X(n_6706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393852 (
-	.A1(n_3772),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4360),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [1]),
-	.X(n_6705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393853 (
-	.A1(n_3738),
-	.A2(n_4556),
-	.B1(n_3266),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
-	.X(n_6704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393854 (
-	.A1(n_3772),
-	.A2(n_1057),
-	.B1(n_4360),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [4]),
-	.X(n_6703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393855 (
-	.A1(n_3772),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4360),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [5]),
-	.X(n_6702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393856 (
-	.A1(n_3772),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4360),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [6]),
-	.X(n_6701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393857 (
-	.A1(n_3772),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4360),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [7]),
-	.X(n_6700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393858 (
-	.A1(n_3771),
-	.A2(n_1237),
-	.B1(n_4359),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [2]),
-	.X(n_6699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393859 (
-	.A1(n_3771),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4359),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [3]),
-	.X(n_6698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393860 (
-	.A1(n_3771),
-	.A2(n_1057),
-	.B1(n_4359),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [4]),
-	.X(n_6697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393861 (
-	.A1(n_3771),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4359),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [5]),
-	.X(n_6696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393862 (
-	.A1(n_3771),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4359),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [7]),
-	.X(n_6695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393863 (
-	.A1(n_3855),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4480),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [1]),
-	.X(n_6694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393864 (
-	.A1(n_3855),
-	.A2(n_1237),
-	.B1(n_4480),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [2]),
-	.X(n_6693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393865 (
-	.A1(n_3855),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4480),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [3]),
-	.X(n_6692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393866 (
-	.A1(n_3855),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4480),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [7]),
-	.X(n_6691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393867 (
-	.A1(n_3853),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4400),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [1]),
-	.X(n_6690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393868 (
-	.A1(n_3853),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4400),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [3]),
-	.X(n_6689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393869 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3863),
-	.B1(n_6452),
-	.Y(n_6688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393870 (
-	.A1(n_3853),
-	.A2(n_1057),
-	.B1(n_4400),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [4]),
-	.X(n_6687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393871 (
-	.A1(n_3853),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4400),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [6]),
-	.X(n_6686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393872 (
-	.A1(n_3853),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4400),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [7]),
-	.X(n_6685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393873 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3863),
-	.B1(n_6121),
-	.Y(n_6684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393874 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [2]),
-	.A2_N(n_4295),
-	.B1(n_1238),
-	.B2(n_3785),
-	.Y(n_6683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393875 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [4]),
-	.A2_N(n_4295),
-	.B1(n_1058),
-	.B2(n_3785),
-	.Y(n_6682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393876 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [7]),
-	.A2_N(n_4295),
-	.B1(n_1234),
-	.B2(n_3785),
-	.Y(n_6681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393877 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [2]),
-	.A2_N(n_4488),
-	.B1(n_1238),
-	.B2(n_3781),
-	.Y(n_6680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393878 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [3]),
-	.A2_N(n_4488),
-	.B1(n_1056),
-	.B2(n_3781),
-	.Y(n_6679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393879 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [4]),
-	.A2_N(n_4488),
-	.B1(n_1058),
-	.B2(n_3781),
-	.Y(n_6678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393880 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [5]),
-	.A2_N(n_4488),
-	.B1(n_1236),
-	.B2(n_3781),
-	.Y(n_6677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393881 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [7]),
-	.A2_N(n_4488),
-	.B1(n_1234),
-	.B2(n_3781),
-	.Y(n_6676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393882 (
-	.A1(n_1058),
-	.A2(n_3870),
-	.B1(n_6540),
-	.Y(n_6675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393883 (
-	.A1(n_1056),
-	.A2(n_3870),
-	.B1(n_6539),
-	.Y(n_6674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393884 (
-	.A1(FE_OFN1460_n_1240),
-	.A2(n_3870),
-	.B1(n_6542),
-	.Y(n_6673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393885 (
-	.A1(n_1234),
-	.A2(n_3870),
-	.B1(n_6543),
-	.Y(n_6672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393886 (
-	.A1(n_1058),
-	.A2(n_3832),
-	.B1(n_6547),
-	.Y(n_6671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393887 (
-	.A1(n_3901),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_5024),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [1]),
-	.X(n_6670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393888 (
-	.A1(n_3901),
-	.A2(n_1237),
-	.B1(n_5024),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [2]),
-	.X(n_6669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393889 (
-	.A1(n_3901),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_5024),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [3]),
-	.X(n_6668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393890 (
-	.A1(n_3901),
-	.A2(n_1057),
-	.B1(n_5024),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [4]),
-	.X(n_6667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393891 (
-	.A1(n_3901),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_5024),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [5]),
-	.X(n_6666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393892 (
-	.A1(n_3901),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_5024),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [6]),
-	.X(n_6665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393893 (
-	.A1(n_3901),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_5024),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [7]),
-	.X(n_6664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393894 (
-	.A1(n_3803),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4353),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [1]),
-	.X(n_6663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393895 (
-	.A1(n_3803),
-	.A2(n_1237),
-	.B1(n_4353),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [2]),
-	.X(n_6662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393896 (
-	.A1(n_1236),
-	.A2(n_3870),
-	.B1(n_6541),
-	.Y(n_6661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393897 (
-	.A1(n_3803),
-	.A2(n_1057),
-	.B1(n_4353),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [4]),
-	.X(n_6660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393898 (
-	.A1(n_3803),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4353),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [5]),
-	.X(n_6659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393899 (
-	.A1(n_3803),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4353),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [6]),
-	.X(n_6658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393900 (
-	.A1(n_3803),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4353),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [7]),
-	.X(n_6657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393901 (
-	.A1(n_3610),
-	.A2(n_1237),
-	.B1(n_4465),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [2]),
-	.X(n_6656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393902 (
-	.A1(n_3799),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4352),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [1]),
-	.X(n_6655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393903 (
-	.A1(n_3799),
-	.A2(n_1237),
-	.B1(n_4352),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [2]),
-	.X(n_6654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393904 (
-	.A1(n_3799),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4352),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [3]),
-	.X(n_6653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393905 (
-	.A1(n_3799),
-	.A2(n_1057),
-	.B1(n_4352),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [4]),
-	.X(n_6652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393906 (
-	.A1(n_3799),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4352),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [5]),
-	.X(n_6651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393907 (
-	.A1(n_3799),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4352),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [6]),
-	.X(n_6650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393908 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3919),
-	.B1(n_6084),
-	.Y(n_6649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393909 (
-	.A1(n_3799),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4352),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [7]),
-	.X(n_6648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393910 (
-	.A1(n_3615),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4474),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [1]),
-	.X(n_6647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393911 (
-	.A1(n_3615),
-	.A2(n_1237),
-	.B1(n_4474),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [2]),
-	.X(n_6646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393912 (
-	.A1(n_3615),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4474),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [3]),
-	.X(n_6645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393913 (
-	.A1(n_3615),
-	.A2(n_1057),
-	.B1(n_4474),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [4]),
-	.X(n_6644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393914 (
-	.A1(n_3615),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4474),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [5]),
-	.X(n_6643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393915 (
-	.A1(n_3615),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4474),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [6]),
-	.X(n_6642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393916 (
-	.A1(n_3615),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4474),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [7]),
-	.X(n_6641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393917 (
-	.A1(n_3618),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4396),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [1]),
-	.X(n_6640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393918 (
-	.A1(n_3618),
-	.A2(n_1237),
-	.B1(n_4396),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [2]),
-	.X(n_6639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393919 (
-	.A1(n_3618),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4396),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [3]),
-	.X(n_6638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393920 (
-	.A1(n_3618),
-	.A2(n_1057),
-	.B1(n_4396),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [4]),
-	.X(n_6637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393921 (
-	.A1(n_3618),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4396),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [5]),
-	.X(n_6636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393922 (
-	.A1(n_3618),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4396),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [6]),
-	.X(n_6635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393923 (
-	.A1(n_3618),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4396),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [7]),
-	.X(n_6634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393924 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [1]),
-	.A2_N(n_4301),
-	.B1(FE_OFN1441_n_1054),
-	.B2(n_3605),
-	.Y(n_6633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393925 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [2]),
-	.A2_N(n_4301),
-	.B1(n_1238),
-	.B2(n_3605),
-	.Y(n_6632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393926 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [3]),
-	.A2_N(n_4301),
-	.B1(n_1056),
-	.B2(n_3605),
-	.Y(n_6631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393927 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [4]),
-	.A2_N(n_4301),
-	.B1(n_1058),
-	.B2(n_3605),
-	.Y(n_6630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393928 (
-	.A1(n_3894),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_4991),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [2]),
-	.X(n_6629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393929 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [6]),
-	.A2_N(n_4301),
-	.B1(FE_OFN1460_n_1240),
-	.B2(n_3605),
-	.Y(n_6628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393930 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [7]),
-	.A2_N(n_4301),
-	.B1(n_1234),
-	.B2(n_3605),
-	.Y(n_6627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393931 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [1]),
-	.A2_N(n_4492),
-	.B1(n_1054),
-	.B2(n_3607),
-	.Y(n_6626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393932 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [2]),
-	.A2_N(n_4492),
-	.B1(n_1238),
-	.B2(n_3607),
-	.Y(n_6625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393933 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [3]),
-	.A2_N(n_4492),
-	.B1(n_1056),
-	.B2(n_3607),
-	.Y(n_6624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393934 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [4]),
-	.A2_N(n_4492),
-	.B1(n_1058),
-	.B2(n_3607),
-	.Y(n_6623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393935 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [5]),
-	.A2_N(n_4492),
-	.B1(FE_OFN1454_n_1236),
-	.B2(n_3607),
-	.Y(n_6622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393936 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [6]),
-	.A2_N(n_4492),
-	.B1(FE_OFN1460_n_1240),
-	.B2(n_3607),
-	.Y(n_6621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393937 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [7]),
-	.A2_N(n_4492),
-	.B1(n_1234),
-	.B2(n_3607),
-	.Y(n_6620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393938 (
-	.A1(FE_OFN1441_n_1054),
-	.A2(n_3826),
-	.B1(n_6433),
-	.Y(n_6619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393939 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3837),
-	.B1(n_5871),
-	.Y(n_6618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393940 (
-	.A1(n_1238),
-	.A2(n_3826),
-	.B1(n_6371),
-	.Y(n_6617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393941 (
-	.A1(n_1056),
-	.A2(n_3826),
-	.B1(n_6500),
-	.Y(n_6616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393942 (
-	.A1(n_1058),
-	.A2(n_3826),
-	.B1(n_6382),
-	.Y(n_6615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393943 (
-	.A1(FE_OFN1454_n_1236),
-	.A2(n_3826),
-	.B1(n_6445),
-	.Y(n_6614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393944 (
-	.A1(n_1234),
-	.A2(n_3826),
-	.B1(n_6168),
-	.Y(n_6613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393945 (
-	.A1(n_3827),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4350),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [1]),
-	.X(n_6612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393946 (
-	.A1(n_3827),
-	.A2(n_1237),
-	.B1(n_4350),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [2]),
-	.X(n_6611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393947 (
-	.A1(n_3827),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4350),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [3]),
-	.X(n_6610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393948 (
-	.A1(n_3827),
-	.A2(n_1057),
-	.B1(n_4350),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [4]),
-	.X(n_6609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393949 (
-	.A1(n_3827),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4350),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [5]),
-	.X(n_6608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393950 (
-	.A1(n_3827),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4350),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [6]),
-	.X(n_6607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393951 (
-	.A1(n_3610),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4465),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [1]),
-	.X(n_6606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393952 (
-	.A1(n_3610),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4465),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [3]),
-	.X(n_6605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393953 (
-	.A1(n_3610),
-	.A2(n_1057),
-	.B1(n_4465),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [4]),
-	.X(n_6604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393954 (
-	.A1(n_3610),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4465),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [6]),
-	.X(n_6603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393955 (
-	.A1(n_3610),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4465),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [7]),
-	.X(n_6602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393956 (
-	.A1(n_3603),
-	.A2(FE_OFN1569_n_1053),
-	.B1(n_4466),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [1]),
-	.X(n_6601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393957 (
-	.A1(n_3603),
-	.A2(n_1237),
-	.B1(n_4466),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [2]),
-	.X(n_6600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393958 (
-	.A1(n_3603),
-	.A2(FE_OFN1571_n_1055),
-	.B1(n_4466),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [3]),
-	.X(n_6599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393959 (
-	.A1(n_3603),
-	.A2(n_1057),
-	.B1(n_4466),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [4]),
-	.X(n_6598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393960 (
-	.A1(n_3603),
-	.A2(FE_OFN1575_n_1235),
-	.B1(n_4466),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [5]),
-	.X(n_6597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393961 (
-	.A1(n_3603),
-	.A2(FE_OFN1577_n_1239),
-	.B1(n_4466),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [6]),
-	.X(n_6596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g393962 (
-	.A1(n_3603),
-	.A2(FE_OFN1573_n_1233),
-	.B1(n_4466),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [7]),
-	.X(n_6595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393963 (
-	.A1(n_1238),
-	.A2(n_3597),
-	.B1(n_6430),
-	.Y(n_6594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393964 (
-	.A1(n_1056),
-	.A2(n_3597),
-	.B1(n_6196),
-	.Y(n_6593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393965 (
-	.A1(n_1058),
-	.A2(n_3597),
-	.B1(n_6187),
-	.Y(n_6592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393966 (
-	.A1(FE_OFN1444_n_1056),
-	.A2(n_3914),
-	.B1(n_6549),
-	.Y(n_6591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g393967 (
-	.A1(n_1236),
-	.A2(n_3597),
-	.B1(n_6194),
-	.Y(n_6590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g393968 (
-	.A1_N(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [6]),
-	.A2_N(n_4488),
-	.B1(FE_OFN1460_n_1240),
-	.B2(n_3781),
-	.Y(n_6589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g393969 (
-	.A(n_3961),
-	.Y(n_6588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g393971 (
-	.A(n_6567),
-	.Y(n_6566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g393972 (
-	.A(FE_OFN1024_n_6565),
-	.Y(n_6564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393973 (
-	.A(n_4415),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [6]),
-	.Y(n_6558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g393974 (
-	.A(FE_OFN1793_n_288),
-	.B(n_5050),
-	.X(n_6557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393975 (
-	.A(n_5021),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
-	.Y(n_6556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393976 (
-	.A(n_5021),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
-	.Y(n_6555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393977 (
-	.A(n_4378),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [5]),
-	.Y(n_6554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393978 (
-	.A(n_4310),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [7]),
-	.Y(n_6553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393979 (
-	.A(n_4956),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [7]),
-	.Y(n_6552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393980 (
-	.A(n_4461),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [2]),
-	.Y(n_6551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393981 (
-	.A(n_4378),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [6]),
-	.Y(n_6550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393982 (
-	.A(n_5013),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [3]),
-	.Y(n_6549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393983 (
-	.A(n_4461),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [6]),
-	.Y(n_6548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393984 (
-	.A(n_4378),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [4]),
-	.Y(n_6547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393985 (
-	.A(n_4310),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [4]),
-	.Y(n_6546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393986 (
-	.A(n_5013),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [4]),
-	.Y(n_6545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393987 (
-	.A(n_4952),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [2]),
-	.Y(n_6544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393988 (
-	.A(n_4398),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [7]),
-	.Y(n_6543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393989 (
-	.A(n_4398),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [6]),
-	.Y(n_6542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393990 (
-	.A(n_4398),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [5]),
-	.Y(n_6541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393991 (
-	.A(n_4398),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [4]),
-	.Y(n_6540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393992 (
-	.A(n_4398),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [3]),
-	.Y(n_6539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393993 (
-	.A(n_4398),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [2]),
-	.Y(n_6538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393994 (
-	.A(n_4398),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [1]),
-	.Y(n_6537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393995 (
-	.A(n_4952),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [3]),
-	.Y(n_6536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393996 (
-	.A(n_4406),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [6]),
-	.Y(n_6535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393997 (
-	.A(n_4380),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [1]),
-	.Y(n_6534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393998 (
-	.A(n_4984),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [5]),
-	.Y(n_6533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g393999 (
-	.A(n_4380),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [2]),
-	.Y(n_6532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394000 (
-	.A(n_4482),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [7]),
-	.Y(n_6531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394001 (
-	.A(n_4482),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [6]),
-	.Y(n_6530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394002 (
-	.A(n_4482),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [5]),
-	.Y(n_6529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394003 (
-	.A(n_4482),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [4]),
-	.Y(n_6528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394004 (
-	.A(n_4482),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [3]),
-	.Y(n_6527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394005 (
-	.A(n_4482),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [2]),
-	.Y(n_6526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394006 (
-	.A(n_4482),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [1]),
-	.Y(n_6525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394007 (
-	.A(n_4956),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [6]),
-	.Y(n_6524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394008 (
-	.A(n_4364),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [3]),
-	.Y(n_6523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394009 (
-	.A(n_4331),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [4]),
-	.Y(n_6522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394010 (
-	.A(n_4364),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [2]),
-	.Y(n_6521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394011 (
-	.A(n_5013),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [1]),
-	.Y(n_6520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394012 (
-	.A(n_4417),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [2]),
-	.Y(n_6519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394013 (
-	.A(n_4378),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [3]),
-	.Y(n_6518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394014 (
-	.A(n_4429),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [7]),
-	.Y(n_6517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394015 (
-	.A(n_4429),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [6]),
-	.Y(n_6516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394016 (
-	.A(n_4429),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [5]),
-	.Y(n_6515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394017 (
-	.A(n_4429),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [3]),
-	.Y(n_6514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394018 (
-	.A(n_4429),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [1]),
-	.Y(n_6513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394019 (
-	.A(n_4364),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [5]),
-	.Y(n_6512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394020 (
-	.A(n_4331),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [7]),
-	.Y(n_6511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394021 (
-	.A(n_4437),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [6]),
-	.Y(n_6510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394022 (
-	.A(n_4417),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [6]),
-	.Y(n_6509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394023 (
-	.A(n_4417),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [3]),
-	.Y(n_6508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394024 (
-	.A(n_4376),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [7]),
-	.Y(n_6507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394025 (
-	.A(n_4331),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [6]),
-	.Y(n_6506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394026 (
-	.A(n_4410),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [4]),
-	.Y(n_6505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394027 (
-	.A(n_4932),
-	.B(\u_top_u_core_imd_val_q_ex[1] [31]),
-	.Y(n_6504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394028 (
-	.A(n_4969),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [5]),
-	.Y(n_6503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394029 (
-	.A(n_4316),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [6]),
-	.Y(n_6502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394030 (
-	.A(n_4316),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [2]),
-	.Y(n_6501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394031 (
-	.A(n_4384),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [3]),
-	.Y(n_6500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394032 (
-	.A(n_4459),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [7]),
-	.Y(n_6499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394033 (
-	.A(n_4459),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [3]),
-	.Y(n_6498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394034 (
-	.A(n_4427),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [5]),
-	.Y(n_6497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394035 (
-	.A(n_4986),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [7]),
-	.Y(n_6496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394036 (
-	.A(n_4986),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [4]),
-	.Y(n_6495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394037 (
-	.A(n_4986),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [2]),
-	.Y(n_6494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394038 (
-	.A(n_4954),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [7]),
-	.Y(n_6493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394039 (
-	.A(n_4954),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [6]),
-	.Y(n_6492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394040 (
-	.A(n_4954),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [4]),
-	.Y(n_6491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394041 (
-	.A(n_4487),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [7]),
-	.Y(n_6490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394042 (
-	.A(n_4954),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [3]),
-	.Y(n_6489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394043 (
-	.A(n_4954),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [2]),
-	.Y(n_6488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394044 (
-	.A(n_4304),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [5]),
-	.Y(n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394045 (
-	.A(n_4954),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [1]),
-	.Y(n_6486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394046 (
-	.A(n_4282),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [5]),
-	.Y(n_6485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394047 (
-	.A(n_4956),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [5]),
-	.Y(n_6484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394048 (
-	.A(n_4402),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [1]),
-	.Y(n_6483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394049 (
-	.A(n_4956),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [4]),
-	.Y(n_6482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394050 (
-	.A(n_4406),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [3]),
-	.Y(n_6481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394051 (
-	.A(n_4984),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [3]),
-	.Y(n_6480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394052 (
-	.A(n_4286),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [7]),
-	.Y(n_6479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394053 (
-	.A(n_4956),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [3]),
-	.Y(n_6478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394054 (
-	.A(n_4406),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [1]),
-	.Y(n_6477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394055 (
-	.A(n_4984),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [2]),
-	.Y(n_6476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394056 (
-	.A(n_4960),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [1]),
-	.Y(n_6475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394057 (
-	.A(n_4956),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [1]),
-	.Y(n_6474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394058 (
-	.A(n_4984),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [1]),
-	.Y(n_6473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394059 (
-	.A(n_4282),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [7]),
-	.Y(n_6472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394060 (
-	.A(n_4286),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [5]),
-	.Y(n_6471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394061 (
-	.A(n_4286),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [6]),
-	.Y(n_6470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394062 (
-	.A(n_4967),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [6]),
-	.Y(n_6469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394063 (
-	.A(n_4342),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [6]),
-	.Y(n_6468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394064 (
-	.A(n_4282),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [6]),
-	.Y(n_6467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394065 (
-	.A(n_4485),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [2]),
-	.Y(n_6466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394066 (
-	.A(n_4402),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [5]),
-	.Y(n_6465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394067 (
-	.A(n_4446),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [6]),
-	.Y(n_6464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394068 (
-	.A(n_4286),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [4]),
-	.Y(n_6463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394069 (
-	.A(n_4402),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [6]),
-	.Y(n_6462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394070 (
-	.A(n_4402),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [3]),
-	.Y(n_6461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394071 (
-	.A(n_4996),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [3]),
-	.Y(n_6460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394072 (
-	.A(n_4402),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [4]),
-	.Y(n_6459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394073 (
-	.A(n_4455),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [2]),
-	.Y(n_6458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394074 (
-	.A(n_4455),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [4]),
-	.Y(n_6457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394075 (
-	.A(n_4276),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [2]),
-	.Y(n_6456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394076 (
-	.A(n_4402),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [2]),
-	.Y(n_6455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394077 (
-	.A(n_4986),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [1]),
-	.Y(n_6454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394078 (
-	.A(n_4455),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [1]),
-	.Y(n_6453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394079 (
-	.A(n_4323),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [4]),
-	.Y(n_6452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394080 (
-	.A(n_4996),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [1]),
-	.Y(n_6451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394081 (
-	.A(n_4960),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [6]),
-	.Y(n_6450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394082 (
-	.A(n_4417),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [1]),
-	.Y(n_6449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394083 (
-	.A(n_4996),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [2]),
-	.Y(n_6448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394084 (
-	.A(n_4425),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [6]),
-	.Y(n_6447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394085 (
-	.A(n_4988),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [4]),
-	.Y(n_6446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394086 (
-	.A(n_4384),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [5]),
-	.Y(n_6445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394087 (
-	.A(n_4950),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [4]),
-	.Y(n_6444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394088 (
-	.A(n_4967),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [2]),
-	.Y(n_6443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394089 (
-	.A(n_4306),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [4]),
-	.Y(n_6442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394090 (
-	.A(n_4378),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [2]),
-	.Y(n_6441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394091 (
-	.A(n_4321),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [6]),
-	.Y(n_6440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394092 (
-	.A(n_4408),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [1]),
-	.Y(n_6439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394093 (
-	.A(n_4408),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [2]),
-	.Y(n_6438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394094 (
-	.A(n_4408),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [3]),
-	.Y(n_6437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394095 (
-	.A(n_4408),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [5]),
-	.Y(n_6436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394096 (
-	.A(n_5026),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [7]),
-	.Y(n_6435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394097 (
-	.A(n_4286),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [2]),
-	.Y(n_6434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394098 (
-	.A(n_4384),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [1]),
-	.Y(n_6433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394099 (
-	.A(n_4459),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [1]),
-	.Y(n_6432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394100 (
-	.A(n_4441),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [1]),
-	.Y(n_6431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394101 (
-	.A(n_4431),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [2]),
-	.Y(n_6430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394102 (
-	.A(n_5013),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [7]),
-	.Y(n_6429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394103 (
-	.A(n_4286),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [3]),
-	.Y(n_6428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394104 (
-	.A(n_4996),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [6]),
-	.Y(n_6427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394105 (
-	.A(n_4364),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [6]),
-	.Y(n_6426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394106 (
-	.A(n_4982),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [5]),
-	.Y(n_6425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394107 (
-	.A(n_4292),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [5]),
-	.Y(n_6424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394108 (
-	.A(n_4410),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [2]),
-	.Y(n_6423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394109 (
-	.A(n_4967),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [4]),
-	.Y(n_6422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394110 (
-	.A(n_4327),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [6]),
-	.Y(n_6421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394111 (
-	.A(n_4327),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [7]),
-	.Y(n_6420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394112 (
-	.A(n_4950),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [6]),
-	.Y(n_6419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394113 (
-	.A(n_4967),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [3]),
-	.Y(n_6418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394114 (
-	.A(n_4327),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [4]),
-	.Y(n_6417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394115 (
-	.A(n_4958),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [6]),
-	.Y(n_6416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394116 (
-	.A(n_4994),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [7]),
-	.Y(n_6415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394117 (
-	.A(n_4967),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [1]),
-	.Y(n_6414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394118 (
-	.A(n_4994),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [5]),
-	.Y(n_6413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394119 (
-	.A(n_4088),
-	.B(n_1251),
-	.Y(n_6412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394120 (
-	.A(n_4327),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [3]),
-	.Y(n_6411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394121 (
-	.A(n_4948),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [7]),
-	.Y(n_6410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394122 (
-	.A(n_4316),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [5]),
-	.Y(n_6409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394123 (
-	.A(n_4327),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [2]),
-	.Y(n_6408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394124 (
-	.A(n_4982),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [7]),
-	.Y(n_6407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394125 (
-	.A(n_4327),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [1]),
-	.Y(n_6406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394126 (
-	.A(n_4977),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [4]),
-	.Y(n_6405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394127 (
-	.A(n_4282),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [4]),
-	.Y(n_6404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394128 (
-	.A(n_4282),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [3]),
-	.Y(n_6403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394129 (
-	.A(n_4417),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [4]),
-	.Y(n_6402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394130 (
-	.A(n_4282),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [2]),
-	.Y(n_6401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394131 (
-	.A(n_4435),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [5]),
-	.Y(n_6400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394132 (
-	.A(n_4446),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [5]),
-	.Y(n_6399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394133 (
-	.A(n_4998),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [7]),
-	.Y(n_6398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394134 (
-	.A(n_4362),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [6]),
-	.Y(n_6397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394135 (
-	.A(n_5004),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [1]),
-	.Y(n_6396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394136 (
-	.A(n_4282),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [1]),
-	.Y(n_6395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394137 (
-	.A(n_4459),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [5]),
-	.Y(n_6394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394138 (
-	.A(n_4380),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [7]),
-	.Y(n_6393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394139 (
-	.A(n_4939),
-	.B(n_1376),
-	.Y(n_6392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394140 (
-	.A(n_5000),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [7]),
-	.Y(n_6391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394141 (
-	.A(n_4380),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [6]),
-	.Y(n_6390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394142 (
-	.A(n_5000),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [6]),
-	.Y(n_6389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394143 (
-	.A(n_4344),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [4]),
-	.Y(n_6388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394144 (
-	.A(n_4316),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [4]),
-	.Y(n_6387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394145 (
-	.A(n_4380),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [3]),
-	.Y(n_6386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394146 (
-	.A(n_5000),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [5]),
-	.Y(n_6385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394147 (
-	.A(n_4441),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [3]),
-	.Y(n_6384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394148 (
-	.A(n_4312),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [1]),
-	.Y(n_6383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394149 (
-	.A(n_4384),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [4]),
-	.Y(n_6382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394150 (
-	.A(n_4364),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [7]),
-	.Y(n_6381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394151 (
-	.A(n_4982),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [4]),
-	.Y(n_6380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394152 (
-	.A(n_4417),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [5]),
-	.Y(n_6379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394153 (
-	.A(n_5038),
-	.B(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [1]),
-	.Y(n_6378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394154 (
-	.A(n_4965),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [4]),
-	.Y(n_6377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394155 (
-	.A(n_5000),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [4]),
-	.Y(n_6376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394156 (
-	.A(FE_OFN988_n_4934),
-	.B(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
-	.Y(n_6375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394157 (
-	.A(n_4487),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [5]),
-	.Y(n_6374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394158 (
-	.A(n_5000),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [2]),
-	.Y(n_6373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394159 (
-	.A(n_4319),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [5]),
-	.Y(n_6372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394160 (
-	.A(n_4384),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [2]),
-	.Y(n_6371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394161 (
-	.A(n_5038),
-	.B(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [2]),
-	.Y(n_6370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394162 (
-	.A(n_4457),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [1]),
-	.Y(n_6369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394163 (
-	.A(n_5038),
-	.B(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [3]),
-	.Y(n_6368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394164 (
-	.A(n_4939),
-	.B(n_1368),
-	.Y(n_6367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394165 (
-	.A(n_4319),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [3]),
-	.Y(n_6366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394166 (
-	.A(n_4316),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [7]),
-	.Y(n_6365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394167 (
-	.A(n_5038),
-	.B(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [4]),
-	.Y(n_6364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394168 (
-	.A(n_4306),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [5]),
-	.Y(n_6363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394169 (
-	.A(n_4948),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [6]),
-	.Y(n_6362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394170 (
-	.A(n_4306),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [6]),
-	.Y(n_6361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394171 (
-	.A(n_4319),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [1]),
-	.Y(n_6360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394172 (
-	.A(n_5038),
-	.B(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [5]),
-	.Y(n_6359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394173 (
-	.A(n_4319),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [2]),
-	.Y(n_6358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394174 (
-	.A(n_5006),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [5]),
-	.Y(n_6357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394175 (
-	.A(n_5038),
-	.B(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [6]),
-	.Y(n_6356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394176 (
-	.A(n_5006),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [1]),
-	.Y(n_6355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394177 (
-	.A(FE_OFN989_n_4936),
-	.B(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
-	.Y(n_6354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394178 (
-	.A(n_5000),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [1]),
-	.Y(n_6353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394179 (
-	.A(n_4948),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [5]),
-	.Y(n_6352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394180 (
-	.A(n_4446),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [7]),
-	.Y(n_6351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394181 (
-	.A(n_5006),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [4]),
-	.Y(n_6350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394182 (
-	.A(n_4935),
-	.B(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]),
-	.Y(n_6349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394183 (
-	.A(n_4443),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [6]),
-	.Y(n_6348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394184 (
-	.A(n_4419),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [7]),
-	.Y(n_6347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394185 (
-	.A(n_4461),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [7]),
-	.Y(n_6346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394186 (
-	.A(n_4954),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [5]),
-	.Y(n_6345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394187 (
-	.A(n_5006),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [3]),
-	.Y(n_6344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394188 (
-	.A(n_4459),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [4]),
-	.Y(n_6343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394189 (
-	.A(n_4461),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [1]),
-	.Y(n_6342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394190 (
-	.A(n_4333),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [4]),
-	.Y(n_6341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394191 (
-	.A(n_5006),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [7]),
-	.Y(n_6340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394192 (
-	.A(n_4487),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [4]),
-	.Y(n_6339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394193 (
-	.A(n_5006),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [2]),
-	.Y(n_6338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394194 (
-	.A(n_4487),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [3]),
-	.Y(n_6337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394195 (
-	.A(n_4286),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [1]),
-	.Y(n_6336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394196 (
-	.A(n_4451),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [6]),
-	.Y(n_6335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394197 (
-	.A(n_4404),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [7]),
-	.Y(n_6334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394198 (
-	.A(n_4316),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [3]),
-	.Y(n_6333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394199 (
-	.A(n_4284),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [7]),
-	.Y(n_6332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394200 (
-	.A(n_4996),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [7]),
-	.Y(n_6331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394201 (
-	.A(n_4284),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [5]),
-	.Y(n_6330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394202 (
-	.A(n_4443),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [5]),
-	.Y(n_6329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394203 (
-	.A(n_4284),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [6]),
-	.Y(n_6328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394204 (
-	.A(n_4948),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [4]),
-	.Y(n_6327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394205 (
-	.A(n_4284),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [4]),
-	.Y(n_6326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394206 (
-	.A(n_4284),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [3]),
-	.Y(n_6325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394207 (
-	.A(n_4284),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [2]),
-	.Y(n_6324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394208 (
-	.A(n_4292),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [7]),
-	.Y(n_6323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394209 (
-	.A(n_4485),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [6]),
-	.Y(n_6322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394210 (
-	.A(n_4284),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [1]),
-	.Y(n_6321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394211 (
-	.A(n_4996),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [5]),
-	.Y(n_6320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394212 (
-	.A(n_4429),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [2]),
-	.Y(n_6319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394213 (
-	.A(n_4996),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [4]),
-	.Y(n_6318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394214 (
-	.A(n_4408),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [7]),
-	.Y(n_6317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394215 (
-	.A(n_4446),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [1]),
-	.Y(n_6316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394216 (
-	.A(n_4408),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [6]),
-	.Y(n_6315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394217 (
-	.A(n_4404),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [6]),
-	.Y(n_6314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394218 (
-	.A(n_4408),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [4]),
-	.Y(n_6313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394219 (
-	.A(n_4446),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [4]),
-	.Y(n_6312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394220 (
-	.A(n_4948),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [1]),
-	.Y(n_6311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394221 (
-	.A(n_4310),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [2]),
-	.Y(n_6310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394222 (
-	.A(n_4969),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [2]),
-	.Y(n_6309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394223 (
-	.A(n_4321),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [7]),
-	.Y(n_6308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394224 (
-	.A(n_4340),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [7]),
-	.Y(n_6307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394225 (
-	.A(n_4321),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [5]),
-	.Y(n_6306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394226 (
-	.A(n_4340),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [6]),
-	.Y(n_6305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394227 (
-	.A(n_4340),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [5]),
-	.Y(n_6304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394228 (
-	.A(n_4321),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [4]),
-	.Y(n_6303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394229 (
-	.A(n_4459),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [2]),
-	.Y(n_6302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394230 (
-	.A(n_4321),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [3]),
-	.Y(n_6301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394231 (
-	.A(n_4310),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [1]),
-	.Y(n_6300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394232 (
-	.A(n_4404),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [5]),
-	.Y(n_6299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394233 (
-	.A(n_4321),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [2]),
-	.Y(n_6298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394234 (
-	.A(n_4321),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [1]),
-	.Y(n_6297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394235 (
-	.A(n_4969),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [6]),
-	.Y(n_6296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394236 (
-	.A(n_4419),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [5]),
-	.Y(n_6295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394237 (
-	.A(n_4433),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [7]),
-	.Y(n_6294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394238 (
-	.A(n_4433),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [6]),
-	.Y(n_6293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394239 (
-	.A(n_4433),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [4]),
-	.Y(n_6292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394240 (
-	.A(n_4433),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [5]),
-	.Y(n_6291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394241 (
-	.A(n_4319),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [7]),
-	.Y(n_6290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394242 (
-	.A(n_4433),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [3]),
-	.Y(n_6289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394243 (
-	.A(n_4969),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [1]),
-	.Y(n_6288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394244 (
-	.A(n_4404),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [4]),
-	.Y(n_6287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394245 (
-	.A(n_4433),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [2]),
-	.Y(n_6286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394246 (
-	.A(n_4433),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [1]),
-	.Y(n_6285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394247 (
-	.A(n_4402),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [7]),
-	.Y(n_6284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394248 (
-	.A(n_4439),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [6]),
-	.Y(n_6283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394249 (
-	.A(n_4439),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [7]),
-	.Y(n_6282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394250 (
-	.A(n_4316),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [1]),
-	.Y(n_6281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394251 (
-	.A(n_4950),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [5]),
-	.Y(n_6280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394252 (
-	.A(n_4439),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [5]),
-	.Y(n_6279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394253 (
-	.A(n_4439),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [4]),
-	.Y(n_6278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394254 (
-	.A(n_4340),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [4]),
-	.Y(n_6277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394255 (
-	.A(n_4439),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [3]),
-	.Y(n_6276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394256 (
-	.A(n_4404),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [3]),
-	.Y(n_6275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394257 (
-	.A(n_4340),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [3]),
-	.Y(n_6274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394258 (
-	.A(n_4439),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [2]),
-	.Y(n_6273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394259 (
-	.A(n_4292),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [2]),
-	.Y(n_6272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394260 (
-	.A(n_4340),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [2]),
-	.Y(n_6271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394261 (
-	.A(n_4340),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [1]),
-	.Y(n_6270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394262 (
-	.A(n_4439),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [1]),
-	.Y(n_6269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394263 (
-	.A(n_4419),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [3]),
-	.Y(n_6268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394264 (
-	.A(n_4386),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [6]),
-	.Y(n_6267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394265 (
-	.A(n_4376),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [4]),
-	.Y(n_6266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394266 (
-	.A(n_4419),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [4]),
-	.Y(n_6265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394267 (
-	.A(n_4404),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [2]),
-	.Y(n_6264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394268 (
-	.A(n_4292),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [4]),
-	.Y(n_6263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394269 (
-	.A(n_4404),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [1]),
-	.Y(n_6262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394270 (
-	.A(n_4443),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [4]),
-	.Y(n_6261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394271 (
-	.A(n_4278),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [5]),
-	.Y(n_6260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394272 (
-	.A(n_4485),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [4]),
-	.Y(n_6259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394273 (
-	.A(n_4333),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [7]),
-	.Y(n_6258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394274 (
-	.A(n_4278),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [7]),
-	.Y(n_6257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394275 (
-	.A(n_4278),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [6]),
-	.Y(n_6256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394276 (
-	.A(n_4278),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [4]),
-	.Y(n_6255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394277 (
-	.A(n_4443),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [3]),
-	.Y(n_6254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394278 (
-	.A(n_4278),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [3]),
-	.Y(n_6253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394279 (
-	.A(n_4278),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [1]),
-	.Y(n_6252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394280 (
-	.A(n_4278),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [2]),
-	.Y(n_6251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394281 (
-	.A(n_4388),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [7]),
-	.Y(n_6250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394282 (
-	.A(n_5026),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [6]),
-	.Y(n_6249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394283 (
-	.A(n_5026),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [5]),
-	.Y(n_6248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394284 (
-	.A(n_4388),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [6]),
-	.Y(n_6247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394285 (
-	.A(n_5026),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [4]),
-	.Y(n_6246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394286 (
-	.A(n_4388),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [5]),
-	.Y(n_6245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394287 (
-	.A(n_5026),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [3]),
-	.Y(n_6244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394288 (
-	.A(n_4388),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [4]),
-	.Y(n_6243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394289 (
-	.A(n_4374),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [4]),
-	.Y(n_6242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394290 (
-	.A(n_4388),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [3]),
-	.Y(n_6241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394291 (
-	.A(n_4388),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [2]),
-	.Y(n_6240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394292 (
-	.A(n_4388),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [1]),
-	.Y(n_6239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394293 (
-	.A(n_4292),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [3]),
-	.Y(n_6238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394294 (
-	.A(n_4390),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [7]),
-	.Y(n_6237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394295 (
-	.A(n_4386),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [4]),
-	.Y(n_6236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394296 (
-	.A(n_4390),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [5]),
-	.Y(n_6235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394297 (
-	.A(n_4390),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [6]),
-	.Y(n_6234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394298 (
-	.A(n_4437),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [2]),
-	.Y(n_6233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394299 (
-	.A(n_4390),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [2]),
-	.Y(n_6232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394300 (
-	.A(n_4390),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [4]),
-	.Y(n_6231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394301 (
-	.A(n_4419),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [1]),
-	.Y(n_6230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394302 (
-	.A(n_4390),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [3]),
-	.Y(n_6229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394303 (
-	.A(n_4390),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [1]),
-	.Y(n_6228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394304 (
-	.A(n_4969),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [4]),
-	.Y(n_6227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394305 (
-	.A(n_4960),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [2]),
-	.Y(n_6226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394306 (
-	.A(n_4446),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [3]),
-	.Y(n_6225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394307 (
-	.A(n_5026),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [2]),
-	.Y(n_6224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394308 (
-	.A(n_4344),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [2]),
-	.Y(n_6223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394309 (
-	.A(n_4461),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [3]),
-	.Y(n_6222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394310 (
-	.A(n_4421),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [5]),
-	.Y(n_6221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394311 (
-	.A(n_4485),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [1]),
-	.Y(n_6220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394312 (
-	.A(n_5026),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [1]),
-	.Y(n_6219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394313 (
-	.A(n_4376),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [6]),
-	.Y(n_6218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394314 (
-	.A(n_4376),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [5]),
-	.Y(n_6217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394315 (
-	.A(n_4421),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [7]),
-	.Y(n_6216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394316 (
-	.A(n_4319),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [6]),
-	.Y(n_6215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394317 (
-	.A(n_4421),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [6]),
-	.Y(n_6214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394318 (
-	.A(n_4417),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [7]),
-	.Y(n_6213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394319 (
-	.A(n_4421),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [4]),
-	.Y(n_6212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394320 (
-	.A(n_4487),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [1]),
-	.Y(n_6211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394321 (
-	.A(n_4421),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [3]),
-	.Y(n_6210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394322 (
-	.A(n_4421),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [1]),
-	.Y(n_6209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394323 (
-	.A(n_4421),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [2]),
-	.Y(n_6208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394324 (
-	.A(n_4443),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [2]),
-	.Y(n_6207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394325 (
-	.A(n_4310),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [6]),
-	.Y(n_6206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394326 (
-	.A(n_4429),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [4]),
-	.Y(n_6205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394327 (
-	.A(n_4431),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [7]),
-	.Y(n_6204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394328 (
-	.A(n_4960),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [4]),
-	.Y(n_6203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394329 (
-	.A(n_4431),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [6]),
-	.Y(n_6202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394330 (
-	.A(n_4410),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [6]),
-	.Y(n_6201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394331 (
-	.A(n_4410),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [7]),
-	.Y(n_6200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394332 (
-	.A(n_4960),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [3]),
-	.Y(n_6199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394333 (
-	.A(n_4410),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [3]),
-	.Y(n_6198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394334 (
-	.A(n_4423),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [6]),
-	.Y(n_6197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394335 (
-	.A(n_4431),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [3]),
-	.Y(n_6196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394336 (
-	.A(n_4427),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [4]),
-	.Y(n_6195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394337 (
-	.A(n_4431),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [5]),
-	.Y(n_6194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394338 (
-	.A(n_4960),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [7]),
-	.Y(n_6193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394339 (
-	.A(n_4441),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [2]),
-	.Y(n_6192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394340 (
-	.A(n_4441),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [4]),
-	.Y(n_6191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394341 (
-	.A(n_4441),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [6]),
-	.Y(n_6190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394342 (
-	.A(n_4441),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [7]),
-	.Y(n_6189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394343 (
-	.A(n_4427),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [2]),
-	.Y(n_6188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394344 (
-	.A(n_4431),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [4]),
-	.Y(n_6187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394345 (
-	.A(n_4410),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [1]),
-	.Y(n_6186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394346 (
-	.A(n_4410),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [5]),
-	.Y(n_6185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394347 (
-	.A(n_4443),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [7]),
-	.Y(n_6184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394348 (
-	.A(n_4415),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [7]),
-	.Y(n_6183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394349 (
-	.A(n_4419),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [2]),
-	.Y(n_6182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394350 (
-	.A(n_4431),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [1]),
-	.Y(n_6181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394351 (
-	.A(n_4419),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [6]),
-	.Y(n_6180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394352 (
-	.A(n_4319),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [4]),
-	.Y(n_6179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394353 (
-	.A(n_4415),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [5]),
-	.Y(n_6178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394354 (
-	.A(n_4392),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [7]),
-	.Y(n_6177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394355 (
-	.A(n_4310),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [3]),
-	.Y(n_6176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394356 (
-	.A(n_4485),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [3]),
-	.Y(n_6175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394357 (
-	.A(n_4485),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [5]),
-	.Y(n_6174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394358 (
-	.A(n_4485),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [7]),
-	.Y(n_6173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394359 (
-	.A(n_4487),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [2]),
-	.Y(n_6172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394360 (
-	.A(n_4487),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [6]),
-	.Y(n_6171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394361 (
-	.A(n_4986),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [6]),
-	.Y(n_6170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394362 (
-	.A(n_4364),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [4]),
-	.Y(n_6169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394363 (
-	.A(n_4384),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [7]),
-	.Y(n_6168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394364 (
-	.A(n_4415),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [4]),
-	.Y(n_6167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394365 (
-	.A(n_4948),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [3]),
-	.Y(n_6166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394366 (
-	.A(n_4461),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [5]),
-	.Y(n_6165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394367 (
-	.A(n_4376),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [3]),
-	.Y(n_6164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394368 (
-	.A(n_4378),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [1]),
-	.Y(n_6163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394369 (
-	.A(n_4461),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [4]),
-	.Y(n_6162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394370 (
-	.A(n_4378),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [7]),
-	.Y(n_6161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394371 (
-	.A(n_4392),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [3]),
-	.Y(n_6160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394372 (
-	.A(n_4380),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [4]),
-	.Y(n_6159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394373 (
-	.A(n_4380),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [5]),
-	.Y(n_6158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394374 (
-	.A(n_4415),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [3]),
-	.Y(n_6157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394375 (
-	.A(n_4423),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [1]),
-	.Y(n_6156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394376 (
-	.A(n_4423),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [2]),
-	.Y(n_6155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394377 (
-	.A(n_4423),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [3]),
-	.Y(n_6154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394378 (
-	.A(n_4423),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [4]),
-	.Y(n_6153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394379 (
-	.A(n_4423),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [5]),
-	.Y(n_6152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394380 (
-	.A(n_4423),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [7]),
-	.Y(n_6151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394381 (
-	.A(n_4415),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [2]),
-	.Y(n_6150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394382 (
-	.A(n_4392),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [1]),
-	.Y(n_6149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394383 (
-	.A(n_4392),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [2]),
-	.Y(n_6148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394384 (
-	.A(n_4392),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [4]),
-	.Y(n_6147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394385 (
-	.A(n_4392),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [5]),
-	.Y(n_6146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394386 (
-	.A(n_4392),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [6]),
-	.Y(n_6145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394387 (
-	.A(n_4386),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [1]),
-	.Y(n_6144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394388 (
-	.A(n_4386),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [2]),
-	.Y(n_6143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394389 (
-	.A(n_4386),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [3]),
-	.Y(n_6142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394390 (
-	.A(n_4386),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [5]),
-	.Y(n_6141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394391 (
-	.A(n_4386),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [7]),
-	.Y(n_6140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394392 (
-	.A(n_4276),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [1]),
-	.Y(n_6139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394393 (
-	.A(n_4276),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [3]),
-	.Y(n_6138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394394 (
-	.A(n_4276),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [4]),
-	.Y(n_6137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394395 (
-	.A(n_4276),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [5]),
-	.Y(n_6136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394396 (
-	.A(n_4276),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [6]),
-	.Y(n_6135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394397 (
-	.A(n_4276),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [7]),
-	.Y(n_6134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394398 (
-	.A(n_4415),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [1]),
-	.Y(n_6133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394399 (
-	.A(n_4435),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [1]),
-	.Y(n_6132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394400 (
-	.A(n_4435),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [2]),
-	.Y(n_6131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394401 (
-	.A(n_4435),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [3]),
-	.Y(n_6130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394402 (
-	.A(n_4435),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [4]),
-	.Y(n_6129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394403 (
-	.A(n_4435),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [6]),
-	.Y(n_6128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394404 (
-	.A(n_4435),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [7]),
-	.Y(n_6127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394405 (
-	.A(n_4437),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [1]),
-	.Y(n_6126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394406 (
-	.A(n_4437),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [3]),
-	.Y(n_6125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394407 (
-	.A(n_4437),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [4]),
-	.Y(n_6124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394408 (
-	.A(n_4437),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [5]),
-	.Y(n_6123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394409 (
-	.A(n_4437),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [7]),
-	.Y(n_6122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394410 (
-	.A(n_4323),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [1]),
-	.Y(n_6121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394411 (
-	.A(n_4323),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [2]),
-	.Y(n_6120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394412 (
-	.A(n_4323),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [3]),
-	.Y(n_6119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394413 (
-	.A(n_4323),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [5]),
-	.Y(n_6118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394414 (
-	.A(n_4323),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [6]),
-	.Y(n_6117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394415 (
-	.A(n_4323),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [7]),
-	.Y(n_6116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394416 (
-	.A(n_4406),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [2]),
-	.Y(n_6115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394417 (
-	.A(n_4406),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [4]),
-	.Y(n_6114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394418 (
-	.A(n_4406),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [5]),
-	.Y(n_6113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394419 (
-	.A(n_4406),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [7]),
-	.Y(n_6112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394420 (
-	.A(n_4290),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [1]),
-	.Y(n_6111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394421 (
-	.A(n_4290),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [2]),
-	.Y(n_6110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394422 (
-	.A(n_4290),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [3]),
-	.Y(n_6109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394423 (
-	.A(n_4290),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [4]),
-	.Y(n_6108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394424 (
-	.A(n_4290),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [5]),
-	.Y(n_6107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394425 (
-	.A(n_4290),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [6]),
-	.Y(n_6106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394426 (
-	.A(n_4290),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [7]),
-	.Y(n_6105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394427 (
-	.A(n_4288),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [1]),
-	.Y(n_6104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394428 (
-	.A(n_4288),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [2]),
-	.Y(n_6103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394429 (
-	.A(n_4288),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [3]),
-	.Y(n_6102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394430 (
-	.A(n_4288),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [4]),
-	.Y(n_6101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394431 (
-	.A(n_4288),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [5]),
-	.Y(n_6100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394432 (
-	.A(n_4288),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [7]),
-	.Y(n_6099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394433 (
-	.A(n_4960),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [5]),
-	.Y(n_6098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394434 (
-	.A(n_4958),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [1]),
-	.Y(n_6097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394435 (
-	.A(n_4958),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [2]),
-	.Y(n_6096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394436 (
-	.A(n_4958),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [3]),
-	.Y(n_6095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394437 (
-	.A(n_4958),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [4]),
-	.Y(n_6094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394438 (
-	.A(n_4958),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [5]),
-	.Y(n_6093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394439 (
-	.A(n_4958),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [7]),
-	.Y(n_6092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394440 (
-	.A(n_4342),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [1]),
-	.Y(n_6091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394441 (
-	.A(n_4342),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [2]),
-	.Y(n_6090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394442 (
-	.A(n_4342),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [3]),
-	.Y(n_6089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394443 (
-	.A(n_4342),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [4]),
-	.Y(n_6088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394444 (
-	.A(n_4342),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [5]),
-	.Y(n_6087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394445 (
-	.A(n_4342),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [7]),
-	.Y(n_6086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394446 (
-	.A(n_4956),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [2]),
-	.Y(n_6085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394447 (
-	.A(n_5008),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [1]),
-	.Y(n_6084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394448 (
-	.A(n_5008),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [2]),
-	.Y(n_6083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394449 (
-	.A(n_5008),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [3]),
-	.Y(n_6082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394450 (
-	.A(n_5008),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [4]),
-	.Y(n_6081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394451 (
-	.A(n_5008),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [5]),
-	.Y(n_6080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394452 (
-	.A(n_5008),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [6]),
-	.Y(n_6079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394453 (
-	.A(n_5008),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [7]),
-	.Y(n_6078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394454 (
-	.A(n_4982),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [6]),
-	.Y(n_6077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394455 (
-	.A(n_4331),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [3]),
-	.Y(n_6076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394456 (
-	.A(n_4967),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [5]),
-	.Y(n_6075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394457 (
-	.A(n_4967),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [7]),
-	.Y(n_6074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394458 (
-	.A(n_4998),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [1]),
-	.Y(n_6073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394459 (
-	.A(n_4998),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [2]),
-	.Y(n_6072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394460 (
-	.A(n_4998),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [3]),
-	.Y(n_6071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394461 (
-	.A(n_4998),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [4]),
-	.Y(n_6070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394462 (
-	.A(n_4998),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [5]),
-	.Y(n_6069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394463 (
-	.A(n_4998),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [6]),
-	.Y(n_6068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394464 (
-	.A(n_5000),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [3]),
-	.Y(n_6067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394465 (
-	.A(n_5004),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [2]),
-	.Y(n_6066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394466 (
-	.A(n_5004),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [3]),
-	.Y(n_6065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394467 (
-	.A(n_5004),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [4]),
-	.Y(n_6064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394468 (
-	.A(n_5004),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [5]),
-	.Y(n_6063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394469 (
-	.A(n_5004),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [6]),
-	.Y(n_6062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394470 (
-	.A(n_5004),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [7]),
-	.Y(n_6061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394471 (
-	.A(n_4948),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [2]),
-	.Y(n_6060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394472 (
-	.A(n_4455),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [3]),
-	.Y(n_6059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394473 (
-	.A(n_4455),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [5]),
-	.Y(n_6058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394474 (
-	.A(n_4455),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [6]),
-	.Y(n_6057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394475 (
-	.A(n_4455),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [7]),
-	.Y(n_6056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394476 (
-	.A(n_4457),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [2]),
-	.Y(n_6055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394477 (
-	.A(n_4457),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [4]),
-	.Y(n_6054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394478 (
-	.A(n_4457),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [5]),
-	.Y(n_6053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394479 (
-	.A(n_4457),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [7]),
-	.Y(n_6052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394480 (
-	.A(n_4314),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [1]),
-	.Y(n_6051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394481 (
-	.A(n_4314),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [2]),
-	.Y(n_6050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394482 (
-	.A(n_4314),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [3]),
-	.Y(n_6049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394483 (
-	.A(n_4314),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [4]),
-	.Y(n_6048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394484 (
-	.A(n_4314),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [5]),
-	.Y(n_6047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394485 (
-	.A(n_4314),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [6]),
-	.Y(n_6046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394486 (
-	.A(n_4314),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [7]),
-	.Y(n_6045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394487 (
-	.A(n_4427),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [1]),
-	.Y(n_6044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394488 (
-	.A(n_4427),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [3]),
-	.Y(n_6043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394489 (
-	.A(n_4427),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [6]),
-	.Y(n_6042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394490 (
-	.A(n_4427),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [7]),
-	.Y(n_6041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394491 (
-	.A(n_4333),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [1]),
-	.Y(n_6040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394492 (
-	.A(n_4333),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [2]),
-	.Y(n_6039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394493 (
-	.A(n_4333),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [3]),
-	.Y(n_6038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394494 (
-	.A(n_4333),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [5]),
-	.Y(n_6037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394495 (
-	.A(n_4333),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [6]),
-	.Y(n_6036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394496 (
-	.A(n_4331),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [1]),
-	.Y(n_6035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394497 (
-	.A(n_4331),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [2]),
-	.Y(n_6034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394498 (
-	.A(n_4331),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [5]),
-	.Y(n_6033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394499 (
-	.A(n_4977),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [1]),
-	.Y(n_6032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394500 (
-	.A(n_4977),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [2]),
-	.Y(n_6031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394501 (
-	.A(n_4977),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [5]),
-	.Y(n_6030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394502 (
-	.A(n_4384),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [6]),
-	.Y(n_6029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394503 (
-	.A(n_4977),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [6]),
-	.Y(n_6028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394504 (
-	.A(n_4977),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [7]),
-	.Y(n_6027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394505 (
-	.A(n_4344),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [1]),
-	.Y(n_6026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394506 (
-	.A(n_4292),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [1]),
-	.Y(n_6025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394507 (
-	.A(n_4344),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [3]),
-	.Y(n_6024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394508 (
-	.A(n_4457),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [6]),
-	.Y(n_6023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394509 (
-	.A(n_4344),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [5]),
-	.Y(n_6022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394510 (
-	.A(n_4344),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [6]),
-	.Y(n_6021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394511 (
-	.A(n_4344),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [7]),
-	.Y(n_6020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394512 (
-	.A(n_4376),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [2]),
-	.Y(n_6019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394513 (
-	.A(n_4950),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [3]),
-	.Y(n_6018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394514 (
-	.A(n_4950),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [7]),
-	.Y(n_6017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394515 (
-	.A(n_4952),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [1]),
-	.Y(n_6016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394516 (
-	.A(n_4952),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [4]),
-	.Y(n_6015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394517 (
-	.A(n_4952),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [5]),
-	.Y(n_6014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394518 (
-	.A(n_4952),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [6]),
-	.Y(n_6013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394519 (
-	.A(n_4952),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [7]),
-	.Y(n_6012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394520 (
-	.A(n_4364),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [1]),
-	.Y(n_6011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394521 (
-	.A(n_4984),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [4]),
-	.Y(n_6010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394522 (
-	.A(n_4984),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [6]),
-	.Y(n_6009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394523 (
-	.A(n_4984),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [7]),
-	.Y(n_6008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394524 (
-	.A(n_13366),
-	.B(n_4262),
-	.Y(n_6007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394525 (
-	.A(n_4965),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [1]),
-	.Y(n_6006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394526 (
-	.A(n_4965),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [2]),
-	.Y(n_6005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394527 (
-	.A(n_4965),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [3]),
-	.Y(n_6004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394528 (
-	.A(n_4965),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [5]),
-	.Y(n_6003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394529 (
-	.A(n_4965),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [6]),
-	.Y(n_6002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394530 (
-	.A(n_4965),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [7]),
-	.Y(n_6001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394531 (
-	.A(n_4994),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [1]),
-	.Y(n_6000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394532 (
-	.A(n_4994),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [2]),
-	.Y(n_5999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394533 (
-	.A(n_4994),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [3]),
-	.Y(n_5998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394534 (
-	.A(n_4994),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [4]),
-	.Y(n_5997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394535 (
-	.A(n_4994),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [6]),
-	.Y(n_5996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394536 (
-	.A(n_4969),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [3]),
-	.Y(n_5995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394537 (
-	.A(n_4441),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [5]),
-	.Y(n_5994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394538 (
-	.A(n_5006),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [6]),
-	.Y(n_5993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394539 (
-	.A(n_4443),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [1]),
-	.Y(n_5992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394540 (
-	.A(n_4457),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [3]),
-	.Y(n_5991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394541 (
-	.A(n_4453),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [1]),
-	.Y(n_5990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394542 (
-	.A(n_4453),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [2]),
-	.Y(n_5989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394543 (
-	.A(n_4453),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [3]),
-	.Y(n_5988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394544 (
-	.A(n_4453),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [4]),
-	.Y(n_5987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394545 (
-	.A(n_4453),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [5]),
-	.Y(n_5986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394546 (
-	.A(n_4453),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [6]),
-	.Y(n_5985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394547 (
-	.A(n_4453),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [7]),
-	.Y(n_5984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394548 (
-	.A(n_4451),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [1]),
-	.Y(n_5983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394549 (
-	.A(n_4459),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [6]),
-	.Y(n_5982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394550 (
-	.A(n_4451),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [2]),
-	.Y(n_5981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394551 (
-	.A(n_4451),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [3]),
-	.Y(n_5980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394552 (
-	.A(n_4451),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [4]),
-	.Y(n_5979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394553 (
-	.A(n_4451),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [5]),
-	.Y(n_5978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394554 (
-	.A(n_4451),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [7]),
-	.Y(n_5977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394555 (
-	.A(n_4312),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [2]),
-	.Y(n_5976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394556 (
-	.A(n_4312),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [3]),
-	.Y(n_5975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394557 (
-	.A(n_4312),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [4]),
-	.Y(n_5974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394558 (
-	.A(n_4312),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [5]),
-	.Y(n_5973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394559 (
-	.A(n_4312),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [6]),
-	.Y(n_5972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394560 (
-	.A(n_4288),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [6]),
-	.Y(n_5971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394561 (
-	.A(n_4312),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [7]),
-	.Y(n_5970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394562 (
-	.A(n_4425),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [1]),
-	.Y(n_5969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394563 (
-	.A(n_4425),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [2]),
-	.Y(n_5968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394564 (
-	.A(n_4425),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [3]),
-	.Y(n_5967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394565 (
-	.A(n_4425),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [4]),
-	.Y(n_5966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394566 (
-	.A(n_4425),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [5]),
-	.Y(n_5965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394567 (
-	.A(n_4425),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [7]),
-	.Y(n_5964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394568 (
-	.A(n_4304),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [1]),
-	.Y(n_5963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394569 (
-	.A(n_4304),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [2]),
-	.Y(n_5962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394570 (
-	.A(n_4304),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [3]),
-	.Y(n_5961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394571 (
-	.A(n_4304),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [4]),
-	.Y(n_5960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394572 (
-	.A(n_4304),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [6]),
-	.Y(n_5959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394573 (
-	.A(n_4304),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [7]),
-	.Y(n_5958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394574 (
-	.A(n_4982),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [3]),
-	.Y(n_5957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394575 (
-	.A(n_4329),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [2]),
-	.Y(n_5956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394576 (
-	.A(n_4329),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [3]),
-	.Y(n_5955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394577 (
-	.A(n_4329),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [4]),
-	.Y(n_5954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394578 (
-	.A(n_4329),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [5]),
-	.Y(n_5953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394579 (
-	.A(n_4329),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [6]),
-	.Y(n_5952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394580 (
-	.A(n_4329),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [7]),
-	.Y(n_5951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394581 (
-	.A(n_4975),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [1]),
-	.Y(n_5950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394582 (
-	.A(n_4975),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [2]),
-	.Y(n_5949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394583 (
-	.A(n_4975),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [3]),
-	.Y(n_5948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394584 (
-	.A(n_4975),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [4]),
-	.Y(n_5947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394585 (
-	.A(n_4975),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [5]),
-	.Y(n_5946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394586 (
-	.A(n_4975),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [6]),
-	.Y(n_5945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394587 (
-	.A(n_4975),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [7]),
-	.Y(n_5944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394588 (
-	.A(n_4362),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [1]),
-	.Y(n_5943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394589 (
-	.A(n_4362),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [2]),
-	.Y(n_5942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394590 (
-	.A(n_4362),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [3]),
-	.Y(n_5941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394591 (
-	.A(n_4362),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [4]),
-	.Y(n_5940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394592 (
-	.A(n_4362),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [5]),
-	.Y(n_5939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394593 (
-	.A(n_4362),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [7]),
-	.Y(n_5938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394594 (
-	.A(n_5011),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [1]),
-	.Y(n_5937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394595 (
-	.A(n_5011),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [2]),
-	.Y(n_5936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394596 (
-	.A(n_5011),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [3]),
-	.Y(n_5935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394597 (
-	.A(n_5011),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [4]),
-	.Y(n_5934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394598 (
-	.A(n_5011),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [5]),
-	.Y(n_5933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394599 (
-	.A(n_5011),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [6]),
-	.Y(n_5932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394600 (
-	.A(n_5011),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [7]),
-	.Y(n_5931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394601 (
-	.A(n_5013),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [2]),
-	.Y(n_5930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394602 (
-	.A(n_5013),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [5]),
-	.Y(n_5929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394603 (
-	.A(n_5013),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [6]),
-	.Y(n_5928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394604 (
-	.A(n_4980),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [1]),
-	.Y(n_5927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394605 (
-	.A(n_4980),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [2]),
-	.Y(n_5926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394606 (
-	.A(n_4980),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [3]),
-	.Y(n_5925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394607 (
-	.A(n_4950),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [1]),
-	.Y(n_5924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394608 (
-	.A(n_4980),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [4]),
-	.Y(n_5923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394609 (
-	.A(n_4980),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [5]),
-	.Y(n_5922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394610 (
-	.A(n_4329),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [1]),
-	.Y(n_5921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394611 (
-	.A(n_4980),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [6]),
-	.Y(n_5920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394612 (
-	.A(n_4980),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [7]),
-	.Y(n_5919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394613 (
-	.A(n_4977),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [3]),
-	.Y(n_5918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394614 (
-	.A(n_4962),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [1]),
-	.Y(n_5917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394615 (
-	.A(n_4962),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [2]),
-	.Y(n_5916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394616 (
-	.A(n_4962),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [3]),
-	.Y(n_5915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394617 (
-	.A(n_4962),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [4]),
-	.Y(n_5914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394618 (
-	.A(n_4962),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [5]),
-	.Y(n_5913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394619 (
-	.A(n_4962),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [6]),
-	.Y(n_5912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394620 (
-	.A(n_4962),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [7]),
-	.Y(n_5911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394621 (
-	.A(n_4990),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [1]),
-	.Y(n_5910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394622 (
-	.A(n_4990),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [2]),
-	.Y(n_5909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394623 (
-	.A(n_4990),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [3]),
-	.Y(n_5908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394624 (
-	.A(n_4990),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [4]),
-	.Y(n_5907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394625 (
-	.A(n_4990),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [5]),
-	.Y(n_5906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394626 (
-	.A(n_4990),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [6]),
-	.Y(n_5905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394627 (
-	.A(n_4990),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [7]),
-	.Y(n_5904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394628 (
-	.A(n_4988),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [1]),
-	.Y(n_5903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394629 (
-	.A(n_4988),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [2]),
-	.Y(n_5902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394630 (
-	.A(n_4988),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [3]),
-	.Y(n_5901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394631 (
-	.A(n_4988),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [5]),
-	.Y(n_5900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394632 (
-	.A(n_4988),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [6]),
-	.Y(n_5899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394633 (
-	.A(n_4988),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [7]),
-	.Y(n_5898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394634 (
-	.A(n_5002),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [1]),
-	.Y(n_5897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394635 (
-	.A(n_5002),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [2]),
-	.Y(n_5896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394636 (
-	.A(n_5002),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [3]),
-	.Y(n_5895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394637 (
-	.A(n_5002),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [4]),
-	.Y(n_5894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394638 (
-	.A(n_5002),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [5]),
-	.Y(n_5893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394639 (
-	.A(n_5002),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [6]),
-	.Y(n_5892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394640 (
-	.A(n_5002),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [7]),
-	.Y(n_5891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394641 (
-	.A(n_4310),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [5]),
-	.Y(n_5890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394642 (
-	.A(n_4982),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [2]),
-	.Y(n_5889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394643 (
-	.A(n_4986),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [5]),
-	.Y(n_5888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394644 (
-	.A(n_4982),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [1]),
-	.Y(n_5887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394645 (
-	.A(n_4327),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [5]),
-	.Y(n_5886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394646 (
-	.A(n_4986),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [3]),
-	.Y(n_5885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394647 (
-	.A(n_4446),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [2]),
-	.Y(n_5884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394648 (
-	.A(n_4292),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [6]),
-	.Y(n_5883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394649 (
-	.A(n_4306),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [1]),
-	.Y(n_5882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394650 (
-	.A(n_4306),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [2]),
-	.Y(n_5881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394651 (
-	.A(n_4306),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [3]),
-	.Y(n_5880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394652 (
-	.A(n_4306),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [7]),
-	.Y(n_5879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394653 (
-	.A(n_4969),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [7]),
-	.Y(n_5878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394654 (
-	.A(n_4950),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [2]),
-	.Y(n_5877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394655 (
-	.A(n_4374),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [1]),
-	.Y(n_5876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394656 (
-	.A(n_4374),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [2]),
-	.Y(n_5875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394657 (
-	.A(n_4374),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [3]),
-	.Y(n_5874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394658 (
-	.A(n_4374),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [5]),
-	.Y(n_5873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394659 (
-	.A(n_4374),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [6]),
-	.Y(n_5872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394660 (
-	.A(n_4374),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [7]),
-	.Y(n_5871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394661 (
-	.A(n_4376),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [1]),
-	.Y(n_5870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394676 (
-	.A(n_1081),
-	.B(n_15777),
-	.Y(n_5869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394724 (
-	.A(n_15768),
-	.B(FE_OCPN16835_u_top_u_core_multdiv_operand_b_ex_0),
-	.Y(n_6587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394729 (
-	.A(main_swith_host_lsu_num_req_outstanding[5]),
-	.B(n_5059),
-	.Y(n_6586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394736 (
-	.A(n_13272),
-	.B(n_4523),
-	.Y(n_6585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394737 (
-	.A(n_13601),
-	.B(n_4523),
-	.Y(n_6584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394738 (
-	.A(n_13273),
-	.B(n_4523),
-	.Y(n_6583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394739 (
-	.A(n_13271),
-	.B(n_4524),
-	.Y(n_6582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394740 (
-	.A(n_13601),
-	.B(n_4524),
-	.Y(n_6581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394741 (
-	.A(n_13273),
-	.B(n_4524),
-	.Y(n_6580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394742 (
-	.A(n_13271),
-	.B(n_4521),
-	.Y(n_6579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394744 (
-	.A(n_13271),
-	.B(n_4523),
-	.Y(n_6578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394746 (
-	.A(n_13272),
-	.B(n_4524),
-	.Y(n_6577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394747 (
-	.A(n_13272),
-	.B(FE_OFN986_n_4522),
-	.Y(n_6576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394748 (
-	.A(n_13601),
-	.B(n_4521),
-	.Y(n_6575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394749 (
-	.A(n_13273),
-	.B(n_4521),
-	.Y(n_6574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394750 (
-	.A(n_13272),
-	.B(n_4521),
-	.Y(n_6573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394751 (
-	.A(n_13273),
-	.B(FE_OFN986_n_4522),
-	.Y(n_6572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394752 (
-	.A(n_13601),
-	.B(FE_OFN986_n_4522),
-	.Y(n_6571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394753 (
-	.A(n_13271),
-	.B(FE_OFN986_n_4522),
-	.Y(n_6570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394754 (
-	.A(n_5060),
-	.B(n_616),
-	.Y(n_6569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_2 g394755 (
-	.A_N(n_5052),
-	.B(FE_OCPN16835_u_top_u_core_multdiv_operand_b_ex_0),
-	.Y(n_6567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394756 (
-	.A(n_13289),
-	.B(n_5021),
-	.Y(n_6565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g394757 (
-	.A(n_5053),
-	.B(n_1076),
-	.Y(n_6563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394758 (
-	.A(n_1260),
-	.B(n_5051),
-	.Y(n_6562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394759 (
-	.A(n_1084),
-	.B(n_15777),
-	.Y(n_6561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394760 (
-	.A(n_5043),
-	.B(n_1076),
-	.Y(n_6560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394761 (
-	.A(n_1260),
-	.B(n_5042),
-	.Y(n_6559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g394762 (
-	.A(n_5867),
-	.Y(n_5868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g394763 (
-	.A(n_5865),
-	.Y(n_5866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g394766 (
-	.A(n_5823),
-	.Y(n_5824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g394767 (
-	.A(n_5815),
-	.Y(n_5816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g394768 (
-	.A(n_5813),
-	.Y(n_5814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g394769 (
-	.A(n_5807),
-	.Y(n_5806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g394770 (
-	.A(n_5805),
-	.Y(n_5804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g394771 (
-	.A(n_5798),
-	.Y(n_5799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g394772 (
-	.A(n_5796),
-	.Y(n_5795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g394773 (
-	.A(n_5793),
-	.Y(n_5792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g394774 (
-	.A(FE_OFN1332_n_5791),
-	.Y(n_5790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g394775 (
-	.A(n_5789),
-	.Y(n_5788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394777 (
-	.A(n_4939),
-	.B(n_1340),
-	.Y(n_5756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g394778 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
-	.A2_N(n_3266),
-	.B1(n_3388),
-	.B2(n_3739),
-	.Y(n_5755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394779 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3310),
-	.B1(n_4782),
-	.Y(n_5754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394780 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3302),
-	.B1(n_4802),
-	.Y(n_5753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g394781 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
-	.A2_N(n_3268),
-	.B1(n_13201),
-	.B2(n_3513),
-	.Y(n_5752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g394782 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
-	.A2_N(n_3266),
-	.B1(FE_OFN1468_n_3391),
-	.B2(n_3739),
-	.Y(n_5751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g394783 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
-	.A2_N(n_3266),
-	.B1(n_13201),
-	.B2(n_3739),
-	.Y(n_5750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394784 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3339),
-	.B1(n_4762),
-	.Y(n_5749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394785 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3298),
-	.B1(n_4851),
-	.Y(n_5748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394786 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3356),
-	.B1(n_4812),
-	.Y(n_5747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394787 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3348),
-	.B1(n_4619),
-	.Y(n_5746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394788 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3343),
-	.B1(n_4748),
-	.Y(n_5745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394789 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3312),
-	.B1(n_4769),
-	.Y(n_5744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g394790 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
-	.A2_N(n_3266),
-	.B1(FE_OFN16561_n_3385),
-	.B2(n_3739),
-	.Y(n_5743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g394791 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
-	.A2_N(n_3266),
-	.B1(FE_OFN1318_n_3389),
-	.B2(n_3739),
-	.Y(n_5742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g394792 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
-	.A2_N(n_3266),
-	.B1(FE_OFN1313_n_3373),
-	.B2(n_3739),
-	.Y(n_5741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g394793 (
-	.A_N(n_1322),
-	.B(n_15768),
-	.Y(n_5740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g394794 (
-	.A1(n_1277),
-	.A2(n_3411),
-	.B1(n_1105),
-	.B2(n_1258),
-	.C1(n_13289),
-	.Y(n_5739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394795 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3311),
-	.B1(n_4773),
-	.Y(n_5738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g394796 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
-	.A2_N(n_3266),
-	.B1(FE_OFN1314_n_3377),
-	.B2(n_3739),
-	.Y(n_5737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394797 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3299),
-	.B1(n_4583),
-	.Y(n_5736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394798 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3303),
-	.B1(n_4584),
-	.Y(n_5735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394799 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3303),
-	.B1(n_4865),
-	.Y(n_5734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g394800 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
-	.A2_N(n_3266),
-	.B1(FE_OFN16194_n_3381),
-	.B2(n_3739),
-	.Y(n_5733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394801 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3313),
-	.B1(n_4607),
-	.Y(n_5732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394802 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3321),
-	.B1(n_4655),
-	.Y(n_5731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394803 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3311),
-	.B1(n_4774),
-	.Y(n_5730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394804 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3321),
-	.B1(n_4898),
-	.Y(n_5729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394805 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3340),
-	.B1(n_4586),
-	.Y(n_5728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394806 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3310),
-	.B1(n_4785),
-	.Y(n_5727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394807 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3312),
-	.B1(n_4768),
-	.Y(n_5726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g394808 (
-	.A1(n_3351),
-	.A2(n_1239),
-	.B1(n_3520),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [6]),
-	.X(n_5725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394809 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3356),
-	.B1(n_4707),
-	.Y(n_5724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394810 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3350),
-	.B1(n_4837),
-	.Y(n_5723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394811 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3311),
-	.B1(n_4593),
-	.Y(n_5722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394812 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3340),
-	.B1(n_4875),
-	.Y(n_5721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394813 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3311),
-	.B1(n_4772),
-	.Y(n_5720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394814 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3312),
-	.B1(n_4767),
-	.Y(n_5719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211o_1 g394815 (
-	.A1(FE_OFN1873_u_top_u_core_pc_set),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [0]),
-	.B1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q [0]),
-	.C1(n_3727),
-	.X(n_5718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g394816 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
-	.A2_N(n_3268),
-	.B1(n_13198),
-	.B2(n_3513),
-	.Y(n_5717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394817 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3297),
-	.B1(n_4587),
-	.Y(n_5716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394818 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3313),
-	.B1(n_4628),
-	.Y(n_5715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394819 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3297),
-	.B1(n_4732),
-	.Y(n_5714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394820 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3319),
-	.B1(n_4599),
-	.Y(n_5713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394821 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3300),
-	.B1(n_4733),
-	.Y(n_5712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394822 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3328),
-	.B1(n_4640),
-	.Y(n_5711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394823 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3297),
-	.B1(n_4717),
-	.Y(n_5710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394824 (
-	.A(n_1265),
-	.B(n_4596),
-	.Y(n_5709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394825 (
-	.A(n_4525),
-	.B(n_4620),
-	.Y(n_5708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394826 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3298),
-	.B1(n_4850),
-	.Y(n_5707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394827 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3312),
-	.B1(n_4862),
-	.Y(n_5706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g394828 (
-	.A1(FE_OCPN16831_n_13300),
-	.A2(FE_OFN13725_n_15686),
-	.B1(n_4940),
-	.Y(n_5705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g394829 (
-	.A1(n_647),
-	.A2(FE_OFN1365_n_10762),
-	.B1(FE_OFN1331_n_4941),
-	.Y(n_5704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g394830 (
-	.A1(FE_OFN15968_u_top_u_core_alu_operand_b_ex_7),
-	.A2(FE_OCPN16341_n_13306),
-	.B1(n_4940),
-	.Y(n_5703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g394831 (
-	.A1(n_651),
-	.A2(n_768),
-	.B1(FE_OFN1331_n_4941),
-	.Y(n_5702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394832 (
-	.A(n_1198),
-	.B(FE_OFN1331_n_4941),
-	.Y(n_5701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394833 (
-	.A(n_1202),
-	.B(FE_OFN1331_n_4941),
-	.Y(n_5700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g394834 (
-	.A1(u_top_u_core_alu_operand_b_ex[14]),
-	.A2(n_13313),
-	.B1(n_4940),
-	.Y(n_5699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g394835 (
-	.A1(FE_PSN3881_u_top_u_core_alu_operand_b_ex_15),
-	.A2(n_13314),
-	.B1(n_4940),
-	.Y(n_5698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g394836 (
-	.A1(u_top_u_core_alu_operand_b_ex[16]),
-	.A2(n_13315),
-	.B1(n_4940),
-	.Y(n_5697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g394837 (
-	.A1(u_top_u_core_alu_operand_b_ex[17]),
-	.A2(n_13316),
-	.B1(n_4940),
-	.Y(n_5696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g394838 (
-	.A1(u_top_u_core_alu_operand_b_ex[18]),
-	.A2(n_13317),
-	.B1(n_4940),
-	.Y(n_5695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g394839 (
-	.A1(u_top_u_core_alu_operand_b_ex[19]),
-	.A2(n_13318),
-	.B1(n_4940),
-	.Y(n_5694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g394840 (
-	.A1(u_top_u_core_alu_operand_b_ex[20]),
-	.A2(n_13319),
-	.B1(n_4940),
-	.Y(n_5693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g394841 (
-	.A1(u_top_u_core_alu_operand_b_ex[21]),
-	.A2(n_13320),
-	.B1(n_4940),
-	.Y(n_5692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g394842 (
-	.A1(u_top_u_core_alu_operand_b_ex[22]),
-	.A2(n_13321),
-	.B1(n_4940),
-	.Y(n_5691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g394843 (
-	.A1(u_top_u_core_alu_operand_b_ex[23]),
-	.A2(n_13322),
-	.B1(n_4940),
-	.Y(n_5690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394844 (
-	.A(n_1218),
-	.B(FE_OFN1331_n_4941),
-	.Y(n_5689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g394845 (
-	.A1(u_top_u_core_alu_operand_b_ex[30]),
-	.A2(n_13329),
-	.B1(n_4940),
-	.Y(n_5688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394846 (
-	.A(n_1208),
-	.B(FE_OFN1331_n_4941),
-	.Y(n_5687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394847 (
-	.A(n_4525),
-	.B(n_4863),
-	.Y(n_5686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394848 (
-	.A(n_4525),
-	.B(n_4646),
-	.Y(n_5685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394849 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3312),
-	.B1(n_4600),
-	.Y(n_5684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g394850 (
-	.A(n_4525),
-	.B(n_4647),
-	.Y(n_5683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g394851 (
-	.A1(n_2003),
-	.A2(n_3390),
-	.B1(n_613),
-	.B2(n_3730),
-	.Y(n_5682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g394852 (
-	.A1(n_3954),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
-	.B1(n_5049),
-	.X(n_5681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g394853 (
-	.A1(n_3954),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
-	.B1(n_5049),
-	.X(n_5680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394854 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3319),
-	.B1(n_4686),
-	.Y(n_5679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394855 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3343),
-	.B1(n_4764),
-	.Y(n_5678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g394856 (
-	.A1(n_3954),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
-	.B1(n_5049),
-	.X(n_5677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g394857 (
-	.A1(n_15798),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [2]),
-	.B1(n_5044),
-	.X(n_5676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g394858 (
-	.A1(n_15798),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [4]),
-	.B1(n_5044),
-	.X(n_5675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g394859 (
-	.A1(n_3954),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
-	.B1(n_5049),
-	.X(n_5674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g394860 (
-	.A1(n_15798),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [3]),
-	.B1(n_5044),
-	.X(n_5673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 g394861 (
-	.A1(n_15798),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
-	.B1(n_5044),
-	.X(n_5672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g394862 (
-	.A1(n_1758),
-	.A2(n_2316),
-	.B1(n_5055),
-	.X(n_5671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g394863 (
-	.A1(FE_RN_98_0),
-	.A2(n_638),
-	.B1(FE_OFN1331_n_4941),
-	.Y(n_5670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g394864 (
-	.A1(n_612),
-	.A2(n_668),
-	.B1(FE_OFN1331_n_4941),
-	.Y(n_5669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394865 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3315),
-	.B1(n_4605),
-	.Y(n_5668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g394866 (
-	.A1(FE_OCPN16335_n_13299),
-	.A2(u_top_u_core_alu_operand_b_ex[0]),
-	.B1(n_4940),
-	.Y(n_5667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394867 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3328),
-	.B1(n_4610),
-	.Y(n_5666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394868 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3298),
-	.B1(n_4846),
-	.Y(n_5665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g394869 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3420),
-	.Y(n_5664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g394870 (
-	.A(n_1985),
-	.B(n_3295),
-	.C(n_3294),
-	.D(n_3271),
-	.X(n_5663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g394871 (
-	.A(FE_OFN990_n_4938),
-	.B(FE_OFN13725_n_15686),
-	.C(FE_OCPN16831_n_13300),
-	.Y(n_5662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g394872 (
-	.A(FE_OFN990_n_4938),
-	.B(n_13302),
-	.C(u_top_u_core_alu_operand_b_ex[3]),
-	.Y(n_5661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g394873 (
-	.A(FE_OFN990_n_4938),
-	.B(n_13304),
-	.C(FE_OFN15970_u_top_u_core_alu_operand_b_ex_5),
-	.Y(n_5660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g394874 (
-	.A(FE_OFN990_n_4938),
-	.B(n_13305),
-	.C(FE_OFN15985_u_top_u_core_alu_operand_b_ex_6),
-	.Y(n_5659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g394875 (
-	.A(FE_OFN990_n_4938),
-	.B(FE_OCPN16341_n_13306),
-	.C(FE_OFN15968_u_top_u_core_alu_operand_b_ex_7),
-	.Y(n_5658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g394876 (
-	.A(FE_OFN990_n_4938),
-	.B(n_13323),
-	.C(u_top_u_core_alu_operand_b_ex[24]),
-	.Y(n_5657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g394877 (
-	.A(FE_OFN990_n_4938),
-	.B(n_13324),
-	.C(u_top_u_core_alu_operand_b_ex[25]),
-	.Y(n_5656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g394878 (
-	.A(FE_OFN990_n_4938),
-	.B(n_13325),
-	.C(u_top_u_core_alu_operand_b_ex[26]),
-	.Y(n_5655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g394879 (
-	.A(FE_OFN990_n_4938),
-	.B(n_13326),
-	.C(u_top_u_core_alu_operand_b_ex[27]),
-	.Y(n_5654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g394880 (
-	.A(FE_OFN990_n_4938),
-	.B(n_13327),
-	.C(u_top_u_core_alu_operand_b_ex[28]),
-	.Y(n_5653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g394881 (
-	.A(FE_OFN990_n_4938),
-	.B(n_13329),
-	.C(u_top_u_core_alu_operand_b_ex[30]),
-	.Y(n_5652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g394882 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
-	.A2(n_1291),
-	.A3(n_2161),
-	.B1(n_4096),
-	.Y(n_5651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394883 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3343),
-	.B1(n_4888),
-	.Y(n_5650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g394884 (
-	.A(n_13732),
-	.B(n_3446),
-	.C(n_1299),
-	.Y(n_5649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394885 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3313),
-	.B1(n_4626),
-	.Y(n_5648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g394886 (
-	.A(n_3263),
-	.B(n_2136),
-	.C(n_3625),
-	.Y(n_5647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g394887 (
-	.A1(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
-	.A2(n_1307),
-	.A3(n_2159),
-	.B1(n_4085),
-	.Y(n_5646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g394888 (
-	.A1(n_2350),
-	.A2(n_2182),
-	.B1(n_1717),
-	.B2(n_3171),
-	.C1(n_3430),
-	.Y(n_5645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g394889 (
-	.A1(u_uart_u_uart_core_read_fifo_raddr[0]),
-	.A2(n_3675),
-	.B1(n_5056),
-	.Y(n_5644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394890 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3328),
-	.B1(n_4790),
-	.Y(n_5643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g394891 (
-	.A1(n_1977),
-	.A2(n_3692),
-	.B1(n_1966),
-	.B2(n_3439),
-	.Y(n_5642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394892 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3304),
-	.B1(n_4809),
-	.Y(n_5641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394893 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3328),
-	.B1(n_4606),
-	.Y(n_5640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394894 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3343),
-	.B1(n_4611),
-	.Y(n_5639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394895 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3343),
-	.B1(n_4731),
-	.Y(n_5638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g394896 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
-	.A2_N(n_3266),
-	.B1(n_13200),
-	.B2(n_3739),
-	.Y(n_5637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394897 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3298),
-	.B1(n_4847),
-	.Y(n_5636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394898 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3314),
-	.B1(n_4857),
-	.Y(n_5635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394899 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3304),
-	.B1(n_4608),
-	.Y(n_5634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g394900 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
-	.A2_N(n_3268),
-	.B1(n_13202),
-	.B2(n_3513),
-	.Y(n_5633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394901 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3328),
-	.B1(n_4612),
-	.Y(n_5632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394902 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3339),
-	.B1(n_4742),
-	.Y(n_5631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394903 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3340),
-	.B1(n_4874),
-	.Y(n_5630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394904 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3348),
-	.B1(n_4869),
-	.Y(n_5629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394905 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3326),
-	.B1(n_4617),
-	.Y(n_5628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394906 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3348),
-	.B1(n_4868),
-	.Y(n_5627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g394907 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
-	.A2_N(n_3268),
-	.B1(FE_OFN1318_n_3389),
-	.B2(n_3513),
-	.Y(n_5626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394908 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3300),
-	.B1(n_4625),
-	.Y(n_5625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394909 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3313),
-	.B1(n_4867),
-	.Y(n_5624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g394910 (
-	.A1(n_3352),
-	.A2(n_1235),
-	.B1(n_3517),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [5]),
-	.X(n_5623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394911 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3313),
-	.B1(n_4623),
-	.Y(n_5622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394912 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3310),
-	.B1(n_4738),
-	.Y(n_5621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394913 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3315),
-	.B1(n_4894),
-	.Y(n_5620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g394914 (
-	.A1(n_3352),
-	.A2(n_1053),
-	.B1(n_3517),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [1]),
-	.X(n_5619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394915 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3332),
-	.B1(n_4627),
-	.Y(n_5618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394916 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3350),
-	.B1(n_4579),
-	.Y(n_5617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394917 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3314),
-	.B1(n_4856),
-	.Y(n_5616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394918 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3324),
-	.B1(n_4630),
-	.Y(n_5615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g394919 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
-	.A2_N(n_3268),
-	.B1(n_3388),
-	.B2(n_3513),
-	.Y(n_5614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394920 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3300),
-	.B1(n_4629),
-	.Y(n_5613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394921 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3315),
-	.B1(n_4893),
-	.Y(n_5612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394922 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3300),
-	.B1(n_4656),
-	.Y(n_5611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394923 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3305),
-	.B1(n_4835),
-	.Y(n_5610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394924 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3305),
-	.B1(n_4834),
-	.Y(n_5609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g394925 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
-	.A2_N(n_3266),
-	.B1(FE_OFN1463_n_3374),
-	.B2(n_3739),
-	.Y(n_5608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g394926 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
-	.A2_N(n_3268),
-	.B1(FE_OFN1466_n_3387),
-	.B2(n_3513),
-	.Y(n_5607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394927 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3311),
-	.B1(n_4775),
-	.Y(n_5606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g394928 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3301),
-	.B1(n_4796),
-	.Y(n_5605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394929 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [5]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [5]),
-	.C1(n_4152),
-	.Y(n_5604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394930 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [6]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [6]),
-	.C1(n_4187),
-	.Y(n_5603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394931 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [0]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [0]),
-	.C1(n_4051),
-	.Y(n_5602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394932 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [0]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [0]),
-	.C1(n_4050),
-	.Y(n_5601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394933 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [0]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [0]),
-	.C1(n_4049),
-	.Y(n_5600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394934 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [0]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [0]),
-	.C1(n_4048),
-	.Y(n_5599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394935 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [0]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [0]),
-	.C1(n_4047),
-	.Y(n_5598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394936 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [0]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [0]),
-	.C1(n_4046),
-	.Y(n_5597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394937 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [0]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [0]),
-	.C1(n_4045),
-	.Y(n_5596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394938 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [0]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [0]),
-	.C1(n_4044),
-	.Y(n_5595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394939 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [0]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [0]),
-	.C1(n_4043),
-	.Y(n_5594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394940 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [0]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [0]),
-	.C1(n_4042),
-	.Y(n_5593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394941 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [0]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [0]),
-	.C1(n_4041),
-	.Y(n_5592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394942 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [0]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [0]),
-	.C1(n_4040),
-	.Y(n_5591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394943 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [0]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [0]),
-	.C1(n_4039),
-	.Y(n_5590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394944 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [0]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [0]),
-	.C1(n_4038),
-	.Y(n_5589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394945 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [0]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [0]),
-	.C1(n_4037),
-	.Y(n_5588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394946 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [0]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [0]),
-	.C1(n_4036),
-	.Y(n_5587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394947 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [0]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [0]),
-	.C1(n_4035),
-	.Y(n_5586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394948 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [0]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [0]),
-	.C1(n_4034),
-	.Y(n_5585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394949 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [0]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [0]),
-	.C1(n_4033),
-	.Y(n_5584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394950 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [0]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [0]),
-	.C1(n_4032),
-	.Y(n_5583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394951 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [0]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [0]),
-	.C1(n_4031),
-	.Y(n_5582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394952 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [0]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [0]),
-	.C1(n_4030),
-	.Y(n_5581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394953 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [0]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [0]),
-	.C1(n_4029),
-	.Y(n_5580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394954 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [0]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [0]),
-	.C1(n_4028),
-	.Y(n_5579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394955 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [0]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [0]),
-	.C1(n_4027),
-	.Y(n_5578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394956 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [0]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [0]),
-	.C1(n_4026),
-	.Y(n_5577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394957 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [0]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [0]),
-	.C1(n_4025),
-	.Y(n_5576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394958 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [0]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [0]),
-	.C1(n_4024),
-	.Y(n_5575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394959 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [0]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [0]),
-	.C1(n_4023),
-	.Y(n_5574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394960 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [0]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [0]),
-	.C1(n_4022),
-	.Y(n_5573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394961 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [1]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [1]),
-	.C1(n_4021),
-	.Y(n_5572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394962 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [1]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [1]),
-	.C1(n_4020),
-	.Y(n_5571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394963 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [1]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [1]),
-	.C1(n_4019),
-	.Y(n_5570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394964 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [1]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [1]),
-	.C1(n_4018),
-	.Y(n_5569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394965 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [1]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [1]),
-	.C1(n_4017),
-	.Y(n_5568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394966 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [1]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [1]),
-	.C1(n_4016),
-	.Y(n_5567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394967 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [1]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [1]),
-	.C1(n_4015),
-	.Y(n_5566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394968 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [1]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [1]),
-	.C1(n_4014),
-	.Y(n_5565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394969 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [1]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [1]),
-	.C1(n_4013),
-	.Y(n_5564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394970 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [1]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [1]),
-	.C1(n_4012),
-	.Y(n_5563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394971 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [1]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [1]),
-	.C1(n_4011),
-	.Y(n_5562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394972 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [1]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [1]),
-	.C1(n_4010),
-	.Y(n_5561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394973 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [1]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [1]),
-	.C1(n_4009),
-	.Y(n_5560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394974 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [1]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [1]),
-	.C1(n_4008),
-	.Y(n_5559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394975 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [1]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [1]),
-	.C1(n_4007),
-	.Y(n_5558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394976 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [1]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [1]),
-	.C1(n_4006),
-	.Y(n_5557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394977 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [1]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [1]),
-	.C1(n_4005),
-	.Y(n_5556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394978 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [1]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [1]),
-	.C1(n_4004),
-	.Y(n_5555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394979 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [1]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [1]),
-	.C1(n_4002),
-	.Y(n_5554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394980 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [1]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [1]),
-	.C1(n_4001),
-	.Y(n_5553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394981 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [1]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [1]),
-	.C1(n_4000),
-	.Y(n_5552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394982 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [1]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [1]),
-	.C1(n_3999),
-	.Y(n_5551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394983 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [1]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [1]),
-	.C1(n_3998),
-	.Y(n_5550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394984 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [1]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [1]),
-	.C1(n_3997),
-	.Y(n_5549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394985 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [1]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [1]),
-	.C1(n_3996),
-	.Y(n_5548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394986 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [1]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [1]),
-	.C1(n_3995),
-	.Y(n_5547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394987 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [1]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [1]),
-	.C1(n_3994),
-	.Y(n_5546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394988 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [1]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [1]),
-	.C1(n_3993),
-	.Y(n_5545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394989 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [1]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [1]),
-	.C1(n_3992),
-	.Y(n_5544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394990 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [1]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [1]),
-	.C1(n_4055),
-	.Y(n_5543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394991 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [4]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [4]),
-	.C1(n_4134),
-	.Y(n_5542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394992 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [2]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [2]),
-	.C1(n_3991),
-	.Y(n_5541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394993 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [2]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [2]),
-	.C1(n_3990),
-	.Y(n_5540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394994 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [2]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [2]),
-	.C1(n_3989),
-	.Y(n_5539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394995 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [2]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [2]),
-	.C1(n_3988),
-	.Y(n_5538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394996 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [2]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [2]),
-	.C1(n_3987),
-	.Y(n_5537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394997 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [2]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [2]),
-	.C1(n_3986),
-	.Y(n_5536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394998 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [2]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [2]),
-	.C1(n_3985),
-	.Y(n_5535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g394999 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [2]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [2]),
-	.C1(n_3984),
-	.Y(n_5534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395000 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [2]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [2]),
-	.C1(n_4059),
-	.Y(n_5533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395001 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [2]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [2]),
-	.C1(n_4060),
-	.Y(n_5532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395002 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [2]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [2]),
-	.C1(n_4063),
-	.Y(n_5531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395003 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [2]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [2]),
-	.C1(n_4064),
-	.Y(n_5530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395004 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [2]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [2]),
-	.C1(n_4067),
-	.Y(n_5529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395005 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [2]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [2]),
-	.C1(n_4069),
-	.Y(n_5528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395006 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [2]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [2]),
-	.C1(n_4070),
-	.Y(n_5527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395007 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [2]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [2]),
-	.C1(n_4071),
-	.Y(n_5526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395008 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [2]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [2]),
-	.C1(n_4072),
-	.Y(n_5525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395009 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [5]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [5]),
-	.C1(n_4166),
-	.Y(n_5524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395010 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [2]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [2]),
-	.C1(n_4073),
-	.Y(n_5523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395011 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [2]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [2]),
-	.C1(n_4074),
-	.Y(n_5522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395012 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [2]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [2]),
-	.C1(n_4075),
-	.Y(n_5521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395013 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [2]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [2]),
-	.C1(n_4077),
-	.Y(n_5520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395014 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [2]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [2]),
-	.C1(n_4078),
-	.Y(n_5519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395015 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [2]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [2]),
-	.C1(n_4079),
-	.Y(n_5518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395016 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [2]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [2]),
-	.C1(n_4080),
-	.Y(n_5517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395017 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [2]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [2]),
-	.C1(n_4081),
-	.Y(n_5516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395018 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [2]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [2]),
-	.C1(n_4082),
-	.Y(n_5515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395019 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [4]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [4]),
-	.C1(n_4132),
-	.Y(n_5514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395020 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [2]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [2]),
-	.C1(n_4084),
-	.Y(n_5513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395021 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [2]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [2]),
-	.C1(n_4086),
-	.Y(n_5512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395022 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [3]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [3]),
-	.C1(n_4087),
-	.Y(n_5511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395023 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [3]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [3]),
-	.C1(n_4089),
-	.Y(n_5510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395024 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [3]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [3]),
-	.C1(n_4090),
-	.Y(n_5509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395025 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [3]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [3]),
-	.C1(n_4221),
-	.Y(n_5508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395026 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [3]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [3]),
-	.C1(n_4091),
-	.Y(n_5507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395027 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [3]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [3]),
-	.C1(n_4220),
-	.Y(n_5506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395028 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [3]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [3]),
-	.C1(n_4092),
-	.Y(n_5505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395029 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [3]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [3]),
-	.C1(n_4098),
-	.Y(n_5504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395030 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [3]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [3]),
-	.C1(n_4099),
-	.Y(n_5503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395031 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [3]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [3]),
-	.C1(n_4100),
-	.Y(n_5502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395032 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [3]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [3]),
-	.C1(n_4101),
-	.Y(n_5501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395033 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [3]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [3]),
-	.C1(n_4105),
-	.Y(n_5500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395034 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [3]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [3]),
-	.C1(n_4106),
-	.Y(n_5499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395035 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [3]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [3]),
-	.C1(n_4107),
-	.Y(n_5498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395036 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [3]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [3]),
-	.C1(n_4108),
-	.Y(n_5497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395037 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [3]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [3]),
-	.C1(n_4109),
-	.Y(n_5496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395038 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [3]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [3]),
-	.C1(n_4110),
-	.Y(n_5495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395039 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [3]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [3]),
-	.C1(n_4111),
-	.Y(n_5494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395040 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [3]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [3]),
-	.C1(n_4114),
-	.Y(n_5493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395041 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [3]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [3]),
-	.C1(n_4115),
-	.Y(n_5492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395042 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [3]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [3]),
-	.C1(n_4116),
-	.Y(n_5491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395043 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [3]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [3]),
-	.C1(n_4117),
-	.Y(n_5490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395044 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [3]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [3]),
-	.C1(n_4182),
-	.Y(n_5489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395045 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [3]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [3]),
-	.C1(n_4118),
-	.Y(n_5488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395046 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [3]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [3]),
-	.C1(n_4119),
-	.Y(n_5487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395047 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [3]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [3]),
-	.C1(n_4120),
-	.Y(n_5486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395048 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [3]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [3]),
-	.C1(n_4121),
-	.Y(n_5485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395049 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [3]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [3]),
-	.C1(n_4122),
-	.Y(n_5484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395050 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [4]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [4]),
-	.C1(n_4123),
-	.Y(n_5483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395051 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [4]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [4]),
-	.C1(n_4124),
-	.Y(n_5482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395052 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [4]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [4]),
-	.C1(n_4125),
-	.Y(n_5481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395053 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [4]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [4]),
-	.C1(n_4128),
-	.Y(n_5480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395054 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [4]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [4]),
-	.C1(n_4126),
-	.Y(n_5479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395055 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [4]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [4]),
-	.C1(n_4127),
-	.Y(n_5478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395056 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [4]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [4]),
-	.C1(n_4112),
-	.Y(n_5477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395057 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [4]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [4]),
-	.C1(n_4113),
-	.Y(n_5476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395058 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [4]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [4]),
-	.C1(n_4129),
-	.Y(n_5475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395059 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [4]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [4]),
-	.C1(n_4130),
-	.Y(n_5474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395060 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [4]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [4]),
-	.C1(n_4131),
-	.Y(n_5473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395061 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [4]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [4]),
-	.C1(n_4076),
-	.Y(n_5472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395062 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [4]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [4]),
-	.C1(n_4068),
-	.Y(n_5471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395063 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [4]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [4]),
-	.C1(n_4133),
-	.Y(n_5470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395064 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [4]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [4]),
-	.C1(n_4135),
-	.Y(n_5469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395065 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [4]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [4]),
-	.C1(n_4136),
-	.Y(n_5468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395066 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [4]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [4]),
-	.C1(n_4137),
-	.Y(n_5467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395067 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [4]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [4]),
-	.C1(n_4138),
-	.Y(n_5466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395068 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [4]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [4]),
-	.C1(n_4139),
-	.Y(n_5465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395069 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [4]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [4]),
-	.C1(n_4140),
-	.Y(n_5464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395070 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [4]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [4]),
-	.C1(n_4141),
-	.Y(n_5463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395071 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [4]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [4]),
-	.C1(n_4142),
-	.Y(n_5462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395072 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [4]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [4]),
-	.C1(n_4143),
-	.Y(n_5461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395073 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [4]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [4]),
-	.C1(n_4144),
-	.Y(n_5460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395074 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [4]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [4]),
-	.C1(n_4058),
-	.Y(n_5459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395075 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [4]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [4]),
-	.C1(n_4145),
-	.Y(n_5458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395076 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [5]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [5]),
-	.C1(n_4146),
-	.Y(n_5457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395077 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [5]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [5]),
-	.C1(n_4147),
-	.Y(n_5456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395078 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [5]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [5]),
-	.C1(n_4148),
-	.Y(n_5455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395079 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [5]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [5]),
-	.C1(n_4149),
-	.Y(n_5454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395080 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [5]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [5]),
-	.C1(n_4056),
-	.Y(n_5453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395081 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [5]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [5]),
-	.C1(n_4150),
-	.Y(n_5452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395082 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [5]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [5]),
-	.C1(n_4151),
-	.Y(n_5451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395083 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [5]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [5]),
-	.C1(n_4003),
-	.Y(n_5450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395084 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [5]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [5]),
-	.C1(n_4057),
-	.Y(n_5449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395085 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [5]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [5]),
-	.C1(n_4153),
-	.Y(n_5448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395086 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [5]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [5]),
-	.C1(n_4154),
-	.Y(n_5447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395087 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [5]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [5]),
-	.C1(n_4155),
-	.Y(n_5446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395088 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [5]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [5]),
-	.C1(n_4156),
-	.Y(n_5445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395089 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [5]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [5]),
-	.C1(n_4157),
-	.Y(n_5444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395090 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [5]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [5]),
-	.C1(n_4159),
-	.Y(n_5443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395091 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [5]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [5]),
-	.C1(n_4160),
-	.Y(n_5442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395092 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [5]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [5]),
-	.C1(n_4161),
-	.Y(n_5441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395093 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [5]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [5]),
-	.C1(n_4162),
-	.Y(n_5440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395094 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [5]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [5]),
-	.C1(n_4163),
-	.Y(n_5439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395095 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [5]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [5]),
-	.C1(n_4164),
-	.Y(n_5438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395096 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [5]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [5]),
-	.C1(n_4165),
-	.Y(n_5437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395097 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [5]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [5]),
-	.C1(n_4167),
-	.Y(n_5436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395098 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [5]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [5]),
-	.C1(n_4168),
-	.Y(n_5435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395099 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [5]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [5]),
-	.C1(n_4169),
-	.Y(n_5434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395100 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [6]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [6]),
-	.C1(n_4170),
-	.Y(n_5433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395101 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [6]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [6]),
-	.C1(n_4171),
-	.Y(n_5432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395102 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [6]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [6]),
-	.C1(n_4172),
-	.Y(n_5431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395103 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [6]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [6]),
-	.C1(n_4173),
-	.Y(n_5430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395104 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [6]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [6]),
-	.C1(n_4174),
-	.Y(n_5429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395105 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [6]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [6]),
-	.C1(n_4175),
-	.Y(n_5428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395106 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [6]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [6]),
-	.C1(n_4176),
-	.Y(n_5427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395107 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [6]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [6]),
-	.C1(n_4177),
-	.Y(n_5426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395108 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [6]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [6]),
-	.C1(n_4179),
-	.Y(n_5425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395109 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [6]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [6]),
-	.C1(n_4180),
-	.Y(n_5424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395110 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [6]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [6]),
-	.C1(n_4181),
-	.Y(n_5423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395111 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [6]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [6]),
-	.C1(n_4183),
-	.Y(n_5422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395112 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [6]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [6]),
-	.C1(n_4184),
-	.Y(n_5421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395113 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [6]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [6]),
-	.C1(n_4185),
-	.Y(n_5420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395114 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [6]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [6]),
-	.C1(n_4188),
-	.Y(n_5419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395115 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [6]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [6]),
-	.C1(n_4189),
-	.Y(n_5418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395116 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [6]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [6]),
-	.C1(n_4190),
-	.Y(n_5417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395117 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [6]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [6]),
-	.C1(n_4192),
-	.Y(n_5416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395118 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [6]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [6]),
-	.C1(n_4193),
-	.Y(n_5415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395119 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [6]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [6]),
-	.C1(n_4194),
-	.Y(n_5414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395120 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [6]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [6]),
-	.C1(n_4195),
-	.Y(n_5413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395121 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [6]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [6]),
-	.C1(n_4227),
-	.Y(n_5412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395122 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [6]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [6]),
-	.C1(n_4196),
-	.Y(n_5411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395123 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [7]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [7]),
-	.C1(n_4228),
-	.Y(n_5410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395124 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [7]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [7]),
-	.C1(n_4226),
-	.Y(n_5409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395125 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [7]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [7]),
-	.C1(n_4054),
-	.Y(n_5408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395126 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [7]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [7]),
-	.C1(n_4199),
-	.Y(n_5407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395127 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [7]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [7]),
-	.C1(n_4200),
-	.Y(n_5406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395128 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [7]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [7]),
-	.C1(n_4201),
-	.Y(n_5405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395129 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [7]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [7]),
-	.C1(n_4202),
-	.Y(n_5404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395130 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [7]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [7]),
-	.C1(n_4203),
-	.Y(n_5403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395131 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [7]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [7]),
-	.C1(n_4222),
-	.Y(n_5402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395132 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [7]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [7]),
-	.C1(n_4204),
-	.Y(n_5401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395133 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [7]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [7]),
-	.C1(n_4207),
-	.Y(n_5400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395134 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [7]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [7]),
-	.C1(n_4208),
-	.Y(n_5399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395135 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [7]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [7]),
-	.C1(n_4210),
-	.Y(n_5398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395136 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [7]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [7]),
-	.C1(n_4211),
-	.Y(n_5397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395137 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [7]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [7]),
-	.C1(n_4212),
-	.Y(n_5396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395138 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [7]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [7]),
-	.C1(n_4213),
-	.Y(n_5395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395139 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [7]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [7]),
-	.C1(n_4214),
-	.Y(n_5394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395140 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [7]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [7]),
-	.C1(n_4215),
-	.Y(n_5393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395141 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [7]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [7]),
-	.C1(n_4216),
-	.Y(n_5392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395142 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [7]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [7]),
-	.C1(n_4217),
-	.Y(n_5391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395143 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [7]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [7]),
-	.C1(n_4218),
-	.Y(n_5390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395144 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [7]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [7]),
-	.C1(n_4230),
-	.Y(n_5389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395145 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [7]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [7]),
-	.C1(n_4229),
-	.Y(n_5388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395146 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [5]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [5]),
-	.C1(n_4158),
-	.Y(n_5387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395147 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [7]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [7]),
-	.C1(n_4206),
-	.Y(n_5386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395148 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [7]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [7]),
-	.C1(n_4209),
-	.Y(n_5385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395149 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [6]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [6]),
-	.C1(n_4191),
-	.Y(n_5384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395150 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [7]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [7]),
-	.C1(n_4197),
-	.Y(n_5383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395151 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [7]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [7]),
-	.C1(n_4205),
-	.Y(n_5382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395152 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [7]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [7]),
-	.C1(n_4198),
-	.Y(n_5381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395153 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [6]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [6]),
-	.C1(n_4186),
-	.Y(n_5380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395154 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [7]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [7]),
-	.C1(n_4223),
-	.Y(n_5379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395155 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [6]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [6]),
-	.C1(n_4053),
-	.Y(n_5378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395156 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [5]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [5]),
-	.C1(n_4224),
-	.Y(n_5377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395157 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [6]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [6]),
-	.C1(n_4178),
-	.Y(n_5376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395158 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [7]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [7]),
-	.C1(n_4219),
-	.Y(n_5375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395159 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3301),
-	.B1(n_4797),
-	.Y(n_5374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395160 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3326),
-	.B1(n_4633),
-	.Y(n_5373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395161 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3312),
-	.B1(n_4766),
-	.Y(n_5372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g395162 (
-	.A1(n_2314),
-	.A2(n_2650),
-	.B1(FE_OFN971_n_1967),
-	.B2(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
-	.C1(n_4911),
-	.Y(n_5371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395163 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3298),
-	.B1(n_4848),
-	.Y(n_5370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395164 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3297),
-	.B1(n_4597),
-	.Y(n_5369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395165 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3320),
-	.B1(n_4905),
-	.Y(n_5368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395166 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3316),
-	.B1(n_4592),
-	.Y(n_5367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395167 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3317),
-	.B1(n_4890),
-	.Y(n_5366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395168 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3317),
-	.B1(n_4887),
-	.Y(n_5365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395169 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
-	.A2_N(n_3266),
-	.B1(FE_OFN1466_n_3387),
-	.B2(n_3739),
-	.Y(n_5364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395170 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3313),
-	.B1(n_4866),
-	.Y(n_5363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395171 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3303),
-	.B1(n_4674),
-	.Y(n_5362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395172 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3314),
-	.B1(n_4652),
-	.Y(n_5361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395173 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3314),
-	.B1(n_4654),
-	.Y(n_5360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395174 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3314),
-	.B1(n_4853),
-	.Y(n_5359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395175 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3350),
-	.B1(n_4632),
-	.Y(n_5358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395176 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3350),
-	.B1(n_4836),
-	.Y(n_5357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395177 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3305),
-	.B1(n_4635),
-	.Y(n_5356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395178 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3305),
-	.B1(n_4636),
-	.Y(n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395179 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
-	.A2_N(n_3266),
-	.B1(n_13202),
-	.B2(n_3739),
-	.Y(n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395180 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3304),
-	.B1(n_4728),
-	.Y(n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395181 (
-	.A1(n_3347),
-	.A2(n_1053),
-	.B1(n_3561),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [1]),
-	.X(n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395182 (
-	.A1(n_3347),
-	.A2(n_1055),
-	.B1(n_3561),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [3]),
-	.X(n_5351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395183 (
-	.A1(n_3347),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_3561),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [4]),
-	.X(n_5350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395184 (
-	.A1(n_3347),
-	.A2(n_1235),
-	.B1(n_3561),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [5]),
-	.X(n_5349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395185 (
-	.A1(n_3347),
-	.A2(n_1233),
-	.B1(n_3561),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [7]),
-	.X(n_5348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395186 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3323),
-	.B1(n_4676),
-	.Y(n_5347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395187 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3323),
-	.B1(n_4737),
-	.Y(n_5346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395188 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3323),
-	.B1(n_4576),
-	.Y(n_5345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395189 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3323),
-	.B1(n_4810),
-	.Y(n_5344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395190 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3323),
-	.B1(n_4669),
-	.Y(n_5343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395191 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3323),
-	.B1(n_4710),
-	.Y(n_5342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395192 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3323),
-	.B1(n_4603),
-	.Y(n_5341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395193 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3318),
-	.B1(n_4751),
-	.Y(n_5340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395194 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3318),
-	.B1(n_4771),
-	.Y(n_5339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395195 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3318),
-	.B1(n_4594),
-	.Y(n_5338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395196 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3318),
-	.B1(n_4678),
-	.Y(n_5337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395197 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3318),
-	.B1(n_4757),
-	.Y(n_5336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395198 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3318),
-	.B1(n_4750),
-	.Y(n_5335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395199 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3327),
-	.B1(n_4720),
-	.Y(n_5334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395200 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3327),
-	.B1(n_4823),
-	.Y(n_5333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395201 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3327),
-	.B1(n_4822),
-	.Y(n_5332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395202 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3327),
-	.B1(n_4821),
-	.Y(n_5331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395203 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3327),
-	.B1(n_4806),
-	.Y(n_5330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395204 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3327),
-	.B1(n_4820),
-	.Y(n_5329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395205 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3327),
-	.B1(n_4819),
-	.Y(n_5328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395206 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3325),
-	.B1(n_4724),
-	.Y(n_5327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395207 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3325),
-	.B1(n_4725),
-	.Y(n_5326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395208 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3325),
-	.B1(n_4591),
-	.Y(n_5325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395209 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3325),
-	.B1(n_4722),
-	.Y(n_5324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395210 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3325),
-	.B1(n_4639),
-	.Y(n_5323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395211 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3338),
-	.B1(n_4816),
-	.Y(n_5322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395212 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3338),
-	.B1(n_4698),
-	.Y(n_5321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395213 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3338),
-	.B1(n_4613),
-	.Y(n_5320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395214 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3338),
-	.B1(n_4715),
-	.Y(n_5319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395215 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3338),
-	.B1(n_4741),
-	.Y(n_5318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395216 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3338),
-	.B1(n_4660),
-	.Y(n_5317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395217 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3338),
-	.B1(n_4666),
-	.Y(n_5316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395218 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3341),
-	.B1(n_4735),
-	.Y(n_5315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395219 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3341),
-	.B1(n_4609),
-	.Y(n_5314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395220 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3341),
-	.B1(n_4622),
-	.Y(n_5313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395221 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3341),
-	.B1(n_4727),
-	.Y(n_5312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395222 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3341),
-	.B1(n_4658),
-	.Y(n_5311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395223 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3341),
-	.B1(n_4661),
-	.Y(n_5310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395224 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3341),
-	.B1(n_4665),
-	.Y(n_5309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395225 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3349),
-	.B1(n_4684),
-	.Y(n_5308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395226 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3349),
-	.B1(n_4697),
-	.Y(n_5307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395227 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3349),
-	.B1(n_4702),
-	.Y(n_5306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395228 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3349),
-	.B1(n_4649),
-	.Y(n_5305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395229 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3349),
-	.B1(n_4696),
-	.Y(n_5304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395230 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3349),
-	.B1(n_4709),
-	.Y(n_5303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395231 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3319),
-	.B1(n_4687),
-	.Y(n_5302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395232 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3319),
-	.B1(n_4811),
-	.Y(n_5301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395233 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3319),
-	.B1(n_4650),
-	.Y(n_5300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395234 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3319),
-	.B1(n_4638),
-	.Y(n_5299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395235 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3319),
-	.B1(n_4590),
-	.Y(n_5298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395236 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3310),
-	.B1(n_4585),
-	.Y(n_5297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395237 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3322),
-	.B1(n_4671),
-	.Y(n_5296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395238 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3322),
-	.B1(n_4689),
-	.Y(n_5295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395239 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3322),
-	.B1(n_4644),
-	.Y(n_5294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395240 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3322),
-	.B1(n_4631),
-	.Y(n_5293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395241 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3322),
-	.B1(n_4637),
-	.Y(n_5292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395242 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3322),
-	.B1(n_4643),
-	.Y(n_5291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395243 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3322),
-	.B1(n_4815),
-	.Y(n_5290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395244 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3356),
-	.B1(n_4711),
-	.Y(n_5289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395245 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3316),
-	.B1(n_4755),
-	.Y(n_5288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395246 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3316),
-	.B1(n_4682),
-	.Y(n_5287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395247 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3316),
-	.B1(n_4891),
-	.Y(n_5286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395248 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3316),
-	.B1(n_4703),
-	.Y(n_5285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395249 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3316),
-	.B1(n_4577),
-	.Y(n_5284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395250 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3316),
-	.B1(n_4749),
-	.Y(n_5283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395251 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3299),
-	.B1(n_4601),
-	.Y(n_5282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395252 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3299),
-	.B1(n_4814),
-	.Y(n_5281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395253 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3299),
-	.B1(n_4581),
-	.Y(n_5280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395254 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3299),
-	.B1(n_4670),
-	.Y(n_5279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395255 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3299),
-	.B1(n_4813),
-	.Y(n_5278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395256 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3299),
-	.B1(n_4575),
-	.Y(n_5277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395257 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
-	.A2_N(n_3268),
-	.B1(FE_OFN16194_n_3381),
-	.B2(n_3513),
-	.Y(n_5276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395258 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3332),
-	.B1(n_4641),
-	.Y(n_5275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395259 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3306),
-	.B1(n_4776),
-	.Y(n_5274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395260 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3332),
-	.B1(n_4648),
-	.Y(n_5273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395261 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3332),
-	.B1(n_4745),
-	.Y(n_5272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395262 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3332),
-	.B1(n_4664),
-	.Y(n_5271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395263 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
-	.A2_N(n_3268),
-	.B1(FE_OFN1313_n_3373),
-	.B2(n_3513),
-	.Y(n_5270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395264 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3355),
-	.B1(n_4680),
-	.Y(n_5269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395265 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
-	.A2_N(n_3268),
-	.B1(FE_OFN16559_n_3386),
-	.B2(n_3513),
-	.Y(n_5268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395266 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3355),
-	.B1(n_4700),
-	.Y(n_5267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395267 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3355),
-	.B1(n_4786),
-	.Y(n_5266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395268 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3355),
-	.B1(n_4659),
-	.Y(n_5265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395269 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3355),
-	.B1(n_4618),
-	.Y(n_5264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395270 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3355),
-	.B1(n_4642),
-	.Y(n_5263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395271 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3356),
-	.B1(n_4614),
-	.Y(n_5262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395272 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3297),
-	.B1(n_4770),
-	.Y(n_5261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395273 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3356),
-	.B1(n_4588),
-	.Y(n_5260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395274 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3307),
-	.B1(n_4672),
-	.Y(n_5259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395275 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3306),
-	.B1(n_4651),
-	.Y(n_5258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395276 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3307),
-	.B1(n_4677),
-	.Y(n_5257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395277 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3307),
-	.B1(n_4694),
-	.Y(n_5256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395278 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3307),
-	.B1(n_4714),
-	.Y(n_5255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395279 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3307),
-	.B1(n_4743),
-	.Y(n_5254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395280 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3307),
-	.B1(n_4817),
-	.Y(n_5253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395281 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3307),
-	.B1(n_4730),
-	.Y(n_5252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395282 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3306),
-	.B1(n_4818),
-	.Y(n_5251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395283 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3304),
-	.B1(n_4807),
-	.Y(n_5250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395284 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3310),
-	.B1(n_4784),
-	.Y(n_5249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395285 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3333),
-	.B1(n_4844),
-	.Y(n_5248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395286 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3297),
-	.B1(n_4713),
-	.Y(n_5247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395287 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3297),
-	.B1(n_4598),
-	.Y(n_5246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395288 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
-	.A2_N(n_3268),
-	.B1(n_13200),
-	.B2(n_3513),
-	.Y(n_5245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395289 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3343),
-	.B1(n_4765),
-	.Y(n_5244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395290 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3301),
-	.B1(n_4793),
-	.Y(n_5243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395291 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
-	.A2_N(n_3268),
-	.B1(FE_OFN1468_n_3391),
-	.B2(n_3513),
-	.Y(n_5242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395292 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3328),
-	.B1(n_4723),
-	.Y(n_5241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395293 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
-	.A2_N(n_3268),
-	.B1(FE_OFN1463_n_3374),
-	.B2(n_3513),
-	.Y(n_5240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395294 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3325),
-	.B1(n_4604),
-	.Y(n_5239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395295 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3326),
-	.B1(n_4624),
-	.Y(n_5238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395296 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3348),
-	.B1(n_4870),
-	.Y(n_5237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395297 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3301),
-	.B1(n_4794),
-	.Y(n_5236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395298 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.A2_N(n_2181),
-	.B1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B2(n_2181),
-	.Y(n_5235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395299 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3310),
-	.B1(n_4589),
-	.Y(n_5234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395300 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3326),
-	.B1(n_4754),
-	.Y(n_5233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395301 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3331),
-	.B1(n_4787),
-	.Y(n_5232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395302 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3331),
-	.B1(n_4747),
-	.Y(n_5231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395303 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3303),
-	.B1(n_4595),
-	.Y(n_5230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395304 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3310),
-	.B1(n_4783),
-	.Y(n_5229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395305 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3312),
-	.B1(n_4908),
-	.Y(n_5228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395306 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3333),
-	.B1(n_4736),
-	.Y(n_5227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395307 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3339),
-	.B1(n_4760),
-	.Y(n_5226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395308 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3339),
-	.B1(n_4761),
-	.Y(n_5225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395309 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3326),
-	.B1(n_4752),
-	.Y(n_5224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395310 (
-	.A1(n_3659),
-	.A2(main_swith_host_lsu_num_req_outstanding[2]),
-	.B1(n_1257),
-	.B2(n_13735),
-	.Y(n_5223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395311 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3333),
-	.B1(n_4845),
-	.Y(n_5222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395312 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3326),
-	.B1(n_4756),
-	.Y(n_5221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395313 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3339),
-	.B1(n_4759),
-	.Y(n_5220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395314 (
-	.A1(FE_OFN1458_n_1238),
-	.A2(n_3300),
-	.B1(n_4574),
-	.Y(n_5219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395315 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3317),
-	.B1(n_4683),
-	.Y(n_5218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395316 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3305),
-	.B1(n_4833),
-	.Y(n_5217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395317 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3305),
-	.B1(n_4832),
-	.Y(n_5216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395318 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3331),
-	.B1(n_4729),
-	.Y(n_5215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395319 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3305),
-	.B1(n_4831),
-	.Y(n_5214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395320 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3311),
-	.B1(n_4777),
-	.Y(n_5213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395321 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3315),
-	.B1(n_4897),
-	.Y(n_5212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395322 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3298),
-	.B1(n_4849),
-	.Y(n_5211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395323 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
-	.A2_N(n_3268),
-	.B1(FE_OFN1314_n_3377),
-	.B2(n_3513),
-	.Y(n_5210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395324 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3331),
-	.B1(n_4721),
-	.Y(n_5209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395325 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3340),
-	.B1(n_4615),
-	.Y(n_5208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395326 (
-	.A1(n_3351),
-	.A2(n_1233),
-	.B1(n_3520),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [7]),
-	.X(n_5207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395327 (
-	.A1(n_3351),
-	.A2(n_1055),
-	.B1(n_3520),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [3]),
-	.X(n_5206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395328 (
-	.A1(n_3352),
-	.A2(n_1055),
-	.B1(n_3517),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [3]),
-	.X(n_5205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395329 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3331),
-	.B1(n_4788),
-	.Y(n_5204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395330 (
-	.A1(n_3352),
-	.A2(n_1239),
-	.B1(n_3517),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [6]),
-	.X(n_5203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395331 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3325),
-	.B1(n_4746),
-	.Y(n_5202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395332 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3331),
-	.B1(n_4744),
-	.Y(n_5201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395333 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3326),
-	.B1(n_4753),
-	.Y(n_5200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395334 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3331),
-	.B1(n_4789),
-	.Y(n_5199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395335 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3303),
-	.B1(n_4781),
-	.Y(n_5198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395336 (
-	.A1(n_3514),
-	.A2(FE_RN_1),
-	.B1(n_2145),
-	.B2(FE_OCPN16813_lsu_to_xbar_a_address__30),
-	.Y(n_5197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395337 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3306),
-	.B1(n_4578),
-	.Y(n_5196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395338 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
-	.Y(n_5195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395339 (
-	.A1(n_3352),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_3517),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [2]),
-	.X(n_5194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395340 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3339),
-	.B1(n_4758),
-	.Y(n_5193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395341 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3324),
-	.B1(n_4858),
-	.Y(n_5192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395342 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
-	.B1(FE_OFN1580_n_2147),
-	.B2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
-	.Y(n_5191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395343 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3340),
-	.B1(n_4877),
-	.Y(n_5190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395344 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3318),
-	.B1(n_4621),
-	.Y(n_5189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395345 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
-	.Y(n_5188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395346 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3303),
-	.B1(n_4734),
-	.Y(n_5187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395347 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3301),
-	.B1(n_4795),
-	.Y(n_5186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395348 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
-	.A2_N(n_3266),
-	.B1(n_13198),
-	.B2(n_3739),
-	.Y(n_5185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395349 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3313),
-	.B1(n_4681),
-	.Y(n_5184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395350 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3348),
-	.B1(n_4872),
-	.Y(n_5183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395351 (
-	.A1(n_3508),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
-	.B1(FE_OFN1619_n_1682),
-	.B2(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
-	.Y(n_5182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395352 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3306),
-	.B1(n_4616),
-	.Y(n_5181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395353 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3343),
-	.B1(n_4718),
-	.Y(n_5180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395354 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
-	.B1(FE_OFN1580_n_2147),
-	.B2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
-	.Y(n_5179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395355 (
-	.A1(FE_OFN1054_n_15788),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
-	.Y(n_5178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395356 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3303),
-	.B1(n_4780),
-	.Y(n_5177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395357 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3348),
-	.B1(n_4871),
-	.Y(n_5176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395358 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
-	.Y(n_5175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395359 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3324),
-	.B1(n_4861),
-	.Y(n_5174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395360 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[6]),
-	.Y(n_5173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395361 (
-	.A1(n_3508),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
-	.B1(FE_OFN1580_n_2147),
-	.B2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
-	.Y(n_5172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395362 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3320),
-	.B1(n_4906),
-	.Y(n_5171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395363 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3304),
-	.B1(n_4695),
-	.Y(n_5170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395364 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[7]),
-	.Y(n_5169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395365 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
-	.Y(n_5168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395366 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3333),
-	.B1(n_4843),
-	.Y(n_5167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395367 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3324),
-	.B1(n_4859),
-	.Y(n_5166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395368 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3324),
-	.B1(n_4860),
-	.Y(n_5165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395369 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
-	.Y(n_5164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395370 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[8]),
-	.Y(n_5163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395371 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3348),
-	.B1(n_4873),
-	.Y(n_5162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395372 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3320),
-	.B1(n_4690),
-	.Y(n_5161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395373 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3349),
-	.B1(n_4692),
-	.Y(n_5160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395374 (
-	.A1(FE_OFN1445_n_1056),
-	.A2(n_3302),
-	.B1(n_4804),
-	.Y(n_5159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395375 (
-	.A1(FE_OFN1054_n_15788),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
-	.Y(n_5158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395376 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[9]),
-	.Y(n_5157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395377 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
-	.A2_N(n_3268),
-	.B1(FE_OFN16561_n_3385),
-	.B2(n_3513),
-	.Y(n_5156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395378 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3309),
-	.B1(n_4828),
-	.Y(n_5155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395379 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3350),
-	.B1(n_4838),
-	.Y(n_5154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395380 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
-	.Y(n_5153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395381 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3350),
-	.B1(n_4839),
-	.Y(n_5152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395382 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3333),
-	.B1(n_4791),
-	.Y(n_5151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395383 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[10]),
-	.Y(n_5150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395384 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3350),
-	.B1(n_4840),
-	.Y(n_5149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395385 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3324),
-	.B1(n_4693),
-	.Y(n_5148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395386 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3324),
-	.B1(n_4864),
-	.Y(n_5147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395387 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3306),
-	.B1(n_4876),
-	.Y(n_5146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395388 (
-	.A1(FE_OFN1054_n_15788),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
-	.B1(FE_OFN1619_n_1682),
-	.B2(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
-	.Y(n_5145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395389 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3320),
-	.B1(n_4907),
-	.Y(n_5144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395390 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3302),
-	.B1(n_4803),
-	.Y(n_5143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395391 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
-	.X(n_5142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395392 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3333),
-	.B1(n_4842),
-	.Y(n_5141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395393 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3309),
-	.B1(n_4827),
-	.Y(n_5140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395394 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3302),
-	.B1(n_4805),
-	.Y(n_5139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395395 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3333),
-	.B1(n_4841),
-	.Y(n_5138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395396 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
-	.Y(n_5137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395397 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[13]),
-	.Y(n_5136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395398 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3300),
-	.B1(n_4688),
-	.Y(n_5135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395399 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3355),
-	.B1(n_4691),
-	.Y(n_5134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395400 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
-	.A2_N(n_3266),
-	.B1(n_3384),
-	.B2(n_3739),
-	.Y(n_5133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395401 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3315),
-	.B1(n_4895),
-	.Y(n_5132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395402 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
-	.B1(n_3508),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
-	.Y(n_5131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395403 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3309),
-	.B1(n_4826),
-	.Y(n_5130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395404 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[14]),
-	.Y(n_5129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395405 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3309),
-	.B1(n_4825),
-	.Y(n_5128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395406 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
-	.Y(n_5127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395407 (
-	.A1(n_3508),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[15]),
-	.Y(n_5126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395408 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3315),
-	.B1(n_4896),
-	.Y(n_5125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395409 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3321),
-	.B1(n_4900),
-	.Y(n_5124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395410 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3321),
-	.B1(n_4901),
-	.Y(n_5123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395411 (
-	.A1(n_3508),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
-	.B1(FE_OFN1619_n_1682),
-	.B2(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
-	.Y(n_5122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395412 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3321),
-	.B1(n_4673),
-	.Y(n_5121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395413 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3320),
-	.B1(n_4903),
-	.Y(n_5120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395414 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3320),
-	.B1(n_4902),
-	.Y(n_5119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395415 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3320),
-	.B1(n_4904),
-	.Y(n_5118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395416 (
-	.A1(n_3351),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_3520),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [2]),
-	.X(n_5117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395417 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3314),
-	.B1(n_4854),
-	.Y(n_5116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395418 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3340),
-	.B1(n_4878),
-	.Y(n_5115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395419 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3340),
-	.B1(n_4879),
-	.Y(n_5114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395420 (
-	.A1(n_3351),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_3520),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [4]),
-	.X(n_5113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395421 (
-	.A1(n_3510),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
-	.B1(FE_OFN1619_n_1682),
-	.B2(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
-	.Y(n_5112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395422 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3346),
-	.B1(n_4882),
-	.Y(n_5111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395423 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3356),
-	.B1(n_4667),
-	.Y(n_5110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395424 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3346),
-	.B1(n_4883),
-	.Y(n_5109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395425 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3339),
-	.B1(n_4763),
-	.Y(n_5108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395426 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3346),
-	.B1(n_4880),
-	.Y(n_5107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395427 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3346),
-	.B1(n_4881),
-	.Y(n_5106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395428 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
-	.A2_N(n_3266),
-	.B1(FE_OFN1464_n_3383),
-	.B2(n_3739),
-	.Y(n_5105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395429 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3317),
-	.B1(n_4889),
-	.Y(n_5104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395430 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3332),
-	.B1(n_4675),
-	.Y(n_5103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395431 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3346),
-	.B1(n_4886),
-	.Y(n_5102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395432 (
-	.A1(FE_OFN1443_n_1056),
-	.A2(n_3346),
-	.B1(n_4884),
-	.Y(n_5101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395433 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3346),
-	.B1(n_4885),
-	.Y(n_5100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395434 (
-	.A1(n_3351),
-	.A2(n_1053),
-	.B1(n_3520),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [1]),
-	.X(n_5099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395435 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3332),
-	.B1(n_4668),
-	.Y(n_5098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395436 (
-	.A1(n_3351),
-	.A2(n_1235),
-	.B1(n_3520),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [5]),
-	.X(n_5097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395437 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3317),
-	.B1(n_4663),
-	.Y(n_5096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395438 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3317),
-	.B1(n_4662),
-	.Y(n_5095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395439 (
-	.A1(n_3352),
-	.A2(n_1233),
-	.B1(n_3517),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [7]),
-	.X(n_5094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395440 (
-	.A1(FE_OFN1459_n_1240),
-	.A2(n_3304),
-	.B1(n_4808),
-	.Y(n_5093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395441 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3302),
-	.B1(n_4712),
-	.Y(n_5092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395442 (
-	.A1(FE_OFN1448_n_1058),
-	.A2(n_3314),
-	.B1(n_4855),
-	.Y(n_5091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395443 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3311),
-	.B1(n_4779),
-	.Y(n_5090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395444 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3298),
-	.B1(n_4852),
-	.Y(n_5089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395445 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3356),
-	.B1(n_4778),
-	.Y(n_5088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395446 (
-	.A1(n_3352),
-	.A2(FE_OFN1572_n_1057),
-	.B1(n_3517),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [4]),
-	.X(n_5087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395447 (
-	.A1(n_3347),
-	.A2(n_1239),
-	.B1(n_3561),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [6]),
-	.X(n_5086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395448 (
-	.A1(FE_OFN1453_n_1236),
-	.A2(n_3321),
-	.B1(n_4899),
-	.Y(n_5085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395449 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3302),
-	.B1(n_4800),
-	.Y(n_5084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395450 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
-	.Y(n_5083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395451 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3302),
-	.B1(n_4801),
-	.Y(n_5082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395452 (
-	.A1(FE_OFN1461_n_1240),
-	.A2(n_3300),
-	.B1(n_4634),
-	.Y(n_5081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g395453 (
-	.A1(n_3347),
-	.A2(FE_OFN1576_n_1237),
-	.B1(n_3561),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [2]),
-	.X(n_5080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395454 (
-	.A1(FE_OFN1059_n_15841),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
-	.B1(FE_OFN1054_n_15788),
-	.B2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
-	.Y(n_5079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395455 (
-	.A1(FE_OFN1455_n_1236),
-	.A2(n_3306),
-	.B1(n_4602),
-	.Y(n_5078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395456 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3321),
-	.B1(n_4645),
-	.Y(n_5077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395457 (
-	.A1(n_3508),
-	.A2(\u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[31]),
-	.Y(n_5076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395458 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
-	.A2_N(n_3268),
-	.B1(FE_OFN1464_n_3383),
-	.B2(n_3513),
-	.Y(n_5075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395459 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3301),
-	.B1(n_4679),
-	.Y(n_5074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395460 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3328),
-	.B1(n_4792),
-	.Y(n_5073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395461 (
-	.A1(FE_OFN1457_n_1238),
-	.A2(n_3309),
-	.B1(n_4829),
-	.Y(n_5072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395462 (
-	.A1(FE_OFN1450_n_1234),
-	.A2(n_3309),
-	.B1(n_4824),
-	.Y(n_5071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395463 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3309),
-	.B1(n_4830),
-	.Y(n_5070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395464 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
-	.A2_N(n_3266),
-	.B1(FE_OFN16559_n_3386),
-	.B2(n_3739),
-	.Y(n_5069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395465 (
-	.A1(FE_OFN1440_n_1054),
-	.A2(n_3317),
-	.B1(n_4580),
-	.Y(n_5068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395466 (
-	.A1(FE_OFN1449_n_1058),
-	.A2(n_3304),
-	.B1(n_4657),
-	.Y(n_5067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395467 (
-	.A1(FE_OFN1442_n_1054),
-	.A2(n_3301),
-	.B1(n_4798),
-	.Y(n_5066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395468 (
-	.A1(FE_OFN1451_n_1234),
-	.A2(n_3315),
-	.B1(n_4892),
-	.Y(n_5065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395469 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
-	.A2_N(n_3268),
-	.B1(n_3384),
-	.B2(n_3513),
-	.Y(n_5064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g395470 (
-	.A1(n_3717),
-	.A2(n_2135),
-	.B1(n_3712),
-	.B2(n_2136),
-	.Y(n_5867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395471 (
-	.A1(n_2136),
-	.A2(n_3658),
-	.B1(n_2135),
-	.B2(n_3709),
-	.Y(n_5865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g395472 (
-	.A1(n_3663),
-	.A2(n_2136),
-	.B1(n_4563),
-	.Y(n_5864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395473 (
-	.A1(n_2136),
-	.A2(n_3652),
-	.B1(n_2133),
-	.B2(n_3138),
-	.Y(n_5863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395476 (
-	.A1(n_2136),
-	.A2(n_3638),
-	.B1(n_2135),
-	.B2(n_3642),
-	.Y(n_5858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395477 (
-	.A1(n_2136),
-	.A2(n_3639),
-	.B1(n_2135),
-	.B2(n_3643),
-	.Y(n_5857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395478 (
-	.A1(n_2136),
-	.A2(n_3640),
-	.B1(n_2135),
-	.B2(n_3644),
-	.Y(n_5856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395479 (
-	.A1(n_2136),
-	.A2(n_3641),
-	.B1(n_2135),
-	.B2(n_3645),
-	.Y(n_5855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395480 (
-	.A1(n_2136),
-	.A2(n_3642),
-	.B1(n_2135),
-	.B2(n_3646),
-	.Y(n_5854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395481 (
-	.A1(n_2136),
-	.A2(n_3643),
-	.B1(n_2135),
-	.B2(n_3647),
-	.Y(n_5853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395482 (
-	.A1(n_2136),
-	.A2(n_3644),
-	.B1(n_2135),
-	.B2(n_3634),
-	.Y(n_5852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395483 (
-	.A1(n_2136),
-	.A2(n_3645),
-	.B1(n_2135),
-	.B2(n_3633),
-	.Y(n_5851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395484 (
-	.A1(n_2136),
-	.A2(n_3646),
-	.B1(n_3632),
-	.B2(n_2135),
-	.Y(n_5850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395485 (
-	.A1(n_2136),
-	.A2(n_3647),
-	.B1(n_2135),
-	.B2(n_3649),
-	.Y(n_5849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395486 (
-	.A1(n_2136),
-	.A2(n_3634),
-	.B1(n_2135),
-	.B2(n_3631),
-	.Y(n_5848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395487 (
-	.A1(n_2136),
-	.A2(n_3633),
-	.B1(n_2135),
-	.B2(n_3630),
-	.Y(n_5847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395488 (
-	.A1(n_2136),
-	.A2(n_3632),
-	.B1(n_2135),
-	.B2(n_3629),
-	.Y(n_5846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395489 (
-	.A1(n_2136),
-	.A2(n_3649),
-	.B1(n_2135),
-	.B2(n_3651),
-	.Y(n_5845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395490 (
-	.A1(n_2136),
-	.A2(n_3631),
-	.B1(n_2135),
-	.B2(n_3652),
-	.Y(n_5844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395491 (
-	.A1(n_2136),
-	.A2(n_3630),
-	.B1(n_2135),
-	.B2(n_3653),
-	.Y(n_5843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395492 (
-	.A1(n_2136),
-	.A2(n_3655),
-	.B1(n_2135),
-	.B2(n_3716),
-	.Y(n_5842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395493 (
-	.A1(n_2136),
-	.A2(n_3654),
-	.B1(n_2135),
-	.B2(n_3678),
-	.Y(n_5841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395494 (
-	.A1(n_3629),
-	.A2(n_2136),
-	.B1(n_2135),
-	.B2(n_3625),
-	.Y(n_5840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395495 (
-	.A1(n_2136),
-	.A2(n_3662),
-	.B1(n_2135),
-	.B2(n_3656),
-	.Y(n_5839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395496 (
-	.A1(n_2136),
-	.A2(n_3657),
-	.B1(n_2135),
-	.B2(n_3658),
-	.Y(n_5838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g395497 (
-	.A1_N(n_2136),
-	.A2_N(n_3624),
-	.B1(n_2136),
-	.B2(n_3651),
-	.Y(n_5837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395498 (
-	.A1(n_2136),
-	.A2(n_3666),
-	.B1(n_2135),
-	.B2(n_3664),
-	.Y(n_5836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395499 (
-	.A1(n_2136),
-	.A2(n_3667),
-	.B1(n_2135),
-	.B2(n_3665),
-	.Y(n_5835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395500 (
-	.A1(n_2136),
-	.A2(n_3673),
-	.B1(n_2135),
-	.B2(n_3669),
-	.Y(n_5834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395501 (
-	.A1(n_2136),
-	.A2(n_3670),
-	.B1(n_2135),
-	.B2(n_3671),
-	.Y(n_5833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g395502 (
-	.A1(n_1087),
-	.A2(n_2133),
-	.A3(n_2135),
-	.B1(n_2136),
-	.B2(n_3653),
-	.Y(n_5832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395503 (
-	.A1(n_2136),
-	.A2(n_3626),
-	.B1(n_2135),
-	.B2(n_3654),
-	.Y(n_5831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395504 (
-	.A1(n_2136),
-	.A2(n_3678),
-	.B1(n_2135),
-	.B2(n_3655),
-	.Y(n_5830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395505 (
-	.A1(n_2136),
-	.A2(n_3656),
-	.B1(n_2135),
-	.B2(n_3657),
-	.Y(n_5829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395506 (
-	.A1(n_2136),
-	.A2(n_3661),
-	.B1(n_2135),
-	.B2(n_3662),
-	.Y(n_5828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395507 (
-	.A1(n_2136),
-	.A2(n_3665),
-	.B1(n_2135),
-	.B2(n_3666),
-	.Y(n_5827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395508 (
-	.A1(n_2136),
-	.A2(n_3710),
-	.B1(n_2135),
-	.B2(n_3667),
-	.Y(n_5826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395509 (
-	.A1(n_2136),
-	.A2(n_3669),
-	.B1(n_2135),
-	.B2(n_3670),
-	.Y(n_5825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395510 (
-	.A1(n_2136),
-	.A2(n_3671),
-	.B1(n_2135),
-	.B2(n_3713),
-	.Y(n_5823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g395511 (
-	.A1(n_2136),
-	.A2(n_3672),
-	.B1(n_2135),
-	.B2(n_3673),
-	.Y(n_5822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g395512 (
-	.A(n_3624),
-	.B(n_3263),
-	.C(n_2135),
-	.Y(n_5821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395513 (
-	.A(n_5020),
-	.B(n_1282),
-	.Y(n_5820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g395514 (
-	.A(FE_OFN1555_n_16022),
-	.B(n_2136),
-	.C(n_3625),
-	.Y(n_5819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395515 (
-	.A1(n_1742),
-	.A2(n_3964),
-	.B1(n_1344),
-	.Y(n_5818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395516 (
-	.A1(n_3965),
-	.A2(n_1251),
-	.B1(n_1714),
-	.Y(n_5817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g395517 (
-	.A1(n_2136),
-	.A2(n_3713),
-	.B1(n_3366),
-	.Y(n_5815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g395518 (
-	.A1(n_3708),
-	.A2(n_2135),
-	.B1(n_3367),
-	.Y(n_5813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g395519 (
-	.A1(n_3712),
-	.A2(n_2135),
-	.B1(n_3367),
-	.Y(n_5812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g395520 (
-	.A1(n_3663),
-	.A2(n_2135),
-	.B1(n_3367),
-	.Y(n_5811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g395521 (
-	.A1(n_3438),
-	.A2(u_uart_u_uart_core_rx_done),
-	.B1(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_5810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g395522 (
-	.A1(n_13402),
-	.A2(n_197),
-	.B1(n_5021),
-	.Y(n_5809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395523 (
-	.A(n_4520),
-	.B(FE_OFN3_system_rst_ni),
-	.Y(n_5808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395524 (
-	.A(n_5020),
-	.B(n_1713),
-	.Y(n_5807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395525 (
-	.A(n_3357),
-	.B(n_1083),
-	.Y(n_5805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395526 (
-	.A(FE_OFN919_n_0),
-	.B(n_5062),
-	.Y(n_5803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395527 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_5034),
-	.Y(n_5802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395528 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_5035),
-	.Y(n_5801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395529 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_5033),
-	.Y(n_5800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395530 (
-	.A(n_15767),
-	.B(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_5798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395531 (
-	.A(n_1256),
-	.B(n_4274),
-	.Y(n_5797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395532 (
-	.A(FE_OFN1320_n_4272),
-	.B(n_3506),
-	.Y(n_5796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395533 (
-	.A(n_4270),
-	.B(n_2136),
-	.Y(n_5794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395534 (
-	.A(n_4270),
-	.B(n_2135),
-	.Y(n_5793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395535 (
-	.A(n_1259),
-	.B(n_4932),
-	.Y(n_5791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395536 (
-	.A(n_4931),
-	.B(n_1259),
-	.Y(n_5789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395537 (
-	.A(n_5041),
-	.B(n_1072),
-	.Y(n_5787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395538 (
-	.A(n_5041),
-	.B(n_1074),
-	.Y(n_5786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395539 (
-	.A(n_15766),
-	.B(n_1074),
-	.Y(n_5785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395540 (
-	.A(n_15766),
-	.B(n_1072),
-	.Y(n_5784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395541 (
-	.A(n_1075),
-	.B(n_5051),
-	.Y(n_5783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g395544 (
-	.A(n_5046),
-	.B(n_1076),
-	.Y(n_5780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395545 (
-	.A(n_5048),
-	.B(n_1076),
-	.Y(n_5779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395546 (
-	.A(n_1260),
-	.B(n_5045),
-	.Y(n_5778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395547 (
-	.A(n_1075),
-	.B(n_15765),
-	.Y(n_5777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395548 (
-	.A(n_5041),
-	.B(n_1076),
-	.Y(n_5776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395549 (
-	.A(n_1073),
-	.B(n_5045),
-	.Y(n_5775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395550 (
-	.A(n_1075),
-	.B(n_5042),
-	.Y(n_5774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395551 (
-	.A(n_1073),
-	.B(n_15765),
-	.Y(n_5773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395552 (
-	.A(n_1073),
-	.B(n_5042),
-	.Y(n_5772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395553 (
-	.A(n_1073),
-	.B(n_5051),
-	.Y(n_5771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_2 g395554 (
-	.A_N(n_5042),
-	.B(n_1076),
-	.X(n_5770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_2 g395555 (
-	.A_N(n_5051),
-	.B(n_1076),
-	.X(n_5769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g395556 (
-	.A(n_5053),
-	.B(n_1261),
-	.Y(n_5768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395557 (
-	.A(n_5043),
-	.B(n_1261),
-	.Y(n_5767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395558 (
-	.A(n_5053),
-	.B(n_1074),
-	.Y(n_5766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395559 (
-	.A(n_5043),
-	.B(n_1074),
-	.Y(n_5765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395560 (
-	.A(n_5053),
-	.B(n_1072),
-	.Y(n_5764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395561 (
-	.A(n_5043),
-	.B(n_1072),
-	.Y(n_5763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g395562 (
-	.A(n_4928),
-	.B(n_4926),
-	.X(n_5762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395563 (
-	.A(n_1075),
-	.B(n_5045),
-	.Y(n_5761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395564 (
-	.A(n_1260),
-	.B(n_15765),
-	.Y(n_5760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g395565 (
-	.A(n_4924),
-	.B(n_4922),
-	.X(n_5759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21bo_2 g395566 (
-	.A1(FE_OFN16153_n_3499),
-	.A2(n_2310),
-	.B1_N(u_top_u_core_id_in_ready),
-	.X(n_5758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g395568 (
-	.A(n_15765),
-	.Y(n_5048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g395569 (
-	.A(n_5045),
-	.Y(n_5046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g395571 (
-	.A(n_5031),
-	.Y(n_5030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395572 (
-	.A(n_5025),
-	.Y(n_5026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g395573 (
-	.A(n_5021),
-	.Y(n_5020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395574 (
-	.A(n_5012),
-	.Y(n_5013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395575 (
-	.A(n_5010),
-	.Y(n_5011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395576 (
-	.A(n_5007),
-	.Y(n_5008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395577 (
-	.A(n_5005),
-	.Y(n_5006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395578 (
-	.A(n_5003),
-	.Y(n_5004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395579 (
-	.A(n_5001),
-	.Y(n_5002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395580 (
-	.A(n_4999),
-	.Y(n_5000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395581 (
-	.A(n_4997),
-	.Y(n_4998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395582 (
-	.A(n_4995),
-	.Y(n_4996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395583 (
-	.A(n_4993),
-	.Y(n_4994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395584 (
-	.A(n_4989),
-	.Y(n_4990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395585 (
-	.A(n_4987),
-	.Y(n_4988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395586 (
-	.A(n_4985),
-	.Y(n_4986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395587 (
-	.A(n_4983),
-	.Y(n_4984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395588 (
-	.A(n_4981),
-	.Y(n_4982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395589 (
-	.A(n_4979),
-	.Y(n_4980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395590 (
-	.A(n_4976),
-	.Y(n_4977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395591 (
-	.A(n_4974),
-	.Y(n_4975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395592 (
-	.A(n_4968),
-	.Y(n_4969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395593 (
-	.A(n_4966),
-	.Y(n_4967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395594 (
-	.A(n_4964),
-	.Y(n_4965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395595 (
-	.A(n_4961),
-	.Y(n_4962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395596 (
-	.A(n_4959),
-	.Y(n_4960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395597 (
-	.A(n_4957),
-	.Y(n_4958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395598 (
-	.A(n_4955),
-	.Y(n_4956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395599 (
-	.A(n_4953),
-	.Y(n_4954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395600 (
-	.A(n_4951),
-	.Y(n_4952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395601 (
-	.A(n_4949),
-	.Y(n_4950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395602 (
-	.A(n_4947),
-	.Y(n_4948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395603 (
-	.A(n_4944),
-	.Y(n_4943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395604 (
-	.A(FE_OFN1331_n_4941),
-	.Y(n_4940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g395605 (
-	.A(FE_OFN990_n_4938),
-	.Y(n_4937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395606 (
-	.A(n_4931),
-	.Y(n_4932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g395608 (
-	.A(n_4926),
-	.Y(n_4925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395611 (
-	.A(n_1977),
-	.B(n_3682),
-	.Y(n_4920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395612 (
-	.A(n_1977),
-	.B(n_3697),
-	.Y(n_4919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395613 (
-	.A(n_1977),
-	.B(n_3679),
-	.Y(n_4918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395614 (
-	.A(n_1977),
-	.B(n_3676),
-	.Y(n_4917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395615 (
-	.A(n_1977),
-	.B(n_3688),
-	.Y(n_4916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395616 (
-	.A(n_1977),
-	.B(n_3705),
-	.Y(n_4915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395617 (
-	.A(n_1977),
-	.B(n_3687),
-	.Y(n_4914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395618 (
-	.A(n_1977),
-	.B(n_3694),
-	.Y(n_4913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395619 (
-	.A(n_1977),
-	.B(n_3695),
-	.Y(n_4912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395620 (
-	.A(n_1977),
-	.B(n_3681),
-	.Y(n_4911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395621 (
-	.A(n_1977),
-	.B(n_3700),
-	.Y(n_4910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395622 (
-	.A(n_1977),
-	.B(n_3702),
-	.Y(n_4909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395623 (
-	.A(n_3538),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [3]),
-	.Y(n_4908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395624 (
-	.A(n_3577),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [1]),
-	.Y(n_4907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395625 (
-	.A(n_3577),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [2]),
-	.Y(n_4906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395626 (
-	.A(n_3577),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [4]),
-	.Y(n_4905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395627 (
-	.A(n_3577),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [5]),
-	.Y(n_4904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395628 (
-	.A(n_3577),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [6]),
-	.Y(n_4903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395629 (
-	.A(n_3577),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [7]),
-	.Y(n_4902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395630 (
-	.A(n_3573),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [2]),
-	.Y(n_4901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395631 (
-	.A(n_3573),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [3]),
-	.Y(n_4900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395632 (
-	.A(n_3573),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [5]),
-	.Y(n_4899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395633 (
-	.A(n_3573),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [7]),
-	.Y(n_4898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395634 (
-	.A(n_3542),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [2]),
-	.Y(n_4897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395635 (
-	.A(n_3542),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [3]),
-	.Y(n_4896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395636 (
-	.A(n_3542),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [4]),
-	.Y(n_4895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395637 (
-	.A(n_3542),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [5]),
-	.Y(n_4894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395638 (
-	.A(n_3542),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [6]),
-	.Y(n_4893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395639 (
-	.A(n_3542),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [7]),
-	.Y(n_4892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395640 (
-	.A(n_3550),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [3]),
-	.Y(n_4891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395641 (
-	.A(n_3569),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [2]),
-	.Y(n_4890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395642 (
-	.A(n_3569),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [4]),
-	.Y(n_4889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395643 (
-	.A(n_3762),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [4]),
-	.Y(n_4888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395644 (
-	.A(n_3569),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [6]),
-	.Y(n_4887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395645 (
-	.A(n_3526),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [1]),
-	.Y(n_4886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395646 (
-	.A(n_3526),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [2]),
-	.Y(n_4885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395647 (
-	.A(n_3526),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [3]),
-	.Y(n_4884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395648 (
-	.A(n_3526),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [4]),
-	.Y(n_4883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395649 (
-	.A(n_3526),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [5]),
-	.Y(n_4882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395650 (
-	.A(n_3526),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [6]),
-	.Y(n_4881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395651 (
-	.A(n_3526),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [7]),
-	.Y(n_4880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395652 (
-	.A(n_3524),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [1]),
-	.Y(n_4879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395653 (
-	.A(n_3524),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [2]),
-	.Y(n_4878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395654 (
-	.A(n_3524),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [3]),
-	.Y(n_4877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395655 (
-	.A(n_3760),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [7]),
-	.Y(n_4876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395656 (
-	.A(n_3524),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [5]),
-	.Y(n_4875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395657 (
-	.A(n_3524),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [6]),
-	.Y(n_4874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395658 (
-	.A(n_3746),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [1]),
-	.Y(n_4873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395659 (
-	.A(n_3746),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [2]),
-	.Y(n_4872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395660 (
-	.A(n_3746),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [3]),
-	.Y(n_4871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395661 (
-	.A(n_3746),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [4]),
-	.Y(n_4870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395662 (
-	.A(n_3746),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [5]),
-	.Y(n_4869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395663 (
-	.A(n_3746),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [6]),
-	.Y(n_4868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395664 (
-	.A(n_3563),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [2]),
-	.Y(n_4867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395665 (
-	.A(n_3563),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [3]),
-	.Y(n_4866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395666 (
-	.A(n_3558),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [7]),
-	.Y(n_4865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395667 (
-	.A(n_3548),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [1]),
-	.Y(n_4864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395668 (
-	.A(n_3956),
-	.B(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]),
-	.Y(n_4863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395669 (
-	.A(n_3538),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [2]),
-	.Y(n_4862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395670 (
-	.A(n_3548),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [3]),
-	.Y(n_4861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395671 (
-	.A(n_3548),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [4]),
-	.Y(n_4860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395672 (
-	.A(n_3548),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [5]),
-	.Y(n_4859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395673 (
-	.A(n_3548),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [7]),
-	.Y(n_4858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395674 (
-	.A(n_3546),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [1]),
-	.Y(n_4857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395675 (
-	.A(n_3546),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [2]),
-	.Y(n_4856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395676 (
-	.A(n_3546),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [4]),
-	.Y(n_4855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395677 (
-	.A(n_3546),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [6]),
-	.Y(n_4854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395678 (
-	.A(n_3546),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [7]),
-	.Y(n_4853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395679 (
-	.A(n_3752),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [1]),
-	.Y(n_4852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395680 (
-	.A(n_3752),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [2]),
-	.Y(n_4851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395681 (
-	.A(n_3752),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [3]),
-	.Y(n_4850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395682 (
-	.A(n_3752),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [4]),
-	.Y(n_4849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395683 (
-	.A(n_3752),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [5]),
-	.Y(n_4848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395684 (
-	.A(n_3752),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [6]),
-	.Y(n_4847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395685 (
-	.A(n_3752),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [7]),
-	.Y(n_4846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395686 (
-	.A(n_3532),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [1]),
-	.Y(n_4845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395687 (
-	.A(n_3532),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [3]),
-	.Y(n_4844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395688 (
-	.A(n_3532),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [5]),
-	.Y(n_4843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395689 (
-	.A(n_3532),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [6]),
-	.Y(n_4842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395690 (
-	.A(n_3532),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [7]),
-	.Y(n_4841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395691 (
-	.A(n_3756),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [1]),
-	.Y(n_4840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395692 (
-	.A(n_3756),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [2]),
-	.Y(n_4839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395693 (
-	.A(n_3756),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [3]),
-	.Y(n_4838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395694 (
-	.A(n_3756),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [4]),
-	.Y(n_4837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395695 (
-	.A(n_3756),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [7]),
-	.Y(n_4836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395696 (
-	.A(n_3758),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [1]),
-	.Y(n_4835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395697 (
-	.A(n_3758),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [2]),
-	.Y(n_4834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395698 (
-	.A(n_3758),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [5]),
-	.Y(n_4833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395699 (
-	.A(n_3758),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [6]),
-	.Y(n_4832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395700 (
-	.A(n_3758),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [7]),
-	.Y(n_4831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395701 (
-	.A(n_3742),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [1]),
-	.Y(n_4830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395702 (
-	.A(n_3742),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [2]),
-	.Y(n_4829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395703 (
-	.A(n_3742),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [3]),
-	.Y(n_4828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395704 (
-	.A(n_3742),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [4]),
-	.Y(n_4827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395705 (
-	.A(n_3742),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [5]),
-	.Y(n_4826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395706 (
-	.A(n_3742),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [6]),
-	.Y(n_4825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395707 (
-	.A(n_3742),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [7]),
-	.Y(n_4824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395708 (
-	.A(n_3540),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [2]),
-	.Y(n_4823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395709 (
-	.A(n_3540),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [3]),
-	.Y(n_4822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395710 (
-	.A(n_3540),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [4]),
-	.Y(n_4821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395711 (
-	.A(n_3540),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [6]),
-	.Y(n_4820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395712 (
-	.A(n_3540),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [7]),
-	.Y(n_4819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395713 (
-	.A(n_3760),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [1]),
-	.Y(n_4818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395714 (
-	.A(n_3766),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [6]),
-	.Y(n_4817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395715 (
-	.A(n_3528),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [1]),
-	.Y(n_4816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395716 (
-	.A(n_3579),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [7]),
-	.Y(n_4815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395717 (
-	.A(n_3768),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [2]),
-	.Y(n_4814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395718 (
-	.A(n_3768),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [6]),
-	.Y(n_4813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395719 (
-	.A(n_3754),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [4]),
-	.Y(n_4812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395720 (
-	.A(n_3565),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [2]),
-	.Y(n_4811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395721 (
-	.A(n_3544),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [4]),
-	.Y(n_4810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395722 (
-	.A(n_3560),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [3]),
-	.Y(n_4809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395723 (
-	.A(n_3560),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [6]),
-	.Y(n_4808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395724 (
-	.A(n_3560),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [7]),
-	.Y(n_4807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395725 (
-	.A(n_3540),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [5]),
-	.Y(n_4806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395726 (
-	.A(n_3554),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [2]),
-	.Y(n_4805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395727 (
-	.A(n_3554),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [3]),
-	.Y(n_4804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395728 (
-	.A(n_3554),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [4]),
-	.Y(n_4803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395729 (
-	.A(n_3554),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [5]),
-	.Y(n_4802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395730 (
-	.A(n_3554),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [6]),
-	.Y(n_4801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395731 (
-	.A(n_3554),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [7]),
-	.Y(n_4800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395732 (
-	.A(n_13283),
-	.B(n_3515),
-	.Y(n_4799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395733 (
-	.A(n_3571),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [1]),
-	.Y(n_4798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395734 (
-	.A(n_3571),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [2]),
-	.Y(n_4797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395735 (
-	.A(n_3571),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [3]),
-	.Y(n_4796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395736 (
-	.A(n_3571),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [5]),
-	.Y(n_4795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395737 (
-	.A(n_3571),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [6]),
-	.Y(n_4794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395738 (
-	.A(n_3571),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [7]),
-	.Y(n_4793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395739 (
-	.A(n_3534),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [1]),
-	.Y(n_4792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395740 (
-	.A(n_3532),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [2]),
-	.Y(n_4791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395741 (
-	.A(n_3534),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [7]),
-	.Y(n_4790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395742 (
-	.A(n_3536),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [1]),
-	.Y(n_4789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395743 (
-	.A(n_3536),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [2]),
-	.Y(n_4788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395744 (
-	.A(n_3536),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [4]),
-	.Y(n_4787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395745 (
-	.A(n_3750),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [4]),
-	.Y(n_4786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395746 (
-	.A(n_3519),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [1]),
-	.Y(n_4785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395747 (
-	.A(n_3519),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [3]),
-	.Y(n_4784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395748 (
-	.A(n_3519),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [5]),
-	.Y(n_4783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395749 (
-	.A(n_3519),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [7]),
-	.Y(n_4782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395750 (
-	.A(n_3558),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [2]),
-	.Y(n_4781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395751 (
-	.A(n_3558),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [6]),
-	.Y(n_4780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395752 (
-	.A(n_3556),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [1]),
-	.Y(n_4779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395753 (
-	.A(n_3754),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [2]),
-	.Y(n_4778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395754 (
-	.A(n_3556),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [2]),
-	.Y(n_4777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395755 (
-	.A(n_3760),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [2]),
-	.Y(n_4776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395756 (
-	.A(n_3556),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [3]),
-	.Y(n_4775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395757 (
-	.A(n_3556),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [4]),
-	.Y(n_4774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395758 (
-	.A(n_3556),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [6]),
-	.Y(n_4773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395759 (
-	.A(n_3556),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [7]),
-	.Y(n_4772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395760 (
-	.A(n_3575),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [3]),
-	.Y(n_4771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395761 (
-	.A(n_3770),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [6]),
-	.Y(n_4770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395762 (
-	.A(n_3538),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [1]),
-	.Y(n_4769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395763 (
-	.A(n_3538),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [5]),
-	.Y(n_4768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395764 (
-	.A(n_3538),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [6]),
-	.Y(n_4767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395765 (
-	.A(n_3538),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [7]),
-	.Y(n_4766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395766 (
-	.A(n_3762),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [1]),
-	.Y(n_4765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395767 (
-	.A(n_3762),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [2]),
-	.Y(n_4764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395768 (
-	.A(n_3764),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [1]),
-	.Y(n_4763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395769 (
-	.A(n_3764),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [2]),
-	.Y(n_4762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395770 (
-	.A(n_3764),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [4]),
-	.Y(n_4761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395771 (
-	.A(n_3764),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [5]),
-	.Y(n_4760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395772 (
-	.A(n_3764),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [6]),
-	.Y(n_4759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395773 (
-	.A(n_3764),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [7]),
-	.Y(n_4758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395774 (
-	.A(n_3575),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [6]),
-	.Y(n_4757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395775 (
-	.A(n_3744),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [2]),
-	.Y(n_4756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395776 (
-	.A(n_3550),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [1]),
-	.Y(n_4755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395777 (
-	.A(n_3744),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [4]),
-	.Y(n_4754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395778 (
-	.A(n_3744),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [6]),
-	.Y(n_4753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395779 (
-	.A(n_3744),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [3]),
-	.Y(n_4752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395780 (
-	.A(n_3575),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [2]),
-	.Y(n_4751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395781 (
-	.A(n_3575),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [7]),
-	.Y(n_4750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395782 (
-	.A(n_3550),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [7]),
-	.Y(n_4749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395783 (
-	.A(n_3762),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [5]),
-	.Y(n_4748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395784 (
-	.A(n_3536),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [5]),
-	.Y(n_4747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395785 (
-	.A(n_3567),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [5]),
-	.Y(n_4746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395786 (
-	.A(n_3530),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [4]),
-	.Y(n_4745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395787 (
-	.A(n_3536),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [3]),
-	.Y(n_4744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395788 (
-	.A(n_3766),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [5]),
-	.Y(n_4743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395789 (
-	.A(n_3764),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [3]),
-	.Y(n_4742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395790 (
-	.A(n_3528),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [5]),
-	.Y(n_4741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395791 (
-	.A(n_1977),
-	.B(n_3696),
-	.Y(n_4740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395792 (
-	.A(n_1977),
-	.B(n_3677),
-	.Y(n_4739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395793 (
-	.A(n_3519),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [6]),
-	.Y(n_4738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395794 (
-	.A(n_3544),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [2]),
-	.Y(n_4737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395795 (
-	.A(n_3532),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [4]),
-	.Y(n_4736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395796 (
-	.A(n_3522),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [1]),
-	.Y(n_4735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395797 (
-	.A(n_3558),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [4]),
-	.Y(n_4734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395798 (
-	.A(n_3552),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [3]),
-	.Y(n_4733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395799 (
-	.A(n_3770),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [1]),
-	.Y(n_4732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395800 (
-	.A(n_3762),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [6]),
-	.Y(n_4731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395801 (
-	.A(n_3766),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [7]),
-	.Y(n_4730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395802 (
-	.A(n_3536),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [7]),
-	.Y(n_4729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395803 (
-	.A(n_3560),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [5]),
-	.Y(n_4728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395804 (
-	.A(n_3522),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [4]),
-	.Y(n_4727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395805 (
-	.A(n_1977),
-	.B(n_3684),
-	.Y(n_4726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395806 (
-	.A(n_3567),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [2]),
-	.Y(n_4725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395807 (
-	.A(n_3567),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [1]),
-	.Y(n_4724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395808 (
-	.A(n_3534),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [4]),
-	.Y(n_4723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395809 (
-	.A(n_3567),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [4]),
-	.Y(n_4722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395810 (
-	.A(n_3536),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [6]),
-	.Y(n_4721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395811 (
-	.A(n_3540),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [1]),
-	.Y(n_4720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395812 (
-	.A(n_1977),
-	.B(n_3685),
-	.Y(n_4719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395813 (
-	.A(n_3762),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [3]),
-	.Y(n_4718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395814 (
-	.A(n_3770),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [5]),
-	.Y(n_4717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395815 (
-	.A(FE_OFN1054_n_15788),
-	.B(\u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
-	.Y(n_4716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395816 (
-	.A(n_3528),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [4]),
-	.Y(n_4715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395817 (
-	.A(n_3766),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [4]),
-	.Y(n_4714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395818 (
-	.A(n_3770),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [3]),
-	.Y(n_4713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395819 (
-	.A(n_3554),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [1]),
-	.Y(n_4712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395820 (
-	.A(n_3754),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [6]),
-	.Y(n_4711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395821 (
-	.A(n_3544),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [6]),
-	.Y(n_4710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395822 (
-	.A(n_3748),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [6]),
-	.Y(n_4709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395823 (
-	.A(n_3508),
-	.B(\u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
-	.Y(n_4708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395824 (
-	.A(n_3754),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [3]),
-	.Y(n_4707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395825 (
-	.A(n_3510),
-	.B(\u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
-	.Y(n_4706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395826 (
-	.A(FE_OFN1059_n_15841),
-	.B(\u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
-	.Y(n_4705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395827 (
-	.A(FE_OFN1054_n_15788),
-	.B(\u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
-	.Y(n_4704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395828 (
-	.A(n_3550),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [4]),
-	.Y(n_4703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395829 (
-	.A(n_3748),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [3]),
-	.Y(n_4702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395830 (
-	.A(n_3510),
-	.B(\u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
-	.Y(n_4701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395831 (
-	.A(n_3750),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [3]),
-	.Y(n_4700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395832 (
-	.A(FE_OFN1054_n_15788),
-	.B(\u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
-	.Y(n_4699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395833 (
-	.A(n_3528),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [2]),
-	.Y(n_4698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395834 (
-	.A(n_3748),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [2]),
-	.Y(n_4697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395835 (
-	.A(n_3748),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [5]),
-	.Y(n_4696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395836 (
-	.A(n_3560),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [1]),
-	.Y(n_4695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395837 (
-	.A(n_3766),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [3]),
-	.Y(n_4694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395838 (
-	.A(n_3548),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [2]),
-	.Y(n_4693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395839 (
-	.A(n_3748),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [7]),
-	.Y(n_4692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395840 (
-	.A(n_3750),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [2]),
-	.Y(n_4691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395841 (
-	.A(n_3577),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [3]),
-	.Y(n_4690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395842 (
-	.A(n_3579),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [2]),
-	.Y(n_4689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395843 (
-	.A(n_3552),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [7]),
-	.Y(n_4688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395844 (
-	.A(n_3565),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [1]),
-	.Y(n_4687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395845 (
-	.A(n_3565),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [7]),
-	.Y(n_4686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395846 (
-	.A(FE_OFN1054_n_15788),
-	.B(\u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
-	.Y(n_4685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395847 (
-	.A(n_3748),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [1]),
-	.Y(n_4684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395848 (
-	.A(n_3569),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [3]),
-	.Y(n_4683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395849 (
-	.A(n_3550),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [2]),
-	.Y(n_4682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395850 (
-	.A(n_3563),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [7]),
-	.Y(n_4681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395851 (
-	.A(n_3750),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [1]),
-	.Y(n_4680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395852 (
-	.A(n_3571),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [4]),
-	.Y(n_4679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395853 (
-	.A(n_3575),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [5]),
-	.Y(n_4678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395854 (
-	.A(n_3766),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [2]),
-	.Y(n_4677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395855 (
-	.A(n_3544),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [1]),
-	.Y(n_4676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395856 (
-	.A(n_3530),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [7]),
-	.Y(n_4675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395857 (
-	.A(n_3558),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [3]),
-	.Y(n_4674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395858 (
-	.A(n_3573),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [1]),
-	.Y(n_4673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395859 (
-	.A(n_3766),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [1]),
-	.Y(n_4672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395860 (
-	.A(n_3579),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [1]),
-	.Y(n_4671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395861 (
-	.A(n_3768),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [4]),
-	.Y(n_4670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395862 (
-	.A(n_3544),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [5]),
-	.Y(n_4669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395863 (
-	.A(n_3530),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [6]),
-	.Y(n_4668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395864 (
-	.A(n_3754),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [7]),
-	.Y(n_4667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395865 (
-	.A(n_3528),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [7]),
-	.Y(n_4666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395866 (
-	.A(n_3522),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [7]),
-	.Y(n_4665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395867 (
-	.A(n_3530),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [5]),
-	.Y(n_4664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395868 (
-	.A(n_3569),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [7]),
-	.Y(n_4663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395869 (
-	.A(n_3569),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [5]),
-	.Y(n_4662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395870 (
-	.A(n_3522),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [6]),
-	.Y(n_4661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395871 (
-	.A(n_3528),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [6]),
-	.Y(n_4660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395872 (
-	.A(n_3750),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [5]),
-	.Y(n_4659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395873 (
-	.A(n_3522),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [5]),
-	.Y(n_4658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395874 (
-	.A(n_3560),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [4]),
-	.Y(n_4657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395875 (
-	.A(n_3552),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [5]),
-	.Y(n_4656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395876 (
-	.A(n_3573),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [6]),
-	.Y(n_4655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395877 (
-	.A(n_3546),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [5]),
-	.Y(n_4654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395878 (
-	.A(n_1977),
-	.B(n_3680),
-	.Y(n_4653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395879 (
-	.A(n_3546),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [3]),
-	.Y(n_4652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395880 (
-	.A(n_3760),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [4]),
-	.Y(n_4651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395881 (
-	.A(n_3565),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [4]),
-	.Y(n_4650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395882 (
-	.A(n_3748),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [4]),
-	.Y(n_4649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395883 (
-	.A(n_3530),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [3]),
-	.Y(n_4648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395884 (
-	.A(n_3956),
-	.B(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
-	.Y(n_4647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395885 (
-	.A(n_3956),
-	.B(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]),
-	.Y(n_4646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395886 (
-	.A(n_3573),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [4]),
-	.Y(n_4645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395887 (
-	.A(n_3579),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [3]),
-	.Y(n_4644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395888 (
-	.A(n_3579),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [6]),
-	.Y(n_4643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395889 (
-	.A(n_3750),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [7]),
-	.Y(n_4642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395890 (
-	.A(n_3530),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [2]),
-	.Y(n_4641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395891 (
-	.A(n_3534),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [3]),
-	.Y(n_4640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395892 (
-	.A(n_3567),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [6]),
-	.Y(n_4639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395893 (
-	.A(n_3565),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [5]),
-	.Y(n_4638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395894 (
-	.A(n_3579),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [5]),
-	.Y(n_4637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395895 (
-	.A(n_3758),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [4]),
-	.Y(n_4636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395896 (
-	.A(n_3758),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [3]),
-	.Y(n_4635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395897 (
-	.A(n_3552),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [6]),
-	.Y(n_4634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395898 (
-	.A(n_3744),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [5]),
-	.Y(n_4633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395899 (
-	.A(n_3756),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [6]),
-	.Y(n_4632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395900 (
-	.A(n_3579),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [4]),
-	.Y(n_4631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395901 (
-	.A(n_3548),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [6]),
-	.Y(n_4630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395902 (
-	.A(n_3552),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [4]),
-	.Y(n_4629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395903 (
-	.A(n_3563),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [6]),
-	.Y(n_4628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395904 (
-	.A(n_3530),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [1]),
-	.Y(n_4627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395905 (
-	.A(n_3563),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [5]),
-	.Y(n_4626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395906 (
-	.A(n_3552),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [1]),
-	.Y(n_4625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395907 (
-	.A(n_3744),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [7]),
-	.Y(n_4624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395908 (
-	.A(n_3563),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [1]),
-	.Y(n_4623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395909 (
-	.A(n_3522),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [3]),
-	.Y(n_4622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395910 (
-	.A(n_3575),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [1]),
-	.Y(n_4621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395911 (
-	.A(n_3956),
-	.B(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
-	.Y(n_4620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395912 (
-	.A(n_3746),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [7]),
-	.Y(n_4619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395913 (
-	.A(n_3750),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [6]),
-	.Y(n_4618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395914 (
-	.A(n_3744),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [1]),
-	.Y(n_4617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395915 (
-	.A(n_3760),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [3]),
-	.Y(n_4616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395916 (
-	.A(n_3524),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [7]),
-	.Y(n_4615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395917 (
-	.A(n_3754),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [1]),
-	.Y(n_4614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395918 (
-	.A(n_3528),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [3]),
-	.Y(n_4613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395919 (
-	.A(n_3534),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [5]),
-	.Y(n_4612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395920 (
-	.A(n_3762),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [7]),
-	.Y(n_4611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395921 (
-	.A(n_3534),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [6]),
-	.Y(n_4610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395922 (
-	.A(n_3522),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [2]),
-	.Y(n_4609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395923 (
-	.A(n_3560),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [2]),
-	.Y(n_4608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395924 (
-	.A(n_3563),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [4]),
-	.Y(n_4607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395925 (
-	.A(n_3534),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [2]),
-	.Y(n_4606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395926 (
-	.A(n_3542),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [1]),
-	.Y(n_4605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395927 (
-	.A(n_3567),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [7]),
-	.Y(n_4604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395928 (
-	.A(n_3544),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [7]),
-	.Y(n_4603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395929 (
-	.A(n_3760),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [5]),
-	.Y(n_4602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395930 (
-	.A(n_3768),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [1]),
-	.Y(n_4601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395931 (
-	.A(n_3538),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [4]),
-	.Y(n_4600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395932 (
-	.A(n_3565),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [3]),
-	.Y(n_4599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395933 (
-	.A(n_3770),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [4]),
-	.Y(n_4598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395934 (
-	.A(n_3770),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [2]),
-	.Y(n_4597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g395935 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [1]),
-	.B_N(n_3964),
-	.Y(n_4596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395936 (
-	.A(n_3558),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [1]),
-	.Y(n_4595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395937 (
-	.A(n_3575),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [4]),
-	.Y(n_4594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395938 (
-	.A(n_3556),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [5]),
-	.Y(n_4593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395939 (
-	.A(n_3550),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [6]),
-	.Y(n_4592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395940 (
-	.A(n_3567),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [3]),
-	.Y(n_4591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395941 (
-	.A(n_3565),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [6]),
-	.Y(n_4590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395942 (
-	.A(n_3519),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [2]),
-	.Y(n_4589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395943 (
-	.A(n_3754),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [5]),
-	.Y(n_4588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395944 (
-	.A(n_3770),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [7]),
-	.Y(n_4587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395945 (
-	.A(n_3524),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [4]),
-	.Y(n_4586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395946 (
-	.A(n_3519),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [4]),
-	.Y(n_4585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395947 (
-	.A(n_3558),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [5]),
-	.Y(n_4584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395948 (
-	.A(n_3768),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [5]),
-	.Y(n_4583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395949 (
-	.A(n_1977),
-	.B(n_3704),
-	.Y(n_4582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395950 (
-	.A(n_3768),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [3]),
-	.Y(n_4581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395951 (
-	.A(n_3569),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [1]),
-	.Y(n_4580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395952 (
-	.A(n_3756),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [5]),
-	.Y(n_4579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395953 (
-	.A(n_3760),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [6]),
-	.Y(n_4578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395954 (
-	.A(n_3550),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [5]),
-	.Y(n_4577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395955 (
-	.A(n_3544),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [3]),
-	.Y(n_4576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395956 (
-	.A(n_3768),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [7]),
-	.Y(n_4575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395957 (
-	.A(n_3552),
-	.B(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [2]),
-	.Y(n_4574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395958 (
-	.A(n_1977),
-	.B(n_3628),
-	.Y(n_4573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395959 (
-	.A(n_1977),
-	.B(n_3691),
-	.Y(n_4572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395960 (
-	.A(n_1977),
-	.B(n_3683),
-	.Y(n_4571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395961 (
-	.A(n_1977),
-	.B(n_3703),
-	.Y(n_4570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395962 (
-	.A(n_1977),
-	.B(n_3686),
-	.Y(n_4569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395963 (
-	.A(n_1977),
-	.B(n_3689),
-	.Y(n_4568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395964 (
-	.A(n_1977),
-	.B(n_3707),
-	.Y(n_4567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395965 (
-	.A(n_1977),
-	.B(n_3701),
-	.Y(n_4566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395966 (
-	.A(n_1977),
-	.B(n_3698),
-	.Y(n_4565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395967 (
-	.A(n_1977),
-	.B(n_3699),
-	.Y(n_4564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395968 (
-	.A(n_2136),
-	.B(n_3664),
-	.Y(n_4563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395969 (
-	.A(n_1977),
-	.B(n_3690),
-	.Y(n_4562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395970 (
-	.A(n_1977),
-	.B(n_3693),
-	.Y(n_4561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395971 (
-	.A(n_1977),
-	.B(n_3706),
-	.Y(n_4560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395972 (
-	.A(FE_OFN1555_n_16022),
-	.B(n_3718),
-	.Y(n_5063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395973 (
-	.A(n_1325),
-	.B(n_3423),
-	.Y(n_5062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g395974 (
-	.A(FE_OFN1555_n_16022),
-	.B_N(n_3711),
-	.Y(n_5061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395976 (
-	.A(n_13286),
-	.B(n_3515),
-	.Y(n_5060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g395977 (
-	.A_N(main_swith_host_lsu_num_req_outstanding[4]),
-	.B(n_3965),
-	.Y(n_5059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395985 (
-	.A(n_3675),
-	.B(u_uart_u_uart_core_read_fifo_raddr[0]),
-	.Y(n_5056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395987 (
-	.A(n_2316),
-	.B(n_1758),
-	.Y(n_5055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395989 (
-	.A(FE_PSN3874_FE_OFN1859_u_top_u_core_rf_waddr_wb_4),
-	.B(n_15769),
-	.Y(n_5053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395991 (
-	.A(n_3620),
-	.B(n_257),
-	.Y(n_5052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395992 (
-	.A(n_3970),
-	.B(FE_PSN3874_FE_OFN1859_u_top_u_core_rf_waddr_wb_4),
-	.Y(n_5051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g395993 (
-	.A_N(n_1105),
-	.B(n_3620),
-	.Y(n_5050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395994 (
-	.A(FE_OFN919_n_0),
-	.B(n_3954),
-	.Y(n_5049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395996 (
-	.A(n_3980),
-	.B(FE_OFN15937_u_top_u_core_rf_waddr_wb_4),
-	.Y(n_5045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395997 (
-	.A(FE_OFN919_n_0),
-	.B(n_15798),
-	.Y(n_5044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g395998 (
-	.A(FE_PSN3874_FE_OFN1859_u_top_u_core_rf_waddr_wb_4),
-	.B(n_3975),
-	.Y(n_5043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g395999 (
-	.A(n_3980),
-	.B(FE_PSN3874_FE_OFN1859_u_top_u_core_rf_waddr_wb_4),
-	.Y(n_5042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396000 (
-	.A(FE_OFN15937_u_top_u_core_rf_waddr_wb_4),
-	.B(n_3975),
-	.Y(n_5041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396003 (
-	.A(n_675),
-	.B(n_3982),
-	.Y(n_5039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396004 (
-	.A(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B(n_3982),
-	.Y(n_5038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g396005 (
-	.A(n_3978),
-	.B(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.X(n_5037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g396006 (
-	.A(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B_N(n_3978),
-	.Y(n_5036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396013 (
-	.A(n_1263),
-	.B(n_3959),
-	.Y(n_5035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396014 (
-	.A(n_1078),
-	.B(n_3959),
-	.Y(n_5034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396015 (
-	.A(n_1077),
-	.B(n_3959),
-	.Y(n_5033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396016 (
-	.A(u_uart_u_uart_core_read_fifo_raddr[6]),
-	.B(n_3967),
-	.Y(n_5032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396018 (
-	.A(n_3966),
-	.B(u_uart_u_uart_core_read_fifo_raddr[6]),
-	.Y(n_5031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396020 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3887),
-	.Y(n_5028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396021 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3908),
-	.Y(n_5027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396022 (
-	.A(n_3911),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_5025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396023 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3901),
-	.Y(n_5024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396024 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3903),
-	.Y(n_5023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396025 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3885),
-	.Y(n_5022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396026 (
-	.A(n_3516),
-	.B(n_1107),
-	.Y(n_5021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396027 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3856),
-	.Y(n_5019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396028 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3907),
-	.Y(n_5018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396029 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3909),
-	.Y(n_5017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396030 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3905),
-	.Y(n_5016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396031 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3906),
-	.Y(n_5015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396032 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3893),
-	.Y(n_5014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396033 (
-	.A(n_3914),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_5012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396034 (
-	.A(n_3913),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_5010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396035 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3910),
-	.Y(n_5009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396036 (
-	.A(n_3919),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_5007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396037 (
-	.A(n_3938),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_5005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396038 (
-	.A(n_3950),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_5003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396039 (
-	.A(n_3886),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_5001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396040 (
-	.A(n_3948),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396041 (
-	.A(n_3949),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396042 (
-	.A(n_3946),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396043 (
-	.A(n_3947),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396044 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3940),
-	.Y(n_4992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396045 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3894),
-	.Y(n_4991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396046 (
-	.A(n_3945),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396047 (
-	.A(n_3944),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396048 (
-	.A(n_3942),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396049 (
-	.A(n_3943),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396050 (
-	.A(n_3941),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396051 (
-	.A(n_3939),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396052 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3934),
-	.Y(n_4978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396053 (
-	.A(n_3936),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396054 (
-	.A(n_3937),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396055 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3931),
-	.Y(n_4973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396056 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3930),
-	.Y(n_4972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396057 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3929),
-	.Y(n_4971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396058 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3928),
-	.Y(n_4970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396059 (
-	.A(n_3935),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396060 (
-	.A(n_3932),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396061 (
-	.A(n_3933),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396062 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3915),
-	.Y(n_4963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396063 (
-	.A(n_3926),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396064 (
-	.A(n_3924),
-	.B(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396065 (
-	.A(n_3925),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396066 (
-	.A(n_3923),
-	.B(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396067 (
-	.A(n_3916),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396068 (
-	.A(n_3918),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396069 (
-	.A(n_3920),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396070 (
-	.A(n_3917),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396071 (
-	.A(n_3968),
-	.B(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_4946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396072 (
-	.A(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(n_3969),
-	.Y(n_4945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396073 (
-	.A(n_3507),
-	.B(n_15743),
-	.Y(n_4944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g396074 (
-	.A(n_3981),
-	.B(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.X(n_4942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g396075 (
-	.A1(u_top_u_core_alu_operator_ex[0]),
-	.A2(FE_OFN1815_u_top_u_core_alu_operator_ex_2),
-	.B1(n_1778),
-	.C1(n_15779),
-	.Y(n_4941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g396076 (
-	.A(n_15779),
-	.B(n_2056),
-	.X(n_4939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396077 (
-	.A(n_2056),
-	.B(n_3623),
-	.Y(n_4938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g396078 (
-	.A(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B_N(n_3981),
-	.Y(n_4936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g396079 (
-	.A(n_3979),
-	.B(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.X(n_4935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g396080 (
-	.A(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B_N(n_3979),
-	.Y(n_4934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g396081 (
-	.A(n_3620),
-	.B(n_1278),
-	.Y(n_4933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396082 (
-	.A(n_13285),
-	.B(n_3515),
-	.Y(n_4931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396083 (
-	.A(n_3976),
-	.B(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_4930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_4 g396084 (
-	.A_N(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(n_3976),
-	.X(n_4929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396085 (
-	.A(n_1256),
-	.B(FE_OFN1054_n_15788),
-	.Y(n_4928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g396086 (
-	.A(n_1256),
-	.B(n_3508),
-	.Y(n_4926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396087 (
-	.A(n_1256),
-	.B(FE_OFN1059_n_15841),
-	.Y(n_4924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396088 (
-	.A(n_1256),
-	.B(n_3510),
-	.Y(n_4922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396089 (
-	.A(FE_OFN1330_n_4555),
-	.Y(n_4554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396091 (
-	.A(n_4551),
-	.Y(n_4550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396093 (
-	.A(FE_OFN1328_n_4547),
-	.Y(n_4546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396095 (
-	.A(FE_OFN1326_n_4543),
-	.Y(n_4542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396098 (
-	.A(FE_OFN1324_n_4537),
-	.Y(n_4536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396099 (
-	.A(n_4535),
-	.Y(n_4534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396100 (
-	.A(FE_OFN1323_n_4533),
-	.Y(n_4532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396101 (
-	.A(FE_OFN1322_n_4531),
-	.Y(n_4530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396102 (
-	.A(n_4529),
-	.Y(n_4528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396103 (
-	.A(FE_OFN16217_n_4527),
-	.Y(n_4526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g396104 (
-	.A(n_4519),
-	.Y(n_4518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g396105 (
-	.A(n_4517),
-	.Y(n_4516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396106 (
-	.A(n_4515),
-	.Y(n_4514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396107 (
-	.A(n_4512),
-	.Y(n_4513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396108 (
-	.A(n_4510),
-	.Y(n_4511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396109 (
-	.A(n_4508),
-	.Y(n_4509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396110 (
-	.A(n_4507),
-	.Y(n_4506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396111 (
-	.A(n_4504),
-	.Y(n_4505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396112 (
-	.A(n_4503),
-	.Y(n_4502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396113 (
-	.A(n_4500),
-	.Y(n_4501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396114 (
-	.A(n_4499),
-	.Y(n_4498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396115 (
-	.A(n_4497),
-	.Y(n_4496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396116 (
-	.A(n_4486),
-	.Y(n_4487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396117 (
-	.A(n_4484),
-	.Y(n_4485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396118 (
-	.A(n_4481),
-	.Y(n_4482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396119 (
-	.A(n_4460),
-	.Y(n_4461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396120 (
-	.A(n_4458),
-	.Y(n_4459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396121 (
-	.A(n_4456),
-	.Y(n_4457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396122 (
-	.A(n_4454),
-	.Y(n_4455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396123 (
-	.A(n_4452),
-	.Y(n_4453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396124 (
-	.A(n_4450),
-	.Y(n_4451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396125 (
-	.A(n_4445),
-	.Y(n_4446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396126 (
-	.A(n_4442),
-	.Y(n_4443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396127 (
-	.A(n_4440),
-	.Y(n_4441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396128 (
-	.A(n_4438),
-	.Y(n_4439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396129 (
-	.A(n_4436),
-	.Y(n_4437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396130 (
-	.A(n_4434),
-	.Y(n_4435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396131 (
-	.A(n_4432),
-	.Y(n_4433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396132 (
-	.A(n_4430),
-	.Y(n_4431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396133 (
-	.A(n_4428),
-	.Y(n_4429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396134 (
-	.A(n_4426),
-	.Y(n_4427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396135 (
-	.A(n_4424),
-	.Y(n_4425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396136 (
-	.A(n_4422),
-	.Y(n_4423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396137 (
-	.A(n_4420),
-	.Y(n_4421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396138 (
-	.A(n_4418),
-	.Y(n_4419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396139 (
-	.A(n_4416),
-	.Y(n_4417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396140 (
-	.A(n_4414),
-	.Y(n_4415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396141 (
-	.A(n_4409),
-	.Y(n_4410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396142 (
-	.A(n_4407),
-	.Y(n_4408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396143 (
-	.A(n_4405),
-	.Y(n_4406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396144 (
-	.A(n_4403),
-	.Y(n_4404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396145 (
-	.A(n_4401),
-	.Y(n_4402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396146 (
-	.A(n_4397),
-	.Y(n_4398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396147 (
-	.A(n_4391),
-	.Y(n_4392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396148 (
-	.A(n_4389),
-	.Y(n_4390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396149 (
-	.A(n_4387),
-	.Y(n_4388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396150 (
-	.A(n_4385),
-	.Y(n_4386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396151 (
-	.A(n_4383),
-	.Y(n_4384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396152 (
-	.A(n_4379),
-	.Y(n_4380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396153 (
-	.A(n_4377),
-	.Y(n_4378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396154 (
-	.A(n_4375),
-	.Y(n_4376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396155 (
-	.A(n_4373),
-	.Y(n_4374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396156 (
-	.A(n_4363),
-	.Y(n_4364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396157 (
-	.A(n_4361),
-	.Y(n_4362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396158 (
-	.A(n_4343),
-	.Y(n_4344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396159 (
-	.A(n_4341),
-	.Y(n_4342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396160 (
-	.A(n_4339),
-	.Y(n_4340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396161 (
-	.A(n_4332),
-	.Y(n_4333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396162 (
-	.A(n_4330),
-	.Y(n_4331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396163 (
-	.A(n_4328),
-	.Y(n_4329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396164 (
-	.A(n_4326),
-	.Y(n_4327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396165 (
-	.A(n_4322),
-	.Y(n_4323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396166 (
-	.A(n_4320),
-	.Y(n_4321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396167 (
-	.A(n_4318),
-	.Y(n_4319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396168 (
-	.A(n_4315),
-	.Y(n_4316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396169 (
-	.A(n_4313),
-	.Y(n_4314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396170 (
-	.A(n_4311),
-	.Y(n_4312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396171 (
-	.A(n_4309),
-	.Y(n_4310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396172 (
-	.A(n_4305),
-	.Y(n_4306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396173 (
-	.A(n_4303),
-	.Y(n_4304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396174 (
-	.A(n_4291),
-	.Y(n_4292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396175 (
-	.A(n_4289),
-	.Y(n_4290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396176 (
-	.A(n_4287),
-	.Y(n_4288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396177 (
-	.A(n_4285),
-	.Y(n_4286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396178 (
-	.A(n_4283),
-	.Y(n_4284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396179 (
-	.A(n_4281),
-	.Y(n_4282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396180 (
-	.A(n_4277),
-	.Y(n_4278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396181 (
-	.A(n_4275),
-	.Y(n_4276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396182 (
-	.A(FE_OFN1320_n_4272),
-	.Y(n_4271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396183 (
-	.A(n_4269),
-	.Y(n_4268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396186 (
-	.A(n_4262),
-	.Y(n_4261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g396187 (
-	.A1(n_3292),
-	.A2(u_uart_u_uart_core_rx_buffer_size[8]),
-	.B1(n_13751),
-	.B2(\uart_to_xbar[d_data] [8]),
-	.X(n_4259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g396188 (
-	.A1_N(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2_N(n_2159),
-	.B1(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B2(n_3380),
-	.Y(n_4258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g396189 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2(n_3376),
-	.B1(n_641),
-	.B2(n_2162),
-	.Y(n_4257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g396190 (
-	.A1(n_3271),
-	.A2(u_top_u_core_load_store_unit_i_rdata_q[31]),
-	.B1(n_2267),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[15]),
-	.Y(n_4256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g396191 (
-	.A1(n_3271),
-	.A2(u_top_u_core_load_store_unit_i_rdata_q[30]),
-	.B1(n_2266),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[22]),
-	.Y(n_4255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g396192 (
-	.A1(n_3271),
-	.A2(u_top_u_core_load_store_unit_i_rdata_q[29]),
-	.B1(n_2266),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[21]),
-	.Y(n_4254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g396193 (
-	.A1(n_3271),
-	.A2(u_top_u_core_load_store_unit_i_rdata_q[28]),
-	.B1(n_2266),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[20]),
-	.Y(n_4253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g396194 (
-	.A1(n_3271),
-	.A2(u_top_u_core_load_store_unit_i_rdata_q[27]),
-	.B1(n_2266),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[19]),
-	.Y(n_4252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g396195 (
-	.A1(n_3271),
-	.A2(u_top_u_core_load_store_unit_i_rdata_q[26]),
-	.B1(n_2266),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[18]),
-	.Y(n_4251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g396196 (
-	.A1(n_3271),
-	.A2(u_top_u_core_load_store_unit_i_rdata_q[25]),
-	.B1(n_2266),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[17]),
-	.Y(n_4250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g396197 (
-	.A1(n_3271),
-	.A2(u_top_u_core_load_store_unit_i_rdata_q[24]),
-	.B1(n_2266),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[16]),
-	.Y(n_4249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g396198 (
-	.A1_N(n_1266),
-	.A2_N(n_3003),
-	.B1(\u_top_u_core_imd_val_q_ex[1] [0]),
-	.B2(n_2295),
-	.Y(n_4248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g396199 (
-	.A1(u_uart_u_uart_core_n_69),
-	.A2(n_3402),
-	.B1(n_3058),
-	.B2(u_uart_we),
-	.Y(n_4247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g396200 (
-	.A1(n_2003),
-	.A2(n_2311),
-	.B1(n_3061),
-	.B2(u_uart_we),
-	.Y(n_4246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g396201 (
-	.A1(u_uart_u_uart_core_n_9),
-	.A2(n_3402),
-	.B1(n_3050),
-	.B2(u_uart_we),
-	.Y(n_4245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g396202 (
-	.A1_N(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.A2_N(n_1749),
-	.B1(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B2(n_1749),
-	.Y(n_4244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g396203 (
-	.A1_N(n_1105),
-	.A2_N(n_1259),
-	.B1(n_1278),
-	.B2(n_3411),
-	.Y(n_4243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396204 (
-	.A1(n_2058),
-	.A2(n_2134),
-	.B1(n_3431),
-	.Y(n_4242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g396205 (
-	.A1(n_1257),
-	.A2(n_13734),
-	.B1(n_1715),
-	.B2(main_swith_host_lsu_num_req_outstanding[1]),
-	.C1(n_3197),
-	.X(n_4241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g396206 (
-	.A1(n_1044),
-	.A2(n_3376),
-	.B1(n_235),
-	.B2(n_2162),
-	.Y(n_4240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g396207 (
-	.A1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.A2(n_1737),
-	.B1(n_3962),
-	.X(n_4239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g396208 (
-	.A1(n_1740),
-	.A2(n_675),
-	.B1_N(n_3963),
-	.Y(n_4238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g396209 (
-	.A1_N(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.A2_N(n_2159),
-	.B1(n_1204),
-	.B2(n_3380),
-	.Y(n_4237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396210 (
-	.A1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [0]),
-	.A2(n_3290),
-	.B1(n_1264),
-	.Y(n_4236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g396211 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
-	.C(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
-	.D(n_2086),
-	.X(n_4235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g396212 (
-	.A1(\u_top_u_core_imd_val_q_ex[1] [29]),
-	.A2(n_2166),
-	.B1(n_2306),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [30]),
-	.C1(n_2168),
-	.C2(\u_top_u_core_imd_val_q_ex[1] [28]),
-	.Y(n_4234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g396213 (
-	.A(FE_OFN1542_n_13654),
-	.B(FE_OFN15842_n_13655),
-	.C(FE_OFN1373_n_13648),
-	.D(n_2089),
-	.X(n_4233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g396214 (
-	.A1(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
-	.A2(FE_OFN1580_n_2147),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[5]),
-	.C1(FE_OFN1620_n_1975),
-	.C2(u_top_u_core_csr_depc[5]),
-	.Y(n_4232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g396215 (
-	.A1(\u_top_u_core_imd_val_q_ex[1] [11]),
-	.A2(n_15783),
-	.B1(n_2166),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [13]),
-	.C1(n_2168),
-	.C2(\u_top_u_core_imd_val_q_ex[1] [12]),
-	.Y(n_4231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396216 (
-	.A(n_2494),
-	.B(n_2329),
-	.C(n_2330),
-	.Y(n_4230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396217 (
-	.A(n_2491),
-	.B(n_2343),
-	.C(n_2997),
-	.Y(n_4229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396218 (
-	.A(n_2383),
-	.B(n_2538),
-	.C(n_2537),
-	.Y(n_4228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396219 (
-	.A(n_2549),
-	.B(n_2396),
-	.C(n_2411),
-	.Y(n_4227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396220 (
-	.A(n_2534),
-	.B(n_2535),
-	.C(n_2536),
-	.Y(n_4226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g396221 (
-	.A1(n_3169),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B1(n_1996),
-	.B2(n_1794),
-	.X(n_4225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396222 (
-	.A(n_2653),
-	.B(n_2433),
-	.C(n_2654),
-	.Y(n_4224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396223 (
-	.A(n_2528),
-	.B(n_2529),
-	.C(n_2412),
-	.Y(n_4223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396224 (
-	.A(n_2520),
-	.B(n_2981),
-	.C(n_2986),
-	.Y(n_4222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396225 (
-	.A(n_2837),
-	.B(n_2445),
-	.C(n_2838),
-	.Y(n_4221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396226 (
-	.A(n_2834),
-	.B(n_2457),
-	.C(n_2458),
-	.Y(n_4220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396227 (
-	.A(n_2492),
-	.B(n_2336),
-	.C(n_2338),
-	.Y(n_4219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396228 (
-	.A(n_2495),
-	.B(n_2980),
-	.C(n_2327),
-	.Y(n_4218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396229 (
-	.A(n_2970),
-	.B(n_2464),
-	.C(n_2455),
-	.Y(n_4217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396230 (
-	.A(n_2498),
-	.B(n_2499),
-	.C(n_2893),
-	.Y(n_4216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396231 (
-	.A(n_2377),
-	.B(n_2987),
-	.C(n_2500),
-	.Y(n_4215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396232 (
-	.A(n_2480),
-	.B(n_2438),
-	.C(n_2441),
-	.Y(n_4214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396233 (
-	.A(n_2357),
-	.B(n_2469),
-	.C(n_2502),
-	.Y(n_4213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396234 (
-	.A(n_2505),
-	.B(n_2504),
-	.C(n_2503),
-	.Y(n_4212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396235 (
-	.A(n_2508),
-	.B(n_2507),
-	.C(n_3156),
-	.Y(n_4211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396236 (
-	.A(n_2328),
-	.B(n_2333),
-	.C(n_2509),
-	.Y(n_4210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396237 (
-	.A(n_2510),
-	.B(n_2385),
-	.C(n_2388),
-	.Y(n_4209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396238 (
-	.A(n_2513),
-	.B(n_2511),
-	.C(n_2512),
-	.Y(n_4208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396239 (
-	.A(n_2515),
-	.B(n_2517),
-	.C(n_2516),
-	.Y(n_4207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396240 (
-	.A(n_2386),
-	.B(n_2460),
-	.C(n_2518),
-	.Y(n_4206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396241 (
-	.A(n_2982),
-	.B(n_2519),
-	.C(n_3209),
-	.Y(n_4205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396242 (
-	.A(n_2437),
-	.B(n_2348),
-	.C(n_2331),
-	.Y(n_4204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396243 (
-	.A(n_2459),
-	.B(n_2447),
-	.C(n_2467),
-	.Y(n_4203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396244 (
-	.A(n_2523),
-	.B(n_3020),
-	.C(n_2524),
-	.Y(n_4202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396245 (
-	.A(n_2525),
-	.B(n_2700),
-	.C(n_2339),
-	.Y(n_4201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396246 (
-	.A(n_2526),
-	.B(n_2353),
-	.C(n_2451),
-	.Y(n_4200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396247 (
-	.A(n_2527),
-	.B(n_2380),
-	.C(n_2381),
-	.Y(n_4199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396248 (
-	.A(n_2539),
-	.B(n_2379),
-	.C(n_2540),
-	.Y(n_4198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396249 (
-	.A(n_2543),
-	.B(n_2542),
-	.C(n_2544),
-	.Y(n_4197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396250 (
-	.A(n_2546),
-	.B(n_2399),
-	.C(n_2400),
-	.Y(n_4196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396251 (
-	.A(n_2397),
-	.B(n_2558),
-	.C(n_2557),
-	.Y(n_4195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396252 (
-	.A(n_2560),
-	.B(n_2398),
-	.C(n_2561),
-	.Y(n_4194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396253 (
-	.A(n_2427),
-	.B(n_2564),
-	.C(n_2563),
-	.Y(n_4193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396254 (
-	.A(n_2565),
-	.B(n_2567),
-	.C(n_2393),
-	.Y(n_4192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396255 (
-	.A(n_2572),
-	.B(n_2574),
-	.C(n_2573),
-	.Y(n_4191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396256 (
-	.A(n_2428),
-	.B(n_2375),
-	.C(n_2576),
-	.Y(n_4190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396257 (
-	.A(n_2578),
-	.B(n_2355),
-	.C(n_2577),
-	.Y(n_4189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396258 (
-	.A(n_2579),
-	.B(n_2581),
-	.C(n_2961),
-	.Y(n_4188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396259 (
-	.A(n_2583),
-	.B(n_2585),
-	.C(n_3018),
-	.Y(n_4187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396260 (
-	.A(n_2452),
-	.B(n_2586),
-	.C(n_2435),
-	.Y(n_4186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396261 (
-	.A(n_2414),
-	.B(n_2588),
-	.C(n_2587),
-	.Y(n_4185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396262 (
-	.A(n_2590),
-	.B(n_2591),
-	.C(n_2592),
-	.Y(n_4184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396263 (
-	.A(n_3004),
-	.B(n_2408),
-	.C(n_2995),
-	.Y(n_4183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396264 (
-	.A(n_3001),
-	.B(n_2775),
-	.C(n_2776),
-	.Y(n_4182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396265 (
-	.A(n_2593),
-	.B(n_2594),
-	.C(n_3009),
-	.Y(n_4181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396266 (
-	.A(n_2946),
-	.B(n_2584),
-	.C(n_2596),
-	.Y(n_4180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396267 (
-	.A(n_2597),
-	.B(n_2924),
-	.C(n_3239),
-	.Y(n_4179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396268 (
-	.A(n_2599),
-	.B(n_2960),
-	.C(n_2600),
-	.Y(n_4178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396269 (
-	.A(n_2601),
-	.B(n_2962),
-	.C(n_2401),
-	.Y(n_4177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396270 (
-	.A(n_2954),
-	.B(n_2964),
-	.C(n_2951),
-	.Y(n_4176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396271 (
-	.A(n_2603),
-	.B(n_2956),
-	.C(n_2957),
-	.Y(n_4175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396272 (
-	.A(n_2394),
-	.B(n_2604),
-	.C(n_2382),
-	.Y(n_4174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396273 (
-	.A(n_2606),
-	.B(n_2607),
-	.C(n_2360),
-	.Y(n_4173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396274 (
-	.A(n_2376),
-	.B(n_2610),
-	.C(n_2898),
-	.Y(n_4172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396275 (
-	.A(n_2613),
-	.B(n_2616),
-	.C(n_2614),
-	.Y(n_4171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396276 (
-	.A(n_2618),
-	.B(n_2617),
-	.C(n_2341),
-	.Y(n_4170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396277 (
-	.A(n_2976),
-	.B(n_2625),
-	.C(n_2623),
-	.Y(n_4169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396278 (
-	.A(n_2627),
-	.B(n_2974),
-	.C(n_2552),
-	.Y(n_4168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396279 (
-	.A(n_2670),
-	.B(n_3229),
-	.C(n_3114),
-	.Y(n_4167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396280 (
-	.A(n_3038),
-	.B(n_3111),
-	.C(n_2628),
-	.Y(n_4166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396281 (
-	.A(n_2795),
-	.B(n_2629),
-	.C(n_2866),
-	.Y(n_4165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396282 (
-	.A(n_2521),
-	.B(n_2545),
-	.C(n_2406),
-	.Y(n_4164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396283 (
-	.A(n_2639),
-	.B(n_2363),
-	.C(n_2638),
-	.Y(n_4163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396284 (
-	.A(n_2943),
-	.B(n_2541),
-	.C(n_2973),
-	.Y(n_4162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396285 (
-	.A(n_2395),
-	.B(n_2820),
-	.C(n_2641),
-	.Y(n_4161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396286 (
-	.A(n_2712),
-	.B(n_2694),
-	.C(n_2642),
-	.Y(n_4160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396287 (
-	.A(n_2551),
-	.B(n_2643),
-	.C(n_2644),
-	.Y(n_4159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396288 (
-	.A(n_2945),
-	.B(n_2646),
-	.C(n_2486),
-	.Y(n_4158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396289 (
-	.A(n_2482),
-	.B(n_2484),
-	.C(n_2649),
-	.Y(n_4157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396290 (
-	.A(n_2652),
-	.B(n_2446),
-	.C(n_2478),
-	.Y(n_4156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396291 (
-	.A(n_2372),
-	.B(n_2655),
-	.C(n_2369),
-	.Y(n_4155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396292 (
-	.A(n_2656),
-	.B(n_2658),
-	.C(n_2657),
-	.Y(n_4154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396293 (
-	.A(n_2660),
-	.B(n_2662),
-	.C(n_2661),
-	.Y(n_4153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396294 (
-	.A(n_2640),
-	.B(n_2667),
-	.C(n_3005),
-	.Y(n_4152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396295 (
-	.A(n_2440),
-	.B(n_2669),
-	.C(n_2668),
-	.Y(n_4151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396296 (
-	.A(n_3006),
-	.B(n_3008),
-	.C(n_2938),
-	.Y(n_4150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396297 (
-	.A(n_2672),
-	.B(n_3014),
-	.C(n_2990),
-	.Y(n_4149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396298 (
-	.A(n_2673),
-	.B(n_2675),
-	.C(n_2474),
-	.Y(n_4148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396299 (
-	.A(n_2366),
-	.B(n_2996),
-	.C(n_2676),
-	.Y(n_4147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396300 (
-	.A(n_3000),
-	.B(n_3010),
-	.C(n_2477),
-	.Y(n_4146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396301 (
-	.A(n_2892),
-	.B(n_2677),
-	.C(n_2788),
-	.Y(n_4145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396302 (
-	.A(n_2691),
-	.B(n_2689),
-	.C(n_2690),
-	.Y(n_4144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396303 (
-	.A(n_2615),
-	.B(n_2692),
-	.C(n_2693),
-	.Y(n_4143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396304 (
-	.A(n_2695),
-	.B(n_2696),
-	.C(n_2349),
-	.Y(n_4142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396305 (
-	.A(n_2698),
-	.B(n_2697),
-	.C(n_2991),
-	.Y(n_4141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396306 (
-	.A(n_2975),
-	.B(n_2701),
-	.C(n_2345),
-	.Y(n_4140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396307 (
-	.A(n_2705),
-	.B(n_2704),
-	.C(n_2971),
-	.Y(n_4139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396308 (
-	.A(n_2942),
-	.B(n_2715),
-	.C(n_2714),
-	.Y(n_4138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396309 (
-	.A(n_2718),
-	.B(n_2716),
-	.C(n_2717),
-	.Y(n_4137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396310 (
-	.A(n_2719),
-	.B(n_2935),
-	.C(n_2920),
-	.Y(n_4136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396311 (
-	.A(n_3099),
-	.B(n_2720),
-	.C(n_2721),
-	.Y(n_4135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396312 (
-	.A(n_2724),
-	.B(n_3203),
-	.C(n_2723),
-	.Y(n_4134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396313 (
-	.A(n_2727),
-	.B(n_3222),
-	.C(n_2726),
-	.Y(n_4133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396314 (
-	.A(n_3242),
-	.B(n_2730),
-	.C(n_2374),
-	.Y(n_4132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396315 (
-	.A(n_2842),
-	.B(n_2731),
-	.C(n_2732),
-	.Y(n_4131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396316 (
-	.A(n_2828),
-	.B(n_2733),
-	.C(n_2734),
-	.Y(n_4130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396317 (
-	.A(n_2809),
-	.B(n_2736),
-	.C(n_2814),
-	.Y(n_4129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396318 (
-	.A(n_2743),
-	.B(n_2740),
-	.C(n_2741),
-	.Y(n_4128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396319 (
-	.A(n_2739),
-	.B(n_2744),
-	.C(n_2745),
-	.Y(n_4127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396320 (
-	.A(n_2748),
-	.B(n_2746),
-	.C(n_2747),
-	.Y(n_4126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396321 (
-	.A(n_2751),
-	.B(n_2749),
-	.C(n_2750),
-	.Y(n_4125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396322 (
-	.A(n_2711),
-	.B(n_2753),
-	.C(n_2754),
-	.Y(n_4124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396323 (
-	.A(n_2758),
-	.B(n_2756),
-	.C(n_2757),
-	.Y(n_4123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396324 (
-	.A(n_2766),
-	.B(n_2648),
-	.C(n_2651),
-	.Y(n_4122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396325 (
-	.A(n_2637),
-	.B(n_2768),
-	.C(n_2767),
-	.Y(n_4121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396326 (
-	.A(n_2626),
-	.B(n_2770),
-	.C(n_2769),
-	.Y(n_4120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396327 (
-	.A(n_2772),
-	.B(n_2612),
-	.C(n_2699),
-	.Y(n_4119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396328 (
-	.A(n_2773),
-	.B(n_2602),
-	.C(n_2774),
-	.Y(n_4118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396329 (
-	.A(n_2786),
-	.B(n_2787),
-	.C(n_2550),
-	.Y(n_4117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396330 (
-	.A(n_2789),
-	.B(n_2530),
-	.C(n_2533),
-	.Y(n_4116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396331 (
-	.A(n_2791),
-	.B(n_2792),
-	.C(n_2575),
-	.Y(n_4115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396332 (
-	.A(n_2796),
-	.B(n_2794),
-	.C(n_2522),
-	.Y(n_4114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396333 (
-	.A(n_2793),
-	.B(n_2738),
-	.C(n_2798),
-	.Y(n_4113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396334 (
-	.A(n_2785),
-	.B(n_2782),
-	.C(n_2777),
-	.Y(n_4112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396335 (
-	.A(n_2799),
-	.B(n_2800),
-	.C(n_2506),
-	.Y(n_4111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396336 (
-	.A(n_2801),
-	.B(n_2802),
-	.C(n_2803),
-	.Y(n_4110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396337 (
-	.A(n_2493),
-	.B(n_2489),
-	.C(n_2490),
-	.Y(n_4109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396338 (
-	.A(n_2805),
-	.B(n_2487),
-	.C(n_2488),
-	.Y(n_4108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396339 (
-	.A(n_2806),
-	.B(n_2808),
-	.C(n_2807),
-	.Y(n_4107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396340 (
-	.A(n_2810),
-	.B(n_2812),
-	.C(n_2811),
-	.Y(n_4106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396341 (
-	.A(n_2483),
-	.B(n_2813),
-	.C(n_2815),
-	.Y(n_4105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396342 (
-	.A1(u_uart_u_uart_core_read_fifo_raddr[8]),
-	.A2(n_3028),
-	.B1(FE_OFN1311_n_2183),
-	.Y(n_4104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396343 (
-	.A(n_3957),
-	.B(n_3725),
-	.Y(n_4103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396344 (
-	.A(n_3957),
-	.B(n_3726),
-	.Y(n_4102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396345 (
-	.A(n_2816),
-	.B(n_2819),
-	.C(n_2817),
-	.Y(n_4101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396346 (
-	.A(n_2821),
-	.B(n_2824),
-	.C(n_2823),
-	.Y(n_4100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396347 (
-	.A(n_2471),
-	.B(n_2468),
-	.C(n_2825),
-	.Y(n_4099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396348 (
-	.A(n_2829),
-	.B(n_2827),
-	.C(n_2826),
-	.Y(n_4098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396349 (
-	.A1(FE_OFN919_n_0),
-	.A2(n_3181),
-	.B1(n_1772),
-	.Y(n_4097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396350 (
-	.A1(n_2161),
-	.A2(n_3375),
-	.B1(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
-	.Y(n_4096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396351 (
-	.A(n_3957),
-	.B(n_3735),
-	.Y(n_4095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396352 (
-	.A(n_3957),
-	.B(n_3729),
-	.Y(n_4094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g396353 (
-	.A1(n_15783),
-	.A2(\u_top_u_core_imd_val_q_ex[1] [3]),
-	.B1(n_2168),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [4]),
-	.C1(n_3436),
-	.Y(n_4093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396354 (
-	.A(n_2831),
-	.B(n_2833),
-	.C(n_2832),
-	.Y(n_4092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396355 (
-	.A(n_2835),
-	.B(n_2450),
-	.C(n_2836),
-	.Y(n_4091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396356 (
-	.A(n_2839),
-	.B(n_2840),
-	.C(n_2442),
-	.Y(n_4090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396357 (
-	.A(n_2436),
-	.B(n_2841),
-	.C(n_2843),
-	.Y(n_4089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g396358 (
-	.A1(main_swith_host_lsu_num_req_outstanding[2]),
-	.A2(main_swith_host_lsu_num_req_outstanding[3]),
-	.B1(n_3965),
-	.Y(n_4088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396359 (
-	.A(n_2845),
-	.B(n_2844),
-	.C(n_2432),
-	.Y(n_4087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396360 (
-	.A(n_2848),
-	.B(n_2847),
-	.C(n_2424),
-	.Y(n_4086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396361 (
-	.A1(n_2159),
-	.A2(n_3379),
-	.B1(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
-	.Y(n_4085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396362 (
-	.A(n_2417),
-	.B(n_2849),
-	.C(n_2422),
-	.Y(n_4084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g396363 (
-	.A1(n_3290),
-	.A2(n_245),
-	.B1(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
-	.Y(n_4083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396364 (
-	.A(n_2861),
-	.B(n_2859),
-	.C(n_2860),
-	.Y(n_4082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396365 (
-	.A(n_2865),
-	.B(n_2864),
-	.C(n_2863),
-	.Y(n_4081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396366 (
-	.A(n_2868),
-	.B(n_2867),
-	.C(n_2370),
-	.Y(n_4080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396367 (
-	.A(n_2871),
-	.B(n_2869),
-	.C(n_2870),
-	.Y(n_4079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396368 (
-	.A(n_2875),
-	.B(n_2872),
-	.C(n_2873),
-	.Y(n_4078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396369 (
-	.A(n_2878),
-	.B(n_2876),
-	.C(n_2877),
-	.Y(n_4077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396370 (
-	.A(n_3208),
-	.B(n_3245),
-	.C(n_2888),
-	.Y(n_4076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396371 (
-	.A(n_2884),
-	.B(n_2883),
-	.C(n_3127),
-	.Y(n_4075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396372 (
-	.A(n_2887),
-	.B(n_2885),
-	.C(n_2886),
-	.Y(n_4074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396373 (
-	.A(n_2891),
-	.B(n_2889),
-	.C(n_2729),
-	.Y(n_4073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396374 (
-	.A(n_2896),
-	.B(n_2894),
-	.C(n_2895),
-	.Y(n_4072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396375 (
-	.A(n_2611),
-	.B(n_2514),
-	.C(n_2897),
-	.Y(n_4071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396376 (
-	.A(n_2959),
-	.B(n_3256),
-	.C(n_3255),
-	.Y(n_4070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396377 (
-	.A(n_3237),
-	.B(n_3254),
-	.C(n_3253),
-	.Y(n_4069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396378 (
-	.A(n_3252),
-	.B(n_2728),
-	.C(n_3236),
-	.Y(n_4068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396379 (
-	.A(n_3250),
-	.B(n_3251),
-	.C(n_2850),
-	.Y(n_4067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g396380 (
-	.A1(n_1723),
-	.A2(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B1(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(n_4066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g396381 (
-	.A1(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.A2(n_1306),
-	.A3(FE_OFN1309_n_1727),
-	.B1(n_3440),
-	.Y(n_4065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396382 (
-	.A(n_3246),
-	.B(n_3248),
-	.C(n_3247),
-	.Y(n_4064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396383 (
-	.A(n_3243),
-	.B(n_2443),
-	.C(n_3244),
-	.Y(n_4063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g396384 (
-	.A1(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2(n_3290),
-	.B1(n_3958),
-	.X(n_4062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g396385 (
-	.A1(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2(n_3290),
-	.B1(n_3961),
-	.X(n_4061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396386 (
-	.A(n_2937),
-	.B(n_3241),
-	.C(n_3240),
-	.Y(n_4060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396387 (
-	.A(n_3235),
-	.B(n_3238),
-	.C(n_2949),
-	.Y(n_4059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396388 (
-	.A(n_3228),
-	.B(n_2678),
-	.C(n_2679),
-	.Y(n_4058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396389 (
-	.A(n_2663),
-	.B(n_2664),
-	.C(n_2948),
-	.Y(n_4057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396390 (
-	.A(n_2671),
-	.B(n_2992),
-	.C(n_2476),
-	.Y(n_4056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396391 (
-	.A(n_3210),
-	.B(n_2983),
-	.C(n_3211),
-	.Y(n_4055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396392 (
-	.A(n_2531),
-	.B(n_2532),
-	.C(n_2390),
-	.Y(n_4054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396393 (
-	.A(n_2608),
-	.B(n_2453),
-	.C(n_2609),
-	.Y(n_4053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g396394 (
-	.A1(n_3199),
-	.A2(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B1(n_1995),
-	.B2(n_1785),
-	.X(n_4052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396395 (
-	.A(n_2933),
-	.B(n_2932),
-	.C(n_2934),
-	.Y(n_4051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396396 (
-	.A(n_2930),
-	.B(n_2931),
-	.C(n_2929),
-	.Y(n_4050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396397 (
-	.A(n_2928),
-	.B(n_2926),
-	.C(n_2927),
-	.Y(n_4049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396398 (
-	.A(n_2925),
-	.B(n_2326),
-	.C(n_2325),
-	.Y(n_4048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396399 (
-	.A(n_2923),
-	.B(n_2921),
-	.C(n_2922),
-	.Y(n_4047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396400 (
-	.A(n_2919),
-	.B(n_2917),
-	.C(n_2918),
-	.Y(n_4046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396401 (
-	.A(n_2916),
-	.B(n_2915),
-	.C(n_2914),
-	.Y(n_4045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396402 (
-	.A(n_2334),
-	.B(n_3016),
-	.C(n_2337),
-	.Y(n_4044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396403 (
-	.A(n_2342),
-	.B(n_2344),
-	.C(n_2912),
-	.Y(n_4043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396404 (
-	.A(n_2911),
-	.B(n_2347),
-	.C(n_2910),
-	.Y(n_4042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396405 (
-	.A(n_2908),
-	.B(n_2909),
-	.C(n_2354),
-	.Y(n_4041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396406 (
-	.A(n_3027),
-	.B(n_2958),
-	.C(n_2358),
-	.Y(n_4040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396407 (
-	.A(n_2359),
-	.B(n_3034),
-	.C(n_3031),
-	.Y(n_4039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396408 (
-	.A(n_2361),
-	.B(n_3035),
-	.C(n_2362),
-	.Y(n_4038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396409 (
-	.A(n_2371),
-	.B(n_3046),
-	.C(n_2373),
-	.Y(n_4037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396410 (
-	.A(n_3047),
-	.B(n_3052),
-	.C(n_3049),
-	.Y(n_4036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396411 (
-	.A(n_3057),
-	.B(n_3054),
-	.C(n_2378),
-	.Y(n_4035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396412 (
-	.A(n_3062),
-	.B(n_3063),
-	.C(n_3064),
-	.Y(n_4034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396413 (
-	.A(n_3067),
-	.B(n_3071),
-	.C(n_3069),
-	.Y(n_4033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396414 (
-	.A(n_3072),
-	.B(n_3073),
-	.C(n_3074),
-	.Y(n_4032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396415 (
-	.A(n_3075),
-	.B(n_2389),
-	.C(n_2387),
-	.Y(n_4031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396416 (
-	.A(n_3076),
-	.B(n_3077),
-	.C(n_2391),
-	.Y(n_4030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396417 (
-	.A(n_3080),
-	.B(n_3078),
-	.C(n_3079),
-	.Y(n_4029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396418 (
-	.A(n_3083),
-	.B(n_3081),
-	.C(n_3082),
-	.Y(n_4028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396419 (
-	.A(n_3084),
-	.B(n_3086),
-	.C(n_3085),
-	.Y(n_4027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396420 (
-	.A(n_3087),
-	.B(n_3088),
-	.C(n_2403),
-	.Y(n_4026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396421 (
-	.A(n_2407),
-	.B(n_3089),
-	.C(n_3090),
-	.Y(n_4025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396422 (
-	.A(n_2410),
-	.B(n_3092),
-	.C(n_3091),
-	.Y(n_4024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396423 (
-	.A(n_3093),
-	.B(n_3095),
-	.C(n_3094),
-	.Y(n_4023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396424 (
-	.A(n_3097),
-	.B(n_3100),
-	.C(n_3098),
-	.Y(n_4022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396425 (
-	.A(n_2416),
-	.B(n_3102),
-	.C(n_2461),
-	.Y(n_4021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396426 (
-	.A(n_2421),
-	.B(n_3105),
-	.C(n_3104),
-	.Y(n_4020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396427 (
-	.A(n_3106),
-	.B(n_3107),
-	.C(n_2429),
-	.Y(n_4019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396428 (
-	.A(n_2430),
-	.B(n_3109),
-	.C(n_2431),
-	.Y(n_4018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396429 (
-	.A(n_3110),
-	.B(n_3113),
-	.C(n_3112),
-	.Y(n_4017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396430 (
-	.A(n_2439),
-	.B(n_3116),
-	.C(n_3115),
-	.Y(n_4016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396431 (
-	.A(n_3117),
-	.B(n_3119),
-	.C(n_2444),
-	.Y(n_4015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396432 (
-	.A(n_3120),
-	.B(n_3122),
-	.C(n_3121),
-	.Y(n_4014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396433 (
-	.A(n_3123),
-	.B(n_3124),
-	.C(n_2454),
-	.Y(n_4013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396434 (
-	.A(n_2456),
-	.B(n_3129),
-	.C(n_3125),
-	.Y(n_4012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396435 (
-	.A(n_3130),
-	.B(n_3132),
-	.C(n_3131),
-	.Y(n_4011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396436 (
-	.A(n_3133),
-	.B(n_2462),
-	.C(n_3134),
-	.Y(n_4010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396437 (
-	.A(n_3135),
-	.B(n_2466),
-	.C(n_3136),
-	.Y(n_4009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396438 (
-	.A(n_3137),
-	.B(n_3139),
-	.C(n_2470),
-	.Y(n_4008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396439 (
-	.A(n_3141),
-	.B(n_2473),
-	.C(n_2472),
-	.Y(n_4007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396440 (
-	.A(n_2479),
-	.B(n_3142),
-	.C(n_3143),
-	.Y(n_4006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396441 (
-	.A(n_3144),
-	.B(n_3146),
-	.C(n_3145),
-	.Y(n_4005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396442 (
-	.A(n_3147),
-	.B(n_3148),
-	.C(n_2481),
-	.Y(n_4004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396443 (
-	.A(n_2665),
-	.B(n_3213),
-	.C(n_2666),
-	.Y(n_4003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396444 (
-	.A(n_3150),
-	.B(n_3152),
-	.C(n_3151),
-	.Y(n_4002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396445 (
-	.A(n_3154),
-	.B(n_3157),
-	.C(n_2547),
-	.Y(n_4001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396446 (
-	.A(n_3165),
-	.B(n_3167),
-	.C(n_3166),
-	.Y(n_4000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396447 (
-	.A(n_3168),
-	.B(n_3173),
-	.C(n_3170),
-	.Y(n_3999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396448 (
-	.A(n_3179),
-	.B(n_3184),
-	.C(n_3180),
-	.Y(n_3998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396449 (
-	.A(n_2913),
-	.B(n_3189),
-	.C(n_3187),
-	.Y(n_3997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396450 (
-	.A(n_3191),
-	.B(n_3198),
-	.C(n_3196),
-	.Y(n_3996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396451 (
-	.A(n_3201),
-	.B(n_3204),
-	.C(n_3202),
-	.Y(n_3995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396452 (
-	.A(n_3217),
-	.B(n_3205),
-	.C(n_2944),
-	.Y(n_3994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396453 (
-	.A(n_2426),
-	.B(n_2332),
-	.C(n_2966),
-	.Y(n_3993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396454 (
-	.A(n_3206),
-	.B(n_3207),
-	.C(n_2979),
-	.Y(n_3992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396455 (
-	.A(n_3214),
-	.B(n_3215),
-	.C(n_2984),
-	.Y(n_3991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396456 (
-	.A(n_3216),
-	.B(n_3220),
-	.C(n_3218),
-	.Y(n_3990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396457 (
-	.A(n_2797),
-	.B(n_2967),
-	.C(n_2993),
-	.Y(n_3989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396458 (
-	.A(n_3257),
-	.B(n_3011),
-	.C(n_3223),
-	.Y(n_3988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396459 (
-	.A(n_2497),
-	.B(n_3225),
-	.C(n_3019),
-	.Y(n_3987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396460 (
-	.A(n_3021),
-	.B(n_3227),
-	.C(n_3226),
-	.Y(n_3986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396461 (
-	.A(n_3231),
-	.B(n_2434),
-	.C(n_3232),
-	.Y(n_3985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g396462 (
-	.A(n_3233),
-	.B(n_3234),
-	.C(n_2413),
-	.Y(n_3984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g396463 (
-	.A1(n_609),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [11]),
-	.B1(n_3977),
-	.Y(n_4559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g396464 (
-	.A1(n_609),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [10]),
-	.B1(n_3974),
-	.Y(n_4558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g396466 (
-	.A1(n_2288),
-	.A2(FE_OFN757_u_iccm_rdata2_15),
-	.B1(n_2281),
-	.B2(FE_PDN3924_FE_OFN789_u_iccm_rdata3_15),
-	.C1(n_3497),
-	.X(n_4556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_4 g396467 (
-	.A1(n_2288),
-	.A2(FE_PDN3674_FE_OFN759_u_iccm_rdata2_13),
-	.B1(n_2281),
-	.B2(FE_PDN3683_FE_OFN791_u_iccm_rdata3_13),
-	.C1(n_3481),
-	.Y(n_4555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g396468 (
-	.A1(n_2292),
-	.A2(FE_OFN747_u_iccm_rdata2_25),
-	.B1(n_2291),
-	.B2(FE_OFN721_u_iccm_rdata1_25),
-	.C1(n_3455),
-	.Y(n_4553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g396469 (
-	.A1(n_2292),
-	.A2(FE_OFN746_u_iccm_rdata2_26),
-	.B1(n_2291),
-	.B2(FE_OFN720_u_iccm_rdata1_26),
-	.C1(n_3485),
-	.Y(n_4551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g396470 (
-	.A1(n_2288),
-	.A2(FE_PDN3726_FE_OFN763_u_iccm_rdata2_9),
-	.B1(n_2281),
-	.B2(FE_OFN795_u_iccm_rdata3_9),
-	.C1(n_3482),
-	.Y(n_4549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g396471 (
-	.A1(n_2288),
-	.A2(FE_PDN3728_FE_OFN761_u_iccm_rdata2_11),
-	.B1(n_2281),
-	.B2(FE_OFN793_u_iccm_rdata3_11),
-	.C1(n_3444),
-	.Y(n_4547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g396472 (
-	.A1(n_2292),
-	.A2(FE_OFN745_u_iccm_rdata2_27),
-	.B1(n_2291),
-	.B2(FE_OFN719_u_iccm_rdata1_27),
-	.C1(n_3484),
-	.Y(n_4545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g396473 (
-	.A1(n_2292),
-	.A2(FE_OFN743_u_iccm_rdata2_29),
-	.B1(n_2291),
-	.B2(FE_OFN717_u_iccm_rdata1_29),
-	.C1(n_3475),
-	.Y(n_4543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g396474 (
-	.A1(n_2288),
-	.A2(FE_OFN758_u_iccm_rdata2_14),
-	.B1(n_2281),
-	.B2(FE_OFN790_u_iccm_rdata3_14),
-	.C1(n_3465),
-	.Y(n_4541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g396475 (
-	.A1(n_2292),
-	.A2(FE_PDN3734_FE_OFN744_u_iccm_rdata2_28),
-	.B1(n_2291),
-	.B2(FE_OFN718_u_iccm_rdata1_28),
-	.C1(n_3468),
-	.Y(n_4539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g396476 (
-	.A1(n_2288),
-	.A2(FE_OFN760_u_iccm_rdata2_12),
-	.B1(n_2281),
-	.B2(FE_OFN792_u_iccm_rdata3_12),
-	.C1(n_3498),
-	.Y(n_4537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g396477 (
-	.A1(n_2292),
-	.A2(FE_OFN741_u_iccm_rdata2_31),
-	.B1(n_2291),
-	.B2(FE_OFN715_u_iccm_rdata1_31),
-	.C1(n_3461),
-	.Y(n_4535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g396478 (
-	.A1(n_2292),
-	.A2(FE_OFN748_u_iccm_rdata2_24),
-	.B1(n_2291),
-	.B2(FE_OFN722_u_iccm_rdata1_24),
-	.C1(n_3470),
-	.Y(n_4533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_4 g396479 (
-	.A1(n_2288),
-	.A2(FE_PDN3735_FE_OFN762_u_iccm_rdata2_10),
-	.B1(n_2281),
-	.B2(FE_PDN17124_n),
-	.C1(n_3460),
-	.Y(n_4531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g396480 (
-	.A1(n_2292),
-	.A2(FE_OFN742_u_iccm_rdata2_30),
-	.B1(n_2291),
-	.B2(FE_OFN716_u_iccm_rdata1_30),
-	.C1(n_3464),
-	.Y(n_4529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_4 g396481 (
-	.A1(n_2288),
-	.A2(FE_PDN3747_FE_OFN764_u_iccm_rdata2_8),
-	.B1(n_2281),
-	.B2(FE_PDN3816_FE_OFN796_u_iccm_rdata3_8),
-	.C1(n_3437),
-	.Y(n_4527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396482 (
-	.A(n_15770),
-	.B(FE_OFN21_system_rst_ni),
-	.Y(n_4525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g396483 (
-	.A1(n_2300),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]),
-	.B1(n_2167),
-	.B2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
-	.C1(n_3472),
-	.Y(n_4524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g396484 (
-	.A1(n_2300),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
-	.B1(n_2167),
-	.B2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
-	.C1(n_3453),
-	.Y(n_4523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g396485 (
-	.A1(n_2300),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]),
-	.B1(n_2167),
-	.B2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
-	.C1(n_3486),
-	.Y(n_4522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g396486 (
-	.A1(n_2300),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
-	.B1(n_2167),
-	.B2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
-	.C1(n_3480),
-	.Y(n_4521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g396487 (
-	.A1(n_3291),
-	.A2(FE_OFN3_system_rst_ni),
-	.B1(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_4520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396488 (
-	.A1(n_3384),
-	.A2(n_171),
-	.B1(n_1361),
-	.Y(n_4519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396489 (
-	.A1(FE_OFN16559_n_3386),
-	.A2(n_171),
-	.B1(n_1353),
-	.Y(n_4517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396490 (
-	.A1(FE_OFN1468_n_3391),
-	.A2(n_171),
-	.B1(n_1355),
-	.Y(n_4515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396491 (
-	.A1(FE_OFN1314_n_3377),
-	.A2(n_171),
-	.B1(n_1359),
-	.Y(n_4512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396492 (
-	.A1(FE_OFN16194_n_3381),
-	.A2(n_171),
-	.B1(n_1352),
-	.Y(n_4510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396493 (
-	.A1(FE_OFN1313_n_3373),
-	.A2(n_171),
-	.B1(n_1356),
-	.Y(n_4508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396494 (
-	.A1(FE_OFN1463_n_3374),
-	.A2(n_171),
-	.B1(n_1339),
-	.Y(n_4507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396495 (
-	.A1(FE_OFN16561_n_3385),
-	.A2(n_171),
-	.B1(n_1360),
-	.Y(n_4504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396496 (
-	.A1(FE_OFN1466_n_3387),
-	.A2(n_171),
-	.B1(n_1357),
-	.Y(n_4503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396497 (
-	.A1(n_171),
-	.A2(FE_OFN1318_n_3389),
-	.B1(n_1358),
-	.Y(n_4500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396498 (
-	.A1(FE_OFN1464_n_3383),
-	.A2(n_171),
-	.B1(n_1338),
-	.Y(n_4499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g396499 (
-	.A1(n_3388),
-	.A2(n_171),
-	.B1(n_1342),
-	.Y(n_4497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g396500 (
-	.A(u_uart_u_uart_core_read_fifo_raddr[6]),
-	.B(n_230),
-	.C(n_3378),
-	.Y(n_4495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396501 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3790),
-	.Y(n_4494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396502 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3787),
-	.Y(n_4493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396503 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3606),
-	.Y(n_4492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396504 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3601),
-	.Y(n_4491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396505 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3599),
-	.Y(n_4490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396506 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3585),
-	.Y(n_4489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396507 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3780),
-	.Y(n_4488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396508 (
-	.A(n_3580),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396509 (
-	.A(n_3581),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396510 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3880),
-	.Y(n_4483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396511 (
-	.A(n_3825),
-	.B(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396512 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3855),
-	.Y(n_4480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396513 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3798),
-	.Y(n_4479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396514 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3609),
-	.Y(n_4478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396515 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3608),
-	.Y(n_4477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396516 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3795),
-	.Y(n_4476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396517 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3611),
-	.Y(n_4475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396518 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3615),
-	.Y(n_4474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396519 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3813),
-	.Y(n_4473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396520 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3818),
-	.Y(n_4472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396521 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3800),
-	.Y(n_4471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396522 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3801),
-	.Y(n_4470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396523 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3806),
-	.Y(n_4469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396524 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3802),
-	.Y(n_4468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396525 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3849),
-	.Y(n_4467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396526 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3603),
-	.Y(n_4466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396527 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3610),
-	.Y(n_4465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396528 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3820),
-	.Y(n_4464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396529 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3828),
-	.Y(n_4463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396530 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3594),
-	.Y(n_4462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396531 (
-	.A(n_3861),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396532 (
-	.A(n_3862),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396533 (
-	.A(n_3589),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396534 (
-	.A(n_3591),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396535 (
-	.A(n_3584),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396536 (
-	.A(n_3590),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396537 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3796),
-	.Y(n_4449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396538 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3583),
-	.Y(n_4448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396539 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3582),
-	.Y(n_4447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396540 (
-	.A(n_3592),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396541 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3816),
-	.Y(n_4444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396542 (
-	.A(n_3593),
-	.B(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396543 (
-	.A(n_3868),
-	.B(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396544 (
-	.A(n_3786),
-	.B(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396545 (
-	.A(n_3776),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396546 (
-	.A(n_3775),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396547 (
-	.A(n_3777),
-	.B(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396548 (
-	.A(n_3597),
-	.B(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396549 (
-	.A(n_3871),
-	.B(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396550 (
-	.A(n_3952),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396551 (
-	.A(n_3598),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396552 (
-	.A(n_3792),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396553 (
-	.A(n_3789),
-	.B(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396554 (
-	.A(n_3783),
-	.B(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396555 (
-	.A(n_3779),
-	.B(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396556 (
-	.A(n_3808),
-	.B(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396557 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3858),
-	.Y(n_4413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396558 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3854),
-	.Y(n_4412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396559 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3612),
-	.Y(n_4411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396560 (
-	.A(n_3819),
-	.B(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396561 (
-	.A(n_3773),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396562 (
-	.A(n_3774),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396563 (
-	.A(n_3782),
-	.B(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396564 (
-	.A(n_3778),
-	.B(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396565 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3853),
-	.Y(n_4400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396566 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3875),
-	.Y(n_4399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396567 (
-	.A(n_3870),
-	.B(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396568 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3618),
-	.Y(n_4396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396569 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3833),
-	.Y(n_4395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396570 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3848),
-	.Y(n_4394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396571 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3847),
-	.Y(n_4393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396572 (
-	.A(n_3810),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396573 (
-	.A(n_3811),
-	.B(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396574 (
-	.A(n_3812),
-	.B(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396575 (
-	.A(n_3809),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396576 (
-	.A(n_3826),
-	.B(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396577 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3874),
-	.Y(n_4382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396578 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3873),
-	.Y(n_4381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396579 (
-	.A(n_3831),
-	.B(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396580 (
-	.A(n_3832),
-	.B(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396581 (
-	.A(n_3836),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396582 (
-	.A(n_3837),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396583 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3852),
-	.Y(n_4372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396584 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3793),
-	.Y(n_4371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396585 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3794),
-	.Y(n_4370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396586 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3807),
-	.Y(n_4369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396587 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3815),
-	.Y(n_4368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396588 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3814),
-	.Y(n_4367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396589 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3804),
-	.Y(n_4366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396590 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3805),
-	.Y(n_4365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396591 (
-	.A(n_3842),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396592 (
-	.A(n_3912),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396593 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3772),
-	.Y(n_4360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396594 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3771),
-	.Y(n_4359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396595 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3829),
-	.Y(n_4358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396596 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3797),
-	.Y(n_4357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396597 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3883),
-	.Y(n_4356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396598 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3846),
-	.Y(n_4355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396599 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3817),
-	.Y(n_4354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396600 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3803),
-	.Y(n_4353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396601 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3799),
-	.Y(n_4352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396602 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3879),
-	.Y(n_4351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396603 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3827),
-	.Y(n_4350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396604 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3830),
-	.Y(n_4349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396605 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3835),
-	.Y(n_4348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396606 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3834),
-	.Y(n_4347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396607 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3902),
-	.Y(n_4346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396608 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3904),
-	.Y(n_4345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396609 (
-	.A(n_3922),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396610 (
-	.A(n_3921),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396611 (
-	.A(n_3857),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396612 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3838),
-	.Y(n_4338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396613 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3839),
-	.Y(n_4337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396614 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3841),
-	.Y(n_4336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396615 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3840),
-	.Y(n_4335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396616 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3843),
-	.Y(n_4334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396617 (
-	.A(n_3898),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396618 (
-	.A(n_3900),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396619 (
-	.A(n_3897),
-	.B(FE_OFN1214_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396620 (
-	.A(n_3859),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396621 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3845),
-	.Y(n_4325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396622 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3844),
-	.Y(n_4324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396623 (
-	.A(n_3863),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396624 (
-	.A(n_3864),
-	.B(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396625 (
-	.A(n_3869),
-	.B(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396626 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3876),
-	.Y(n_4317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396627 (
-	.A(n_3927),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396628 (
-	.A(n_3865),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396629 (
-	.A(n_3866),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396630 (
-	.A(n_3872),
-	.B(FE_OFN1210_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396631 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3867),
-	.Y(n_4308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396632 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3860),
-	.Y(n_4307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396633 (
-	.A(n_3881),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396634 (
-	.A(n_3899),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396635 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3884),
-	.Y(n_4302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396636 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3604),
-	.Y(n_4301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396637 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3823),
-	.Y(n_4300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396638 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3595),
-	.Y(n_4299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396639 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3613),
-	.Y(n_4298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396640 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3850),
-	.Y(n_4297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396641 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3587),
-	.Y(n_4296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396642 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3784),
-	.Y(n_4295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396643 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3616),
-	.Y(n_4294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396644 (
-	.A(FE_OFN1065_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3821),
-	.Y(n_4293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396645 (
-	.A(n_3882),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396646 (
-	.A(n_3889),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396647 (
-	.A(n_3888),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396648 (
-	.A(n_3891),
-	.B(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396649 (
-	.A(n_3890),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396650 (
-	.A(n_3892),
-	.B(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396651 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3877),
-	.Y(n_4280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396652 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3878),
-	.Y(n_4279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396653 (
-	.A(n_3896),
-	.B(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396654 (
-	.A(n_3895),
-	.B(FE_OFN1215_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_4275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4b_1 g396655 (
-	.A_N(n_2193),
-	.B(n_15752),
-	.C(n_1110),
-	.D(u_top_u_core_alu_operand_b_ex[4]),
-	.X(n_4274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g396656 (
-	.A(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(n_239),
-	.C(n_3382),
-	.Y(n_4273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g396657 (
-	.A(n_1096),
-	.B(n_3396),
-	.C(n_13259),
-	.Y(n_4272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396658 (
-	.A(FE_OFN1555_n_16022),
-	.B(n_3507),
-	.Y(n_4270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g396659 (
-	.A(n_1095),
-	.B(n_3396),
-	.C(n_13259),
-	.X(n_4269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396660 (
-	.A(n_3263),
-	.B(n_3507),
-	.Y(n_4267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_2 g396661 (
-	.A(n_3516),
-	.B(n_1285),
-	.C(n_1733),
-	.X(n_4266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_2 g396662 (
-	.A(n_3516),
-	.B(n_1284),
-	.C(n_1733),
-	.X(n_4264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_2 g396663 (
-	.A(n_2163),
-	.B(n_3158),
-	.C(n_197),
-	.X(n_4262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g396664 (
-	.A(n_3394),
-	.B(n_641),
-	.C(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.X(n_4260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396667 (
-	.A(n_3968),
-	.Y(n_3969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396668 (
-	.A(n_3966),
-	.Y(n_3967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396670 (
-	.A(n_15770),
-	.Y(n_3956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396671 (
-	.A(n_3851),
-	.Y(n_3850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396672 (
-	.A(n_3824),
-	.Y(n_3823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396673 (
-	.A(n_3822),
-	.Y(n_3821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396674 (
-	.A(n_3791),
-	.Y(n_3790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396675 (
-	.A(n_3788),
-	.Y(n_3787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396676 (
-	.A(n_3785),
-	.Y(n_3784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396677 (
-	.A(n_3781),
-	.Y(n_3780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396678 (
-	.A(n_3769),
-	.Y(n_3770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396679 (
-	.A(n_3767),
-	.Y(n_3768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396680 (
-	.A(n_3765),
-	.Y(n_3766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396681 (
-	.A(n_3763),
-	.Y(n_3764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396682 (
-	.A(n_3761),
-	.Y(n_3762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396683 (
-	.A(n_3759),
-	.Y(n_3760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396684 (
-	.A(n_3757),
-	.Y(n_3758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396685 (
-	.A(n_3755),
-	.Y(n_3756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396686 (
-	.A(n_3753),
-	.Y(n_3754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396687 (
-	.A(n_3751),
-	.Y(n_3752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396688 (
-	.A(n_3749),
-	.Y(n_3750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396689 (
-	.A(n_3747),
-	.Y(n_3748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396690 (
-	.A(n_3745),
-	.Y(n_3746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396691 (
-	.A(n_3743),
-	.Y(n_3744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396692 (
-	.A(n_3741),
-	.Y(n_3742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396693 (
-	.A(n_3739),
-	.Y(n_3738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396694 (
-	.A(n_3360),
-	.B(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [4]),
-	.Y(n_3735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396695 (
-	.A(n_3370),
-	.B(n_2170),
-	.Y(n_3734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396696 (
-	.A(n_3292),
-	.B(u_uart_u_uart_core_rx_buffer_size[3]),
-	.Y(n_3733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396697 (
-	.A(n_232),
-	.B(n_3024),
-	.Y(n_3732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396698 (
-	.A(n_232),
-	.B(n_3025),
-	.Y(n_3731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396699 (
-	.A(n_1092),
-	.B(n_3390),
-	.Y(n_3730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396700 (
-	.A(n_3360),
-	.B(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [3]),
-	.Y(n_3729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g396701 (
-	.A(n_2171),
-	.B_N(n_3371),
-	.Y(n_3728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396702 (
-	.A(n_1742),
-	.B(n_3291),
-	.Y(n_3727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396703 (
-	.A(n_3360),
-	.B(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [2]),
-	.Y(n_3726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396704 (
-	.A(n_3360),
-	.B(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
-	.Y(n_3725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396705 (
-	.A(n_3292),
-	.B(u_uart_u_uart_core_rx_buffer_size[6]),
-	.Y(n_3724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396706 (
-	.A(n_3292),
-	.B(u_uart_u_uart_core_rx_buffer_size[4]),
-	.Y(n_3723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396707 (
-	.A(n_3292),
-	.B(u_uart_u_uart_core_rx_buffer_size[5]),
-	.Y(n_3722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396708 (
-	.A(n_3292),
-	.B(u_uart_u_uart_core_rx_buffer_size[1]),
-	.Y(n_3721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g396711 (
-	.A_N(n_3404),
-	.B(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_3982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396713 (
-	.A(n_307),
-	.B(n_3405),
-	.Y(n_3981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396715 (
-	.A(FE_PSN3872_u_top_u_core_rf_waddr_wb_3),
-	.B(n_15776),
-	.Y(n_3980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396716 (
-	.A(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B(n_3405),
-	.Y(n_3979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396717 (
-	.A(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B(n_3404),
-	.Y(n_3978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396718 (
-	.A(n_3410),
-	.B(n_609),
-	.Y(n_3977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g396719 (
-	.A(n_3394),
-	.B(n_235),
-	.X(n_3976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396720 (
-	.A(n_3403),
-	.B(FE_PSN3872_u_top_u_core_rf_waddr_wb_3),
-	.Y(n_3975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396721 (
-	.A(n_3398),
-	.B(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(n_3719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396723 (
-	.A(n_3409),
-	.B(n_609),
-	.Y(n_3974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g396725 (
-	.A(FE_PSN3872_u_top_u_core_rf_waddr_wb_3),
-	.B_N(n_3403),
-	.Y(n_3970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396726 (
-	.A(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B(n_3382),
-	.Y(n_3968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396727 (
-	.A(u_uart_u_uart_core_read_fifo_raddr[7]),
-	.B(n_3378),
-	.Y(n_3966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396728 (
-	.A(main_swith_host_lsu_num_req_outstanding[3]),
-	.B(n_3408),
-	.Y(n_3965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396729 (
-	.A(n_3290),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [0]),
-	.Y(n_3964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g396736 (
-	.A_N(n_1740),
-	.B(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(n_3963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396737 (
-	.A(n_1737),
-	.B(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(n_3962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396738 (
-	.A(n_3290),
-	.B(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_3961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396739 (
-	.A(n_3287),
-	.B(n_1088),
-	.Y(n_3959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396740 (
-	.A(n_3290),
-	.B(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_3958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396742 (
-	.A(n_3359),
-	.B(FE_OFN21_system_rst_ni),
-	.Y(n_3957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396749 (
-	.A(n_3400),
-	.B(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_3954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396755 (
-	.A(FE_OFN1555_n_16022),
-	.B(n_15743),
-	.Y(n_3953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396756 (
-	.A(n_3354),
-	.B(n_2294),
-	.Y(n_3952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396757 (
-	.A(n_1295),
-	.B(n_3378),
-	.Y(n_3951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396758 (
-	.A(n_3345),
-	.B(n_2283),
-	.Y(n_3950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396759 (
-	.A(FE_OFN983_n_3308),
-	.B(n_2283),
-	.Y(n_3949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396760 (
-	.A(n_3342),
-	.B(n_2283),
-	.Y(n_3948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396761 (
-	.A(n_3342),
-	.B(n_2284),
-	.Y(n_3947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396762 (
-	.A(FE_OFN983_n_3308),
-	.B(n_2284),
-	.Y(n_3946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396763 (
-	.A(FE_OFN983_n_3308),
-	.B(n_2282),
-	.Y(n_3945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396764 (
-	.A(n_3342),
-	.B(n_2282),
-	.Y(n_3944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396765 (
-	.A(FE_OFN984_n_3344),
-	.B(n_2284),
-	.Y(n_3943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396766 (
-	.A(n_3345),
-	.B(n_2154),
-	.Y(n_3942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396767 (
-	.A(FE_OFN984_n_3344),
-	.B(n_2283),
-	.Y(n_3941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396768 (
-	.A(n_1984),
-	.B(n_3284),
-	.Y(n_3940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396769 (
-	.A(FE_OFN984_n_3344),
-	.B(n_2282),
-	.Y(n_3939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396770 (
-	.A(n_3345),
-	.B(n_2284),
-	.Y(n_3938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396771 (
-	.A(n_3345),
-	.B(n_2287),
-	.Y(n_3937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396772 (
-	.A(n_3345),
-	.B(n_2294),
-	.Y(n_3936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396773 (
-	.A(n_3345),
-	.B(n_2158),
-	.Y(n_3935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396774 (
-	.A(n_1984),
-	.B(n_3281),
-	.Y(n_3934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396775 (
-	.A(n_3354),
-	.B(n_2284),
-	.Y(n_3933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396776 (
-	.A(n_3354),
-	.B(n_2283),
-	.Y(n_3932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396777 (
-	.A(n_1689),
-	.B(n_3284),
-	.Y(n_3931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396778 (
-	.A(n_1708),
-	.B(n_3284),
-	.Y(n_3930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396779 (
-	.A(n_1710),
-	.B(n_3284),
-	.Y(n_3929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396780 (
-	.A(n_1984),
-	.B(n_3272),
-	.Y(n_3928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396781 (
-	.A(n_3345),
-	.B(n_2155),
-	.Y(n_3927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396782 (
-	.A(n_3354),
-	.B(n_2282),
-	.Y(n_3926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396783 (
-	.A(n_3279),
-	.B(FE_OFN973_n_1983),
-	.Y(n_3925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396784 (
-	.A(FE_OFN982_n_3277),
-	.B(FE_OFN973_n_1983),
-	.Y(n_3924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396785 (
-	.A(n_3330),
-	.B(n_2283),
-	.Y(n_3923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396786 (
-	.A(n_3334),
-	.B(n_2284),
-	.Y(n_3922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396787 (
-	.A(n_3334),
-	.B(n_2283),
-	.Y(n_3921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396788 (
-	.A(n_3330),
-	.B(n_2284),
-	.Y(n_3920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396789 (
-	.A(n_3337),
-	.B(n_2283),
-	.Y(n_3919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396790 (
-	.A(n_3337),
-	.B(n_2284),
-	.Y(n_3918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396791 (
-	.A(n_3308),
-	.B(n_2154),
-	.Y(n_3917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396792 (
-	.A(n_3342),
-	.B(n_2154),
-	.Y(n_3916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396793 (
-	.A(n_15786),
-	.B(n_3284),
-	.Y(n_3915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396794 (
-	.A(n_3337),
-	.B(n_2282),
-	.Y(n_3914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396795 (
-	.A(n_3330),
-	.B(n_2282),
-	.Y(n_3913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396796 (
-	.A(n_3334),
-	.B(n_2282),
-	.Y(n_3912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396797 (
-	.A(n_3344),
-	.B(n_2154),
-	.Y(n_3911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396798 (
-	.A(n_2156),
-	.B(n_15775),
-	.Y(n_3910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396799 (
-	.A(n_1689),
-	.B(n_3288),
-	.Y(n_3909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396800 (
-	.A(n_1984),
-	.B(n_3283),
-	.Y(n_3908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396801 (
-	.A(n_1689),
-	.B(n_3281),
-	.Y(n_3907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396802 (
-	.A(n_1708),
-	.B(n_3281),
-	.Y(n_3906), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396803 (
-	.A(n_1710),
-	.B(n_3281),
-	.Y(n_3905), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396804 (
-	.A(n_1984),
-	.B(n_3278),
-	.Y(n_3904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396805 (
-	.A(n_1984),
-	.B(n_3285),
-	.Y(n_3903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396806 (
-	.A(n_1984),
-	.B(n_3276),
-	.Y(n_3902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396807 (
-	.A(n_1984),
-	.B(n_3275),
-	.Y(n_3901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396808 (
-	.A(n_3342),
-	.B(n_2294),
-	.Y(n_3900), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396809 (
-	.A(n_3308),
-	.B(n_2287),
-	.Y(n_3899), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396810 (
-	.A(FE_OFN983_n_3308),
-	.B(n_2294),
-	.Y(n_3898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396811 (
-	.A(n_3342),
-	.B(n_2287),
-	.Y(n_3897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396812 (
-	.A(FE_OFN982_n_3277),
-	.B(FE_OFN951_n_1687),
-	.Y(n_3896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396813 (
-	.A(n_3279),
-	.B(FE_OFN951_n_1687),
-	.Y(n_3895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396814 (
-	.A(n_1700),
-	.B(n_3284),
-	.Y(n_3894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396815 (
-	.A(n_1696),
-	.B(n_3284),
-	.Y(n_3893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396816 (
-	.A(n_3286),
-	.B(FE_OFN973_n_1983),
-	.Y(n_3892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396817 (
-	.A(FE_OFN982_n_3277),
-	.B(FE_OFN954_n_1699),
-	.Y(n_3891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396818 (
-	.A(FE_OFN982_n_3277),
-	.B(FE_OFN952_n_1695),
-	.Y(n_3890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396819 (
-	.A(n_3279),
-	.B(FE_OFN952_n_1695),
-	.Y(n_3889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396820 (
-	.A(n_3279),
-	.B(FE_OFN954_n_1699),
-	.Y(n_3888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396821 (
-	.A(n_15784),
-	.B(n_3284),
-	.Y(n_3887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396822 (
-	.A(n_3345),
-	.B(n_2282),
-	.Y(n_3886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396823 (
-	.A(n_1710),
-	.B(n_3272),
-	.Y(n_3885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396824 (
-	.A(n_1708),
-	.B(n_3272),
-	.Y(n_3884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396825 (
-	.A(n_15786),
-	.B(n_3288),
-	.Y(n_3883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396826 (
-	.A(FE_OFN983_n_3308),
-	.B(n_2158),
-	.Y(n_3882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396827 (
-	.A(n_3342),
-	.B(n_2158),
-	.Y(n_3881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396828 (
-	.A(n_1982),
-	.B(n_3284),
-	.Y(n_3880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396829 (
-	.A(n_1704),
-	.B(n_3284),
-	.Y(n_3879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396830 (
-	.A(n_1690),
-	.B(n_3284),
-	.Y(n_3878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396831 (
-	.A(n_1692),
-	.B(n_3284),
-	.Y(n_3877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396832 (
-	.A(n_15787),
-	.B(n_3284),
-	.Y(n_3876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396833 (
-	.A(n_1686),
-	.B(n_3284),
-	.Y(n_3875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396834 (
-	.A(n_1698),
-	.B(n_3284),
-	.Y(n_3874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396835 (
-	.A(n_1694),
-	.B(n_3284),
-	.Y(n_3873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396836 (
-	.A(n_3274),
-	.B(FE_OFN973_n_1983),
-	.Y(n_3872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396837 (
-	.A(n_3280),
-	.B(FE_OFN973_n_1983),
-	.Y(n_3871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396838 (
-	.A(n_3273),
-	.B(FE_OFN973_n_1983),
-	.Y(n_3870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396839 (
-	.A(n_3282),
-	.B(FE_OFN973_n_1983),
-	.Y(n_3869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396840 (
-	.A(n_3287),
-	.B(FE_OFN973_n_1983),
-	.Y(n_3868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396841 (
-	.A(n_15786),
-	.B(n_3281),
-	.Y(n_3867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396842 (
-	.A(n_3344),
-	.B(n_2287),
-	.Y(n_3866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396843 (
-	.A(FE_OFN984_n_3344),
-	.B(n_2294),
-	.Y(n_3865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396844 (
-	.A(FE_OFN982_n_3277),
-	.B(FE_OFN955_n_1705),
-	.Y(n_3864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396845 (
-	.A(n_3279),
-	.B(FE_OFN955_n_1705),
-	.Y(n_3863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396846 (
-	.A(n_3308),
-	.B(n_2155),
-	.Y(n_3862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396847 (
-	.A(n_3342),
-	.B(n_2155),
-	.Y(n_3861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396848 (
-	.A(n_15786),
-	.B(n_3272),
-	.Y(n_3860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396849 (
-	.A(FE_OFN984_n_3344),
-	.B(n_2158),
-	.Y(n_3859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396850 (
-	.A(n_1692),
-	.B(n_3272),
-	.Y(n_3858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396851 (
-	.A(n_3354),
-	.B(n_2154),
-	.Y(n_3857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396852 (
-	.A(n_1689),
-	.B(n_3272),
-	.Y(n_3856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396853 (
-	.A(n_1704),
-	.B(n_3272),
-	.Y(n_3855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396854 (
-	.A(n_1690),
-	.B(n_3272),
-	.Y(n_3854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396855 (
-	.A(n_15787),
-	.B(n_3272),
-	.Y(n_3853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396856 (
-	.A(n_15784),
-	.B(n_3272),
-	.Y(n_3852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396857 (
-	.A(n_3344),
-	.B(n_2155),
-	.Y(n_3851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396858 (
-	.A(n_2153),
-	.B(n_15775),
-	.Y(n_3849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396859 (
-	.A(n_2286),
-	.B(n_15775),
-	.Y(n_3848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396860 (
-	.A(n_2293),
-	.B(n_15775),
-	.Y(n_3847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396861 (
-	.A(n_2157),
-	.B(n_15775),
-	.Y(n_3846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396862 (
-	.A(n_1700),
-	.B(n_3288),
-	.Y(n_3845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396863 (
-	.A(n_1696),
-	.B(n_3288),
-	.Y(n_3844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396864 (
-	.A(n_1689),
-	.B(n_3283),
-	.Y(n_3843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396865 (
-	.A(n_3286),
-	.B(FE_OFN951_n_1687),
-	.Y(n_3842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396867 (
-	.A(n_1700),
-	.B(n_3281),
-	.Y(n_3841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396868 (
-	.A(n_1696),
-	.B(n_3281),
-	.Y(n_3840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396869 (
-	.A(n_1708),
-	.B(n_3283),
-	.Y(n_3839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396870 (
-	.A(n_1710),
-	.B(n_3283),
-	.Y(n_3838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396871 (
-	.A(n_3330),
-	.B(n_2154),
-	.Y(n_3837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396872 (
-	.A(n_3337),
-	.B(n_2154),
-	.Y(n_3836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396873 (
-	.A(n_2156),
-	.B(n_3336),
-	.Y(n_3835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396874 (
-	.A(n_2156),
-	.B(n_3329),
-	.Y(n_3834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396875 (
-	.A(n_2156),
-	.B(n_3353),
-	.Y(n_3833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396876 (
-	.A(n_3286),
-	.B(FE_OFN952_n_1695),
-	.Y(n_3832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396877 (
-	.A(n_3286),
-	.B(FE_OFN954_n_1699),
-	.Y(n_3831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396878 (
-	.A(n_15784),
-	.B(n_3281),
-	.Y(n_3830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396879 (
-	.A(n_1689),
-	.B(n_3285),
-	.Y(n_3829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396880 (
-	.A(n_1689),
-	.B(n_3276),
-	.Y(n_3828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396881 (
-	.A(n_1689),
-	.B(n_3275),
-	.Y(n_3827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396882 (
-	.A(n_3274),
-	.B(FE_OFN951_n_1687),
-	.Y(n_3826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396883 (
-	.A(n_3273),
-	.B(FE_OFN951_n_1687),
-	.Y(n_3825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396884 (
-	.A(n_3280),
-	.B(FE_OFN951_n_1687),
-	.Y(n_3824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396885 (
-	.A(n_3287),
-	.B(FE_OFN951_n_1687),
-	.Y(n_3822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396886 (
-	.A(n_1689),
-	.B(n_3278),
-	.Y(n_3820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396887 (
-	.A(n_3282),
-	.B(FE_OFN951_n_1687),
-	.Y(n_3819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396888 (
-	.A(n_1694),
-	.B(n_3288),
-	.Y(n_3818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396889 (
-	.A(n_15787),
-	.B(n_3288),
-	.Y(n_3817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396890 (
-	.A(n_1704),
-	.B(n_3288),
-	.Y(n_3816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396891 (
-	.A(n_1692),
-	.B(n_3288),
-	.Y(n_3815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396892 (
-	.A(n_1690),
-	.B(n_3288),
-	.Y(n_3814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396893 (
-	.A(n_1698),
-	.B(n_3288),
-	.Y(n_3813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396894 (
-	.A(FE_OFN982_n_3277),
-	.B(n_1691),
-	.Y(n_3812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396895 (
-	.A(FE_OFN982_n_3277),
-	.B(n_1693),
-	.Y(n_3811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396896 (
-	.A(n_3279),
-	.B(n_1693),
-	.Y(n_3810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396897 (
-	.A(n_3279),
-	.B(n_1691),
-	.Y(n_3809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396898 (
-	.A(n_3286),
-	.B(FE_OFN955_n_1705),
-	.Y(n_3808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396899 (
-	.A(n_15786),
-	.B(n_3283),
-	.Y(n_3807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396900 (
-	.A(n_1710),
-	.B(n_3278),
-	.Y(n_3806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396901 (
-	.A(n_1708),
-	.B(n_3285),
-	.Y(n_3805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396902 (
-	.A(n_1710),
-	.B(n_3285),
-	.Y(n_3804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396903 (
-	.A(n_1708),
-	.B(n_3275),
-	.Y(n_3803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396904 (
-	.A(n_1708),
-	.B(n_3276),
-	.Y(n_3802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396905 (
-	.A(n_1710),
-	.B(n_3276),
-	.Y(n_3801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396906 (
-	.A(n_1708),
-	.B(n_3278),
-	.Y(n_3800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396907 (
-	.A(n_1710),
-	.B(n_3275),
-	.Y(n_3799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396908 (
-	.A(n_1698),
-	.B(n_3281),
-	.Y(n_3798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396909 (
-	.A(n_15787),
-	.B(n_3281),
-	.Y(n_3797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396910 (
-	.A(n_1704),
-	.B(n_3281),
-	.Y(n_3796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396911 (
-	.A(n_1694),
-	.B(n_3281),
-	.Y(n_3795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396912 (
-	.A(n_1692),
-	.B(n_3281),
-	.Y(n_3794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396913 (
-	.A(n_1690),
-	.B(n_3281),
-	.Y(n_3793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396914 (
-	.A(n_3279),
-	.B(n_1706),
-	.Y(n_3792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396915 (
-	.A(n_3279),
-	.B(n_1683),
-	.Y(n_3791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396916 (
-	.A(FE_OFN982_n_3277),
-	.B(n_1706),
-	.Y(n_3789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396917 (
-	.A(FE_OFN982_n_3277),
-	.B(n_1683),
-	.Y(n_3788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396918 (
-	.A(FE_OFN982_n_3277),
-	.B(FE_OFN953_n_1697),
-	.Y(n_3786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396919 (
-	.A(n_3273),
-	.B(FE_OFN952_n_1695),
-	.Y(n_3785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396920 (
-	.A(n_3282),
-	.B(FE_OFN954_n_1699),
-	.Y(n_3783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396921 (
-	.A(n_3274),
-	.B(FE_OFN952_n_1695),
-	.Y(n_3782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396922 (
-	.A(n_3273),
-	.B(FE_OFN954_n_1699),
-	.Y(n_3781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396923 (
-	.A(n_3282),
-	.B(FE_OFN952_n_1695),
-	.Y(n_3779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396924 (
-	.A(n_3274),
-	.B(FE_OFN954_n_1699),
-	.Y(n_3778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396925 (
-	.A(FE_OFN982_n_3277),
-	.B(n_1701),
-	.Y(n_3777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396926 (
-	.A(n_3279),
-	.B(n_1701),
-	.Y(n_3776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396927 (
-	.A(n_3279),
-	.B(FE_OFN953_n_1697),
-	.Y(n_3775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396928 (
-	.A(n_3279),
-	.B(n_1702),
-	.Y(n_3774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396929 (
-	.A(FE_OFN982_n_3277),
-	.B(n_1702),
-	.Y(n_3773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396930 (
-	.A(n_1696),
-	.B(n_3272),
-	.Y(n_3772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396931 (
-	.A(n_1700),
-	.B(n_3272),
-	.Y(n_3771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396932 (
-	.A(n_3297),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396933 (
-	.A(n_3299),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396934 (
-	.A(n_3307),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396935 (
-	.A(n_3339),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396936 (
-	.A(n_3343),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396937 (
-	.A(n_3306),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396938 (
-	.A(n_3305),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396939 (
-	.A(n_3350),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396940 (
-	.A(n_3356),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396941 (
-	.A(n_3298),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396942 (
-	.A(n_3355),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396943 (
-	.A(n_3349),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396944 (
-	.A(n_3348),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396945 (
-	.A(n_3326),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g396946 (
-	.A(n_3309),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g396947 (
-	.A(n_1307),
-	.B(n_3382),
-	.Y(n_3740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g396948 (
-	.A(n_3265),
-	.B(FE_OFN3_system_rst_ni),
-	.Y(n_3739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g396949 (
-	.A(n_1111),
-	.B(n_3390),
-	.Y(n_3737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_4 g396950 (
-	.A_N(n_1291),
-	.B(n_3394),
-	.X(n_3736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396951 (
-	.A(n_3716),
-	.Y(n_3717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396952 (
-	.A(n_3714),
-	.Y(n_3715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g396953 (
-	.A(n_3708),
-	.Y(n_3709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g396954 (
-	.A(n_3635),
-	.Y(n_3636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396955 (
-	.A(n_15779),
-	.Y(n_3623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396957 (
-	.A(n_3616),
-	.Y(n_3617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396958 (
-	.A(n_3613),
-	.Y(n_3614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396959 (
-	.A(n_3606),
-	.Y(n_3607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396960 (
-	.A(n_3604),
-	.Y(n_3605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396961 (
-	.A(n_3601),
-	.Y(n_3602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396962 (
-	.A(n_3599),
-	.Y(n_3600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396963 (
-	.A(n_3595),
-	.Y(n_3596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396964 (
-	.A(n_3587),
-	.Y(n_3588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396965 (
-	.A(n_3585),
-	.Y(n_3586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396966 (
-	.A(n_3578),
-	.Y(n_3579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396967 (
-	.A(n_3576),
-	.Y(n_3577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396968 (
-	.A(n_3574),
-	.Y(n_3575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396969 (
-	.A(n_3572),
-	.Y(n_3573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396970 (
-	.A(n_3570),
-	.Y(n_3571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396971 (
-	.A(n_3568),
-	.Y(n_3569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396972 (
-	.A(n_3566),
-	.Y(n_3567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396973 (
-	.A(n_3564),
-	.Y(n_3565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396974 (
-	.A(n_3562),
-	.Y(n_3563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396975 (
-	.A(n_3559),
-	.Y(n_3560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396976 (
-	.A(n_3557),
-	.Y(n_3558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396977 (
-	.A(n_3555),
-	.Y(n_3556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396978 (
-	.A(n_3553),
-	.Y(n_3554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396979 (
-	.A(n_3551),
-	.Y(n_3552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396980 (
-	.A(n_3549),
-	.Y(n_3550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396981 (
-	.A(n_3547),
-	.Y(n_3548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396982 (
-	.A(n_3545),
-	.Y(n_3546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396983 (
-	.A(n_3543),
-	.Y(n_3544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396984 (
-	.A(n_3541),
-	.Y(n_3542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396985 (
-	.A(n_3539),
-	.Y(n_3540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396986 (
-	.A(n_3537),
-	.Y(n_3538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396987 (
-	.A(n_3535),
-	.Y(n_3536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396988 (
-	.A(n_3533),
-	.Y(n_3534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396989 (
-	.A(n_3531),
-	.Y(n_3532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396990 (
-	.A(n_3529),
-	.Y(n_3530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396991 (
-	.A(n_3527),
-	.Y(n_3528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396992 (
-	.A(n_3525),
-	.Y(n_3526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396993 (
-	.A(n_3523),
-	.Y(n_3524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396994 (
-	.A(n_3521),
-	.Y(n_3522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396995 (
-	.A(n_3518),
-	.Y(n_3519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396996 (
-	.A(n_3516),
-	.Y(n_3515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396997 (
-	.A(n_3513),
-	.Y(n_3512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g396998 (
-	.A(n_3507),
-	.Y(n_3506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g396999 (
-	.A(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.B(n_2308),
-	.X(n_3505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397000 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[15]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[15]),
-	.Y(n_3504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g397001 (
-	.A1(n_1968),
-	.A2(n_1713),
-	.A3(n_1267),
-	.B1(n_13732),
-	.B2(n_1733),
-	.X(n_3503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397002 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[10]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[10]),
-	.Y(n_3502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397003 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[14]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[14]),
-	.Y(n_3501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397004 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[12]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[12]),
-	.Y(n_3500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g397005 (
-	.A1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.A2(n_2102),
-	.B1(n_1068),
-	.B2(n_13398),
-	.Y(n_3499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397006 (
-	.A1(n_2285),
-	.A2(FE_PDN3721_FE_OFN728_u_iccm_rdata1_12),
-	.B1(n_2290),
-	.B2(u_iccm_rdata4[12]),
-	.X(n_3498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397007 (
-	.A1(n_2285),
-	.A2(FE_PDN3703_FE_OFN725_u_iccm_rdata1_15),
-	.B1(n_2290),
-	.B2(u_iccm_rdata4[15]),
-	.X(n_3497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397008 (
-	.A1(FE_OFN1554_n_15782),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [0]),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[0]),
-	.Y(n_3496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397009 (
-	.A1(n_2267),
-	.A2(u_top_u_core_load_store_unit_i_rdata_q[22]),
-	.B1(n_2266),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[30]),
-	.Y(n_3495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397010 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[6]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[6]),
-	.Y(n_3494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397011 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[16]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[16]),
-	.Y(n_3493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397012 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(FE_OFN1842_u_top_u_core_pc_id_7),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[7]),
-	.Y(n_3492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397013 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(FE_OFN1835_u_top_u_core_pc_id_8),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[8]),
-	.Y(n_3491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g397014 (
-	.A1_N(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.A2_N(n_1296),
-	.B1(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B2(n_1296),
-	.Y(n_3490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397015 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[17]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[17]),
-	.Y(n_3489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397016 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[30]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[30]),
-	.Y(n_3488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397017 (
-	.A1(FE_OFN1580_n_2147),
-	.A2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
-	.B1(FE_OFN1619_n_1682),
-	.B2(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
-	.Y(n_3487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397018 (
-	.A1(n_2305),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [4]),
-	.B1(n_2000),
-	.B2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [4]),
-	.X(n_3486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397019 (
-	.A1(n_2280),
-	.A2(FE_OFN778_u_iccm_rdata3_26),
-	.B1(n_2289),
-	.B2(u_iccm_rdata4[26]),
-	.X(n_3485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397020 (
-	.A1(n_2280),
-	.A2(FE_PDN3662_FE_OFN777_u_iccm_rdata3_27),
-	.B1(n_2289),
-	.B2(u_iccm_rdata4[27]),
-	.X(n_3484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397021 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[24]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[24]),
-	.Y(n_3483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397022 (
-	.A1(n_2285),
-	.A2(FE_PDN3717_FE_OFN731_u_iccm_rdata1_9),
-	.B1(n_2290),
-	.B2(u_iccm_rdata4[9]),
-	.X(n_3482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397023 (
-	.A1(n_2285),
-	.A2(FE_OFN727_u_iccm_rdata1_13),
-	.B1(n_2290),
-	.B2(u_iccm_rdata4[13]),
-	.X(n_3481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397024 (
-	.A1(n_2305),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [3]),
-	.B1(n_2000),
-	.B2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [3]),
-	.X(n_3480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397025 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[20]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[20]),
-	.Y(n_3479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397026 (
-	.A1(FE_OFN1580_n_2147),
-	.A2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[21]),
-	.Y(n_3478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397027 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[11]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[11]),
-	.Y(n_3477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397028 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[21]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[21]),
-	.Y(n_3476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397029 (
-	.A1(n_2280),
-	.A2(FE_OFN775_u_iccm_rdata3_29),
-	.B1(n_2289),
-	.B2(u_iccm_rdata4[29]),
-	.X(n_3475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397030 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[26]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[26]),
-	.Y(n_3474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397031 (
-	.A1(n_2168),
-	.A2(\u_top_u_core_imd_val_q_ex[1] [20]),
-	.B1(n_2166),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [21]),
-	.Y(n_3473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397032 (
-	.A1(n_2305),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [2]),
-	.B1(n_2000),
-	.B2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [2]),
-	.X(n_3472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397033 (
-	.A1(FE_OFN1580_n_2147),
-	.A2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[17]),
-	.Y(n_3471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397034 (
-	.A1(n_2280),
-	.A2(FE_PDN17099_FE_OFN780_u_iccm_rdata3_24),
-	.B1(n_2289),
-	.B2(u_iccm_rdata4[24]),
-	.X(n_3470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397035 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[9]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[9]),
-	.Y(n_3469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397036 (
-	.A1(n_2280),
-	.A2(FE_OFN776_u_iccm_rdata3_28),
-	.B1(n_2289),
-	.B2(u_iccm_rdata4[28]),
-	.X(n_3468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397037 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[19]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[19]),
-	.Y(n_3467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397038 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[18]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[18]),
-	.Y(n_3466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397039 (
-	.A1(n_2285),
-	.A2(FE_PDN3725_FE_OFN726_u_iccm_rdata1_14),
-	.B1(n_2290),
-	.B2(u_iccm_rdata4[14]),
-	.X(n_3465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397040 (
-	.A1(n_2280),
-	.A2(FE_OFN774_u_iccm_rdata3_30),
-	.B1(n_2289),
-	.B2(u_iccm_rdata4[30]),
-	.X(n_3464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397041 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[27]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[27]),
-	.Y(n_3463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397042 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[29]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[29]),
-	.Y(n_3462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397043 (
-	.A1(n_2280),
-	.A2(FE_PDN17104_FE_OFN773_u_iccm_rdata3_31),
-	.B1(n_2289),
-	.B2(u_iccm_rdata4[31]),
-	.X(n_3461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397044 (
-	.A1(n_2285),
-	.A2(FE_OFN730_u_iccm_rdata1_10),
-	.B1(n_2290),
-	.B2(u_iccm_rdata4[10]),
-	.X(n_3460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397045 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[5]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[5]),
-	.Y(n_3459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397046 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[28]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[28]),
-	.Y(n_3458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397047 (
-	.A1(n_2267),
-	.A2(u_top_u_core_load_store_unit_i_rdata_q[23]),
-	.B1(n_2266),
-	.B2(u_top_u_core_load_store_unit_i_rdata_q[31]),
-	.Y(n_3457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397048 (
-	.A1(FE_OFN1580_n_2147),
-	.A2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
-	.B1(FE_OFN1620_n_1975),
-	.B2(u_top_u_core_csr_depc[3]),
-	.Y(n_3456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397049 (
-	.A1(n_2280),
-	.A2(FE_OFN779_u_iccm_rdata3_25),
-	.B1(n_2289),
-	.B2(u_iccm_rdata4[25]),
-	.X(n_3455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397050 (
-	.A1(FE_OFN1580_n_2147),
-	.A2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
-	.B1(FE_OFN1621_n_1979),
-	.B2(u_top_u_core_csr_mepc[1]),
-	.Y(n_3454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397051 (
-	.A1(n_2305),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
-	.B1(n_2000),
-	.B2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
-	.X(n_3453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397052 (
-	.A1(FE_OFN1580_n_2147),
-	.A2(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[2]),
-	.Y(n_3452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 g397053 (
-	.A1_N(n_2063),
-	.A2_N(n_2134),
-	.B1(n_1336),
-	.B2(n_2134),
-	.Y(n_3451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g397054 (
-	.A1(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.A2(n_2309),
-	.B1(n_685),
-	.B2(n_1995),
-	.Y(n_3450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g397055 (
-	.A1(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.A2(n_2313),
-	.B1(n_223),
-	.B2(n_1996),
-	.Y(n_3449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397056 (
-	.A1(FE_OFN1554_n_15782),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [3]),
-	.B1(FE_OFN1039_n_13259),
-	.B2(u_top_u_core_rf_wdata_fwd_wb[3]),
-	.Y(n_3448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397057 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[22]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[22]),
-	.Y(n_3447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g397058 (
-	.A(FE_OCPN3496_n_13401),
-	.B(n_2131),
-	.C(n_2068),
-	.D(n_2104),
-	.Y(n_3446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397059 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[23]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[23]),
-	.Y(n_3445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397060 (
-	.A1(n_2285),
-	.A2(FE_PDN3933_FE_OFN729_u_iccm_rdata1_11),
-	.B1(n_2290),
-	.B2(u_iccm_rdata4[11]),
-	.X(n_3444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397061 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[13]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[13]),
-	.Y(n_3443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g397062 (
-	.A1(n_2133),
-	.A2(n_2032),
-	.B1(n_3056),
-	.Y(n_3442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g397063 (
-	.A1(n_2133),
-	.A2(n_2048),
-	.B1(n_3060),
-	.Y(n_3441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g397064 (
-	.A1(FE_OFN1309_n_1727),
-	.A2(n_2312),
-	.B1(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.Y(n_3440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g397065 (
-	.A1(n_2138),
-	.A2(n_2295),
-	.B1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
-	.Y(n_3439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g397066 (
-	.A1(n_2307),
-	.A2(n_1982),
-	.B1_N(u_uart_u_uart_core_rx_buffer_size[8]),
-	.Y(n_3438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397067 (
-	.A1(n_2285),
-	.A2(FE_PDN3718_FE_OFN732_u_iccm_rdata1_8),
-	.B1(n_2290),
-	.B2(u_iccm_rdata4[8]),
-	.X(n_3437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g397068 (
-	.A1(n_2166),
-	.A2(\u_top_u_core_imd_val_q_ex[1] [5]),
-	.B1(n_2306),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [6]),
-	.X(n_3436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397069 (
-	.A(n_3070),
-	.B(n_2261),
-	.Y(n_3435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g397070 (
-	.A1(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.A2(n_1304),
-	.A3(n_1274),
-	.B1(n_3059),
-	.Y(n_3434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o31ai_1 g397071 (
-	.A1(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.A2(n_1300),
-	.A3(n_1271),
-	.B1(n_3053),
-	.Y(n_3433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397072 (
-	.A1(FE_OFN1310_n_2148),
-	.A2(u_top_u_core_pc_id[25]),
-	.B1(n_2270),
-	.B2(u_top_u_core_pc_if[25]),
-	.Y(n_3432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g397073 (
-	.A(n_2134),
-	.B(n_1120),
-	.C(n_1087),
-	.Y(n_3431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g397074 (
-	.A_N(n_2182),
-	.B(n_1986),
-	.C(\u_top_u_core_imd_val_q_ex[1] [18]),
-	.Y(n_3430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g397075 (
-	.A(n_2314),
-	.B(n_1720),
-	.C(\u_top_u_core_imd_val_q_ex[1] [24]),
-	.Y(n_3429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g397076 (
-	.A1(n_1227),
-	.A2(n_2313),
-	.B1(n_687),
-	.B2(n_1996),
-	.Y(n_3428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g397077 (
-	.A1(n_1200),
-	.A2(n_2309),
-	.B1(n_214),
-	.B2(n_1995),
-	.Y(n_3427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g397078 (
-	.A(FE_OFN15986_u_top_u_core_alu_operand_b_ex_10),
-	.B(n_13238),
-	.C_N(n_15752),
-	.X(n_3426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g397079 (
-	.A1(\u_top_u_core_imd_val_q_ex[1] [8]),
-	.A2(n_1720),
-	.B1(n_1986),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [10]),
-	.C1(n_1987),
-	.C2(\u_top_u_core_imd_val_q_ex[1] [9]),
-	.Y(n_3425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g397080 (
-	.A_N(n_2182),
-	.B(n_1713),
-	.C(\u_top_u_core_imd_val_q_ex[1] [15]),
-	.Y(n_3424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g397081 (
-	.A1(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.A2(n_1197),
-	.B1(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B2(n_1334),
-	.C1(n_1786),
-	.Y(n_3423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g397082 (
-	.A1(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.A2(n_1312),
-	.B1(n_3392),
-	.X(n_3422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g397083 (
-	.A1(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.A2(n_1311),
-	.B1(n_3393),
-	.X(n_3421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g397084 (
-	.A1(u_uart_u_uart_core_rx_buffer_size[1]),
-	.A2(n_1109),
-	.B1(n_3357),
-	.Y(n_3420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397085 (
-	.A1(n_2134),
-	.A2(n_2032),
-	.B1(n_2133),
-	.B2(n_2019),
-	.Y(n_3419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397086 (
-	.A1(n_2134),
-	.A2(n_2058),
-	.B1(n_2133),
-	.B2(n_2059),
-	.Y(n_3418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397087 (
-	.A1(n_2134),
-	.A2(n_2063),
-	.B1(n_2133),
-	.B2(n_2040),
-	.Y(n_3417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397088 (
-	.A1(n_2134),
-	.A2(n_2048),
-	.B1(n_2133),
-	.B2(n_2049),
-	.Y(n_3416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g397089 (
-	.A(n_2135),
-	.B(n_2134),
-	.C(n_2001),
-	.Y(n_3718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397090 (
-	.A1(n_2134),
-	.A2(n_2014),
-	.B1(n_2133),
-	.B2(n_1763),
-	.Y(n_3716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g397091 (
-	.A(n_2163),
-	.B(n_257),
-	.C(n_1107),
-	.Y(n_3714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397092 (
-	.A1(n_2134),
-	.A2(n_2054),
-	.B1(n_2133),
-	.B2(n_1761),
-	.Y(n_3713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g397093 (
-	.A1(n_2133),
-	.A2(n_1752),
-	.B1(n_3413),
-	.Y(n_3712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g397094 (
-	.A(n_2188),
-	.B(n_693),
-	.C(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_3415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g397095 (
-	.A(n_1087),
-	.B(n_2133),
-	.C(n_2136),
-	.Y(n_3711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397096 (
-	.A1(n_2134),
-	.A2(n_2012),
-	.B1(n_2133),
-	.B2(n_2045),
-	.Y(n_3710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g397097 (
-	.A1(n_2133),
-	.A2(n_1762),
-	.B1(n_3413),
-	.Y(n_3708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397098 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [11]),
-	.B1(n_2138),
-	.B2(FE_OFN1858_xbar_to_dccm_a_address__11),
-	.Y(n_3707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397099 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [23]),
-	.B1(n_2138),
-	.B2(FE_OFN831_lsu_to_xbar_a_address__23),
-	.Y(n_3706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397100 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [7]),
-	.B1(n_2138),
-	.B2(FE_OFN847_xbar_to_dccm_a_address__7),
-	.Y(n_3705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397101 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [15]),
-	.B1(n_2138),
-	.B2(FE_OFN839_lsu_to_xbar_a_address__15),
-	.Y(n_3704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397102 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [14]),
-	.B1(n_2138),
-	.B2(FE_OFN840_lsu_to_xbar_a_address__14),
-	.Y(n_3703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397103 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [18]),
-	.B1(n_2138),
-	.B2(FE_OFN15966_lsu_to_xbar_a_address__18),
-	.Y(n_3702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397104 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [13]),
-	.B1(n_2138),
-	.B2(FE_OFN841_xbar_to_dccm_a_address__13),
-	.Y(n_3701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397105 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [16]),
-	.B1(n_2138),
-	.B2(FE_OFN838_lsu_to_xbar_a_address__16),
-	.Y(n_3700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397106 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [20]),
-	.B1(n_2138),
-	.B2(FE_OFN834_lsu_to_xbar_a_address__20),
-	.Y(n_3699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397107 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [5]),
-	.B1(n_2138),
-	.B2(FE_OFN16787_xbar_to_dccm_a_address__5),
-	.Y(n_3698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397108 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [17]),
-	.B1(n_2138),
-	.B2(FE_OFN15955_lsu_to_xbar_a_address__17),
-	.Y(n_3697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397109 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [30]),
-	.B1(n_2138),
-	.B2(FE_OFN824_lsu_to_xbar_a_address__30),
-	.Y(n_3696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397110 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [31]),
-	.B1(n_2138),
-	.B2(FE_OFN5763_lsu_to_xbar_a_address__31),
-	.Y(n_3695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397111 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [2]),
-	.B1(n_2138),
-	.B2(FE_OFN852_xbar_to_dccm_a_address__2),
-	.Y(n_3694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397112 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [28]),
-	.B1(n_2138),
-	.B2(FE_OFN826_lsu_to_xbar_a_address__28),
-	.Y(n_3693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397113 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [1]),
-	.B1(n_2138),
-	.B2(FE_OFN1060_n_16023),
-	.Y(n_3692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397114 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [27]),
-	.B1(n_2138),
-	.B2(FE_OFN827_lsu_to_xbar_a_address__27),
-	.Y(n_3691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397115 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [4]),
-	.B1(n_2138),
-	.B2(FE_OFN1832_xbar_to_dccm_a_address__4),
-	.Y(n_3690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397116 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [3]),
-	.B1(n_2138),
-	.B2(FE_OFN851_xbar_to_dccm_a_address__3),
-	.Y(n_3689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397117 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [9]),
-	.B1(n_2138),
-	.B2(FE_OFN845_xbar_to_dccm_a_address__9),
-	.Y(n_3688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397118 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [6]),
-	.B1(n_2138),
-	.B2(FE_OFN848_xbar_to_dccm_a_address__6),
-	.Y(n_3687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397119 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [24]),
-	.B1(n_2138),
-	.B2(FE_OFN1846_lsu_to_xbar_a_address__24),
-	.Y(n_3686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397120 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [21]),
-	.B1(n_2138),
-	.B2(FE_OFN16746_lsu_to_xbar_a_address__21),
-	.Y(n_3685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397121 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [29]),
-	.B1(n_2138),
-	.B2(FE_OFN1885_n),
-	.Y(n_3684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397122 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [25]),
-	.B1(n_2138),
-	.B2(FE_OFN829_lsu_to_xbar_a_address__25),
-	.Y(n_3683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397123 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [22]),
-	.B1(n_2138),
-	.B2(FE_OFN16792_n),
-	.Y(n_3682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397124 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [0]),
-	.B1(n_2138),
-	.B2(FE_OFN1061_n_16024),
-	.Y(n_3681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397125 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [8]),
-	.B1(n_2138),
-	.B2(FE_OFN846_xbar_to_dccm_a_address__8),
-	.Y(n_3680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397126 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [12]),
-	.B1(n_2138),
-	.B2(FE_OFN842_xbar_to_dccm_a_address__12),
-	.Y(n_3679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397127 (
-	.A1(n_2134),
-	.A2(n_2042),
-	.B1(n_2133),
-	.B2(n_2043),
-	.Y(n_3678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397128 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [26]),
-	.B1(n_2138),
-	.B2(FE_OFN828_lsu_to_xbar_a_address__26),
-	.Y(n_3677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397129 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [10]),
-	.B1(n_2138),
-	.B2(FE_OFN844_xbar_to_dccm_a_address__10),
-	.Y(n_3676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g397130 (
-	.A(u_uart_u_uart_core_read_fifo_buffer_empty),
-	.B(FE_OFN1062_u_top_data_we),
-	.C_N(FE_OFN1311_n_2183),
-	.Y(n_3675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397131 (
-	.A1(n_2134),
-	.A2(n_2059),
-	.B1(n_2133),
-	.B2(n_2060),
-	.Y(n_3674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397132 (
-	.A1(n_2134),
-	.A2(n_2057),
-	.B1(n_2133),
-	.B2(n_2009),
-	.Y(n_3673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397133 (
-	.A1(n_2134),
-	.A2(n_2055),
-	.B1(n_2133),
-	.B2(n_2067),
-	.Y(n_3672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397134 (
-	.A1(n_2134),
-	.A2(n_2011),
-	.B1(n_2133),
-	.B2(n_2010),
-	.Y(n_3671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397135 (
-	.A1(n_2134),
-	.A2(n_2053),
-	.B1(n_2133),
-	.B2(n_2008),
-	.Y(n_3670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397136 (
-	.A1(n_2134),
-	.A2(n_2051),
-	.B1(n_2133),
-	.B2(n_2052),
-	.Y(n_3669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397137 (
-	.A1(n_2134),
-	.A2(n_2049),
-	.B1(n_2133),
-	.B2(n_2050),
-	.Y(n_3668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397138 (
-	.A1(n_2134),
-	.A2(n_2046),
-	.B1(n_2133),
-	.B2(n_2047),
-	.Y(n_3667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397139 (
-	.A1(n_2134),
-	.A2(n_2043),
-	.B1(n_2133),
-	.B2(n_2044),
-	.Y(n_3666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397140 (
-	.A1(n_2134),
-	.A2(n_2041),
-	.B1(n_2133),
-	.B2(n_2042),
-	.Y(n_3665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397141 (
-	.A1(n_2134),
-	.A2(n_2013),
-	.B1(n_2133),
-	.B2(n_2014),
-	.Y(n_3664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g397142 (
-	.A1(n_2134),
-	.A2(n_1752),
-	.B1(n_1764),
-	.B2(n_2133),
-	.Y(n_3663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397143 (
-	.A1(n_2134),
-	.A2(n_2009),
-	.B1(n_2133),
-	.B2(n_2051),
-	.Y(n_3662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397144 (
-	.A1(n_2134),
-	.A2(n_2067),
-	.B1(n_2133),
-	.B2(n_2057),
-	.Y(n_3661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397145 (
-	.A1(n_2134),
-	.A2(n_2060),
-	.B1(n_2133),
-	.B2(n_2055),
-	.Y(n_3660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g397146 (
-	.A1(n_1305),
-	.A2(n_1251),
-	.B1(n_1714),
-	.Y(n_3659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397147 (
-	.A1(n_2134),
-	.A2(n_2010),
-	.B1(n_2133),
-	.B2(n_2054),
-	.Y(n_3658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397148 (
-	.A1(n_2134),
-	.A2(n_2008),
-	.B1(n_2133),
-	.B2(n_2011),
-	.Y(n_3657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397149 (
-	.A1(n_2134),
-	.A2(n_2052),
-	.B1(n_2133),
-	.B2(n_2053),
-	.Y(n_3656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397150 (
-	.A1(n_2134),
-	.A2(n_2044),
-	.B1(n_2133),
-	.B2(n_2013),
-	.Y(n_3655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397151 (
-	.A1(n_2134),
-	.A2(n_2047),
-	.B1(n_2133),
-	.B2(n_2041),
-	.Y(n_3654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397152 (
-	.A1(n_2134),
-	.A2(n_2017),
-	.B1(n_2133),
-	.B2(n_2015),
-	.Y(n_3653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397153 (
-	.A1(n_2134),
-	.A2(n_2038),
-	.B1(n_2133),
-	.B2(n_2039),
-	.Y(n_3652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397154 (
-	.A1(n_2134),
-	.A2(n_2018),
-	.B1(n_2133),
-	.B2(n_2017),
-	.Y(n_3651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397155 (
-	.A1(n_2134),
-	.A2(n_2019),
-	.B1(n_2133),
-	.B2(n_2028),
-	.Y(n_3650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397156 (
-	.A1(n_2134),
-	.A2(n_2022),
-	.B1(n_2133),
-	.B2(n_2061),
-	.Y(n_3649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397157 (
-	.A1(n_2134),
-	.A2(n_2036),
-	.B1(n_2133),
-	.B2(n_2034),
-	.Y(n_3648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397158 (
-	.A1(n_2134),
-	.A2(n_2027),
-	.B1(n_2133),
-	.B2(n_2024),
-	.Y(n_3647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397159 (
-	.A1(n_2134),
-	.A2(n_2035),
-	.B1(n_2133),
-	.B2(n_2026),
-	.Y(n_3646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397160 (
-	.A1(n_2134),
-	.A2(n_2062),
-	.B1(n_2133),
-	.B2(n_2027),
-	.Y(n_3645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397161 (
-	.A1(n_2134),
-	.A2(n_2023),
-	.B1(n_2133),
-	.B2(n_2035),
-	.Y(n_3644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397162 (
-	.A1(n_2134),
-	.A2(n_2029),
-	.B1(n_2133),
-	.B2(n_2062),
-	.Y(n_3643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397163 (
-	.A1(n_2134),
-	.A2(n_2025),
-	.B1(n_2133),
-	.B2(n_2023),
-	.Y(n_3642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397164 (
-	.A1(n_2134),
-	.A2(n_2030),
-	.B1(n_2133),
-	.B2(n_2029),
-	.Y(n_3641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397165 (
-	.A1(n_2134),
-	.A2(n_2031),
-	.B1(n_2133),
-	.B2(n_2025),
-	.Y(n_3640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397166 (
-	.A1(n_2134),
-	.A2(n_2033),
-	.B1(n_2133),
-	.B2(n_2030),
-	.Y(n_3639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397167 (
-	.A1(n_2134),
-	.A2(n_2034),
-	.B1(n_2133),
-	.B2(n_2031),
-	.Y(n_3638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397168 (
-	.A1(n_2134),
-	.A2(n_2028),
-	.B1(n_2133),
-	.B2(n_2033),
-	.Y(n_3637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397169 (
-	.A1(n_2134),
-	.A2(n_2040),
-	.B1(n_2133),
-	.B2(n_2036),
-	.Y(n_3635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g397170 (
-	.A(FE_OFN919_n_0),
-	.B(u_uart_u_uart_core_n_9),
-	.C(n_2190),
-	.Y(n_3414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397171 (
-	.A1(n_2134),
-	.A2(n_2026),
-	.B1(n_2133),
-	.B2(n_2021),
-	.Y(n_3634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397172 (
-	.A1(n_2134),
-	.A2(n_2024),
-	.B1(n_2133),
-	.B2(n_2022),
-	.Y(n_3633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397173 (
-	.A1(n_2134),
-	.A2(n_2021),
-	.B1(n_2133),
-	.B2(n_2037),
-	.Y(n_3632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397174 (
-	.A1(n_2134),
-	.A2(n_2037),
-	.B1(n_2133),
-	.B2(n_2020),
-	.Y(n_3631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397175 (
-	.A1(n_2134),
-	.A2(n_2061),
-	.B1(n_2133),
-	.B2(n_2018),
-	.Y(n_3630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397176 (
-	.A1(n_2134),
-	.A2(n_2020),
-	.B1(n_2133),
-	.B2(n_2038),
-	.Y(n_3629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397177 (
-	.A1(n_2137),
-	.A2(\u_top_u_core_imd_val_q_ex[0] [19]),
-	.B1(n_2138),
-	.B2(FE_OFN1790_lsu_to_xbar_a_address__19),
-	.Y(n_3628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397178 (
-	.A1(n_2134),
-	.A2(n_2050),
-	.B1(n_2133),
-	.B2(n_2012),
-	.Y(n_3627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397179 (
-	.A1(n_2134),
-	.A2(n_2045),
-	.B1(n_2133),
-	.B2(n_2046),
-	.Y(n_3626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397180 (
-	.A1(n_2134),
-	.A2(n_2039),
-	.B1(n_2133),
-	.B2(n_2001),
-	.Y(n_3625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g397181 (
-	.A1(n_2016),
-	.A2(n_2133),
-	.B1(n_1087),
-	.B2(n_2134),
-	.Y(n_3624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g397183 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.B(n_13286),
-	.C(n_2164),
-	.Y(n_3620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397185 (
-	.A(n_15786),
-	.B(n_3275),
-	.Y(n_3618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397186 (
-	.A(n_15787),
-	.B(n_3275),
-	.Y(n_3616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397187 (
-	.A(n_15784),
-	.B(n_3275),
-	.Y(n_3615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397188 (
-	.A(n_15784),
-	.B(n_3285),
-	.Y(n_3613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397189 (
-	.A(n_15786),
-	.B(n_3285),
-	.Y(n_3612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397190 (
-	.A(n_15787),
-	.B(n_3283),
-	.Y(n_3611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397191 (
-	.A(n_1696),
-	.B(n_3275),
-	.Y(n_3610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397192 (
-	.A(n_1700),
-	.B(n_3285),
-	.Y(n_3609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397193 (
-	.A(n_1696),
-	.B(n_3285),
-	.Y(n_3608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397194 (
-	.A(n_1690),
-	.B(n_3275),
-	.Y(n_3606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397195 (
-	.A(n_1692),
-	.B(n_3275),
-	.Y(n_3604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397196 (
-	.A(n_1700),
-	.B(n_3275),
-	.Y(n_3603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397197 (
-	.A(n_1692),
-	.B(n_3283),
-	.Y(n_3601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397198 (
-	.A(n_1690),
-	.B(n_3283),
-	.Y(n_3599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397199 (
-	.A(n_3354),
-	.B(n_2287),
-	.Y(n_3598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397200 (
-	.A(n_3274),
-	.B(FE_OFN955_n_1705),
-	.Y(n_3597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397201 (
-	.A(n_15787),
-	.B(n_3285),
-	.Y(n_3595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397202 (
-	.A(n_15784),
-	.B(n_3283),
-	.Y(n_3594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397203 (
-	.A(n_3282),
-	.B(FE_OFN955_n_1705),
-	.Y(n_3593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397204 (
-	.A(n_3354),
-	.B(n_2158),
-	.Y(n_3592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397205 (
-	.A(n_3330),
-	.B(n_2294),
-	.Y(n_3591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397206 (
-	.A(n_3337),
-	.B(n_2287),
-	.Y(n_3590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397207 (
-	.A(n_3337),
-	.B(n_2294),
-	.Y(n_3589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397208 (
-	.A(n_2157),
-	.B(n_3329),
-	.Y(n_3587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397209 (
-	.A(n_2157),
-	.B(n_3336),
-	.Y(n_3585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397210 (
-	.A(n_3330),
-	.B(n_2287),
-	.Y(n_3584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397211 (
-	.A(n_1696),
-	.B(n_3283),
-	.Y(n_3583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397212 (
-	.A(n_1700),
-	.B(n_3283),
-	.Y(n_3582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397213 (
-	.A(n_3286),
-	.B(n_1693),
-	.Y(n_3581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397214 (
-	.A(n_3286),
-	.B(n_1691),
-	.Y(n_3580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397215 (
-	.A(n_3322),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397216 (
-	.A(n_3320),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397217 (
-	.A(n_3318),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397218 (
-	.A(n_3321),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397219 (
-	.A(n_3301),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397220 (
-	.A(n_3317),
-	.B(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397221 (
-	.A(n_3325),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397222 (
-	.A(n_3319),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397223 (
-	.A(n_3313),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397224 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3347),
-	.Y(n_3561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397225 (
-	.A(n_3304),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397226 (
-	.A(n_3303),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397227 (
-	.A(n_3311),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397228 (
-	.A(n_3302),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397229 (
-	.A(n_3300),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397230 (
-	.A(n_3316),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397231 (
-	.A(n_3324),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397232 (
-	.A(n_3314),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397233 (
-	.A(n_3323),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397234 (
-	.A(n_3315),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397235 (
-	.A(n_3327),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397236 (
-	.A(n_3312),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397237 (
-	.A(n_3331),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397238 (
-	.A(n_3328),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397239 (
-	.A(n_3333),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397240 (
-	.A(n_3332),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397241 (
-	.A(n_3338),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397242 (
-	.A(n_3346),
-	.B(FE_OFN1212_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397243 (
-	.A(n_3340),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397244 (
-	.A(n_3341),
-	.B(FE_OFN1211_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397245 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3351),
-	.Y(n_3520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397246 (
-	.A(n_3310),
-	.B(FE_OFN1213_FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.Y(n_3518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397247 (
-	.A(u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_3352),
-	.Y(n_3517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g397248 (
-	.A(n_1050),
-	.B(n_13210),
-	.C(n_2164),
-	.Y(n_3516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and4b_2 g397249 (
-	.A_N(u_top_u_core_alu_operator_ex[3]),
-	.B(FE_OFN1776_n_13259),
-	.C(n_1745),
-	.D(n_1174),
-	.X(n_3514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g397250 (
-	.A(n_3267),
-	.B(FE_OFN3_system_rst_ni),
-	.Y(n_3513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_2 g397252 (
-	.A_N(n_13229),
-	.B(n_2198),
-	.C(FE_OFN15968_u_top_u_core_alu_operand_b_ex_7),
-	.X(n_3510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_2 g397254 (
-	.A_N(n_13229),
-	.B(n_2189),
-	.C(FE_OFN15968_u_top_u_core_alu_operand_b_ex_7),
-	.X(n_3508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2o_2 g397255 (
-	.A1_N(FE_RN_98_0),
-	.A2_N(n_2197),
-	.B1(FE_RN_98_0),
-	.B2(n_2197),
-	.X(n_3507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g397259 (
-	.A(n_3380),
-	.Y(n_3379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g397260 (
-	.A(n_3376),
-	.Y(n_3375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g397261 (
-	.A(n_3369),
-	.Y(n_3368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g397262 (
-	.A(n_3366),
-	.Y(n_3367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g397263 (
-	.A(n_3363),
-	.Y(n_3364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g397264 (
-	.A(n_3362),
-	.Y(n_3361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g397265 (
-	.A(n_3359),
-	.Y(n_3360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g397266 (
-	.A(n_3353),
-	.Y(n_3354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g397267 (
-	.A(n_3337),
-	.Y(n_3336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g397268 (
-	.A(n_15775),
-	.Y(n_3334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g397269 (
-	.A(n_3330),
-	.Y(n_3329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g397270 (
-	.A(n_3293),
-	.Y(n_3294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g397271 (
-	.A(n_3291),
-	.Y(n_3290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g397272 (
-	.A(n_3288),
-	.Y(n_3287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g397273 (
-	.A(n_3286),
-	.Y(n_3285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g397274 (
-	.A(n_3283),
-	.Y(n_3282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g397275 (
-	.A(n_3281),
-	.Y(n_3280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g397276 (
-	.A(n_3279),
-	.Y(n_3278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g397277 (
-	.A(FE_OFN982_n_3277),
-	.Y(n_3276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g397278 (
-	.A(n_3275),
-	.Y(n_3274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g397279 (
-	.A(n_3273),
-	.Y(n_3272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g397280 (
-	.A(n_3268),
-	.Y(n_3267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g397281 (
-	.A(n_3265),
-	.Y(n_3266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g397282 (
-	.A(n_16022),
-	.Y(n_3263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397284 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [2]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [2]),
-	.Y(n_3257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397312 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [2]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [2]),
-	.Y(n_3256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397317 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [2]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [2]),
-	.Y(n_3255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397318 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [2]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [2]),
-	.Y(n_3254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397319 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [2]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [2]),
-	.Y(n_3253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397320 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [4]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [4]),
-	.Y(n_3252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397321 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [2]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [2]),
-	.Y(n_3251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397322 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [2]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [2]),
-	.Y(n_3250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397323 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[10]),
-	.B1(FE_OFN1620_n_1975),
-	.B2(u_top_u_core_csr_depc[10]),
-	.Y(n_3249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397324 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [2]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [2]),
-	.Y(n_3248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397325 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [2]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [2]),
-	.Y(n_3247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397326 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [2]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [2]),
-	.Y(n_3246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397327 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [4]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [4]),
-	.Y(n_3245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397328 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [2]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [2]),
-	.Y(n_3244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397329 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [2]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [2]),
-	.Y(n_3243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397330 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [4]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [4]),
-	.Y(n_3242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397331 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [2]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [2]),
-	.Y(n_3241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397332 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [2]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [2]),
-	.Y(n_3240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397333 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [6]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [6]),
-	.Y(n_3239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397334 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [2]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [2]),
-	.Y(n_3238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397335 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [2]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [2]),
-	.Y(n_3237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397336 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [4]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [4]),
-	.Y(n_3236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397337 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [2]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [2]),
-	.Y(n_3235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397338 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [2]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [2]),
-	.Y(n_3234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397339 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [2]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [2]),
-	.Y(n_3233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397340 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [2]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [2]),
-	.Y(n_3232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397341 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [2]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [2]),
-	.Y(n_3231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397342 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[9]),
-	.B1(FE_OFN1620_n_1975),
-	.B2(u_top_u_core_csr_depc[9]),
-	.Y(n_3230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397343 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [5]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [5]),
-	.Y(n_3229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397344 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [4]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [4]),
-	.Y(n_3228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397345 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [2]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [2]),
-	.Y(n_3227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397346 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [2]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [2]),
-	.Y(n_3226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397347 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [2]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [2]),
-	.Y(n_3225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397348 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[21]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[21]),
-	.Y(n_3224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397349 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [2]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [2]),
-	.Y(n_3223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397350 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [4]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [4]),
-	.Y(n_3222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397351 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(FE_PHN3989_u_top_u_core_pc_id_29),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[29]),
-	.Y(n_3221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397352 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [2]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [2]),
-	.Y(n_3220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397353 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[13]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[13]),
-	.Y(n_3219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397354 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [2]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [2]),
-	.Y(n_3218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397355 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [1]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [1]),
-	.Y(n_3217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397356 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [2]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [2]),
-	.Y(n_3216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397357 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [2]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [2]),
-	.Y(n_3215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397358 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [2]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [2]),
-	.Y(n_3214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397359 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [5]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [5]),
-	.Y(n_3213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397360 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[8]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[8]),
-	.Y(n_3212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397361 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [1]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [1]),
-	.Y(n_3211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397362 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [1]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [1]),
-	.Y(n_3210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397363 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [7]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [7]),
-	.Y(n_3209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397364 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [4]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [4]),
-	.Y(n_3208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397365 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [1]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [1]),
-	.Y(n_3207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397366 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [1]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [1]),
-	.Y(n_3206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397367 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [1]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [1]),
-	.Y(n_3205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397368 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [1]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [1]),
-	.Y(n_3204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397369 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [4]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [4]),
-	.Y(n_3203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397370 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [1]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [1]),
-	.Y(n_3202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397371 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [1]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [1]),
-	.Y(n_3201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397373 (
-	.A(n_2309),
-	.B(n_1995),
-	.Y(n_3199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397374 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [1]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [1]),
-	.Y(n_3198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397375 (
-	.A(n_1349),
-	.B(n_1251),
-	.Y(n_3197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397376 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [1]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [1]),
-	.Y(n_3196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397377 (
-	.A(FE_OFN1554_n_15782),
-	.B(\u_top_u_core_imd_val_q_ex[0] [7]),
-	.Y(n_3195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397378 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[7]),
-	.B1(FE_OFN1620_n_1975),
-	.B2(u_top_u_core_csr_depc[7]),
-	.Y(n_3194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397379 (
-	.A(FE_OFN1554_n_15782),
-	.B(\u_top_u_core_imd_val_q_ex[0] [14]),
-	.Y(n_3193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397380 (
-	.A(FE_OFN1554_n_15782),
-	.B(\u_top_u_core_imd_val_q_ex[0] [15]),
-	.Y(n_3192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397381 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [1]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [1]),
-	.Y(n_3191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397382 (
-	.A(FE_OFN1554_n_15782),
-	.B(\u_top_u_core_imd_val_q_ex[0] [17]),
-	.Y(n_3190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397383 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [1]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [1]),
-	.Y(n_3189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397384 (
-	.A(FE_OFN1554_n_15782),
-	.B(\u_top_u_core_imd_val_q_ex[0] [18]),
-	.Y(n_3188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397385 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [1]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [1]),
-	.Y(n_3187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397386 (
-	.A(FE_OFN1554_n_15782),
-	.B(\u_top_u_core_imd_val_q_ex[0] [19]),
-	.Y(n_3186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397387 (
-	.A(FE_OFN1554_n_15782),
-	.B(\u_top_u_core_imd_val_q_ex[0] [20]),
-	.Y(n_3185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397388 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [1]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [1]),
-	.Y(n_3184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397389 (
-	.A(FE_OFN1554_n_15782),
-	.B(\u_top_u_core_imd_val_q_ex[0] [21]),
-	.Y(n_3183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397390 (
-	.A(FE_OFN1554_n_15782),
-	.B(\u_top_u_core_imd_val_q_ex[0] [30]),
-	.Y(n_3182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397391 (
-	.A(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
-	.B(n_2308),
-	.Y(n_3181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397392 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [1]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [1]),
-	.Y(n_3180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397393 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [1]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [1]),
-	.Y(n_3179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397394 (
-	.A1(FE_OFN1621_n_1979),
-	.A2(u_top_u_core_csr_mepc[7]),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[7]),
-	.Y(n_3178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397395 (
-	.A(n_2306),
-	.B(\u_top_u_core_imd_val_q_ex[1] [14]),
-	.Y(n_3177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397396 (
-	.A(FE_OFN1580_n_2147),
-	.B(\u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
-	.Y(n_3176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397397 (
-	.A(FE_OFN1554_n_15782),
-	.B(\u_top_u_core_imd_val_q_ex[0] [16]),
-	.Y(n_3175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g397398 (
-	.A(FE_OFN975_n_2185),
-	.B_N(\iccm_adapter_inst_mem_wmask_int[0] [30]),
-	.Y(n_3174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397399 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [1]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [1]),
-	.Y(n_3173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397400 (
-	.A(FE_OFN1554_n_15782),
-	.B(\u_top_u_core_imd_val_q_ex[0] [6]),
-	.Y(n_3172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397401 (
-	.A(n_15783),
-	.B(\u_top_u_core_imd_val_q_ex[1] [19]),
-	.Y(n_3171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397402 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [1]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [1]),
-	.Y(n_3170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397403 (
-	.A(n_2313),
-	.B(n_1996),
-	.Y(n_3169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397404 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [1]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [1]),
-	.Y(n_3168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397405 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [1]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [1]),
-	.Y(n_3167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397406 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [1]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [1]),
-	.Y(n_3166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397407 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [1]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [1]),
-	.Y(n_3165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397408 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [1]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [1]),
-	.Y(n_3164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397409 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [1]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [1]),
-	.Y(n_3163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397410 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [1]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [1]),
-	.Y(n_3162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397411 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [1]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [1]),
-	.Y(n_3161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397412 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [1]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [1]),
-	.Y(n_3160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397413 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [1]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [1]),
-	.Y(n_3159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g397414 (
-	.A1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.A2(n_1335),
-	.B1(n_13666),
-	.Y(n_3158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397415 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [1]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [1]),
-	.Y(n_3157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397416 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [7]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [7]),
-	.Y(n_3156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397417 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [5]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [5]),
-	.Y(n_3155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397418 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [1]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [1]),
-	.Y(n_3154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397419 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[6]),
-	.B1(FE_OFN1620_n_1975),
-	.B2(u_top_u_core_csr_depc[6]),
-	.Y(n_3153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397420 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [1]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [1]),
-	.Y(n_3152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397421 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [1]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [1]),
-	.Y(n_3151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397422 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [1]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [1]),
-	.Y(n_3150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397423 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(FE_PHN3990_u_top_u_core_pc_id_14),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[14]),
-	.Y(n_3149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397424 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [1]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [1]),
-	.Y(n_3148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397425 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[137] [1]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[142] [1]),
-	.Y(n_3147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397426 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [1]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [1]),
-	.Y(n_3146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397427 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [1]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [1]),
-	.Y(n_3145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397428 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [1]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [1]),
-	.Y(n_3144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397429 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [1]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [1]),
-	.Y(n_3143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397430 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [1]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [1]),
-	.Y(n_3142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397431 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [1]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [1]),
-	.Y(n_3141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397432 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[5]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[5]),
-	.Y(n_3140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397433 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [1]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [1]),
-	.Y(n_3139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397434 (
-	.A(n_2136),
-	.B(n_2001),
-	.Y(n_3138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397435 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [1]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [1]),
-	.Y(n_3137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397436 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [1]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [1]),
-	.Y(n_3136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397437 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [1]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [1]),
-	.Y(n_3135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397438 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [1]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [1]),
-	.Y(n_3134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397439 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [1]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [1]),
-	.Y(n_3133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397440 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [1]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [1]),
-	.Y(n_3132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397441 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [1]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [1]),
-	.Y(n_3131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397442 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [1]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [1]),
-	.Y(n_3130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397443 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [1]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [1]),
-	.Y(n_3129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397444 (
-	.A1(FE_OFN1621_n_1979),
-	.A2(u_top_u_core_csr_mepc[4]),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[4]),
-	.Y(n_3128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397445 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [2]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [2]),
-	.Y(n_3127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397446 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[4]),
-	.B1(FE_OFN1620_n_1975),
-	.B2(u_top_u_core_csr_depc[4]),
-	.Y(n_3126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397447 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [1]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [1]),
-	.Y(n_3125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397448 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [1]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [1]),
-	.Y(n_3124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397449 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [1]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [1]),
-	.Y(n_3123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397450 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [1]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [1]),
-	.Y(n_3122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397451 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [1]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [1]),
-	.Y(n_3121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397452 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [1]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [1]),
-	.Y(n_3120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397453 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [1]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [1]),
-	.Y(n_3119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397454 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(FE_PHN3991_u_top_u_core_pc_id_15),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[15]),
-	.Y(n_3118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397455 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [1]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [1]),
-	.Y(n_3117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397456 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [1]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [1]),
-	.Y(n_3116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397457 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [1]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [1]),
-	.Y(n_3115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397458 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [5]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [5]),
-	.Y(n_3114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397459 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [1]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [1]),
-	.Y(n_3113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397460 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [1]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [1]),
-	.Y(n_3112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397461 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [5]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [5]),
-	.Y(n_3111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397462 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [1]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [1]),
-	.Y(n_3110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397463 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [1]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [1]),
-	.Y(n_3109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397464 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[26]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[26]),
-	.Y(n_3108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397465 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [1]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [1]),
-	.Y(n_3107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397466 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [1]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [1]),
-	.Y(n_3106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397467 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [1]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [1]),
-	.Y(n_3105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397468 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [1]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [1]),
-	.Y(n_3104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397469 (
-	.A1(FE_OFN1621_n_1979),
-	.A2(u_top_u_core_csr_mepc[3]),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[3]),
-	.Y(n_3103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397470 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [1]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [1]),
-	.Y(n_3102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397471 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(u_top_u_core_pc_id[20]),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[20]),
-	.Y(n_3101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397472 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [0]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [0]),
-	.Y(n_3100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397473 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [4]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [4]),
-	.Y(n_3099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397474 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [0]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [0]),
-	.Y(n_3098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397475 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [0]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [0]),
-	.Y(n_3097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397476 (
-	.A1(n_1990),
-	.A2(u_top_u_core_cs_registers_i_mcause_q[3]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[3]),
-	.Y(n_3096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397477 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [0]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [0]),
-	.Y(n_3095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397478 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [0]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [0]),
-	.Y(n_3094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397479 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [0]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [0]),
-	.Y(n_3093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397480 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [0]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [0]),
-	.Y(n_3092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397481 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [0]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [0]),
-	.Y(n_3091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397482 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [0]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [0]),
-	.Y(n_3090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397483 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [0]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [0]),
-	.Y(n_3089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397484 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [0]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [0]),
-	.Y(n_3088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397485 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [0]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [0]),
-	.Y(n_3087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397486 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [0]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [0]),
-	.Y(n_3086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397487 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [0]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [0]),
-	.Y(n_3085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397488 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [0]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [0]),
-	.Y(n_3084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397489 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [0]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [0]),
-	.Y(n_3083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397490 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [0]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [0]),
-	.Y(n_3082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397491 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [0]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [0]),
-	.Y(n_3081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397492 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [0]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [0]),
-	.Y(n_3080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397493 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [0]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [0]),
-	.Y(n_3079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397494 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [0]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [0]),
-	.Y(n_3078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397495 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [0]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [0]),
-	.Y(n_3077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397496 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [0]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [0]),
-	.Y(n_3076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397497 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [0]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [0]),
-	.Y(n_3075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397498 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [0]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [0]),
-	.Y(n_3074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397499 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [0]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [0]),
-	.Y(n_3073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397500 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [0]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [0]),
-	.Y(n_3072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397501 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [0]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [0]),
-	.Y(n_3071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g397502 (
-	.A1(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B1(n_2312),
-	.Y(n_3070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397503 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [0]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [0]),
-	.Y(n_3069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397504 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [7]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [7]),
-	.Y(n_3068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397505 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [0]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [0]),
-	.Y(n_3067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g397506 (
-	.A(FE_OFN1066_u_uart_u_uart_core_rx_fifo_clr),
-	.B(n_1205),
-	.C(n_1109),
-	.Y(n_3066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397507 (
-	.A1(n_1990),
-	.A2(u_top_u_core_cs_registers_i_mcause_q[0]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[0]),
-	.Y(n_3065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397508 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [0]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [0]),
-	.Y(n_3064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397509 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [0]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [0]),
-	.Y(n_3063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397510 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [0]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [0]),
-	.Y(n_3062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g397511 (
-	.A1(n_1092),
-	.A2(n_15796),
-	.B1(u_uart_u_uart_core_tx_fifo_init),
-	.Y(n_3061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g397512 (
-	.A1(n_1114),
-	.A2(n_1176),
-	.B1(n_2133),
-	.Y(n_3060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g397513 (
-	.A1(n_1274),
-	.A2(n_2007),
-	.B1(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.Y(n_3059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g397514 (
-	.A1(u_uart_u_uart_core_n_69),
-	.A2(n_15800),
-	.B1(u_uart_u_uart_core_tx_fifo_reset),
-	.Y(n_3058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397515 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [0]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [0]),
-	.Y(n_3057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g397516 (
-	.A1(n_1182),
-	.A2(n_1121),
-	.B1(n_2133),
-	.Y(n_3056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397517 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [2]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [2]),
-	.Y(n_3055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397518 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [0]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [0]),
-	.Y(n_3054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g397519 (
-	.A1(n_1271),
-	.A2(n_2004),
-	.B1(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.Y(n_3053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397520 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [0]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [0]),
-	.Y(n_3052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g397521 (
-	.A1(n_2003),
-	.A2(n_13253),
-	.B1(n_2099),
-	.Y(n_3051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g397522 (
-	.A1(u_uart_u_uart_core_n_9),
-	.A2(n_15800),
-	.B1(u_uart_u_uart_core_tx_fifo_clear),
-	.Y(n_3050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397523 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [0]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [0]),
-	.Y(n_3049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g397524 (
-	.A1(u_uart_u_uart_core_rx_sbit),
-	.A2(u_uart_u_uart_core_rx_time_state_reg[0]),
-	.A3(n_908),
-	.A4(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B1(n_1777),
-	.Y(n_3048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397525 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [0]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [0]),
-	.Y(n_3047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397526 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [0]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [0]),
-	.Y(n_3046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397527 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [0]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [0]),
-	.Y(n_3045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397528 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [0]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [0]),
-	.Y(n_3044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397529 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [0]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [0]),
-	.Y(n_3043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g397530 (
-	.A1(n_1287),
-	.A2(n_1757),
-	.B1(n_2316),
-	.Y(n_3042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397531 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(u_top_u_core_pc_id[31]),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[31]),
-	.Y(n_3041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397532 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [0]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [0]),
-	.Y(n_3040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397533 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [0]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [0]),
-	.Y(n_3039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397534 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [5]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [5]),
-	.Y(n_3038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397535 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [0]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [0]),
-	.Y(n_3037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g397536 (
-	.A(n_1354),
-	.B(n_13210),
-	.C(u_top_u_core_illegal_csr_insn_id),
-	.Y(n_3036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397537 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [0]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [0]),
-	.Y(n_3035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397538 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [0]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [0]),
-	.Y(n_3034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397539 (
-	.A(n_2106),
-	.B(n_1768),
-	.Y(n_3033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g397540 (
-	.A1(n_1067),
-	.A2(\iccm_to_xbar[d_data] [1]),
-	.B1(n_1066),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
-	.C1(n_13400),
-	.C2(n_611),
-	.Y(n_3032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397541 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [0]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [0]),
-	.Y(n_3031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397542 (
-	.A(n_2103),
-	.B(n_1774),
-	.Y(n_3030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g397543 (
-	.A1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2(n_1746),
-	.B1(n_2181),
-	.X(n_3029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g397544 (
-	.A(n_1295),
-	.B(n_1970),
-	.C(n_1889),
-	.Y(n_3028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397545 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [0]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [0]),
-	.Y(n_3027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397546 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[2]),
-	.B1(FE_OFN1620_n_1975),
-	.B2(u_top_u_core_csr_depc[2]),
-	.Y(n_3026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g397547 (
-	.A1(\u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
-	.A2(u_top_u_core_pc_mux_id[2]),
-	.B1(n_1195),
-	.B2(n_185),
-	.C1(u_top_u_core_csr_restore_mret_id),
-	.C2(u_top_u_core_cs_registers_i_csr_rdata_int[11]),
-	.Y(n_3025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g397548 (
-	.A1(\u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
-	.A2(u_top_u_core_pc_mux_id[2]),
-	.B1(n_1192),
-	.B2(n_185),
-	.C1(u_top_u_core_csr_restore_mret_id),
-	.C2(u_top_u_core_cs_registers_i_csr_rdata_int[12]),
-	.Y(n_3024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g397549 (
-	.A1(n_13210),
-	.A2(n_15843),
-	.B1(n_13206),
-	.C1(n_1351),
-	.Y(n_3023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g397550 (
-	.A(n_1776),
-	.B(n_13204),
-	.C(n_1350),
-	.Y(n_3022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397551 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [2]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [2]),
-	.Y(n_3021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397552 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [7]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [7]),
-	.Y(n_3020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397553 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [2]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [2]),
-	.Y(n_3019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397554 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [6]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [6]),
-	.Y(n_3018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397555 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(u_top_u_core_pc_id[27]),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[27]),
-	.Y(n_3017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397556 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [0]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [0]),
-	.Y(n_3016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397557 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [4]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [4]),
-	.Y(n_3015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397558 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [5]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [5]),
-	.Y(n_3014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397559 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [7]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [7]),
-	.Y(n_3013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397560 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[19]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[19]),
-	.Y(n_3012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397561 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [2]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [2]),
-	.Y(n_3011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397562 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [5]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [5]),
-	.Y(n_3010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397563 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [6]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [6]),
-	.Y(n_3009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397564 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [5]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [5]),
-	.Y(n_3008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397565 (
-	.A1(FE_OFN1620_n_1975),
-	.A2(u_top_u_core_csr_depc[24]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[24]),
-	.Y(n_3007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397566 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [5]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [5]),
-	.Y(n_3006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397567 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [5]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [5]),
-	.Y(n_3005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397568 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [6]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [6]),
-	.Y(n_3004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397569 (
-	.A1(n_1987),
-	.A2(\u_top_u_core_imd_val_q_ex[1] [1]),
-	.B1(n_1986),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [2]),
-	.Y(n_3003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397570 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [4]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [4]),
-	.Y(n_3002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397572 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [3]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [3]),
-	.Y(n_3001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397573 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [5]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [5]),
-	.Y(n_3000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397574 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(u_top_u_core_pc_id[2]),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[2]),
-	.Y(n_2999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397575 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(u_top_u_core_pc_id[13]),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[13]),
-	.Y(n_2998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397576 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [7]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [7]),
-	.Y(n_2997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397577 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [5]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [5]),
-	.Y(n_2996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397578 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [6]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [6]),
-	.Y(n_2995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397579 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[24]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[24]),
-	.Y(n_2994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397580 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [2]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [2]),
-	.Y(n_2993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397581 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [5]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [5]),
-	.Y(n_2992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397582 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [4]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [4]),
-	.Y(n_2991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397583 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [5]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [5]),
-	.Y(n_2990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397584 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [5]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [5]),
-	.Y(n_2989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397585 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [5]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [5]),
-	.Y(n_2988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397586 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [7]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [7]),
-	.Y(n_2987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397587 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [7]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [7]),
-	.Y(n_2986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397588 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[23]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[23]),
-	.Y(n_2985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397589 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [2]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [2]),
-	.Y(n_2984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397590 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [1]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [1]),
-	.Y(n_2983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397591 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [7]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [7]),
-	.Y(n_2982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397592 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [7]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [7]),
-	.Y(n_2981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397593 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [7]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [7]),
-	.Y(n_2980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397594 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [1]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [1]),
-	.Y(n_2979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397595 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [6]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [6]),
-	.Y(n_2978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397596 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [5]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [5]),
-	.Y(n_2977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397597 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [5]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [5]),
-	.Y(n_2976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397598 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [4]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [4]),
-	.Y(n_2975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397599 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [5]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [5]),
-	.Y(n_2974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397600 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [5]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [5]),
-	.Y(n_2973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397601 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(u_top_u_core_pc_id[6]),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[6]),
-	.Y(n_2972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397602 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [4]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [4]),
-	.Y(n_2971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397603 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [7]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [7]),
-	.Y(n_2970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397604 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [5]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [5]),
-	.Y(n_2969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397605 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [5]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [5]),
-	.Y(n_2968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397606 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [2]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [2]),
-	.Y(n_2967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397607 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [1]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [1]),
-	.Y(n_2966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397608 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(u_top_u_core_pc_id[9]),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[9]),
-	.Y(n_2965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397609 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [6]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [6]),
-	.Y(n_2964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397610 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[21]),
-	.B1(FE_OFN1620_n_1975),
-	.B2(u_top_u_core_csr_depc[21]),
-	.Y(n_2963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397611 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [6]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [6]),
-	.Y(n_2962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397612 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [6]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [6]),
-	.Y(n_2961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397613 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [6]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [6]),
-	.Y(n_2960), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397614 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [2]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [2]),
-	.Y(n_2959), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397615 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [0]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [0]),
-	.Y(n_2958), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397616 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [6]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [6]),
-	.Y(n_2957), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397617 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [6]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [6]),
-	.Y(n_2956), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397618 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(u_top_u_core_pc_id[4]),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[4]),
-	.Y(n_2955), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397619 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [6]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [6]),
-	.Y(n_2954), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397620 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [4]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [4]),
-	.Y(n_2953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397621 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[28]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[28]),
-	.Y(n_2952), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397622 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [6]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [6]),
-	.Y(n_2951), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397623 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(u_top_u_core_pc_id[21]),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[21]),
-	.Y(n_2950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397624 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [2]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [2]),
-	.Y(n_2949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397625 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [5]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [5]),
-	.Y(n_2948), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397626 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [4]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [4]),
-	.Y(n_2947), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397627 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [6]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [6]),
-	.Y(n_2946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397628 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [5]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [5]),
-	.Y(n_2945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397629 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [1]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [1]),
-	.Y(n_2944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397630 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [5]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [5]),
-	.Y(n_2943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397631 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [4]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [4]),
-	.Y(n_2942), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397632 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[17]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[17]),
-	.Y(n_2941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397633 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[24]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[24]),
-	.Y(n_2940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397634 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [2]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [2]),
-	.Y(n_2939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397635 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [5]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [5]),
-	.Y(n_2938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397636 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [2]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [2]),
-	.Y(n_2937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397637 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[30]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[30]),
-	.Y(n_2936), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397638 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [4]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [4]),
-	.Y(n_2935), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397639 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [0]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [0]),
-	.Y(n_2934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397640 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [0]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [0]),
-	.Y(n_2933), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397641 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [0]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [0]),
-	.Y(n_2932), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397642 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [0]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [0]),
-	.Y(n_2931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397643 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [0]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [0]),
-	.Y(n_2930), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397644 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [0]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [0]),
-	.Y(n_2929), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397645 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [0]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [0]),
-	.Y(n_2928), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397646 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [0]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [0]),
-	.Y(n_2927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397647 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [0]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [0]),
-	.Y(n_2926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397648 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [0]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [0]),
-	.Y(n_2925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397649 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [6]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [6]),
-	.Y(n_2924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397650 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [0]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [0]),
-	.Y(n_2923), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397651 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [0]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [0]),
-	.Y(n_2922), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397652 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [0]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [0]),
-	.Y(n_2921), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397653 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [4]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [4]),
-	.Y(n_2920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397654 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [0]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [0]),
-	.Y(n_2919), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397655 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [0]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [0]),
-	.Y(n_2918), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397656 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [0]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [0]),
-	.Y(n_2917), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397657 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [0]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [0]),
-	.Y(n_2916), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397658 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [0]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [0]),
-	.Y(n_2915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397659 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [0]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [0]),
-	.Y(n_2914), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397660 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [1]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [1]),
-	.Y(n_2913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397661 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [0]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [0]),
-	.Y(n_2912), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397662 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [0]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [0]),
-	.Y(n_2911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397663 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [0]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [0]),
-	.Y(n_2910), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397664 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [0]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [0]),
-	.Y(n_2909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397665 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [0]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [0]),
-	.Y(n_2908), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397666 (
-	.A1(FE_OFN1621_n_1979),
-	.A2(u_top_u_core_csr_mepc[2]),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[2]),
-	.Y(n_2907), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397667 (
-	.A(n_15743),
-	.B(n_2133),
-	.Y(n_3413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g397669 (
-	.A1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
-	.A2(n_1066),
-	.B1(\iccm_to_xbar[d_data] [0]),
-	.B2(n_1067),
-	.C1(n_13399),
-	.C2(n_611),
-	.Y(n_3412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g397690 (
-	.A1(n_1258),
-	.A2(n_1284),
-	.B1(n_1259),
-	.B2(n_1285),
-	.C1(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ),
-	.Y(n_3411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_4 g397692 (
-	.A1(n_15685),
-	.A2(u_top_u_core_csr_mtvec[10]),
-	.B1(n_13545),
-	.B2(n_13341),
-	.C1(n_1345),
-	.Y(n_3409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 g397700 (
-	.A(n_1111),
-	.B(n_2311),
-	.X(n_2904), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g397724 (
-	.A_N(main_swith_host_lsu_num_req_outstanding[2]),
-	.B(n_1305),
-	.Y(n_3408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397725 (
-	.A(n_2317),
-	.B(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_2903), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397727 (
-	.A(n_2186),
-	.B(dccm_adapter_data_mem_u_rspfifo_n_638),
-	.Y(n_3405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g397728 (
-	.A_N(n_2199),
-	.B(u_tcam_u_tcam_adapter_u_rspfifo_n_638),
-	.Y(n_3404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397729 (
-	.A(FE_OFN15922_u_top_u_core_rf_waddr_wb_0),
-	.B(n_2202),
-	.Y(n_3403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397730 (
-	.A(n_2322),
-	.B(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_2902), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397731 (
-	.A(n_2320),
-	.B(FE_OFN1568_xbar_to_dccm_a_data__0),
-	.Y(n_3402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397732 (
-	.A(u_uart_u_uart_core_n_9),
-	.B(n_2311),
-	.Y(n_2901), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g397733 (
-	.A(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B_N(n_2180),
-	.Y(n_3400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g397734 (
-	.A(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B_N(n_2188),
-	.Y(n_3398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g397737 (
-	.A(n_2310),
-	.B(n_186),
-	.X(n_3397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g397738 (
-	.A1(n_679),
-	.A2(n_1183),
-	.B1(u_top_u_core_alu_operator_ex[3]),
-	.C1(n_1745),
-	.Y(n_3396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397739 (
-	.A(n_2138),
-	.B(n_1267),
-	.Y(n_3395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397740 (
-	.A(n_2161),
-	.B(FE_OFN84_system_rst_ni),
-	.Y(n_3394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397741 (
-	.A(n_1311),
-	.B(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(n_3393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397742 (
-	.A(n_1312),
-	.B(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(n_3392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g397743 (
-	.A1(n_1079),
-	.A2(FE_OFN754_u_iccm_rdata2_18),
-	.B1(n_1276),
-	.B2(u_iccm_rdata4[18]),
-	.C1(FE_PDN3929_n_2092),
-	.Y(n_3391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397744 (
-	.A(n_2320),
-	.B(FE_OFN13_system_rst_ni),
-	.Y(n_3390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g397745 (
-	.A1(n_1269),
-	.A2(FE_OFN735_u_iccm_rdata1_5),
-	.B1(n_1273),
-	.B2(u_iccm_rdata4[5]),
-	.C1(n_2130),
-	.Y(n_3389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_4 g397746 (
-	.A1(n_1079),
-	.A2(FE_OFN751_u_iccm_rdata2_21),
-	.B1(n_1276),
-	.B2(u_iccm_rdata4[21]),
-	.C1(n_2075),
-	.Y(n_3388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g397747 (
-	.A1(n_1079),
-	.A2(FE_PDN3698_FE_OFN750_u_iccm_rdata2_22),
-	.B1(n_1276),
-	.B2(u_iccm_rdata4[22]),
-	.C1(n_2113),
-	.Y(n_3387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g397749 (
-	.A1(n_1269),
-	.A2(FE_PDN3733_FE_OFN736_u_iccm_rdata1_4),
-	.B1(n_1273),
-	.B2(u_iccm_rdata4[4]),
-	.C1(n_2121),
-	.Y(n_3386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g397752 (
-	.A1(n_1269),
-	.A2(FE_OFN733_u_iccm_rdata1_7),
-	.B1(n_1273),
-	.B2(u_iccm_rdata4[7]),
-	.C1(n_2090),
-	.Y(n_3385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_4 g397753 (
-	.A1(n_1079),
-	.A2(FE_OFN752_u_iccm_rdata2_20),
-	.B1(n_1276),
-	.B2(u_iccm_rdata4[20]),
-	.C1(FE_PDN3806_n_2083),
-	.Y(n_3384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g397756 (
-	.A1(n_1079),
-	.A2(FE_OFN749_u_iccm_rdata2_23),
-	.B1(n_1276),
-	.B2(u_iccm_rdata4[23]),
-	.C1(FE_PDN3737_n_2074),
-	.Y(n_3383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397757 (
-	.A(FE_OFN919_n_0),
-	.B(n_2160),
-	.Y(n_3382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g397758 (
-	.A1(n_1269),
-	.A2(FE_OFN737_u_iccm_rdata1_3),
-	.B1(n_1273),
-	.B2(u_iccm_rdata4[3]),
-	.C1(n_2119),
-	.Y(n_3381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397759 (
-	.A(n_2160),
-	.B(n_1307),
-	.Y(n_3380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397760 (
-	.A(FE_OFN1311_n_2183),
-	.B(n_302),
-	.Y(n_3378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g397761 (
-	.A1(n_1269),
-	.A2(FE_PDN3708_FE_OFN734_u_iccm_rdata1_6),
-	.B1(n_1273),
-	.B2(u_iccm_rdata4[6]),
-	.C1(n_2112),
-	.Y(n_3377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397762 (
-	.A(n_2162),
-	.B(n_1291),
-	.Y(n_3376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g397763 (
-	.A1(n_1079),
-	.A2(FE_PDN3680_FE_OFN753_u_iccm_rdata2_19),
-	.B1(n_1276),
-	.B2(u_iccm_rdata4[19]),
-	.C1(FE_PDN3673_n_2100),
-	.Y(n_3374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g397764 (
-	.A1(n_1269),
-	.A2(FE_OFN738_u_iccm_rdata1_2),
-	.B1(n_1273),
-	.B2(u_iccm_rdata4[2]),
-	.C1(n_2116),
-	.Y(n_3373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397765 (
-	.A(n_1102),
-	.B(n_2137),
-	.Y(n_3372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397767 (
-	.A(n_1280),
-	.B(n_2137),
-	.Y(n_3371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397768 (
-	.A(n_1283),
-	.B(n_2137),
-	.Y(n_3370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397769 (
-	.A(n_1101),
-	.B(n_2137),
-	.Y(n_3369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397770 (
-	.A(n_15743),
-	.B(n_2136),
-	.Y(n_3366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397771 (
-	.A(n_1099),
-	.B(n_2137),
-	.Y(n_3365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397772 (
-	.A(n_2138),
-	.B(n_1282),
-	.Y(n_3363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397773 (
-	.A(n_1103),
-	.B(n_2137),
-	.Y(n_3362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g397774 (
-	.A(n_1306),
-	.B_N(n_2180),
-	.Y(n_3359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397775 (
-	.A(n_1678),
-	.B(n_2201),
-	.Y(n_3358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397776 (
-	.A(n_1109),
-	.B(u_uart_u_uart_core_rx_buffer_size[1]),
-	.Y(n_3357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397777 (
-	.A(FE_OFN977_n_2275),
-	.B(FE_OFN954_n_1699),
-	.Y(n_3356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397778 (
-	.A(FE_OFN977_n_2275),
-	.B(FE_OFN952_n_1695),
-	.Y(n_3355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g397779 (
-	.A_N(n_2303),
-	.B(n_1268),
-	.Y(n_3353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397780 (
-	.A(n_1984),
-	.B(n_2278),
-	.Y(n_3352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397781 (
-	.A(n_1984),
-	.B(n_2276),
-	.Y(n_3351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397782 (
-	.A(FE_OFN979_n_2279),
-	.B(FE_OFN952_n_1695),
-	.Y(n_3350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397783 (
-	.A(n_2275),
-	.B(FE_OFN951_n_1687),
-	.Y(n_3349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397784 (
-	.A(FE_OFN979_n_2279),
-	.B(FE_OFN951_n_1687),
-	.Y(n_3348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397785 (
-	.A(n_1984),
-	.B(n_2274),
-	.Y(n_3347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397786 (
-	.A(FE_OFN979_n_2279),
-	.B(n_1693),
-	.Y(n_3346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g397787 (
-	.A(n_1263),
-	.B(n_2303),
-	.Y(n_3345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397788 (
-	.A(n_1263),
-	.B(n_2299),
-	.Y(n_3344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397789 (
-	.A(FE_OFN978_n_2277),
-	.B(FE_OFN952_n_1695),
-	.Y(n_3343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g397790 (
-	.A(n_1077),
-	.B(n_2303),
-	.Y(n_3342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397791 (
-	.A(FE_OFN977_n_2275),
-	.B(n_1691),
-	.Y(n_3341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397792 (
-	.A(FE_OFN979_n_2279),
-	.B(n_1691),
-	.Y(n_3340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397793 (
-	.A(FE_OFN978_n_2277),
-	.B(FE_OFN954_n_1699),
-	.Y(n_3339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397794 (
-	.A(n_2275),
-	.B(n_1693),
-	.Y(n_3338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g397795 (
-	.A(n_1077),
-	.B(n_2299),
-	.Y(n_3337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397797 (
-	.A(FE_OFN979_n_2279),
-	.B(n_1702),
-	.Y(n_3333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397798 (
-	.A(FE_OFN977_n_2275),
-	.B(n_1702),
-	.Y(n_3332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397799 (
-	.A(FE_OFN978_n_2277),
-	.B(n_1691),
-	.Y(n_3331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g397800 (
-	.A(n_1078),
-	.B(n_2299),
-	.Y(n_3330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397801 (
-	.A(FE_OFN978_n_2277),
-	.B(n_1693),
-	.Y(n_3328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397802 (
-	.A(FE_OFN977_n_2275),
-	.B(n_1706),
-	.Y(n_3327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397803 (
-	.A(FE_OFN978_n_2277),
-	.B(FE_OFN973_n_1983),
-	.Y(n_3326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397804 (
-	.A(n_2275),
-	.B(n_1683),
-	.Y(n_3325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397805 (
-	.A(FE_OFN979_n_2279),
-	.B(FE_OFN953_n_1697),
-	.Y(n_3324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397806 (
-	.A(FE_OFN977_n_2275),
-	.B(n_1709),
-	.Y(n_3323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397807 (
-	.A(FE_OFN977_n_2275),
-	.B(FE_OFN953_n_1697),
-	.Y(n_3322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397808 (
-	.A(FE_OFN979_n_2279),
-	.B(n_1711),
-	.Y(n_3321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397809 (
-	.A(FE_OFN979_n_2279),
-	.B(n_1709),
-	.Y(n_3320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397810 (
-	.A(FE_OFN977_n_2275),
-	.B(n_1688),
-	.Y(n_3319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397811 (
-	.A(FE_OFN977_n_2275),
-	.B(n_1711),
-	.Y(n_3318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397812 (
-	.A(FE_OFN979_n_2279),
-	.B(n_1683),
-	.Y(n_3317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397813 (
-	.A(FE_OFN977_n_2275),
-	.B(n_1701),
-	.Y(n_3316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397814 (
-	.A(FE_OFN979_n_2279),
-	.B(n_1706),
-	.Y(n_3315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397815 (
-	.A(FE_OFN979_n_2279),
-	.B(n_1701),
-	.Y(n_3314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397816 (
-	.A(FE_OFN979_n_2279),
-	.B(n_1688),
-	.Y(n_3313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397817 (
-	.A(FE_OFN978_n_2277),
-	.B(n_1702),
-	.Y(n_3312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397818 (
-	.A(FE_OFN978_n_2277),
-	.B(n_1701),
-	.Y(n_3311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397819 (
-	.A(FE_OFN978_n_2277),
-	.B(n_1688),
-	.Y(n_3310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397820 (
-	.A(FE_OFN979_n_2279),
-	.B(FE_OFN973_n_1983),
-	.Y(n_3309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397821 (
-	.A(n_1078),
-	.B(n_2303),
-	.Y(n_3308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397822 (
-	.A(FE_OFN977_n_2275),
-	.B(FE_OFN973_n_1983),
-	.Y(n_3307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397823 (
-	.A(FE_OFN978_n_2277),
-	.B(FE_OFN951_n_1687),
-	.Y(n_3306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397824 (
-	.A(FE_OFN979_n_2279),
-	.B(FE_OFN954_n_1699),
-	.Y(n_3305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397825 (
-	.A(FE_OFN978_n_2277),
-	.B(n_1709),
-	.Y(n_3304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397826 (
-	.A(FE_OFN978_n_2277),
-	.B(FE_OFN953_n_1697),
-	.Y(n_3303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397827 (
-	.A(FE_OFN978_n_2277),
-	.B(n_1711),
-	.Y(n_3302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397828 (
-	.A(FE_OFN978_n_2277),
-	.B(n_1683),
-	.Y(n_3301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397829 (
-	.A(FE_OFN978_n_2277),
-	.B(n_1706),
-	.Y(n_3300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397830 (
-	.A(FE_OFN977_n_2275),
-	.B(FE_OFN955_n_1705),
-	.Y(n_3299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397831 (
-	.A(FE_OFN979_n_2279),
-	.B(FE_OFN955_n_1705),
-	.Y(n_3298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397832 (
-	.A(FE_OFN978_n_2277),
-	.B(FE_OFN955_n_1705),
-	.Y(n_3297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397833 (
-	.A(n_2321),
-	.B(n_2272),
-	.Y(n_3296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g397834 (
-	.A1(n_1290),
-	.A2(n_1097),
-	.B1(n_2149),
-	.Y(n_3295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397835 (
-	.A(n_1791),
-	.B(n_2152),
-	.Y(n_3293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g397836 (
-	.A(u_uart_u_uart_core_n_69),
-	.B(n_2190),
-	.Y(n_3292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397837 (
-	.A(FE_OFN5762_iccm_adapter_inst_mem_wmask_int_0__30),
-	.B(FE_OFN975_n_2185),
-	.Y(n_3291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g397838 (
-	.A1(n_1256),
-	.A2(n_1990),
-	.B1(n_1668),
-	.Y(n_3289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397839 (
-	.A(n_2301),
-	.B(n_1308),
-	.Y(n_3288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g397840 (
-	.A(n_1094),
-	.B(n_2302),
-	.Y(n_3286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397841 (
-	.A(n_2304),
-	.B(n_1308),
-	.Y(n_3284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397842 (
-	.A(n_2301),
-	.B(n_1093),
-	.Y(n_3283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397843 (
-	.A(n_2304),
-	.B(n_1093),
-	.Y(n_3281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g397844 (
-	.A(n_1104),
-	.B(n_2302),
-	.Y(n_3279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397845 (
-	.A(n_1297),
-	.B(n_2307),
-	.Y(n_3277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g397846 (
-	.A_N(n_1104),
-	.B(n_2304),
-	.Y(n_3275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g397847 (
-	.A(n_1094),
-	.B_N(n_2304),
-	.Y(n_3273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g397848 (
-	.A(n_2271),
-	.B(n_2319),
-	.X(n_3271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g397849 (
-	.A(n_1288),
-	.B(n_2184),
-	.Y(n_3270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397850 (
-	.A(dccm_adapter_data_mem_u_rspfifo_n_638),
-	.B(n_2187),
-	.Y(n_3269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g397851 (
-	.A(n_2196),
-	.B(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_3268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g397852 (
-	.A(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(n_2195),
-	.Y(n_3265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g397855 (
-	.A1(n_1978),
-	.A2(n_1255),
-	.B1(n_1667),
-	.X(n_3262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g397856 (
-	.A1(n_1974),
-	.A2(n_1255),
-	.B1(n_1241),
-	.X(n_3261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_4 g397857 (
-	.A_N(n_1292),
-	.B(n_1343),
-	.C(n_1329),
-	.X(n_3260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g397858 (
-	.A(n_1998),
-	.B(n_276),
-	.C(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [0]),
-	.Y(n_3259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397859 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [6]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [6]),
-	.Y(n_2898), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397860 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [2]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [2]),
-	.Y(n_2897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397861 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [2]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [2]),
-	.Y(n_2896), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397862 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [2]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [2]),
-	.Y(n_2895), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397863 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [2]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [2]),
-	.Y(n_2894), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397864 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [7]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [7]),
-	.Y(n_2893), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397865 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [4]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [4]),
-	.Y(n_2892), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397866 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [2]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [2]),
-	.Y(n_2891), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397867 (
-	.A1(FE_OFN1621_n_1979),
-	.A2(u_top_u_core_csr_mepc[11]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[11]),
-	.Y(n_2890), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397868 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [2]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [2]),
-	.Y(n_2889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397869 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [4]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [4]),
-	.Y(n_2888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397870 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [2]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [2]),
-	.Y(n_2887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397871 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [2]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [2]),
-	.Y(n_2886), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397872 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [2]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [2]),
-	.Y(n_2885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397873 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [2]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [2]),
-	.Y(n_2884), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397874 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [2]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [2]),
-	.Y(n_2883), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397875 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [2]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [2]),
-	.Y(n_2882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397876 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [2]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [2]),
-	.Y(n_2881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397877 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [2]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [2]),
-	.Y(n_2880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397878 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [2]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [2]),
-	.Y(n_2879), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397879 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [2]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [2]),
-	.Y(n_2878), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397880 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [2]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [2]),
-	.Y(n_2877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397881 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [2]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [2]),
-	.Y(n_2876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397882 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [2]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [2]),
-	.Y(n_2875), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397883 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[12]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[12]),
-	.Y(n_2874), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397884 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [2]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [2]),
-	.Y(n_2873), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397885 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [2]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [2]),
-	.Y(n_2872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397886 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [2]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [2]),
-	.Y(n_2871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397887 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [2]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [2]),
-	.Y(n_2870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397888 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [2]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [2]),
-	.Y(n_2869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397889 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [2]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [2]),
-	.Y(n_2868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397890 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [2]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [2]),
-	.Y(n_2867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397891 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [5]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [5]),
-	.Y(n_2866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397892 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [2]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [2]),
-	.Y(n_2865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397893 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [2]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [2]),
-	.Y(n_2864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397894 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [2]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [2]),
-	.Y(n_2863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397895 (
-	.A1(FE_OFN1621_n_1979),
-	.A2(u_top_u_core_csr_mepc[12]),
-	.B1(FE_OFN1618_n_1243),
-	.B2(u_top_u_core_cs_registers_i_mscratch_q[12]),
-	.Y(n_2862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397896 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [2]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [2]),
-	.Y(n_2861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397897 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [2]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [2]),
-	.Y(n_2860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397898 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [2]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [2]),
-	.Y(n_2859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397899 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [2]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [2]),
-	.Y(n_2858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397900 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [2]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [2]),
-	.Y(n_2857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397901 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [2]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [2]),
-	.Y(n_2856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397902 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [2]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [2]),
-	.Y(n_2855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397903 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [2]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [2]),
-	.Y(n_2854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397904 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [2]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [2]),
-	.Y(n_2853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397905 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [2]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [2]),
-	.Y(n_2852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397906 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [2]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [2]),
-	.Y(n_2851), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397907 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [2]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [2]),
-	.Y(n_2850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397908 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [2]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [2]),
-	.Y(n_2849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397909 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [2]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [2]),
-	.Y(n_2848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397910 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [2]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [2]),
-	.Y(n_2847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397911 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[13]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[13]),
-	.Y(n_2846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397912 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [3]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [3]),
-	.Y(n_2845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397913 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [3]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [3]),
-	.Y(n_2844), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397914 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [3]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [3]),
-	.Y(n_2843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397915 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [4]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [4]),
-	.Y(n_2842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397916 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [3]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [3]),
-	.Y(n_2841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397917 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [3]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [3]),
-	.Y(n_2840), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397918 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [3]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [3]),
-	.Y(n_2839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397919 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [3]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [3]),
-	.Y(n_2838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397920 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [3]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [3]),
-	.Y(n_2837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397921 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [3]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [3]),
-	.Y(n_2836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397922 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [3]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [3]),
-	.Y(n_2835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397923 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [3]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [3]),
-	.Y(n_2834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397924 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [3]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [3]),
-	.Y(n_2833), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397925 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [3]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [3]),
-	.Y(n_2832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397926 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [3]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [3]),
-	.Y(n_2831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397927 (
-	.A1(FE_OFN1621_n_1979),
-	.A2(u_top_u_core_csr_mepc[14]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[14]),
-	.Y(n_2830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397928 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [3]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [3]),
-	.Y(n_2829), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397929 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [4]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [4]),
-	.Y(n_2828), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397930 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [3]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [3]),
-	.Y(n_2827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397931 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [3]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [3]),
-	.Y(n_2826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397932 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [3]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [3]),
-	.Y(n_2825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397933 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [3]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [3]),
-	.Y(n_2824), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397934 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [3]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [3]),
-	.Y(n_2823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397935 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(FE_OFN1835_u_top_u_core_pc_id_8),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[8]),
-	.Y(n_2822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397936 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [3]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [3]),
-	.Y(n_2821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397937 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [5]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [5]),
-	.Y(n_2820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397938 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [3]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [3]),
-	.Y(n_2819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397939 (
-	.A1(FE_OFN1620_n_1975),
-	.A2(u_top_u_core_csr_depc[23]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[23]),
-	.Y(n_2818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397940 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [3]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [3]),
-	.Y(n_2817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397941 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [3]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [3]),
-	.Y(n_2816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397942 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [3]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [3]),
-	.Y(n_2815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397943 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [4]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [4]),
-	.Y(n_2814), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397944 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [3]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [3]),
-	.Y(n_2813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397945 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [3]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [3]),
-	.Y(n_2812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397946 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [3]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [3]),
-	.Y(n_2811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397947 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [3]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [3]),
-	.Y(n_2810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397948 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [4]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [4]),
-	.Y(n_2809), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397949 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [3]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [3]),
-	.Y(n_2808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397950 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [3]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [3]),
-	.Y(n_2807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397951 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [3]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [3]),
-	.Y(n_2806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397952 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [3]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [3]),
-	.Y(n_2805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397953 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[15]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[15]),
-	.Y(n_2804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397954 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [3]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [3]),
-	.Y(n_2803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397955 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [3]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [3]),
-	.Y(n_2802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397956 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [3]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [3]),
-	.Y(n_2801), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397957 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [3]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [3]),
-	.Y(n_2800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397958 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [3]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [3]),
-	.Y(n_2799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397959 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [4]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [4]),
-	.Y(n_2798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397960 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [2]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [2]),
-	.Y(n_2797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397961 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [3]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [3]),
-	.Y(n_2796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397962 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [5]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [5]),
-	.Y(n_2795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397963 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [3]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [3]),
-	.Y(n_2794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397964 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [4]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [4]),
-	.Y(n_2793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397965 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [3]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [3]),
-	.Y(n_2792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397966 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [3]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [3]),
-	.Y(n_2791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397967 (
-	.A1(FE_OFN1620_n_1975),
-	.A2(u_top_u_core_csr_depc[16]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[16]),
-	.Y(n_2790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397968 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [3]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [3]),
-	.Y(n_2789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397969 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [4]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [4]),
-	.Y(n_2788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397970 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [3]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [3]),
-	.Y(n_2787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397971 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [3]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [3]),
-	.Y(n_2786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397972 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [4]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [4]),
-	.Y(n_2785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397973 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [3]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [3]),
-	.Y(n_2784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397974 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [3]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [3]),
-	.Y(n_2783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397975 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [4]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [4]),
-	.Y(n_2782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397976 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [3]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [3]),
-	.Y(n_2781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397977 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [3]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [3]),
-	.Y(n_2780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397978 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [3]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [3]),
-	.Y(n_2779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397979 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [3]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [3]),
-	.Y(n_2778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397980 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [4]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [4]),
-	.Y(n_2777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397981 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [3]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [3]),
-	.Y(n_2776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397982 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [3]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [3]),
-	.Y(n_2775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397983 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [3]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [3]),
-	.Y(n_2774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397984 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [3]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [3]),
-	.Y(n_2773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397985 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [3]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [3]),
-	.Y(n_2772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397986 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[17]),
-	.B1(FE_OFN1620_n_1975),
-	.B2(u_top_u_core_csr_depc[17]),
-	.Y(n_2771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397987 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [3]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [3]),
-	.Y(n_2770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397988 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [3]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [3]),
-	.Y(n_2769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397989 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [3]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [3]),
-	.Y(n_2768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397990 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [3]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [3]),
-	.Y(n_2767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397991 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [3]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [3]),
-	.Y(n_2766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397992 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [3]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [3]),
-	.Y(n_2765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397993 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [3]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [3]),
-	.Y(n_2764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397994 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [3]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [3]),
-	.Y(n_2763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397995 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [3]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [3]),
-	.Y(n_2762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397996 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [3]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [3]),
-	.Y(n_2761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397997 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [3]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [3]),
-	.Y(n_2760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397998 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [3]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [3]),
-	.Y(n_2759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g397999 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [4]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [4]),
-	.Y(n_2758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398000 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [4]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [4]),
-	.Y(n_2757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398001 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [4]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [4]),
-	.Y(n_2756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398002 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[18]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[18]),
-	.Y(n_2755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398003 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [4]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [4]),
-	.Y(n_2754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398004 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [4]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [4]),
-	.Y(n_2753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398005 (
-	.A1(FE_OFN1620_n_1975),
-	.A2(u_top_u_core_csr_depc[18]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[18]),
-	.Y(n_2752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398006 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [4]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [4]),
-	.Y(n_2751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398007 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [4]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [4]),
-	.Y(n_2750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398008 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [4]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [4]),
-	.Y(n_2749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398009 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [4]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [4]),
-	.Y(n_2748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398010 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [4]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [4]),
-	.Y(n_2747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398011 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [4]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [4]),
-	.Y(n_2746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398012 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [4]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [4]),
-	.Y(n_2745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398013 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [4]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [4]),
-	.Y(n_2744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398014 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [4]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [4]),
-	.Y(n_2743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398015 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[161] [1]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[166] [1]),
-	.Y(n_2742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398016 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [4]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [4]),
-	.Y(n_2741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398017 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [4]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [4]),
-	.Y(n_2740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398018 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [4]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [4]),
-	.Y(n_2739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398019 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [4]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [4]),
-	.Y(n_2738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398020 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[19]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[19]),
-	.Y(n_2737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398021 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [4]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [4]),
-	.Y(n_2736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398022 (
-	.A1(FE_OFN1620_n_1975),
-	.A2(u_top_u_core_csr_depc[19]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[19]),
-	.Y(n_2735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398023 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [4]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [4]),
-	.Y(n_2734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398024 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [4]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [4]),
-	.Y(n_2733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398025 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [4]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [4]),
-	.Y(n_2732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398026 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [4]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [4]),
-	.Y(n_2731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398027 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [4]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [4]),
-	.Y(n_2730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398028 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [2]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [2]),
-	.Y(n_2729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398029 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [4]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [4]),
-	.Y(n_2728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398030 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [4]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [4]),
-	.Y(n_2727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398031 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [4]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [4]),
-	.Y(n_2726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398032 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[20]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[20]),
-	.Y(n_2725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398033 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [4]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [4]),
-	.Y(n_2724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398034 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [4]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [4]),
-	.Y(n_2723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398035 (
-	.A1(FE_OFN1620_n_1975),
-	.A2(u_top_u_core_csr_depc[20]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[20]),
-	.Y(n_2722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398036 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [4]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [4]),
-	.Y(n_2721), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398037 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [4]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [4]),
-	.Y(n_2720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398038 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [4]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [4]),
-	.Y(n_2719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398039 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [4]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [4]),
-	.Y(n_2718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398040 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [4]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [4]),
-	.Y(n_2717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398041 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [4]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [4]),
-	.Y(n_2716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398042 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [4]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [4]),
-	.Y(n_2715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398043 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [4]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [4]),
-	.Y(n_2714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398044 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [4]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [4]),
-	.Y(n_2713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398045 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [5]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [5]),
-	.Y(n_2712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398046 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [4]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [4]),
-	.Y(n_2711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398047 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [4]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [4]),
-	.Y(n_2710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398048 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[22]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[22]),
-	.Y(n_2709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398049 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [4]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [4]),
-	.Y(n_2708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398050 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [4]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [4]),
-	.Y(n_2707), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398051 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [4]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [4]),
-	.Y(n_2706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398052 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [4]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [4]),
-	.Y(n_2705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398053 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [4]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [4]),
-	.Y(n_2704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398054 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [4]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [4]),
-	.Y(n_2703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398055 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[16]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[16]),
-	.Y(n_2702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398056 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [4]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [4]),
-	.Y(n_2701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398057 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [7]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [7]),
-	.Y(n_2700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398058 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [3]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [3]),
-	.Y(n_2699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398059 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [4]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [4]),
-	.Y(n_2698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398060 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [4]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [4]),
-	.Y(n_2697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398061 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [4]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [4]),
-	.Y(n_2696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398062 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [4]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [4]),
-	.Y(n_2695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398063 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [5]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [5]),
-	.Y(n_2694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398064 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [4]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [4]),
-	.Y(n_2693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398065 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [4]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [4]),
-	.Y(n_2692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398066 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [4]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [4]),
-	.Y(n_2691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398067 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [4]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [4]),
-	.Y(n_2690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398068 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [4]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [4]),
-	.Y(n_2689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398069 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[31]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[31]),
-	.Y(n_2688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398070 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [4]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [4]),
-	.Y(n_2687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398071 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[22]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[22]),
-	.Y(n_2686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398072 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [4]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [4]),
-	.Y(n_2685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398073 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [4]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [4]),
-	.Y(n_2684), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398074 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [4]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [4]),
-	.Y(n_2683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398075 (
-	.A1(FE_OFN1620_n_1975),
-	.A2(u_top_u_core_csr_depc[22]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[22]),
-	.Y(n_2682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398076 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [4]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [4]),
-	.Y(n_2681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398077 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [4]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [4]),
-	.Y(n_2680), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398078 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [4]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [4]),
-	.Y(n_2679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398079 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [4]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [4]),
-	.Y(n_2678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398080 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [4]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [4]),
-	.Y(n_2677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398081 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [5]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [5]),
-	.Y(n_2676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398082 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [5]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [5]),
-	.Y(n_2675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398083 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[23]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[23]),
-	.Y(n_2674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398084 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [5]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [5]),
-	.Y(n_2673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398085 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [5]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [5]),
-	.Y(n_2672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398086 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [5]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [5]),
-	.Y(n_2671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398087 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [5]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [5]),
-	.Y(n_2670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398088 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [5]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [5]),
-	.Y(n_2669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398089 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [5]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [5]),
-	.Y(n_2668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398090 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [5]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [5]),
-	.Y(n_2667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398091 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [5]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [5]),
-	.Y(n_2666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398092 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [5]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [5]),
-	.Y(n_2665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398093 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [5]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [5]),
-	.Y(n_2664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398094 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [5]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [5]),
-	.Y(n_2663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398095 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [5]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [5]),
-	.Y(n_2662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398096 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[123] [5]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[127] [5]),
-	.Y(n_2661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398097 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [5]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [5]),
-	.Y(n_2660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398098 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [3]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [3]),
-	.Y(n_2659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398099 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [5]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [5]),
-	.Y(n_2658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398100 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [5]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [5]),
-	.Y(n_2657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398101 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [5]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [5]),
-	.Y(n_2656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398102 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[104] [5]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[106] [5]),
-	.Y(n_2655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398103 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [5]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [5]),
-	.Y(n_2654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398104 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [5]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [5]),
-	.Y(n_2653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398105 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [5]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [5]),
-	.Y(n_2652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398106 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [3]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [3]),
-	.Y(n_2651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398107 (
-	.A1(n_1987),
-	.A2(\u_top_u_core_imd_val_q_ex[1] [25]),
-	.B1(n_1986),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [26]),
-	.X(n_2650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398108 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [5]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [5]),
-	.Y(n_2649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398109 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [3]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [3]),
-	.Y(n_2648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398110 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[25]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[25]),
-	.Y(n_2647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398111 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [5]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [5]),
-	.Y(n_2646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398112 (
-	.A1(FE_OFN1620_n_1975),
-	.A2(u_top_u_core_csr_depc[25]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[25]),
-	.Y(n_2645), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398113 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [5]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [5]),
-	.Y(n_2644), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398114 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [5]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [5]),
-	.Y(n_2643), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398115 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [5]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [5]),
-	.Y(n_2642), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398116 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [5]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [5]),
-	.Y(n_2641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398117 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [5]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [5]),
-	.Y(n_2640), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398118 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [5]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [5]),
-	.Y(n_2639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398119 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [5]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [5]),
-	.Y(n_2638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398120 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [3]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [3]),
-	.Y(n_2637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398121 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [5]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [5]),
-	.Y(n_2636), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398122 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [5]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [5]),
-	.Y(n_2635), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398123 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[217] [5]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[222] [5]),
-	.Y(n_2634), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398124 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [5]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [5]),
-	.Y(n_2633), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398125 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[193] [5]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[198] [5]),
-	.Y(n_2632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398126 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [5]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [5]),
-	.Y(n_2631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398127 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[26]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[26]),
-	.Y(n_2630), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398128 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [5]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [5]),
-	.Y(n_2629), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398129 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [5]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [5]),
-	.Y(n_2628), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398130 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [5]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [5]),
-	.Y(n_2627), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398131 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [3]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [3]),
-	.Y(n_2626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398132 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [5]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [5]),
-	.Y(n_2625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398133 (
-	.A1(FE_OFN1620_n_1975),
-	.A2(u_top_u_core_csr_depc[27]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[27]),
-	.Y(n_2624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398134 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [5]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [5]),
-	.Y(n_2623), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398135 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [5]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [5]),
-	.Y(n_2622), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398136 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[27]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[27]),
-	.Y(n_2621), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398137 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [5]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [5]),
-	.Y(n_2620), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398138 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[33] [5]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[38] [5]),
-	.Y(n_2619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398139 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [6]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [6]),
-	.Y(n_2618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398140 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [6]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [6]),
-	.Y(n_2617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398141 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [6]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [6]),
-	.Y(n_2616), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398142 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [4]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [4]),
-	.Y(n_2615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398143 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[75] [6]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[79] [6]),
-	.Y(n_2614), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398144 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [6]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [6]),
-	.Y(n_2613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398145 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [3]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [3]),
-	.Y(n_2612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398146 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[65] [2]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[70] [2]),
-	.Y(n_2611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398147 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [6]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [6]),
-	.Y(n_2610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398148 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [6]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [6]),
-	.Y(n_2609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398149 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [6]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [6]),
-	.Y(n_2608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398150 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [6]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [6]),
-	.Y(n_2607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398151 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [6]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [6]),
-	.Y(n_2606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398152 (
-	.A1(FE_OFN1620_n_1975),
-	.A2(u_top_u_core_csr_depc[28]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[28]),
-	.Y(n_2605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398153 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [6]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [6]),
-	.Y(n_2604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398154 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [6]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [6]),
-	.Y(n_2603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398155 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [3]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [3]),
-	.Y(n_2602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398156 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [6]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [6]),
-	.Y(n_2601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398157 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [6]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [6]),
-	.Y(n_2600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398158 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [6]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [6]),
-	.Y(n_2599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398159 (
-	.A1(FE_OFN1620_n_1975),
-	.A2(u_top_u_core_csr_depc[29]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[29]),
-	.Y(n_2598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398160 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[172] [6]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[173] [6]),
-	.Y(n_2597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398161 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [6]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [6]),
-	.Y(n_2596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398162 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[29]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[29]),
-	.Y(n_2595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398163 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[184] [6]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[186] [6]),
-	.Y(n_2594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398164 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [6]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [6]),
-	.Y(n_2593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398165 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [6]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [6]),
-	.Y(n_2592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398166 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[153] [6]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[158] [6]),
-	.Y(n_2591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398167 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [6]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [6]),
-	.Y(n_2590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398168 (
-	.A1(FE_OFN1620_n_1975),
-	.A2(u_top_u_core_csr_depc[26]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[26]),
-	.Y(n_2589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398169 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [6]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [6]),
-	.Y(n_2588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398170 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [6]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [6]),
-	.Y(n_2587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398171 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [6]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [6]),
-	.Y(n_2586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398172 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[192] [6]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[194] [6]),
-	.Y(n_2585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398173 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [6]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [6]),
-	.Y(n_2584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398174 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [6]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [6]),
-	.Y(n_2583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398175 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [1]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [1]),
-	.Y(n_2582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398176 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [6]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [6]),
-	.Y(n_2581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398177 (
-	.A1(FE_OFN1620_n_1975),
-	.A2(u_top_u_core_csr_depc[30]),
-	.B1(FE_OFN1616_n_1060),
-	.B2(u_top_u_core_cs_registers_i_mtval_q[30]),
-	.Y(n_2580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398178 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [6]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [6]),
-	.Y(n_2579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398179 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[204] [6]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[205] [6]),
-	.Y(n_2578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398180 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [6]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [6]),
-	.Y(n_2577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398181 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [6]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [6]),
-	.Y(n_2576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398182 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [3]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [3]),
-	.Y(n_2575), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398183 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[240] [6]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[242] [6]),
-	.Y(n_2574), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398184 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [6]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [6]),
-	.Y(n_2573), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398185 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [6]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [6]),
-	.Y(n_2572), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398186 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[16]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[16]),
-	.Y(n_2571), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398187 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [6]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [6]),
-	.Y(n_2570), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398188 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [6]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [6]),
-	.Y(n_2569), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398189 (
-	.A1(n_1990),
-	.A2(u_top_u_core_cs_registers_i_mcause_q[5]),
-	.B1(FE_OFN1621_n_1979),
-	.B2(u_top_u_core_csr_mepc[31]),
-	.Y(n_2568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398190 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [6]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [6]),
-	.Y(n_2567), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398191 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [3]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [3]),
-	.Y(n_2566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398192 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [6]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [6]),
-	.Y(n_2565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398193 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [6]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [6]),
-	.Y(n_2564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398194 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [6]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [6]),
-	.Y(n_2563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398195 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [3]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [3]),
-	.Y(n_2562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398196 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [6]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [6]),
-	.Y(n_2561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398197 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [6]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [6]),
-	.Y(n_2560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398198 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[27]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[27]),
-	.Y(n_2559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398199 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [6]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [6]),
-	.Y(n_2558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398200 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[9] [6]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[14] [6]),
-	.Y(n_2557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398201 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [6]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [6]),
-	.Y(n_2556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398202 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [6]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [6]),
-	.Y(n_2555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398203 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [6]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [6]),
-	.Y(n_2554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398204 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[48] [6]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[50] [6]),
-	.Y(n_2553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398205 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [5]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [5]),
-	.Y(n_2552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398206 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [5]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [5]),
-	.Y(n_2551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398207 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [3]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [3]),
-	.Y(n_2550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398208 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [6]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [6]),
-	.Y(n_2549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398209 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(u_top_u_core_pc_id[5]),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[5]),
-	.Y(n_2548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398210 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[179] [1]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[183] [1]),
-	.Y(n_2547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398211 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [6]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [6]),
-	.Y(n_2546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398212 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [5]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [5]),
-	.Y(n_2545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398213 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [7]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [7]),
-	.Y(n_2544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398214 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[28] [7]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[29] [7]),
-	.Y(n_2543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398215 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [7]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [7]),
-	.Y(n_2542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398216 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [5]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [5]),
-	.Y(n_2541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398217 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [7]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [7]),
-	.Y(n_2540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398218 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[4] [7]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[5] [7]),
-	.Y(n_2539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398219 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [7]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [7]),
-	.Y(n_2538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398220 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [7]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [7]),
-	.Y(n_2537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398221 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [7]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [7]),
-	.Y(n_2536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398222 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[49] [7]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[54] [7]),
-	.Y(n_2535), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398223 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [7]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [7]),
-	.Y(n_2534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398224 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[59] [3]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[63] [3]),
-	.Y(n_2533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398225 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [7]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [7]),
-	.Y(n_2532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398226 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[44] [7]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[45] [7]),
-	.Y(n_2531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398227 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [3]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [3]),
-	.Y(n_2530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398228 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [7]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [7]),
-	.Y(n_2529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398229 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [7]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [7]),
-	.Y(n_2528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398230 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[20] [7]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[21] [7]),
-	.Y(n_2527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398231 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [7]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [7]),
-	.Y(n_2526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398232 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [7]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [7]),
-	.Y(n_2525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398233 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[243] [7]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[247] [7]),
-	.Y(n_2524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398234 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [7]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [7]),
-	.Y(n_2523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398235 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [3]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [3]),
-	.Y(n_2522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398236 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[41] [5]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[46] [5]),
-	.Y(n_2521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398237 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[196] [7]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[197] [7]),
-	.Y(n_2520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398238 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[200] [7]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[202] [7]),
-	.Y(n_2519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398239 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [7]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [7]),
-	.Y(n_2518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398240 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [7]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [7]),
-	.Y(n_2517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398241 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [7]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [7]),
-	.Y(n_2516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398242 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [7]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [7]),
-	.Y(n_2515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398243 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [2]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [2]),
-	.Y(n_2514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398244 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[168] [7]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[170] [7]),
-	.Y(n_2513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398245 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [7]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [7]),
-	.Y(n_2512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398246 (
-	.A1(FE_OFN941_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[169] [7]),
-	.B1(FE_OFN936_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[174] [7]),
-	.Y(n_2511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398247 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[140] [7]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[141] [7]),
-	.Y(n_2510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398248 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [7]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [7]),
-	.Y(n_2509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398249 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [7]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [7]),
-	.Y(n_2508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398250 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [7]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [7]),
-	.Y(n_2507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398251 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [3]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [3]),
-	.Y(n_2506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398252 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [7]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [7]),
-	.Y(n_2505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398253 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [7]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [7]),
-	.Y(n_2504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398254 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[145] [7]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[150] [7]),
-	.Y(n_2503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398255 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [7]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [7]),
-	.Y(n_2502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398256 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [7]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [7]),
-	.Y(n_2501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398257 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [7]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [7]),
-	.Y(n_2500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398258 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[88] [7]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[90] [7]),
-	.Y(n_2499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398259 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[92] [7]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[93] [7]),
-	.Y(n_2498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398260 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [2]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [2]),
-	.Y(n_2497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398261 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[12]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[12]),
-	.Y(n_2496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398262 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[124] [7]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[125] [7]),
-	.Y(n_2495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398263 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [7]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [7]),
-	.Y(n_2494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398264 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [3]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [3]),
-	.Y(n_2493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398265 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [7]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [7]),
-	.Y(n_2492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398266 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[100] [7]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[101] [7]),
-	.Y(n_2491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398267 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [3]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [3]),
-	.Y(n_2490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398268 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [3]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [3]),
-	.Y(n_2489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398269 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [3]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [3]),
-	.Y(n_2488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398270 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [3]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [3]),
-	.Y(n_2487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398271 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [5]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [5]),
-	.Y(n_2486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398272 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[209] [6]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[214] [6]),
-	.Y(n_2485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398273 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [5]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [5]),
-	.Y(n_2484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398274 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[180] [3]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[181] [3]),
-	.Y(n_2483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398275 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [5]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [5]),
-	.Y(n_2482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398276 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [1]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [1]),
-	.Y(n_2481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398277 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[105] [7]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[110] [7]),
-	.Y(n_2480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398278 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [1]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [1]),
-	.Y(n_2479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398279 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[99] [5]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[103] [5]),
-	.Y(n_2478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398280 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[187] [5]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[191] [5]),
-	.Y(n_2477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398281 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [5]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [5]),
-	.Y(n_2476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398282 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[14]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[14]),
-	.Y(n_2475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398283 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[171] [5]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[175] [5]),
-	.Y(n_2474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398284 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [1]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [1]),
-	.Y(n_2473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398285 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [1]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [1]),
-	.Y(n_2472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398286 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[148] [3]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[149] [3]),
-	.Y(n_2471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398287 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [1]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [1]),
-	.Y(n_2470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398288 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[160] [7]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[162] [7]),
-	.Y(n_2469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398289 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[144] [3]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[146] [3]),
-	.Y(n_2468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398290 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [7]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [7]),
-	.Y(n_2467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398291 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [1]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [1]),
-	.Y(n_2466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398292 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [6]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [6]),
-	.Y(n_2465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398293 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [7]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [7]),
-	.Y(n_2464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398294 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [7]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [7]),
-	.Y(n_2463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398295 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [1]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [1]),
-	.Y(n_2462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398296 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [1]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [1]),
-	.Y(n_2461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398297 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[208] [7]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[210] [7]),
-	.Y(n_2460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398298 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [7]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [7]),
-	.Y(n_2459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398299 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [3]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [3]),
-	.Y(n_2458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398300 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [3]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [3]),
-	.Y(n_2457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398301 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[1] [1]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[6] [1]),
-	.Y(n_2456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398302 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[67] [7]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[71] [7]),
-	.Y(n_2455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398303 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [1]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [1]),
-	.Y(n_2454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398304 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [6]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [6]),
-	.Y(n_2453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398305 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [6]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [6]),
-	.Y(n_2452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398306 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [7]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [7]),
-	.Y(n_2451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398307 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[120] [3]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[122] [3]),
-	.Y(n_2450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398308 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[128] [7]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[130] [7]),
-	.Y(n_2449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398309 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[129] [7]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[134] [7]),
-	.Y(n_2448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398310 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[216] [7]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[218] [7]),
-	.Y(n_2447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398311 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [5]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [5]),
-	.Y(n_2446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398312 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [3]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [3]),
-	.Y(n_2445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398313 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [1]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [1]),
-	.Y(n_2444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398314 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[84] [2]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[85] [2]),
-	.Y(n_2443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398315 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[91] [3]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[95] [3]),
-	.Y(n_2442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398316 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [7]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [7]),
-	.Y(n_2441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398317 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [5]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [5]),
-	.Y(n_2440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398318 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [1]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [1]),
-	.Y(n_2439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398319 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [7]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [7]),
-	.Y(n_2438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398320 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[236] [7]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[237] [7]),
-	.Y(n_2437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398321 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[97] [3]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[102] [3]),
-	.Y(n_2436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398322 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [6]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [6]),
-	.Y(n_2435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398323 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[156] [2]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[157] [2]),
-	.Y(n_2434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398324 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[72] [5]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[74] [5]),
-	.Y(n_2433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398325 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[83] [3]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[87] [3]),
-	.Y(n_2432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398326 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [1]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [1]),
-	.Y(n_2431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398327 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[225] [1]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[230] [1]),
-	.Y(n_2430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398328 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [1]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [1]),
-	.Y(n_2429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398329 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[252] [6]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[253] [6]),
-	.Y(n_2428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398330 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[36] [6]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[37] [6]),
-	.Y(n_2427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398331 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [1]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [1]),
-	.Y(n_2426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398332 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [6]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [6]),
-	.Y(n_2425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398333 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [2]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [2]),
-	.Y(n_2424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398334 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[51] [6]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[55] [6]),
-	.Y(n_2423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398335 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [2]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [2]),
-	.Y(n_2422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398336 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[241] [1]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[246] [1]),
-	.Y(n_2421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398337 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [6]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [6]),
-	.Y(n_2420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398338 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[18]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[18]),
-	.Y(n_2419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398339 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [6]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [6]),
-	.Y(n_2418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398340 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[17] [2]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[22] [2]),
-	.Y(n_2417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398341 (
-	.A1(FE_OFN942_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[249] [1]),
-	.B1(FE_OFN937_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[254] [1]),
-	.Y(n_2416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398342 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(u_top_u_core_pc_id[17]),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[17]),
-	.Y(n_2415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398343 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[132] [6]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[133] [6]),
-	.Y(n_2414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398344 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [2]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [2]),
-	.Y(n_2413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398345 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [7]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [7]),
-	.Y(n_2412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398346 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[27] [6]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[31] [6]),
-	.Y(n_2411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398347 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [0]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [0]),
-	.Y(n_2410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398348 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [2]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [2]),
-	.Y(n_2409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398349 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[176] [6]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[178] [6]),
-	.Y(n_2408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398350 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [0]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [0]),
-	.Y(n_2407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398351 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [5]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [5]),
-	.Y(n_2406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398352 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[228] [6]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[229] [6]),
-	.Y(n_2405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398353 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [6]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [6]),
-	.Y(n_2404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398354 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[131] [0]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[135] [0]),
-	.Y(n_2403), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398355 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(u_top_u_core_pc_id[10]),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[10]),
-	.Y(n_2402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398356 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[147] [6]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[151] [6]),
-	.Y(n_2401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398357 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [6]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [6]),
-	.Y(n_2400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398358 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [6]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [6]),
-	.Y(n_2399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398359 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [6]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [6]),
-	.Y(n_2398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398360 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[12] [6]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[13] [6]),
-	.Y(n_2397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398361 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[24] [6]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[26] [6]),
-	.Y(n_2396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398362 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[244] [5]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[245] [5]),
-	.Y(n_2395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398363 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[116] [6]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[117] [6]),
-	.Y(n_2394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398364 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [6]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [6]),
-	.Y(n_2393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398365 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[224] [6]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[226] [6]),
-	.Y(n_2392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398366 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [0]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [0]),
-	.Y(n_2391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398367 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[43] [7]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[47] [7]),
-	.Y(n_2390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398368 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [0]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [0]),
-	.Y(n_2389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398369 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[139] [7]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[143] [7]),
-	.Y(n_2388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398370 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[211] [0]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[215] [0]),
-	.Y(n_2387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398371 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[212] [7]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[213] [7]),
-	.Y(n_2386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398372 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[136] [7]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[138] [7]),
-	.Y(n_2385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398373 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(u_top_u_core_pc_id[3]),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[3]),
-	.Y(n_2384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398374 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[57] [7]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[62] [7]),
-	.Y(n_2383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398375 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [6]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [6]),
-	.Y(n_2382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398376 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [7]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [7]),
-	.Y(n_2381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398377 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[16] [7]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[18] [7]),
-	.Y(n_2380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398378 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[0] [7]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[2] [7]),
-	.Y(n_2379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398379 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[219] [0]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[223] [0]),
-	.Y(n_2378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398380 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[73] [7]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[78] [7]),
-	.Y(n_2377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398381 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[89] [6]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[94] [6]),
-	.Y(n_2376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398382 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[248] [6]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[250] [6]),
-	.Y(n_2375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398383 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[163] [4]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[167] [4]),
-	.Y(n_2374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398384 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[235] [0]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[239] [0]),
-	.Y(n_2373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398385 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[108] [5]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[109] [5]),
-	.Y(n_2372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398386 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [0]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [0]),
-	.Y(n_2371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398387 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [2]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [2]),
-	.Y(n_2370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398388 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [5]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [5]),
-	.Y(n_2369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398389 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[3] [0]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[7] [0]),
-	.Y(n_2368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398390 (
-	.A1(FE_OFN1579_n_1971),
-	.A2(u_top_u_core_cs_registers_i_dscratch0_q[28]),
-	.B1(FE_OFN1617_n_1061),
-	.B2(u_top_u_core_cs_registers_i_dscratch1_q[28]),
-	.Y(n_2367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398391 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[177] [5]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[182] [5]),
-	.Y(n_2366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398392 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[227] [2]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[231] [2]),
-	.Y(n_2365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398393 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[25] [0]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[30] [0]),
-	.Y(n_2364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398394 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[203] [5]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[207] [5]),
-	.Y(n_2363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398395 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [0]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [0]),
-	.Y(n_2362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398396 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[8] [0]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[10] [0]),
-	.Y(n_2361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398397 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [6]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [6]),
-	.Y(n_2360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398398 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[40] [0]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[42] [0]),
-	.Y(n_2359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398399 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[35] [0]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[39] [0]),
-	.Y(n_2358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398400 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[164] [7]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[165] [7]),
-	.Y(n_2357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398401 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[20]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[20]),
-	.Y(n_2356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398402 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[201] [6]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[206] [6]),
-	.Y(n_2355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398403 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[19] [0]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[23] [0]),
-	.Y(n_2354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398404 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[32] [7]),
-	.B1(FE_OFN943_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[34] [7]),
-	.Y(n_2353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398405 (
-	.A1(FE_OFN958_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[155] [7]),
-	.B1(FE_OFN966_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[159] [7]),
-	.Y(n_2352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398406 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(FE_OFN1842_u_top_u_core_pc_id_7),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[7]),
-	.Y(n_2351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398407 (
-	.A1(n_1720),
-	.A2(\u_top_u_core_imd_val_q_ex[1] [16]),
-	.B1(n_1987),
-	.B2(\u_top_u_core_imd_val_q_ex[1] [17]),
-	.Y(n_2350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398408 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[195] [4]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[199] [4]),
-	.Y(n_2349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398409 (
-	.A1(n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[232] [7]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[234] [7]),
-	.Y(n_2348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398410 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[52] [0]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[53] [0]),
-	.Y(n_2347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398411 (
-	.A1(n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[220] [5]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[221] [5]),
-	.Y(n_2346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398412 (
-	.A1(FE_OFN956_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[11] [4]),
-	.B1(FE_OFN964_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[15] [4]),
-	.Y(n_2345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398413 (
-	.A1(FE_OFN969_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[60] [0]),
-	.B1(FE_OFN932_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[61] [0]),
-	.Y(n_2344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398414 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[96] [7]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[98] [7]),
-	.Y(n_2343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398415 (
-	.A1(FE_OFN960_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[56] [0]),
-	.B1(n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[58] [0]),
-	.Y(n_2342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398416 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[107] [6]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[111] [6]),
-	.Y(n_2341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398417 (
-	.A1(FE_OFN1308_n_1676),
-	.A2(u_top_u_core_pc_id[12]),
-	.B1(n_1980),
-	.B2(u_top_u_core_pc_if[12]),
-	.Y(n_2340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398418 (
-	.A1(FE_OFN959_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[251] [7]),
-	.B1(n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[255] [7]),
-	.Y(n_2339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398419 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[81] [7]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[86] [7]),
-	.Y(n_2338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398420 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[64] [0]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[66] [0]),
-	.Y(n_2337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398421 (
-	.A1(FE_OFN961_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[80] [7]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[82] [7]),
-	.Y(n_2336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398422 (
-	.A1(FE_OFN962_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[152] [7]),
-	.B1(FE_OFN945_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[154] [7]),
-	.Y(n_2335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398423 (
-	.A1(FE_OFN968_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[68] [0]),
-	.B1(FE_OFN930_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[69] [0]),
-	.Y(n_2334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398424 (
-	.A1(FE_OFN940_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[185] [7]),
-	.B1(FE_OFN935_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[190] [7]),
-	.Y(n_2333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398425 (
-	.A1(FE_OFN970_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[76] [1]),
-	.B1(FE_OFN933_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[77] [1]),
-	.Y(n_2332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398426 (
-	.A1(FE_OFN938_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[233] [7]),
-	.B1(n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[238] [7]),
-	.Y(n_2331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398427 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [7]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [7]),
-	.Y(n_2330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398428 (
-	.A1(FE_OFN963_n_1797),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[112] [7]),
-	.B1(FE_OFN944_n_1568),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[114] [7]),
-	.Y(n_2329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398429 (
-	.A1(FE_OFN967_n_1949),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[188] [7]),
-	.B1(FE_OFN931_n_1330),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[189] [7]),
-	.Y(n_2328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398430 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[121] [7]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[126] [7]),
-	.Y(n_2327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398431 (
-	.A1(FE_OFN939_n_1459),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[113] [0]),
-	.B1(FE_OFN934_n_1393),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[118] [0]),
-	.Y(n_2326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398432 (
-	.A1(FE_OFN957_n_1765),
-	.A2(\u_uart_u_uart_core_read_fifo_fifo_buffer[115] [0]),
-	.B1(FE_OFN965_n_1876),
-	.B2(\u_uart_u_uart_core_read_fifo_fifo_buffer[119] [0]),
-	.Y(n_2325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398433 (
-	.A1(n_1681),
-	.A2(u_top_u_core_csr_mtvec[25]),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[25]),
-	.Y(n_2324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g398436 (
-	.A(n_2302),
-	.Y(n_2301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398438 (
-	.A(n_2296),
-	.Y(n_2295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398439 (
-	.A(n_2294),
-	.Y(n_2293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398440 (
-	.A(n_2287),
-	.Y(n_2286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398441 (
-	.A(FE_OFN979_n_2279),
-	.Y(n_2278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398442 (
-	.A(FE_OFN978_n_2277),
-	.Y(n_2276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398443 (
-	.A(FE_OFN977_n_2275),
-	.Y(n_2274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398444 (
-	.A(n_2272),
-	.Y(n_2273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g398445 (
-	.A(FE_OFN1312_n_2269),
-	.Y(n_2268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g398446 (
-	.A(FE_OFN15986_u_top_u_core_alu_operand_b_ex_10),
-	.B(n_13309),
-	.COUT(n_2264),
-	.SUM(n_2265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 g398447 (
-	.A(n_13308),
-	.B(u_top_u_core_alu_operand_b_ex[9]),
-	.COUT(n_2262),
-	.SUM(n_2263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398449 (
-	.A(FE_OFN1309_n_1727),
-	.B(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(n_2261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398450 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.B(n_1998),
-	.Y(n_2260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398451 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[20]),
-	.X(n_2259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g398452 (
-	.A_N(u_uart_u_uart_core_rx_timeout[2]),
-	.B(n_1972),
-	.Y(n_2258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398453 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[23]),
-	.X(n_2257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398455 (
-	.A(FE_OFN1619_n_1682),
-	.B(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
-	.Y(n_2256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398456 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[31]),
-	.X(n_2255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398457 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[5]),
-	.X(n_2254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398458 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[9]),
-	.X(n_2253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398459 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[15]),
-	.X(n_2252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g398460 (
-	.A_N(u_uart_u_uart_core_rx_timeout[3]),
-	.B(n_1972),
-	.Y(n_2251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398461 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[11]),
-	.X(n_2250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398462 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[19]),
-	.X(n_2249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398463 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[27]),
-	.X(n_2248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398464 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[18]),
-	.X(n_2247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398465 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[24]),
-	.X(n_2246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398466 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[7]),
-	.X(n_2245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398467 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[28]),
-	.X(n_2244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398468 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[22]),
-	.X(n_2243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398469 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[10]),
-	.X(n_2242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398470 (
-	.A(FE_OFN1619_n_1682),
-	.B(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
-	.Y(n_2241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398471 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[30]),
-	.X(n_2240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398472 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[16]),
-	.X(n_2239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398473 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[26]),
-	.X(n_2238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g398474 (
-	.A_N(n_15796),
-	.B(u_uart_u_uart_core_rx_status),
-	.Y(n_2237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398475 (
-	.A(FE_OFN1620_n_1975),
-	.B(u_top_u_core_csr_depc[1]),
-	.Y(n_2236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398476 (
-	.A(FE_OFN1579_n_1971),
-	.B(u_top_u_core_cs_registers_i_dscratch0_q[3]),
-	.Y(n_2235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398478 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[14]),
-	.X(n_2233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398479 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[17]),
-	.X(n_2232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398480 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[8]),
-	.X(n_2231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398481 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[6]),
-	.X(n_2230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398482 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[4]),
-	.X(n_2229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398483 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[13]),
-	.X(n_2228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398484 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[25]),
-	.X(n_2227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398485 (
-	.A(FE_OFN1619_n_1682),
-	.B(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
-	.Y(n_2226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398486 (
-	.A(FE_OFN1619_n_1682),
-	.B(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
-	.Y(n_2225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398487 (
-	.A(FE_OFN1621_n_1979),
-	.B(u_top_u_core_csr_mepc[17]),
-	.Y(n_2224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398488 (
-	.A(FE_OFN1619_n_1682),
-	.B(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
-	.Y(n_2223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398489 (
-	.A(FE_OFN1621_n_1979),
-	.B(u_top_u_core_csr_mepc[21]),
-	.Y(n_2222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398490 (
-	.A(FE_OFN1619_n_1682),
-	.B(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
-	.Y(n_2221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398491 (
-	.A(FE_OFN1619_n_1682),
-	.B(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
-	.Y(n_2220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398492 (
-	.A(FE_OFN1619_n_1682),
-	.B(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
-	.Y(n_2219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398494 (
-	.A(FE_OFN1619_n_1682),
-	.B(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
-	.Y(n_2218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398495 (
-	.A(FE_OFN1619_n_1682),
-	.B(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
-	.Y(n_2217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398496 (
-	.A(FE_OFN1619_n_1682),
-	.B(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
-	.Y(n_2216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398497 (
-	.A(FE_OFN1619_n_1682),
-	.B(u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
-	.Y(n_2215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398498 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[12]),
-	.X(n_2214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g398499 (
-	.A_N(u_uart_u_uart_core_rx_timeout[1]),
-	.B(n_1972),
-	.Y(n_2213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g398500 (
-	.A_N(u_uart_u_uart_core_rx_timeout[0]),
-	.B(n_1972),
-	.Y(n_2212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398501 (
-	.A(n_1990),
-	.B(u_top_u_core_cs_registers_i_mcause_q[1]),
-	.Y(n_2211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398502 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[29]),
-	.X(n_2210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g398503 (
-	.A1(n_649),
-	.A2(n_15753),
-	.B1(n_13216),
-	.Y(n_2209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398504 (
-	.A(n_1972),
-	.B(u_uart_u_uart_core_rx_timeout[21]),
-	.X(n_2208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g398505 (
-	.A(n_1300),
-	.B_N(n_1748),
-	.Y(n_2207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g398506 (
-	.A(n_1304),
-	.B_N(n_1747),
-	.Y(n_2206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g398507 (
-	.A(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B_N(n_1748),
-	.Y(n_2322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398508 (
-	.A(n_1735),
-	.B(n_1310),
-	.Y(n_2321), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398509 (
-	.A(n_15800),
-	.B(u_uart_we),
-	.Y(n_2320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398510 (
-	.A(n_1309),
-	.B(n_1734),
-	.Y(n_2319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g398513 (
-	.A(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B_N(n_1747),
-	.Y(n_2317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398515 (
-	.A(n_1757),
-	.B(n_1287),
-	.Y(n_2316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g398516 (
-	.A(n_1677),
-	.B(n_1736),
-	.X(n_2315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398517 (
-	.A(n_1280),
-	.B(n_1969),
-	.Y(n_2314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398519 (
-	.A(n_1996),
-	.B(n_1108),
-	.Y(n_2313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g398520 (
-	.A(FE_OFN1309_n_1727),
-	.B_N(n_1306),
-	.Y(n_2312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 g398521 (
-	.A(n_15796),
-	.B(u_uart_we),
-	.X(n_2311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398522 (
-	.A(n_1998),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.Y(n_2310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398523 (
-	.A(n_1995),
-	.B(n_1303),
-	.Y(n_2309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398524 (
-	.A(n_1302),
-	.B(n_1724),
-	.Y(n_2308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398525 (
-	.A(n_1751),
-	.B(u_uart_u_uart_core_rx_buffer_size[7]),
-	.Y(n_2307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398526 (
-	.A(n_1283),
-	.B(n_1969),
-	.Y(n_2306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398527 (
-	.A(n_687),
-	.B(n_2064),
-	.Y(n_2305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398528 (
-	.A(u_uart_u_uart_core_rx_buffer_size[6]),
-	.B(n_1999),
-	.Y(n_2304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398529 (
-	.A(n_2002),
-	.B(u_uart_u_uart_core_rx_buffer_size[2]),
-	.Y(n_2303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398530 (
-	.A(n_2002),
-	.B(n_180),
-	.Y(n_2302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398532 (
-	.A(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B(n_2064),
-	.Y(n_2300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398533 (
-	.A(n_2002),
-	.B(n_625),
-	.Y(n_2299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398535 (
-	.A(n_1720),
-	.B(n_1267),
-	.Y(n_2296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398541 (
-	.A(n_173),
-	.B(n_2006),
-	.Y(n_2294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398542 (
-	.A(FE_OFN1861_n_10832),
-	.B(n_1729),
-	.Y(n_2292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398543 (
-	.A(FE_OFN1848_n_10794),
-	.B(n_1729),
-	.Y(n_2291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398544 (
-	.A(FE_OFN1812_u_iccm_n_193),
-	.B(n_1730),
-	.Y(n_2290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398545 (
-	.A(FE_OFN1812_u_iccm_n_193),
-	.B(n_1729),
-	.Y(n_2289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398546 (
-	.A(FE_OFN1861_n_10832),
-	.B(n_1730),
-	.Y(n_2288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398547 (
-	.A(n_173),
-	.B(n_2005),
-	.Y(n_2287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398548 (
-	.A(FE_OFN1848_n_10794),
-	.B(n_1730),
-	.Y(n_2285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398549 (
-	.A(u_uart_u_uart_core_rx_buffer_size[3]),
-	.B(n_2005),
-	.Y(n_2284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398550 (
-	.A(u_uart_u_uart_core_rx_buffer_size[3]),
-	.B(n_2006),
-	.Y(n_2283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398551 (
-	.A(u_uart_u_uart_core_rx_buffer_size[3]),
-	.B(n_1739),
-	.Y(n_2282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398552 (
-	.A(FE_OFN1868_n_10795),
-	.B(n_1730),
-	.Y(n_2281), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398553 (
-	.A(FE_OFN1868_n_10795),
-	.B(n_1729),
-	.Y(n_2280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398555 (
-	.A(n_1999),
-	.B(n_2006),
-	.Y(n_2279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398556 (
-	.A(n_1999),
-	.B(n_1739),
-	.Y(n_2277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398557 (
-	.A(n_1999),
-	.B(n_2005),
-	.Y(n_2275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398558 (
-	.A(n_1732),
-	.B(n_1310),
-	.Y(n_2272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398559 (
-	.A(n_1309),
-	.B(n_1731),
-	.Y(n_2271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g398560 (
-	.A(n_1668),
-	.B(u_top_u_core_csr_save_if),
-	.X(n_2270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398561 (
-	.A(u_top_u_core_csr_restore_mret_id),
-	.B(n_1667),
-	.Y(n_2269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g398562 (
-	.A(n_1732),
-	.B(n_15789),
-	.X(n_2267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g398563 (
-	.A(n_1732),
-	.B(n_15797),
-	.X(n_2266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g398564 (
-	.A(n_2204),
-	.Y(n_2205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g398566 (
-	.A(n_2195),
-	.Y(n_2196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g398568 (
-	.A(n_2191),
-	.Y(n_2192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g398569 (
-	.A(n_2186),
-	.Y(n_2187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g398570 (
-	.A(FE_OFN975_n_2185),
-	.Y(n_2184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398571 (
-	.A(n_2176),
-	.Y(n_2177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398572 (
-	.A(n_2173),
-	.Y(n_2174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398573 (
-	.A(n_2169),
-	.Y(n_2170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g398574 (
-	.A(n_2164),
-	.Y(n_2163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398575 (
-	.A(n_2162),
-	.Y(n_2161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398576 (
-	.A(n_2160),
-	.Y(n_2159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398577 (
-	.A(n_2158),
-	.Y(n_2157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398578 (
-	.A(n_2156),
-	.Y(n_2155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398579 (
-	.A(n_2153),
-	.Y(n_2154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398580 (
-	.A(n_2151),
-	.Y(n_2152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398581 (
-	.A(n_2149),
-	.Y(n_2150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398583 (
-	.A(n_2138),
-	.Y(n_2137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398584 (
-	.A(n_2136),
-	.Y(n_2135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398586 (
-	.A1(u_uart_u_uart_core_rx_time_n_576),
-	.A2(FE_OFN1305_n_1245),
-	.B1(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[31]),
-	.X(n_2132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_1 g398587 (
-	.A1(u_top_u_core_alu_operator_ex[3]),
-	.A2(n_679),
-	.A3(n_11365),
-	.B1(n_1153),
-	.B2(n_1095),
-	.Y(n_2131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398588 (
-	.A1(n_1272),
-	.A2(FE_PDN3709_FE_OFN767_u_iccm_rdata2_5),
-	.B1(n_1270),
-	.B2(FE_PDN17130_FE_OFN799_u_iccm_rdata3_5),
-	.X(n_2130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398589 (
-	.A1(u_uart_u_uart_core_rx_time_n_594),
-	.A2(FE_OFN1305_n_1245),
-	.B1(FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[13]),
-	.X(n_2129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398590 (
-	.A1(u_uart_u_uart_core_rx_time_n_603),
-	.A2(FE_OFN1305_n_1245),
-	.B1(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[4]),
-	.X(n_2128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398591 (
-	.A1(u_uart_u_uart_core_rx_time_n_578),
-	.A2(FE_OFN1305_n_1245),
-	.B1(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[29]),
-	.X(n_2127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398592 (
-	.A1(u_uart_u_uart_core_rx_time_n_595),
-	.A2(FE_OFN1305_n_1245),
-	.B1(FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[12]),
-	.X(n_2126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398593 (
-	.A1(u_uart_u_uart_core_rx_time_n_601),
-	.A2(FE_OFN1305_n_1245),
-	.B1(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[6]),
-	.X(n_2125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398594 (
-	.A1(u_uart_u_uart_core_rx_time_n_592),
-	.A2(FE_OFN1305_n_1245),
-	.B1(FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[15]),
-	.X(n_2124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398595 (
-	.A1(u_uart_u_uart_core_rx_time_n_586),
-	.A2(FE_OFN1305_n_1245),
-	.B1(FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[21]),
-	.X(n_2123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398596 (
-	.A1(u_uart_u_uart_core_rx_time_n_590),
-	.A2(FE_OFN1305_n_1245),
-	.B1(FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[17]),
-	.X(n_2122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398597 (
-	.A1(n_1272),
-	.A2(FE_PDN3720_FE_OFN768_u_iccm_rdata2_4),
-	.B1(n_1270),
-	.B2(FE_PDN3690_FE_OFN800_u_iccm_rdata3_4),
-	.X(n_2121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398598 (
-	.A1(u_uart_u_uart_core_rx_time_n_591),
-	.A2(FE_OFN1305_n_1245),
-	.B1(FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[16]),
-	.X(n_2120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398599 (
-	.A1(n_1272),
-	.A2(FE_PDN3738_FE_OFN769_u_iccm_rdata2_3),
-	.B1(n_1270),
-	.B2(FE_PDN3817_FE_OFN801_u_iccm_rdata3_3),
-	.X(n_2119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398600 (
-	.A1(u_uart_u_uart_core_rx_time_n_600),
-	.A2(FE_OFN1305_n_1245),
-	.B1(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[7]),
-	.X(n_2118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g398601 (
-	.A1(n_1252),
-	.A2(n_13536),
-	.B1(n_1253),
-	.B2(u_top_u_core_csr_depc[1]),
-	.Y(n_2117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398602 (
-	.A1(n_1272),
-	.A2(FE_PDN3722_FE_OFN770_u_iccm_rdata2_2),
-	.B1(n_1270),
-	.B2(FE_PDN3818_FE_OFN802_u_iccm_rdata3_2),
-	.X(n_2116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g398603 (
-	.A1(u_top_u_core_pc_mux_id[0]),
-	.A2(u_top_u_core_pc_mux_id[1]),
-	.B1(u_top_u_core_pc_mux_id[2]),
-	.C1(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.Y(n_2115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398604 (
-	.A1(u_uart_u_uart_core_rx_time_n_585),
-	.A2(FE_OFN1305_n_1245),
-	.B1(FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[22]),
-	.X(n_2114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g398605 (
-	.A1(n_1080),
-	.A2(u_iccm_rdata1[22]),
-	.B1(n_1275),
-	.B2(FE_OFN782_u_iccm_rdata3_22),
-	.X(n_2113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398606 (
-	.A1(n_1272),
-	.A2(FE_PDN3730_FE_OFN766_u_iccm_rdata2_6),
-	.B1(n_1270),
-	.B2(FE_PDN3821_FE_OFN798_u_iccm_rdata3_6),
-	.X(n_2112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398607 (
-	.A1(u_uart_u_uart_core_rx_time_n_598),
-	.A2(FE_OFN1305_n_1245),
-	.B1(FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[9]),
-	.X(n_2111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398608 (
-	.A1(u_uart_u_uart_core_rx_time_n_583),
-	.A2(FE_OFN1305_n_1245),
-	.B1(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[24]),
-	.X(n_2110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398609 (
-	.A1(u_uart_u_uart_core_rx_time_n_588),
-	.A2(FE_OFN1305_n_1245),
-	.B1(FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[19]),
-	.X(n_2109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398610 (
-	.A1(u_uart_u_uart_core_rx_time_n_589),
-	.A2(FE_OFN1305_n_1245),
-	.B1(FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[18]),
-	.X(n_2108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398611 (
-	.A1(u_uart_u_uart_core_rx_time_n_580),
-	.A2(FE_OFN1305_n_1245),
-	.B1(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[27]),
-	.X(n_2107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g398612 (
-	.A1(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B1(n_2004),
-	.Y(n_2106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398613 (
-	.A1(FE_OFN1305_n_1245),
-	.A2(u_uart_u_uart_core_rx_time_n_604),
-	.B1(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[3]),
-	.X(n_2105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g398614 (
-	.A1(n_11221),
-	.A2(n_1191),
-	.B1(n_1183),
-	.Y(n_2104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g398615 (
-	.A1(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.A2(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B1(n_2007),
-	.Y(n_2103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g398616 (
-	.A1(n_1065),
-	.A2(n_13398),
-	.B1(n_1997),
-	.Y(n_2102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398617 (
-	.A(n_1972),
-	.B(n_1215),
-	.Y(n_2101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g398618 (
-	.A1(n_1080),
-	.A2(u_iccm_rdata1[19]),
-	.B1(n_1275),
-	.B2(FE_OFN785_u_iccm_rdata3_19),
-	.X(n_2100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g398619 (
-	.A1(n_1092),
-	.A2(n_13253),
-	.B1(u_uart_u_uart_core_rx_en),
-	.Y(n_2099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398620 (
-	.A1(u_uart_u_uart_core_rx_time_n_593),
-	.A2(FE_OFN1305_n_1245),
-	.B1(FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[14]),
-	.X(n_2098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g398621 (
-	.A1(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.A2(n_1298),
-	.B1(n_1740),
-	.X(n_2097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g398622 (
-	.A1(n_1294),
-	.A2(n_307),
-	.B1(n_1737),
-	.Y(n_2096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398623 (
-	.A1(u_uart_u_uart_core_rx_time_n_597),
-	.A2(FE_OFN1305_n_1245),
-	.B1(FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[10]),
-	.X(n_2095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g398624 (
-	.A(n_612),
-	.B(n_13239),
-	.C(n_13247),
-	.D_N(n_13270),
-	.Y(n_2094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398625 (
-	.A1(FE_OFN1305_n_1245),
-	.A2(u_uart_u_uart_core_rx_time_n_606),
-	.B1(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[1]),
-	.X(n_2093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g398626 (
-	.A1(n_1080),
-	.A2(u_iccm_rdata1[18]),
-	.B1(n_1275),
-	.B2(FE_PDN3707_FE_OFN786_u_iccm_rdata3_18),
-	.X(n_2092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g398627 (
-	.A(u_top_u_core_id_stage_i_ebrk_insn),
-	.B(u_top_u_core_id_stage_i_ecall_insn_dec),
-	.C(u_top_u_core_id_stage_i_illegal_insn_dec),
-	.D(u_top_u_core_illegal_csr_insn_id),
-	.Y(n_2091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398628 (
-	.A1(n_1272),
-	.A2(FE_OFN765_u_iccm_rdata2_7),
-	.B1(n_1270),
-	.B2(FE_PDN3829_FE_OFN797_u_iccm_rdata3_7),
-	.X(n_2090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g398629 (
-	.A(FE_OFN16800_n_13653),
-	.B(FE_OFN15838_n_13650),
-	.C(FE_OFN15862_n_13651),
-	.D(n_13652),
-	.X(n_2089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398630 (
-	.A1(u_uart_u_uart_core_rx_time_n_579),
-	.A2(FE_OFN1305_n_1245),
-	.B1(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[28]),
-	.X(n_2088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g398631 (
-	.A(u_uart_u_uart_core_read_fifo_raddr[8]),
-	.B(u_uart_u_uart_core_read_fifo_raddr[6]),
-	.C(u_uart_u_uart_core_read_fifo_raddr[7]),
-	.D(n_1671),
-	.Y(n_2087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g398632 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
-	.C(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
-	.D(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
-	.X(n_2086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g398633 (
-	.A1(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.A2(n_1313),
-	.B1(n_1749),
-	.X(n_2085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398634 (
-	.A1(u_uart_u_uart_core_rx_time_n_584),
-	.A2(FE_OFN1305_n_1245),
-	.B1(FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[23]),
-	.X(n_2084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g398635 (
-	.A1(n_1080),
-	.A2(u_iccm_rdata1[20]),
-	.B1(n_1275),
-	.B2(FE_PDN3742_FE_OFN784_u_iccm_rdata3_20),
-	.X(n_2083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398636 (
-	.A1(n_1242),
-	.A2(u_top_u_core_debug_cause[2]),
-	.B1(n_1241),
-	.B2(\u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
-	.X(n_2082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398637 (
-	.A1(n_1242),
-	.A2(u_top_u_core_debug_cause[1]),
-	.B1(n_1241),
-	.B2(\u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
-	.X(n_2081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398638 (
-	.A1(n_1242),
-	.A2(u_top_u_core_debug_cause[0]),
-	.B1(n_1241),
-	.B2(\u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
-	.X(n_2080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a32o_1 g398639 (
-	.A1(FE_OFN1776_n_13259),
-	.A2(FE_OFN1062_u_top_data_we),
-	.A3(u_top_u_core_id_stage_i_lsu_req_dec),
-	.B1(n_13259),
-	.B2(\u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
-	.X(n_2079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398640 (
-	.A1(u_uart_u_uart_core_rx_time_n_587),
-	.A2(FE_OFN1305_n_1245),
-	.B1(FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[20]),
-	.X(n_2078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398641 (
-	.A1(FE_OFN1305_n_1245),
-	.A2(u_uart_u_uart_core_rx_time_n_605),
-	.B1(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[2]),
-	.X(n_2077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398642 (
-	.A1(u_uart_u_uart_core_rx_time_n_582),
-	.A2(FE_OFN1305_n_1245),
-	.B1(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[25]),
-	.X(n_2076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g398643 (
-	.A1(n_1080),
-	.A2(u_iccm_rdata1[21]),
-	.B1(n_1275),
-	.B2(FE_OFN783_u_iccm_rdata3_21),
-	.X(n_2075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g398644 (
-	.A1(n_1080),
-	.A2(u_iccm_rdata1[23]),
-	.B1(n_1275),
-	.B2(FE_OFN781_u_iccm_rdata3_23),
-	.X(n_2074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398645 (
-	.A1(u_uart_u_uart_core_rx_time_n_581),
-	.A2(FE_OFN1305_n_1245),
-	.B1(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[26]),
-	.X(n_2073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398646 (
-	.A1(u_uart_u_uart_core_rx_time_n_599),
-	.A2(FE_OFN1305_n_1245),
-	.B1(FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[8]),
-	.X(n_2072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398647 (
-	.A1(u_uart_u_uart_core_rx_time_n_596),
-	.A2(FE_OFN1305_n_1245),
-	.B1(FE_OFN900_u_uart_u_uart_core_rx_time_state_reg_1),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[11]),
-	.X(n_2071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398648 (
-	.A1(u_uart_u_uart_core_rx_time_n_577),
-	.A2(FE_OFN1305_n_1245),
-	.B1(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[30]),
-	.X(n_2070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g398649 (
-	.A1(u_uart_u_uart_core_rx_time_n_602),
-	.A2(FE_OFN1305_n_1245),
-	.B1(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B2(u_uart_u_uart_core_rx_time_rx_time[5]),
-	.X(n_2069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_1 g398650 (
-	.A1(u_top_u_core_alu_operator_ex[3]),
-	.A2(n_11221),
-	.B1(n_11181),
-	.C1(u_top_u_core_alu_operator_ex[0]),
-	.Y(n_2068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398651 (
-	.A(n_1101),
-	.B(n_1969),
-	.Y(n_2204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g398652 (
-	.A1(FE_OFN17002_xbar_to_lsu_d_valid),
-	.A2(n_1229),
-	.B1(n_608),
-	.Y(n_2202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g398653 (
-	.A(u_top_u_core_alu_operand_b_ex[4]),
-	.B(n_1081),
-	.C(n_13224),
-	.Y(n_2201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g398655 (
-	.A(n_1298),
-	.B(n_710),
-	.C(main_swith_host_lsu_dev_select_outstanding[0]),
-	.Y(n_2199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g398656 (
-	.A(n_1106),
-	.B(n_1110),
-	.C(n_181),
-	.X(n_2198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g398657 (
-	.A1(u_top_u_core_instr_first_cycle_id),
-	.A2(FE_OFN1365_n_10762),
-	.B1(n_1743),
-	.Y(n_2197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398658 (
-	.A(n_0),
-	.B(n_1746),
-	.Y(n_2195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g398659 (
-	.A_N(n_13238),
-	.B(FE_OFN15986_u_top_u_core_alu_operand_b_ex_10),
-	.C(FE_OFN15970_u_top_u_core_alu_operand_b_ex_5),
-	.D(FE_OFN15968_u_top_u_core_alu_operand_b_ex_7),
-	.Y(n_2193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g398660 (
-	.A1(n_232),
-	.A2(n_185),
-	.B1(u_top_u_core_csr_save_cause),
-	.B2(u_top_u_core_debug_mode),
-	.C1(n_1242),
-	.Y(n_2191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g398661 (
-	.A(n_1042),
-	.B(FE_OFN16787_xbar_to_dccm_a_address__5),
-	.C(FE_OFN1832_xbar_to_dccm_a_address__4),
-	.Y(n_2190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g398662 (
-	.A(FE_OCPN16286_u_top_u_core_alu_operand_b_ex_2),
-	.B(n_1084),
-	.C_N(n_1106),
-	.Y(n_2189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398663 (
-	.A(n_1724),
-	.B(FE_OFN183_system_rst_ni),
-	.Y(n_2188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g398664 (
-	.A(main_swith_host_lsu_dev_select_outstanding[0]),
-	.B(main_swith_host_lsu_dev_select_outstanding[1]),
-	.C(n_1294),
-	.Y(n_2186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_1 g398665 (
-	.A1(n_1038),
-	.A2(iccm_adapter_inst_mem_u_sramreqfifo_n_136),
-	.B1(FE_PDN3931_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo_under_rst),
-	.C1(n_17517),
-	.Y(n_2185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g398666 (
-	.A(n_1111),
-	.B(n_13209),
-	.C(n_13751),
-	.Y(n_2183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g398667 (
-	.A_N(n_1103),
-	.B(n_1968),
-	.Y(n_2182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398668 (
-	.A(n_1746),
-	.B(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_2181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398669 (
-	.A(FE_OFN1309_n_1727),
-	.B(FE_OFN21_system_rst_ni),
-	.Y(n_2180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398671 (
-	.A(n_1256),
-	.B(n_1678),
-	.Y(n_2178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398672 (
-	.A(FE_OFN971_n_1967),
-	.B(n_1987),
-	.Y(n_2176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398673 (
-	.A(n_1991),
-	.B(n_1966),
-	.Y(n_2175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398674 (
-	.A(FE_OFN971_n_1967),
-	.B(n_1986),
-	.Y(n_2173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398675 (
-	.A(n_1994),
-	.B(n_1966),
-	.Y(n_2172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398676 (
-	.A(FE_OFN971_n_1967),
-	.B(n_1713),
-	.Y(n_2171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398677 (
-	.A(FE_OFN971_n_1967),
-	.B(n_1989),
-	.Y(n_2169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398678 (
-	.A(n_1102),
-	.B(n_1969),
-	.Y(n_2168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g398679 (
-	.A(n_223),
-	.B(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.C(n_1289),
-	.Y(n_2167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398680 (
-	.A(n_1099),
-	.B(n_1969),
-	.Y(n_2166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398681 (
-	.A(n_1717),
-	.B(n_1966),
-	.Y(n_2165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g398682 (
-	.A1(n_1186),
-	.A2(u_top_u_core_ready_wb),
-	.B1(n_13732),
-	.Y(n_2164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g398683 (
-	.A1(n_1036),
-	.A2(dccm_adapter_data_mem_u_rspfifo_n_629),
-	.A3(dccm_adapter_data_mem_u_rspfifo_n_628),
-	.B1(n_13266),
-	.Y(n_2162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a31oi_1 g398684 (
-	.A1(n_606),
-	.A2(u_tcam_u_tcam_adapter_u_rspfifo_n_629),
-	.A3(u_tcam_u_tcam_adapter_u_rspfifo_n_628),
-	.B1(n_13267),
-	.Y(n_2160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398685 (
-	.A(n_173),
-	.B(n_1739),
-	.Y(n_2158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398686 (
-	.A(n_1751),
-	.B(u_uart_u_uart_core_rx_buffer_size[3]),
-	.Y(n_2156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398687 (
-	.A(n_1751),
-	.B(n_173),
-	.Y(n_2153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398688 (
-	.A(n_1735),
-	.B(n_15797),
-	.Y(n_2151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398689 (
-	.A(n_1735),
-	.B(n_15789),
-	.Y(n_2149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398690 (
-	.A(n_1169),
-	.B(n_1667),
-	.Y(n_2148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g398691 (
-	.A(u_top_u_core_alu_operand_b_ex[4]),
-	.B(n_1084),
-	.C(n_13224),
-	.Y(n_2147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_2 g398693 (
-	.A_N(n_1299),
-	.B(n_1317),
-	.C(n_13732),
-	.X(n_2145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4b_2 g398694 (
-	.A(main_swith_host_lsu_err_resp_err_opcode[0]),
-	.B(n_710),
-	.C(n_231),
-	.D_N(main_swith_host_lsu_err_resp_err_opcode[2]),
-	.X(n_2143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398695 (
-	.A(n_1256),
-	.B(FE_OFN1619_n_1682),
-	.Y(n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g398696 (
-	.A(u_top_u_core_load_store_unit_i_data_we_q),
-	.B(n_1773),
-	.X(n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398697 (
-	.A(n_1256),
-	.B(FE_OFN1579_n_1971),
-	.Y(n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g398698 (
-	.A1(n_1059),
-	.A2(n_1255),
-	.B1(n_1667),
-	.X(n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_2 g398699 (
-	.A(n_697),
-	.B(n_205),
-	.C(\u_top_u_core_imd_val_q_ex[0] [31]),
-	.X(n_2138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2o_2 g398700 (
-	.A1_N(n_612),
-	.A2_N(n_15790),
-	.B1(n_612),
-	.B2(n_15790),
-	.X(n_2136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_2 g398701 (
-	.A0(n_181),
-	.A1(FE_OFN13725_n_15686),
-	.S(n_1184),
-	.X(n_2134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g398702 (
-	.A(n_2065),
-	.Y(n_2066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g398703 (
-	.A(n_2015),
-	.Y(n_2016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g398704 (
-	.A(n_1998),
-	.Y(n_1997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g398705 (
-	.A(n_1994),
-	.Y(n_1993), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g398706 (
-	.A(n_1992),
-	.Y(n_1991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398707 (
-	.A(n_1988),
-	.Y(n_1989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398708 (
-	.A(FE_OFN973_n_1983),
-	.Y(n_1982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g398709 (
-	.A(FE_OFN1621_n_1979),
-	.Y(n_1978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g398710 (
-	.A(FE_OFN1620_n_1975),
-	.Y(n_1974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g398711 (
-	.A(n_1969),
-	.Y(n_1968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g398712 (
-	.A(FE_OFN971_n_1967),
-	.Y(n_1966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398884 (
-	.A(n_1254),
-	.B(u_top_u_core_csr_mepc[14]),
-	.Y(n_1796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398885 (
-	.A(n_1254),
-	.B(u_top_u_core_csr_mepc[18]),
-	.Y(n_1795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398886 (
-	.A(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B(n_1108),
-	.Y(n_1794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398888 (
-	.A(n_1254),
-	.B(u_top_u_core_csr_mepc[26]),
-	.Y(n_1793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398889 (
-	.A(n_1254),
-	.B(FE_PHN3988_u_top_u_core_csr_mepc_30),
-	.Y(n_1792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g398890 (
-	.A(n_1290),
-	.B_N(n_15797),
-	.Y(n_1791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398891 (
-	.A(n_1254),
-	.B(u_top_u_core_csr_mepc[12]),
-	.Y(n_1790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398892 (
-	.A(n_1254),
-	.B(u_top_u_core_csr_mepc[16]),
-	.Y(n_1789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398893 (
-	.A(n_1254),
-	.B(u_top_u_core_csr_mepc[19]),
-	.Y(n_1788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398894 (
-	.A(n_1265),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [1]),
-	.Y(n_1787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g398895 (
-	.A_N(n_1303),
-	.B(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
-	.Y(n_1786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398896 (
-	.A(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.B(n_1303),
-	.Y(n_1785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398897 (
-	.A(n_1254),
-	.B(u_top_u_core_csr_mepc[23]),
-	.Y(n_1784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398898 (
-	.A(n_1254),
-	.B(FE_PHN3993_u_top_u_core_csr_mepc_31),
-	.Y(n_1783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398899 (
-	.A(n_1254),
-	.B(FE_PHN3994_u_top_u_core_csr_mepc_15),
-	.Y(n_1782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398900 (
-	.A(n_1254),
-	.B(u_top_u_core_csr_mepc[24]),
-	.Y(n_1781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398901 (
-	.A(n_1254),
-	.B(u_top_u_core_csr_mepc[27]),
-	.Y(n_1780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398902 (
-	.A(n_1254),
-	.B(u_top_u_core_csr_mepc[25]),
-	.Y(n_1779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398903 (
-	.A(n_1096),
-	.B(FE_OFN1815_u_top_u_core_alu_operator_ex_2),
-	.Y(n_1778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398904 (
-	.A(n_1326),
-	.B(n_1293),
-	.Y(n_1777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398905 (
-	.A(n_1292),
-	.B(u_top_u_core_load_store_unit_i_split_misaligned_access),
-	.Y(n_1776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398906 (
-	.A(n_1254),
-	.B(u_top_u_core_csr_mepc[20]),
-	.Y(n_1775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398907 (
-	.A(n_1274),
-	.B(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(n_1774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g398908 (
-	.A(n_13295),
-	.B_N(n_1329),
-	.Y(n_1773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398909 (
-	.A(n_1302),
-	.B(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
-	.Y(n_1772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398910 (
-	.A(n_1254),
-	.B(u_top_u_core_csr_mepc[22]),
-	.Y(n_1771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398911 (
-	.A(n_1254),
-	.B(u_top_u_core_csr_mepc[17]),
-	.Y(n_1770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398912 (
-	.A(n_1254),
-	.B(u_top_u_core_csr_mepc[28]),
-	.Y(n_1769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398913 (
-	.A(n_1271),
-	.B(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(n_1768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398914 (
-	.A(n_1254),
-	.B(u_top_u_core_csr_mepc[21]),
-	.Y(n_1767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398915 (
-	.A(n_1254),
-	.B(u_top_u_core_csr_mepc[13]),
-	.Y(n_1766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398917 (
-	.A(n_1123),
-	.B(n_1142),
-	.Y(n_2067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398918 (
-	.A(n_1258),
-	.B(FE_OCPN16835_u_top_u_core_multdiv_operand_b_ex_0),
-	.Y(n_2065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g398919 (
-	.A(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B(n_1289),
-	.X(n_2064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398920 (
-	.A(n_1168),
-	.B(n_1136),
-	.Y(n_2063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398921 (
-	.A(n_1137),
-	.B(n_1149),
-	.Y(n_2062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398922 (
-	.A(n_1118),
-	.B(n_1143),
-	.Y(n_2061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398923 (
-	.A(n_1118),
-	.B(n_1112),
-	.Y(n_2060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398924 (
-	.A(n_1119),
-	.B(n_1163),
-	.Y(n_2059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398925 (
-	.A(n_1117),
-	.B(n_1167),
-	.Y(n_2058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398926 (
-	.A(n_1115),
-	.B(n_1161),
-	.Y(n_2057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g398927 (
-	.A_N(n_1153),
-	.B(FE_OFN1815_u_top_u_core_alu_operator_ex_2),
-	.Y(n_2056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398928 (
-	.A(n_1116),
-	.B(n_1143),
-	.Y(n_2055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398929 (
-	.A(n_1180),
-	.B(n_1121),
-	.Y(n_2054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398930 (
-	.A(n_1125),
-	.B(n_1164),
-	.Y(n_2053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398931 (
-	.A(n_1126),
-	.B(n_1177),
-	.Y(n_2052), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398932 (
-	.A(n_1127),
-	.B(n_1149),
-	.Y(n_2051), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398933 (
-	.A(n_1152),
-	.B(n_1139),
-	.Y(n_2050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398934 (
-	.A(n_1154),
-	.B(n_1128),
-	.Y(n_2049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398935 (
-	.A(n_1155),
-	.B(n_1129),
-	.Y(n_2048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398936 (
-	.A(n_1140),
-	.B(n_1156),
-	.Y(n_2047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398937 (
-	.A(n_1130),
-	.B(n_1165),
-	.Y(n_2046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398938 (
-	.A(n_1131),
-	.B(n_1157),
-	.Y(n_2045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398939 (
-	.A(n_1173),
-	.B(n_1132),
-	.Y(n_2044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398940 (
-	.A(n_1134),
-	.B(n_1175),
-	.Y(n_2043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398941 (
-	.A(n_1135),
-	.B(n_1172),
-	.Y(n_2042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398942 (
-	.A(n_1113),
-	.B(n_1159),
-	.Y(n_2041), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398943 (
-	.A(n_1166),
-	.B(n_1141),
-	.Y(n_2040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398944 (
-	.A(n_1114),
-	.B(n_1155),
-	.Y(n_2039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398945 (
-	.A(n_1154),
-	.B(n_1129),
-	.Y(n_2038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398946 (
-	.A(n_1158),
-	.B(n_1139),
-	.Y(n_2037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398947 (
-	.A(n_1160),
-	.B(n_1132),
-	.Y(n_2036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398948 (
-	.A(n_1130),
-	.B(n_1156),
-	.Y(n_2035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398949 (
-	.A(n_1173),
-	.B(n_1134),
-	.Y(n_2034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398950 (
-	.A(n_1125),
-	.B(n_1148),
-	.Y(n_2033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398951 (
-	.A(n_1180),
-	.B(n_1122),
-	.Y(n_2032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398952 (
-	.A(n_1135),
-	.B(n_1175),
-	.Y(n_2031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398953 (
-	.A(n_1126),
-	.B(n_1164),
-	.Y(n_2030), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398954 (
-	.A(n_1127),
-	.B(n_1177),
-	.Y(n_2029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398955 (
-	.A(n_1124),
-	.B(n_1147),
-	.Y(n_2028), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398956 (
-	.A(n_1115),
-	.B(n_1162),
-	.Y(n_2027), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398957 (
-	.A(n_1131),
-	.B(n_1165),
-	.Y(n_2026), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398958 (
-	.A(n_1113),
-	.B(n_1172),
-	.Y(n_2025), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398959 (
-	.A(n_1123),
-	.B(n_1161),
-	.Y(n_2024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398960 (
-	.A(n_1140),
-	.B(n_1159),
-	.Y(n_2023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398961 (
-	.A(n_1116),
-	.B(n_1142),
-	.Y(n_2022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398962 (
-	.A(n_1157),
-	.B(n_1133),
-	.Y(n_2021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398963 (
-	.A(n_1152),
-	.B(n_1128),
-	.Y(n_2020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398964 (
-	.A(n_1179),
-	.B(n_1138),
-	.Y(n_2019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398965 (
-	.A(n_1119),
-	.B(n_1112),
-	.Y(n_2018), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398966 (
-	.A(n_1117),
-	.B(n_1163),
-	.Y(n_2017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398967 (
-	.A(n_1120),
-	.B(n_1167),
-	.Y(n_2015), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398968 (
-	.A(n_1166),
-	.B(n_1136),
-	.Y(n_2014), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398969 (
-	.A(n_1141),
-	.B(n_1160),
-	.Y(n_2013), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398970 (
-	.A(n_1158),
-	.B(n_1133),
-	.Y(n_2012), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398971 (
-	.A(n_1138),
-	.B(n_1147),
-	.Y(n_2011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398972 (
-	.A(n_1179),
-	.B(n_1122),
-	.Y(n_2010), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398973 (
-	.A(n_1137),
-	.B(n_1162),
-	.Y(n_2009), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398974 (
-	.A(n_1124),
-	.B(n_1148),
-	.Y(n_2008), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g398975 (
-	.A(n_1274),
-	.B_N(n_1304),
-	.Y(n_2007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398976 (
-	.A(n_1308),
-	.B(u_uart_u_uart_core_rx_buffer_size[6]),
-	.Y(n_2006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398977 (
-	.A(n_1093),
-	.B(u_uart_u_uart_core_rx_buffer_size[6]),
-	.Y(n_2005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g398978 (
-	.A(n_1271),
-	.B_N(n_1300),
-	.Y(n_2004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g398979 (
-	.A_N(n_1092),
-	.B(FE_OFN1568_xbar_to_dccm_a_data__0),
-	.Y(n_2003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398980 (
-	.A(u_uart_u_uart_core_rx_buffer_size[7]),
-	.B(n_1297),
-	.Y(n_2002), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398981 (
-	.A(n_1176),
-	.B(n_1151),
-	.Y(n_2001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398982 (
-	.A(n_1108),
-	.B(n_1289),
-	.Y(n_2000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g398983 (
-	.A_N(n_1297),
-	.B(u_uart_u_uart_core_rx_buffer_size[7]),
-	.Y(n_1999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398984 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q [0]),
-	.B(n_1264),
-	.Y(n_1998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398985 (
-	.A(n_1289),
-	.B(n_13266),
-	.Y(n_1996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g398986 (
-	.A(n_13267),
-	.B_N(n_1325),
-	.Y(n_1995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398987 (
-	.A(n_1267),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
-	.Y(n_1994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398988 (
-	.A(n_183),
-	.B(n_1101),
-	.Y(n_1992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398989 (
-	.A(n_1084),
-	.B(n_13221),
-	.Y(n_1990), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398990 (
-	.A(n_1279),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
-	.Y(n_1988), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g398991 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
-	.B(n_1099),
-	.Y(n_1987), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g398992 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
-	.B(n_1283),
-	.Y(n_1986), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398993 (
-	.A(n_1290),
-	.B(n_1309),
-	.Y(n_1985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g398994 (
-	.A(n_1088),
-	.B(n_1268),
-	.Y(n_1984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398995 (
-	.A(n_1263),
-	.B(n_1083),
-	.Y(n_1983), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398996 (
-	.A(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.B(n_1288),
-	.Y(n_1981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g398997 (
-	.A(n_1242),
-	.B(u_top_u_core_csr_save_if),
-	.X(n_1980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g398998 (
-	.A(n_1081),
-	.B(n_13221),
-	.Y(n_1979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g398999 (
-	.A(n_13666),
-	.B(n_1105),
-	.X(n_1977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_4 g399000 (
-	.A(u_uart_u_uart_core_read_fifo_raddr[5]),
-	.B(n_1170),
-	.X(n_1976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399001 (
-	.A(n_1081),
-	.B(n_13222),
-	.Y(n_1975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399002 (
-	.A(n_1320),
-	.B(u_uart_u_uart_core_read_fifo_raddr[5]),
-	.Y(n_1973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399003 (
-	.A(n_1327),
-	.B(n_1293),
-	.Y(n_1972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399004 (
-	.A(n_1084),
-	.B(n_13222),
-	.Y(n_1971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g399005 (
-	.A(n_178),
-	.B(n_1170),
-	.X(n_1970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g399006 (
-	.A(FE_OFN1808_n_198),
-	.B(n_1107),
-	.Y(n_1969), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399007 (
-	.A(n_13666),
-	.B(n_1277),
-	.Y(n_1967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g399008 (
-	.A(n_1324),
-	.B(u_uart_u_uart_core_read_fifo_raddr[2]),
-	.X(n_1949), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399009 (
-	.A(u_uart_u_uart_core_read_fifo_raddr[2]),
-	.B(n_1316),
-	.Y(n_1765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_4 g399010 (
-	.A_N(n_1316),
-	.B(u_uart_u_uart_core_read_fifo_raddr[2]),
-	.X(n_1876), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_4 g399011 (
-	.A_N(u_uart_u_uart_core_read_fifo_raddr[2]),
-	.B(n_1324),
-	.X(n_1797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399012 (
-	.A(n_1763),
-	.Y(n_1764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399013 (
-	.A(n_1761),
-	.Y(n_1762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399015 (
-	.A(n_1742),
-	.Y(n_1741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399016 (
-	.A(n_1734),
-	.Y(n_1735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g399017 (
-	.A(n_1732),
-	.Y(n_1731), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399018 (
-	.A(FE_OFN1578_n_1726),
-	.Y(n_1725), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g399019 (
-	.A(n_1724),
-	.Y(n_1723), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g399022 (
-	.A(n_1718),
-	.Y(n_1717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399023 (
-	.A(n_1715),
-	.Y(n_1714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399024 (
-	.A(n_1712),
-	.Y(n_1713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399025 (
-	.A(n_1711),
-	.Y(n_1710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399026 (
-	.A(n_1709),
-	.Y(n_1708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399027 (
-	.A(n_15784),
-	.Y(n_1706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399028 (
-	.A(FE_OFN955_n_1705),
-	.Y(n_1704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399029 (
-	.A(n_15787),
-	.Y(n_1702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399030 (
-	.A(n_1701),
-	.Y(n_1700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399031 (
-	.A(FE_OFN954_n_1699),
-	.Y(n_1698), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399032 (
-	.A(n_1697),
-	.Y(n_1696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399033 (
-	.A(FE_OFN952_n_1695),
-	.Y(n_1694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399034 (
-	.A(n_1693),
-	.Y(n_1692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399035 (
-	.A(n_1691),
-	.Y(n_1690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g399036 (
-	.A(n_1689),
-	.Y(n_1688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399037 (
-	.A(FE_OFN951_n_1687),
-	.Y(n_1686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399038 (
-	.A(n_15786),
-	.Y(n_1683), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g399039 (
-	.A(FE_OFN1052_n_15754),
-	.Y(n_1679), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399040 (
-	.A(n_1678),
-	.Y(n_1677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399041 (
-	.A(n_1668),
-	.Y(n_1667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399313 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[18]),
-	.A2_N(n_653),
-	.B1(u_top_u_core_alu_operand_b_ex[18]),
-	.B2(n_653),
-	.Y(n_1392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399314 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[29]),
-	.A2_N(n_193),
-	.B1(u_top_u_core_alu_operand_b_ex[29]),
-	.B2(n_193),
-	.Y(n_1391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399315 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[27]),
-	.A2_N(n_204),
-	.B1(u_top_u_core_alu_operand_b_ex[27]),
-	.B2(n_204),
-	.Y(n_1390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399316 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[25]),
-	.A2_N(n_184),
-	.B1(u_top_u_core_alu_operand_b_ex[25]),
-	.B2(n_184),
-	.Y(n_1389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399317 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[24]),
-	.A2_N(n_650),
-	.B1(u_top_u_core_alu_operand_b_ex[24]),
-	.B2(n_650),
-	.Y(n_1388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399318 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[23]),
-	.A2_N(n_631),
-	.B1(u_top_u_core_alu_operand_b_ex[23]),
-	.B2(n_631),
-	.Y(n_1387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399319 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[22]),
-	.A2_N(n_660),
-	.B1(u_top_u_core_alu_operand_b_ex[22]),
-	.B2(n_660),
-	.Y(n_1386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399320 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[21]),
-	.A2_N(n_667),
-	.B1(u_top_u_core_alu_operand_b_ex[21]),
-	.B2(n_667),
-	.Y(n_1385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399321 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[20]),
-	.A2_N(n_671),
-	.B1(u_top_u_core_alu_operand_b_ex[20]),
-	.B2(n_671),
-	.Y(n_1384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399322 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[19]),
-	.A2_N(n_662),
-	.B1(u_top_u_core_alu_operand_b_ex[19]),
-	.B2(n_662),
-	.Y(n_1383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399323 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[17]),
-	.A2_N(n_207),
-	.B1(u_top_u_core_alu_operand_b_ex[17]),
-	.B2(n_207),
-	.Y(n_1382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399324 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[16]),
-	.A2_N(n_665),
-	.B1(u_top_u_core_alu_operand_b_ex[16]),
-	.B2(n_665),
-	.Y(n_1381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399325 (
-	.A1_N(FE_PSN3881_u_top_u_core_alu_operand_b_ex_15),
-	.A2_N(n_194),
-	.B1(FE_PSN3881_u_top_u_core_alu_operand_b_ex_15),
-	.B2(n_194),
-	.Y(n_1380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399326 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[14]),
-	.A2_N(n_648),
-	.B1(u_top_u_core_alu_operand_b_ex[14]),
-	.B2(n_648),
-	.Y(n_1379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399327 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[13]),
-	.A2_N(n_196),
-	.B1(u_top_u_core_alu_operand_b_ex[13]),
-	.B2(n_196),
-	.Y(n_1378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399328 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[12]),
-	.A2_N(n_195),
-	.B1(u_top_u_core_alu_operand_b_ex[12]),
-	.B2(n_195),
-	.Y(n_1377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g399329 (
-	.A(FE_OFN15861_u_top_u_core_alu_operand_b_ex_11),
-	.B(FE_OFN1887_n_13310),
-	.X(n_1376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g399330 (
-	.A1(FE_OFN15854_u_top_u_core_alu_operand_b_ex_8),
-	.A2(n_651),
-	.B1(n_768),
-	.B2(FE_OFN16760_n_13307),
-	.Y(n_1375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399331 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[26]),
-	.A2_N(n_663),
-	.B1(u_top_u_core_alu_operand_b_ex[26]),
-	.B2(n_663),
-	.Y(n_1374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g399334 (
-	.A1(u_top_u_core_alu_operand_b_ex[3]),
-	.A2(n_647),
-	.B1(FE_OFN1365_n_10762),
-	.B2(FE_OCPN16287_n_13302),
-	.Y(n_1371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399335 (
-	.A1_N(u_top_u_core_alu_operand_b_ex[28]),
-	.A2_N(n_632),
-	.B1(u_top_u_core_alu_operand_b_ex[28]),
-	.B2(n_632),
-	.Y(n_1370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399336 (
-	.A1_N(FE_OFN15985_u_top_u_core_alu_operand_b_ex_6),
-	.A2_N(n_670),
-	.B1(FE_OFN15985_u_top_u_core_alu_operand_b_ex_6),
-	.B2(n_670),
-	.Y(n_1369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 g399337 (
-	.A(u_top_u_core_alu_operand_b_ex[30]),
-	.B(n_13329),
-	.X(n_1368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g399338 (
-	.A1(FE_OCPN16831_n_13300),
-	.A2(n_181),
-	.B1(n_652),
-	.B2(FE_OFN13725_n_15686),
-	.Y(n_1367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g399339 (
-	.A1(n_638),
-	.A2(u_top_u_core_alu_operand_b_ex[4]),
-	.B1(FE_PSN3875_n_13303),
-	.B2(FE_RN_98_0),
-	.Y(n_1366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g399340 (
-	.A1(n_668),
-	.A2(FE_OCPN16286_u_top_u_core_alu_operand_b_ex_2),
-	.B1(FE_OCPN16324_n_13301),
-	.B2(n_612),
-	.Y(n_1365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g399341 (
-	.A1(n_13339),
-	.A2(u_top_u_core_csr_depc[11]),
-	.B1(n_13340),
-	.B2(u_top_u_core_csr_mepc[11]),
-	.X(n_1364), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g399342 (
-	.A1(n_13195),
-	.A2(FE_OFN1774_n_623),
-	.B1(n_710),
-	.B2(n_623),
-	.Y(n_1363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399343 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.A2_N(n_13254),
-	.B1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B2(n_13254),
-	.Y(n_1362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g399344 (
-	.A1(FE_OFN1043_n_13297),
-	.A2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
-	.Y(n_1361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g399345 (
-	.A1(FE_OFN1043_n_13297),
-	.A2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
-	.Y(n_1360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g399346 (
-	.A1(FE_OFN1043_n_13297),
-	.A2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
-	.Y(n_1359), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g399347 (
-	.A1(FE_OFN1043_n_13297),
-	.A2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
-	.Y(n_1358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g399348 (
-	.A1(FE_OFN1043_n_13297),
-	.A2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
-	.Y(n_1357), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g399349 (
-	.A1(FE_OFN1043_n_13297),
-	.A2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
-	.Y(n_1356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g399350 (
-	.A1(FE_OFN1043_n_13297),
-	.A2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
-	.Y(n_1355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399351 (
-	.A(n_1230),
-	.B(u_top_u_core_id_stage_i_rf_we_dec),
-	.Y(n_1354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g399352 (
-	.A1(FE_OFN1043_n_13297),
-	.A2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
-	.Y(n_1353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g399353 (
-	.A1(FE_OFN1043_n_13297),
-	.A2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
-	.Y(n_1352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g399354 (
-	.A1(n_13210),
-	.A2(n_1039),
-	.B1(u_top_u_core_id_stage_i_id_fsm_q),
-	.Y(n_1351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g399355 (
-	.A1(u_top_u_core_load_store_unit_i_handle_misaligned_q),
-	.A2(n_13295),
-	.B1(FE_OFN1774_n_623),
-	.Y(n_1350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g399356 (
-	.A1(main_swith_host_lsu_num_req_outstanding[1]),
-	.A2(main_swith_host_lsu_num_req_outstanding[0]),
-	.B1(n_1305),
-	.Y(n_1349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g399357 (
-	.A1(u_uart_u_uart_core_rx_sbit),
-	.A2(u_uart_u_uart_core_rx_time_n_217),
-	.B1(n_1293),
-	.Y(n_1348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g399358 (
-	.A1(n_13293),
-	.A2(FE_OFN1774_n_623),
-	.B1(n_231),
-	.B2(n_623),
-	.Y(n_1347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g399359 (
-	.A1(u_top_u_core_core_busy_q),
-	.A2(\u_top_u_core_irqs[irq_external] ),
-	.B1(u_top_u_core_fetch_enable_q),
-	.X(n_1346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g399360 (
-	.A1(n_13339),
-	.A2(u_top_u_core_csr_depc[10]),
-	.B1(n_13340),
-	.B2(u_top_u_core_csr_mepc[10]),
-	.X(n_1345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g399361 (
-	.A1(FE_OFN1873_u_top_u_core_pc_set),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [1]),
-	.B1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q [1]),
-	.Y(n_1344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_0 g399362 (
-	.A1(n_1035),
-	.A2(n_13295),
-	.B1(n_623),
-	.Y(n_1343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g399363 (
-	.A1(FE_OFN1043_n_13297),
-	.A2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
-	.Y(n_1342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399364 (
-	.A1_N(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.A2_N(n_13249),
-	.B1(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B2(n_13249),
-	.Y(n_1341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g399365 (
-	.A1(FE_OCPN16335_n_13299),
-	.A2(FE_OFN1535_n_13056),
-	.B1(n_1087),
-	.Y(n_1340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g399366 (
-	.A1(FE_OFN1043_n_13297),
-	.A2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
-	.Y(n_1339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g399367 (
-	.A1(FE_OFN1043_n_13297),
-	.A2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
-	.B1(n_13298),
-	.B2(\iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
-	.Y(n_1338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 g399368 (
-	.A1_N(\u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
-	.A2_N(n_13259),
-	.B1(u_top_u_core_id_stage_i_lsu_req_dec),
-	.B2(n_13259),
-	.Y(n_1337), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g399369 (
-	.A1(u_top_u_core_alu_operand_b_ex[0]),
-	.A2(n_13330),
-	.B1(FE_OFN1535_n_13056),
-	.B2(n_13329),
-	.Y(n_1336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g399370 (
-	.A_N(u_top_u_core_multdiv_operator_ex[0]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.C(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
-	.Y(n_1335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g399371 (
-	.A1(n_214),
-	.A2(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
-	.B1(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B2(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
-	.Y(n_1334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g399372 (
-	.A1(n_13276),
-	.A2(n_569),
-	.B1(n_1311),
-	.Y(n_1333), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g399373 (
-	.A1(n_13275),
-	.A2(n_589),
-	.B1(n_1312),
-	.Y(n_1332), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g399374 (
-	.A1(n_13364),
-	.A2(n_557),
-	.B1_N(n_1296),
-	.Y(n_1331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g399375 (
-	.A1(FE_OFN1535_n_13056),
-	.A2(n_182),
-	.B1(n_1168),
-	.Y(n_1763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g399376 (
-	.A1(FE_OFN1535_n_13056),
-	.A2(n_218),
-	.B1(n_1182),
-	.Y(n_1761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g399377 (
-	.A1(n_609),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [8]),
-	.B1_N(n_1319),
-	.Y(n_1760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g399378 (
-	.A1(n_609),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [4]),
-	.B1_N(n_15746),
-	.Y(n_1759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399379 (
-	.A(n_1194),
-	.B(n_15745),
-	.Y(n_1758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_1 g399380 (
-	.A1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [2]),
-	.A2(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.B1(n_13333),
-	.B2(n_609),
-	.Y(n_1757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399381 (
-	.A(n_1222),
-	.B(n_15747),
-	.Y(n_1756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_1 g399382 (
-	.A1(n_609),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [6]),
-	.B1_N(n_15748),
-	.Y(n_1755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399383 (
-	.A(n_1315),
-	.B(n_1231),
-	.Y(n_1754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399384 (
-	.A(n_1225),
-	.B(n_15749),
-	.Y(n_1753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g399385 (
-	.A1(n_13330),
-	.A2(FE_OFN1535_n_13056),
-	.B1(n_15743),
-	.Y(n_1752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399386 (
-	.A(n_180),
-	.B(n_1104),
-	.Y(n_1751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399388 (
-	.A(n_1313),
-	.B(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_1749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399389 (
-	.A(n_1271),
-	.B(FE_OFN25_system_rst_ni),
-	.Y(n_1748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399390 (
-	.A(n_1274),
-	.B(FE_OFN86_system_rst_ni),
-	.Y(n_1747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g399391 (
-	.A1(n_605),
-	.A2(iccm_adapter_inst_mem_u_rspfifo_n_390),
-	.B1(n_13250),
-	.Y(n_1746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g399392 (
-	.A(n_13732),
-	.B(n_11280),
-	.C_N(u_top_u_core_id_stage_i_imm_a_mux_sel),
-	.Y(n_1745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g399393 (
-	.A1(FE_OCPN16286_u_top_u_core_alu_operand_b_ex_2),
-	.A2(n_13239),
-	.B1_N(u_top_u_core_instr_first_cycle_id),
-	.Y(n_1743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g399394 (
-	.A1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q ),
-	.A2(FE_OFN1873_u_top_u_core_pc_set),
-	.B1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.Y(n_1742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399395 (
-	.A(n_1298),
-	.B(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_1740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g399396 (
-	.A_N(n_1094),
-	.B(u_uart_u_uart_core_rx_buffer_size[6]),
-	.Y(n_1739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399398 (
-	.A(n_307),
-	.B(n_1294),
-	.Y(n_1737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g399399 (
-	.A(n_1081),
-	.B(n_13231),
-	.C(n_13223),
-	.X(n_1736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g399400 (
-	.A_N(u_top_u_core_load_store_unit_i_data_type_q[1]),
-	.B(u_top_u_core_load_store_unit_i_data_type_q[0]),
-	.C(n_11284),
-	.Y(n_1734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399401 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.B_N(n_1323),
-	.Y(n_1733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g399402 (
-	.A(n_608),
-	.B(u_top_u_core_load_store_unit_i_data_type_q[0]),
-	.C(u_top_u_core_load_store_unit_i_data_type_q[1]),
-	.Y(n_1732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g399403 (
-	.A1(n_603),
-	.A2(n_13214),
-	.B1(n_1029),
-	.B2(n_13215),
-	.X(n_1730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o22a_1 g399404 (
-	.A1(n_602),
-	.A2(n_13214),
-	.B1(n_1031),
-	.B2(n_13215),
-	.X(n_1729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g399405 (
-	.A(n_13195),
-	.B(n_13293),
-	.C(n_13252),
-	.Y(n_1728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g399406 (
-	.A_N(dccm_adapter_data_csbD),
-	.B(FE_OFN16752_dccm_adapter_data_weD),
-	.C(n_13261),
-	.Y(n_1727), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g399407 (
-	.A1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata [1]),
-	.A2(n_611),
-	.B1(n_13400),
-	.B2(u_top_u_core_pc_if[1]),
-	.Y(n_1726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g399408 (
-	.A_N(u_tcam_n_26),
-	.B(u_tcam_n_27),
-	.C(n_13218),
-	.Y(n_1724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g399409 (
-	.A1(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata [0]),
-	.A2(n_611),
-	.B1(n_13399),
-	.B2(u_top_u_core_pc_if[1]),
-	.Y(n_1722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g399410 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
-	.B(n_1102),
-	.Y(n_1720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399411 (
-	.A(n_183),
-	.B(n_1103),
-	.Y(n_1718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g399412 (
-	.A(n_231),
-	.B(\uart_to_xbar[d_opcode] [0]),
-	.C(main_swith_host_lsu_dev_select_outstanding[1]),
-	.X(n_1716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399413 (
-	.A(n_1250),
-	.B(n_1257),
-	.Y(n_1715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399414 (
-	.A(n_1282),
-	.B(n_183),
-	.Y(n_1712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399415 (
-	.A(n_1077),
-	.B(n_1089),
-	.Y(n_1711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399416 (
-	.A(n_1078),
-	.B(n_1089),
-	.Y(n_1709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399418 (
-	.A(n_1263),
-	.B(n_1091),
-	.Y(n_1705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g399420 (
-	.A(n_1077),
-	.B(n_1091),
-	.Y(n_1701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399421 (
-	.A(n_1077),
-	.B(n_1083),
-	.Y(n_1699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399422 (
-	.A(n_1078),
-	.B(n_1091),
-	.Y(n_1697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399423 (
-	.A(n_1078),
-	.B(n_1083),
-	.Y(n_1695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g399424 (
-	.A(n_1078),
-	.B(n_1086),
-	.Y(n_1693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g399425 (
-	.A(n_1077),
-	.B(n_1086),
-	.Y(n_1691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g399426 (
-	.A(n_1090),
-	.B(n_1268),
-	.Y(n_1689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399427 (
-	.A(n_1263),
-	.B(n_1086),
-	.Y(n_1687), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g399428 (
-	.A1(n_623),
-	.A2(u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
-	.B1(n_1292),
-	.Y(n_1685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g399430 (
-	.A(n_612),
-	.B(n_13239),
-	.C(n_15750),
-	.Y(n_1682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g399431 (
-	.A(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.B(n_15685),
-	.X(n_1681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g399433 (
-	.A(n_13239),
-	.B(n_13227),
-	.C(n_13226),
-	.Y(n_1678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399434 (
-	.A(n_1169),
-	.B(n_1241),
-	.Y(n_1676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399435 (
-	.A(n_1146),
-	.B(u_uart_u_uart_core_read_fifo_raddr[5]),
-	.Y(n_1675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399436 (
-	.A(n_1146),
-	.B(n_178),
-	.Y(n_1674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g399437 (
-	.A(n_1145),
-	.B(n_178),
-	.Y(n_1673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g399438 (
-	.A(n_1145),
-	.B(u_uart_u_uart_core_read_fifo_raddr[5]),
-	.Y(n_1672), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g399439 (
-	.A(n_1320),
-	.B(n_178),
-	.Y(n_1671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399440 (
-	.A(n_1256),
-	.B(FE_OFN1618_n_1243),
-	.Y(n_1670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399441 (
-	.A(n_1256),
-	.B(FE_OFN1617_n_1061),
-	.Y(n_1669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g399442 (
-	.A(u_top_u_core_csr_save_cause),
-	.B(n_1216),
-	.X(n_1668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g399443 (
-	.A(n_1150),
-	.B(n_177),
-	.X(n_1568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399444 (
-	.A(n_177),
-	.B(n_1144),
-	.Y(n_1330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399445 (
-	.A(u_uart_u_uart_core_read_fifo_raddr[2]),
-	.B(n_1144),
-	.Y(n_1459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g399446 (
-	.A(n_1150),
-	.B(u_uart_u_uart_core_read_fifo_raddr[2]),
-	.X(n_1393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g399447 (
-	.A(n_1326),
-	.Y(n_1327), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399449 (
-	.A(n_1302),
-	.Y(n_1301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399450 (
-	.A(n_1288),
-	.Y(n_1287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399451 (
-	.A(n_1285),
-	.Y(n_1284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399453 (
-	.A(n_1280),
-	.Y(n_1279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g399454 (
-	.A(n_1278),
-	.Y(n_1277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399455 (
-	.A(n_1267),
-	.Y(n_1266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g399456 (
-	.A(n_1265),
-	.Y(n_1264), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399458 (
-	.A(n_1260),
-	.Y(n_1261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399459 (
-	.A(n_1259),
-	.Y(n_1258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399460 (
-	.A(n_1256),
-	.Y(n_1255), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399461 (
-	.A(n_1251),
-	.Y(n_1250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399462 (
-	.A(FE_OFN1306_n_1247),
-	.Y(n_1246), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399464 (
-	.A(n_1242),
-	.Y(n_1241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 g399465 (
-	.A(FE_OFN1461_n_1240),
-	.Y(n_1239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 g399466 (
-	.A(FE_OFN1458_n_1238),
-	.Y(n_1237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 g399467 (
-	.A(FE_OFN1455_n_1236),
-	.Y(n_1235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399468 (
-	.A(FE_OFN1451_n_1234),
-	.Y(n_1233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399469 (
-	.A(FE_OFN1039_n_13259),
-	.B(u_top_u_core_rf_wdata_fwd_wb[28]),
-	.Y(n_1232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399473 (
-	.A(n_609),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [9]),
-	.Y(n_1231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399474 (
-	.A(n_13534),
-	.B(n_13290),
-	.Y(n_1230), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399475 (
-	.A(n_13733),
-	.B(u_top_u_core_load_store_unit_i_data_we_q),
-	.Y(n_1229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399476 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [10]),
-	.Y(n_1228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399477 (
-	.A(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(n_1227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399478 (
-	.A(FE_OFN1039_n_13259),
-	.B(u_top_u_core_rf_wdata_fwd_wb[27]),
-	.Y(n_1226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399479 (
-	.A(n_609),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [7]),
-	.Y(n_1225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399480 (
-	.A(u_uart_u_uart_core_n_196),
-	.B_N(u_uart_u_uart_core_tx_done),
-	.Y(n_1224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399481 (
-	.A(FE_OFN1039_n_13259),
-	.B(u_top_u_core_rf_wdata_fwd_wb[5]),
-	.Y(n_1223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399482 (
-	.A(n_609),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [5]),
-	.Y(n_1222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399484 (
-	.A(u_top_u_core_alu_operand_b_ex[26]),
-	.B(n_13325),
-	.Y(n_1220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399485 (
-	.A(n_13751),
-	.B(\uart_to_xbar[d_data] [2]),
-	.Y(n_1219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399486 (
-	.A(u_top_u_core_alu_operand_b_ex[29]),
-	.B(n_13328),
-	.Y(n_1218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399487 (
-	.A(u_top_u_core_alu_operand_b_ex[9]),
-	.B(n_13308),
-	.Y(n_1217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399488 (
-	.A(u_top_u_core_debug_mode),
-	.B(u_top_u_core_debug_csr_save),
-	.Y(n_1216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399489 (
-	.A(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B(intr_u_rx),
-	.Y(n_1215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399490 (
-	.A(FE_OFN15986_u_top_u_core_alu_operand_b_ex_10),
-	.B(n_13309),
-	.Y(n_1214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399491 (
-	.A(FE_OFN1039_n_13259),
-	.B(u_top_u_core_rf_wdata_fwd_wb[26]),
-	.Y(n_1213), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399492 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [11]),
-	.Y(n_1212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399493 (
-	.A(iccm_ctrl_we),
-	.B(n_13750),
-	.Y(n_1211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399494 (
-	.A(FE_OFN15970_u_top_u_core_alu_operand_b_ex_5),
-	.B(FE_PSN3876_n_13304),
-	.Y(n_1210), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399495 (
-	.A(u_top_u_core_alu_operand_b_ex[27]),
-	.B(n_13326),
-	.Y(n_1209), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399496 (
-	.A(u_top_u_core_alu_operand_b_ex[31]),
-	.B(n_13330),
-	.Y(n_1208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399498 (
-	.A(FE_OFN1039_n_13259),
-	.B(u_top_u_core_rf_wdata_fwd_wb[1]),
-	.Y(n_1206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399499 (
-	.A(u_uart_u_uart_core_rx_done),
-	.B(u_uart_u_uart_core_rx_buffer_size[0]),
-	.Y(n_1205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399500 (
-	.A(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(n_1204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399501 (
-	.A(u_tcam_n_26),
-	.B_N(u_tcam_n_27),
-	.Y(n_1203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399502 (
-	.A(u_top_u_core_alu_operand_b_ex[13]),
-	.B(n_13312),
-	.Y(n_1202), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399503 (
-	.A(FE_OFN15985_u_top_u_core_alu_operand_b_ex_6),
-	.B(n_13305),
-	.Y(n_1201), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399504 (
-	.A(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Y(n_1200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399505 (
-	.A(n_13259),
-	.B(u_top_u_core_ready_wb),
-	.Y(n_1199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399506 (
-	.A(u_top_u_core_alu_operand_b_ex[12]),
-	.B(n_13311),
-	.Y(n_1198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399507 (
-	.A(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.B(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
-	.Y(n_1197), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399508 (
-	.A(FE_OFN15861_u_top_u_core_alu_operand_b_ex_11),
-	.B(FE_OFN1887_n_13310),
-	.Y(n_1196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399509 (
-	.A(u_top_u_core_pc_mux_id[2]),
-	.B_N(u_top_u_core_priv_mode_id[0]),
-	.Y(n_1195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399510 (
-	.A(n_609),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [3]),
-	.Y(n_1194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399511 (
-	.A(u_top_u_core_alu_operand_b_ex[24]),
-	.B(n_13323),
-	.Y(n_1193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399512 (
-	.A(u_top_u_core_pc_mux_id[2]),
-	.B_N(u_top_u_core_priv_mode_id[1]),
-	.Y(n_1192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399513 (
-	.A(n_15740),
-	.B(n_13268),
-	.Y(n_1191), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399514 (
-	.A(FE_OFN1039_n_13259),
-	.B(u_top_u_core_rf_wdata_fwd_wb[24]),
-	.Y(n_1190), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399515 (
-	.A(FE_OFN1039_n_13259),
-	.B(u_top_u_core_rf_wdata_fwd_wb[25]),
-	.Y(n_1189), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399516 (
-	.A(u_top_u_core_alu_operand_b_ex[25]),
-	.B(n_13324),
-	.Y(n_1188), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399517 (
-	.A(u_top_u_core_alu_operand_b_ex[28]),
-	.B(n_13327),
-	.Y(n_1187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399518 (
-	.A(n_13217),
-	.B(n_13277),
-	.Y(n_1186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g399519 (
-	.A_N(n_13288),
-	.B(FE_OFN17002_xbar_to_lsu_d_valid),
-	.Y(n_1329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399520 (
-	.A(\uart_to_xbar[d_valid] ),
-	.B(n_172),
-	.Y(n_1185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g399522 (
-	.A_N(u_uart_u_uart_core_rx_sbit),
-	.B(u_uart_u_uart_core_rx_time_n_217),
-	.Y(n_1326), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g399523 (
-	.A_N(n_13246),
-	.B(u_tcam_u_tcam_adapter_u_sramreqfifo_n_69),
-	.Y(n_1325), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399524 (
-	.A(u_uart_u_uart_core_read_fifo_raddr[1]),
-	.B(u_uart_u_uart_core_read_fifo_raddr[0]),
-	.Y(n_1324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399525 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
-	.B(n_280),
-	.Y(n_1323), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399526 (
-	.A(FE_OFN16796_n_13701),
-	.B(FE_OCPN16835_u_top_u_core_multdiv_operand_b_ex_0),
-	.Y(n_1322), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399528 (
-	.A(u_top_u_core_instr_first_cycle_id),
-	.B(FE_OFN1535_n_13056),
-	.Y(n_1184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399529 (
-	.A(u_uart_u_uart_core_read_fifo_raddr[4]),
-	.B(u_uart_u_uart_core_read_fifo_raddr[3]),
-	.Y(n_1320), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399530 (
-	.A(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.B(n_13331),
-	.Y(n_1319), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399533 (
-	.A(u_uart_u_uart_core_read_fifo_raddr[0]),
-	.B(u_uart_u_uart_core_read_fifo_raddr[1]),
-	.Y(n_1316), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399534 (
-	.A(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.B(n_13332),
-	.Y(n_1315), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399536 (
-	.A(n_13250),
-	.B_N(n_13248),
-	.Y(n_1313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399537 (
-	.A(n_589),
-	.B(n_13275),
-	.Y(n_1312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399538 (
-	.A(n_569),
-	.B(n_13276),
-	.Y(n_1311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399539 (
-	.A(u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
-	.B(u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
-	.Y(n_1310), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399540 (
-	.A(u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
-	.B(u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
-	.Y(n_1309), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399541 (
-	.A(u_uart_u_uart_core_rx_buffer_size[4]),
-	.B(u_uart_u_uart_core_rx_buffer_size[5]),
-	.Y(n_1308), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399542 (
-	.A(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_1307), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399543 (
-	.A(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_1306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399544 (
-	.A(main_swith_host_lsu_num_req_outstanding[0]),
-	.B(main_swith_host_lsu_num_req_outstanding[1]),
-	.Y(n_1305), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399545 (
-	.A(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_1304), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399546 (
-	.A(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_1303), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399547 (
-	.A(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_1302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399548 (
-	.A(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_1300), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399549 (
-	.A(FE_OFN1776_n_13259),
-	.B(u_top_u_core_id_stage_i_imm_a_mux_sel),
-	.Y(n_1299), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399550 (
-	.A(n_13275),
-	.B_N(n_13220),
-	.Y(n_1298), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g399551 (
-	.A_N(u_uart_u_uart_core_read_fifo_buffer_full_217),
-	.B(u_uart_u_uart_core_rx_done),
-	.Y(n_1297), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g399552 (
-	.A_N(n_13364),
-	.B(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_1296), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399553 (
-	.A(u_uart_u_uart_core_read_fifo_raddr[6]),
-	.B(u_uart_u_uart_core_read_fifo_raddr[7]),
-	.Y(n_1295), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g399554 (
-	.A_N(n_13276),
-	.B(n_13219),
-	.Y(n_1294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399555 (
-	.A(u_uart_u_uart_core_rx_time_state_reg[0]),
-	.B(n_610),
-	.Y(n_1293), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399556 (
-	.A(n_13196),
-	.B(FE_OFN1774_n_623),
-	.Y(n_1292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399557 (
-	.A(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(n_1291), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399558 (
-	.A(u_top_u_core_load_store_unit_i_data_type_q[1]),
-	.B(n_11284),
-	.Y(n_1290), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399559 (
-	.A(n_13245),
-	.B_N(dccm_adapter_data_mem_u_sramreqfifo_n_69),
-	.Y(n_1289), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399560 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.B(FE_OCPN3494_n_13197),
-	.Y(n_1288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399562 (
-	.A(n_13665),
-	.B(u_top_u_core_multdiv_signed_mode_ex[1]),
-	.Y(n_1285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399563 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
-	.Y(n_1283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399564 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
-	.Y(n_1282), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399565 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
-	.Y(n_1280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399566 (
-	.A(u_top_u_core_multdiv_operator_ex[0]),
-	.B(n_197),
-	.Y(n_1278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399567 (
-	.A(FE_OFN1812_u_iccm_n_193),
-	.B_N(FE_OFN1045_n_13600),
-	.Y(n_1276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_4 g399568 (
-	.A(FE_OFN1869_n_10795),
-	.B_N(FE_OFN1045_n_13600),
-	.Y(n_1275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g399569 (
-	.A_N(\xbar_to_tcam[a_valid] ),
-	.B(\tcam_to_xbar[a_ready] ),
-	.Y(n_1274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399570 (
-	.A(FE_OFN1812_u_iccm_n_193),
-	.B_N(FE_OFN1044_n_13599),
-	.Y(n_1273), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399571 (
-	.A(FE_OFN1861_n_10832),
-	.B_N(FE_OFN1044_n_13599),
-	.Y(n_1272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g399572 (
-	.A_N(\xbar_to_dccm[a_valid] ),
-	.B(\dccm_to_xbar[a_ready] ),
-	.Y(n_1271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399573 (
-	.A(FE_OFN1868_n_10795),
-	.B_N(FE_OFN1044_n_13599),
-	.Y(n_1270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399574 (
-	.A(FE_OFN1848_n_10794),
-	.B_N(FE_OFN1044_n_13599),
-	.Y(n_1269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399575 (
-	.A(u_uart_u_uart_core_rx_buffer_size[0]),
-	.B(u_uart_u_uart_core_rx_buffer_size[1]),
-	.Y(n_1268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399576 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
-	.Y(n_1267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399577 (
-	.A(n_727),
-	.B(n_13364),
-	.Y(n_1265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399578 (
-	.A(u_uart_u_uart_core_rx_buffer_size[0]),
-	.B(u_uart_u_uart_core_rx_buffer_size[1]),
-	.Y(n_1263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399579 (
-	.A(u_top_u_core_rf_waddr_wb[1]),
-	.B(FE_OFN1852_u_top_u_core_rf_waddr_wb_2),
-	.Y(n_1260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399580 (
-	.A(n_13731),
-	.B(u_top_u_core_multdiv_signed_mode_ex[0]),
-	.Y(n_1259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g399581 (
-	.A(FE_OFN17002_xbar_to_lsu_d_valid),
-	.B(FE_OFN1774_n_623),
-	.Y(n_1257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g399582 (
-	.A(n_13207),
-	.B(u_top_u_core_illegal_csr_insn_id),
-	.Y(n_1256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g399583 (
-	.A(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.B(n_13340),
-	.X(n_1254), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g399584 (
-	.A(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.B(n_13339),
-	.X(n_1253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g399585 (
-	.A(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.B(n_13341),
-	.X(n_1252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399586 (
-	.A(FE_OFN1774_n_623),
-	.B(FE_OFN17002_xbar_to_lsu_d_valid),
-	.Y(n_1251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399587 (
-	.A(u_uart_u_uart_core_n_9),
-	.B(n_13253),
-	.Y(n_1249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g399588 (
-	.A(u_top_u_core_csr_op[0]),
-	.B(u_top_u_core_csr_op[1]),
-	.Y(n_1248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399589 (
-	.A(u_top_u_core_id_stage_i_imm_a_mux_sel),
-	.B(n_13259),
-	.Y(n_1247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399590 (
-	.A(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.B_N(u_uart_u_uart_core_rx_time_state_reg[0]),
-	.Y(n_1245), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399591 (
-	.A(n_13239),
-	.B(n_13221),
-	.Y(n_1243), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g399592 (
-	.A(u_top_u_core_csr_save_cause),
-	.B(u_top_u_core_debug_csr_save),
-	.X(n_1242), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g399593 (
-	.A(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.B(u_uart_u_uart_core_rx[5]),
-	.Y(n_1240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g399594 (
-	.A(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.B(u_uart_u_uart_core_rx[1]),
-	.Y(n_1238), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g399595 (
-	.A(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.B(u_uart_u_uart_core_rx[4]),
-	.Y(n_1236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g399596 (
-	.A(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.B(u_uart_u_uart_core_rx[6]),
-	.Y(n_1234), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399597 (
-	.A(n_15789),
-	.Y(n_1097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399598 (
-	.A(n_1096),
-	.Y(n_1095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399599 (
-	.A(n_1091),
-	.Y(n_1090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g399600 (
-	.A(n_1089),
-	.Y(n_1088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g399603 (
-	.A(n_1075),
-	.Y(n_1074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g399604 (
-	.A(n_1073),
-	.Y(n_1072), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g399605 (
-	.A(n_1068),
-	.Y(n_1067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399606 (
-	.A(n_1065),
-	.Y(n_1066), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399607 (
-	.A(FE_OFN929_n_1064),
-	.Y(n_1063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399608 (
-	.A(FE_OFN1616_n_1060),
-	.Y(n_1059), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 g399609 (
-	.A(FE_OFN1449_n_1058),
-	.Y(n_1057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 g399610 (
-	.A(FE_OFN1445_n_1056),
-	.Y(n_1055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g399611 (
-	.A(FE_OFN1442_n_1054),
-	.Y(n_1053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399614 (
-	.A(u_top_u_core_id_stage_i_mult_en_dec),
-	.B(u_top_u_core_id_stage_i_div_en_dec),
-	.Y(n_1050), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399615 (
-	.A(n_13313),
-	.B(u_top_u_core_alu_operand_b_ex[14]),
-	.Y(n_1049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399616 (
-	.A(n_13314),
-	.B(FE_PSN3881_u_top_u_core_alu_operand_b_ex_15),
-	.Y(n_1048), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399617 (
-	.A(n_13751),
-	.B(\uart_to_xbar[d_data] [0]),
-	.Y(n_1047), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399618 (
-	.A(n_13751),
-	.B(\uart_to_xbar[d_data] [7]),
-	.Y(n_1046), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399619 (
-	.A(dccm_adapter_data_csbD),
-	.B_N(FE_OFN16752_dccm_adapter_data_weD),
-	.Y(n_1045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399620 (
-	.A(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Y(n_1044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399622 (
-	.A(n_13208),
-	.B(u_uart_we),
-	.Y(n_1042), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399624 (
-	.A(n_11181),
-	.B(u_top_u_core_alu_operator_ex[0]),
-	.Y(n_1183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399625 (
-	.A(n_13329),
-	.B(FE_OFN1535_n_13056),
-	.Y(n_1182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399627 (
-	.A(n_13327),
-	.B(FE_OFN1535_n_13056),
-	.Y(n_1180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399628 (
-	.A(n_13325),
-	.B(FE_OFN1535_n_13056),
-	.Y(n_1179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399630 (
-	.A(FE_OFN1535_n_13056),
-	.B(n_13317),
-	.Y(n_1177), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399631 (
-	.A(FE_OFN1535_n_13056),
-	.B(FE_OCPN16831_n_13300),
-	.Y(n_1176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399632 (
-	.A(FE_OFN1535_n_13056),
-	.B(n_13320),
-	.Y(n_1175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399633 (
-	.A(n_11181),
-	.B(FE_OFN1815_u_top_u_core_alu_operator_ex_2),
-	.Y(n_1174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399634 (
-	.A(n_13322),
-	.B(FE_OFN1535_n_13056),
-	.Y(n_1173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399635 (
-	.A(FE_OFN1535_n_13056),
-	.B(n_13318),
-	.Y(n_1172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399637 (
-	.A(u_uart_u_uart_core_read_fifo_raddr[3]),
-	.B(u_uart_u_uart_core_read_fifo_raddr[4]),
-	.Y(n_1170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399638 (
-	.A(u_top_u_core_csr_save_id),
-	.B_N(u_top_u_core_csr_save_if),
-	.Y(n_1169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g399639 (
-	.A_N(intr_u_rx),
-	.B(u_uart_u_uart_core_rx_clr),
-	.Y(n_1040), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399640 (
-	.A(n_13328),
-	.B(FE_OFN1535_n_13056),
-	.Y(n_1168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399641 (
-	.A(FE_OFN1535_n_13056),
-	.B(FE_OCPN16324_n_13301),
-	.Y(n_1167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399642 (
-	.A(n_13326),
-	.B(FE_OFN1535_n_13056),
-	.Y(n_1166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399643 (
-	.A(FE_OFN1535_n_13056),
-	.B(n_13312),
-	.Y(n_1165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399644 (
-	.A(FE_OFN1535_n_13056),
-	.B(n_13319),
-	.Y(n_1164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399645 (
-	.A(FE_OFN1535_n_13056),
-	.B(FE_PSN3875_n_13303),
-	.Y(n_1163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399646 (
-	.A(n_13313),
-	.B(FE_OFN1535_n_13056),
-	.Y(n_1162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399647 (
-	.A(FE_OFN1535_n_13056),
-	.B(n_13311),
-	.Y(n_1161), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399648 (
-	.A(n_13324),
-	.B(FE_OFN1535_n_13056),
-	.Y(n_1160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399649 (
-	.A(FE_OFN1535_n_13056),
-	.B(n_13316),
-	.Y(n_1159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399650 (
-	.A(FE_OFN1535_n_13056),
-	.B(n_13308),
-	.Y(n_1158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399651 (
-	.A(FE_OFN1535_n_13056),
-	.B(FE_OFN1887_n_13310),
-	.Y(n_1157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399652 (
-	.A(FE_OFN1535_n_13056),
-	.B(n_13314),
-	.Y(n_1156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399653 (
-	.A(FE_OFN1535_n_13056),
-	.B(FE_OCPN16287_n_13302),
-	.Y(n_1155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399654 (
-	.A(FE_OFN1535_n_13056),
-	.B(FE_PSN3876_n_13304),
-	.Y(n_1154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399655 (
-	.A(n_11181),
-	.B(n_767),
-	.Y(n_1153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399656 (
-	.A(FE_OFN1535_n_13056),
-	.B(FE_OCPN16341_n_13306),
-	.Y(n_1152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399657 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(FE_OCPN16335_n_13299),
-	.Y(n_1151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399658 (
-	.A(u_uart_u_uart_core_read_fifo_raddr[0]),
-	.B(n_701),
-	.Y(n_1150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399659 (
-	.A(FE_OFN1535_n_13056),
-	.B(n_13315),
-	.Y(n_1149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399660 (
-	.A(n_13321),
-	.B(FE_OFN1535_n_13056),
-	.Y(n_1148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399661 (
-	.A(n_13323),
-	.B(FE_OFN1535_n_13056),
-	.Y(n_1147), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399662 (
-	.A(u_uart_u_uart_core_read_fifo_raddr[3]),
-	.B(n_700),
-	.Y(n_1146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399663 (
-	.A(u_uart_u_uart_core_read_fifo_raddr[4]),
-	.B(n_261),
-	.Y(n_1145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399664 (
-	.A(n_701),
-	.B(u_uart_u_uart_core_read_fifo_raddr[0]),
-	.Y(n_1144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399665 (
-	.A(FE_OFN1535_n_13056),
-	.B(FE_OFN16760_n_13307),
-	.Y(n_1143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399666 (
-	.A(FE_OFN1535_n_13056),
-	.B(n_13309),
-	.Y(n_1142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399667 (
-	.A(n_13325),
-	.B(u_top_u_core_alu_operand_b_ex[0]),
-	.Y(n_1141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399668 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_13315),
-	.Y(n_1140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399669 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_13307),
-	.Y(n_1139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399670 (
-	.A(n_13324),
-	.B(u_top_u_core_alu_operand_b_ex[0]),
-	.Y(n_1138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399671 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_13314),
-	.Y(n_1137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399672 (
-	.A(n_13327),
-	.B(u_top_u_core_alu_operand_b_ex[0]),
-	.Y(n_1136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399673 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_13319),
-	.Y(n_1135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399674 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_13321),
-	.Y(n_1134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399675 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_13309),
-	.Y(n_1133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399676 (
-	.A(n_13323),
-	.B(u_top_u_core_alu_operand_b_ex[0]),
-	.Y(n_1132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399677 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_13311),
-	.Y(n_1131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399678 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_13313),
-	.Y(n_1130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399679 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_13303),
-	.Y(n_1129), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399680 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_13305),
-	.Y(n_1128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399681 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_13316),
-	.Y(n_1127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399682 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_13318),
-	.Y(n_1126), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399683 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_13320),
-	.Y(n_1125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399684 (
-	.A(n_13322),
-	.B(u_top_u_core_alu_operand_b_ex[0]),
-	.Y(n_1124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399685 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(FE_OFN1887_n_13310),
-	.Y(n_1123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399686 (
-	.A(n_13326),
-	.B(u_top_u_core_alu_operand_b_ex[0]),
-	.Y(n_1122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399687 (
-	.A(n_13328),
-	.B(u_top_u_core_alu_operand_b_ex[0]),
-	.Y(n_1121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399688 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(FE_OCPN16831_n_13300),
-	.Y(n_1120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399689 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(FE_PSN3876_n_13304),
-	.Y(n_1119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399690 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(FE_OCPN16341_n_13306),
-	.Y(n_1118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399691 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(FE_OCPN16287_n_13302),
-	.Y(n_1117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399692 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_13308),
-	.Y(n_1116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399693 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_13312),
-	.Y(n_1115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399694 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(FE_OCPN16324_n_13301),
-	.Y(n_1114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399695 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(n_13317),
-	.Y(n_1113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399696 (
-	.A(FE_OFN1535_n_13056),
-	.B(n_13305),
-	.Y(n_1112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399697 (
-	.A(FE_OFN851_xbar_to_dccm_a_address__3),
-	.B(n_179),
-	.Y(n_1111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399698 (
-	.A(u_top_u_core_alu_operand_b_ex[0]),
-	.B(FE_OCPN16286_u_top_u_core_alu_operand_b_ex_2),
-	.Y(n_1110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g399699 (
-	.A(u_uart_u_uart_core_rx_buffer_size[0]),
-	.B(u_uart_u_uart_core_rx_done),
-	.X(n_1109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399700 (
-	.A(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.B(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Y(n_1108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399701 (
-	.A(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.B(n_13264),
-	.Y(n_1107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399702 (
-	.A(u_top_u_core_alu_operand_b_ex[3]),
-	.B(u_top_u_core_alu_operand_b_ex[4]),
-	.Y(n_1106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399703 (
-	.A(FE_OFN1808_n_198),
-	.B(u_top_u_core_multdiv_operator_ex[0]),
-	.Y(n_1105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399704 (
-	.A(u_uart_u_uart_core_rx_buffer_size[4]),
-	.B(u_uart_u_uart_core_rx_buffer_size[5]),
-	.Y(n_1104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399705 (
-	.A(n_704),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
-	.Y(n_1103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399706 (
-	.A(n_277),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
-	.Y(n_1102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399707 (
-	.A(n_720),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
-	.Y(n_1101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399709 (
-	.A(n_698),
-	.B(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
-	.Y(n_1099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399711 (
-	.A(n_767),
-	.B(n_11181),
-	.Y(n_1096), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399712 (
-	.A(n_229),
-	.B(u_uart_u_uart_core_rx_buffer_size[5]),
-	.Y(n_1094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399713 (
-	.A(u_uart_u_uart_core_rx_buffer_size[5]),
-	.B(n_229),
-	.Y(n_1093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399714 (
-	.A(FE_OFN851_xbar_to_dccm_a_address__3),
-	.B(FE_OFN852_xbar_to_dccm_a_address__2),
-	.Y(n_1092), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399715 (
-	.A(u_uart_u_uart_core_rx_buffer_size[3]),
-	.B(n_625),
-	.Y(n_1091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399716 (
-	.A(n_173),
-	.B(n_625),
-	.Y(n_1089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399717 (
-	.A(FE_OFN1535_n_13056),
-	.B(FE_OCPN16335_n_13299),
-	.Y(n_1087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399718 (
-	.A(n_173),
-	.B(u_uart_u_uart_core_rx_buffer_size[2]),
-	.Y(n_1086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399719 (
-	.A(FE_OFN1535_n_13056),
-	.B(FE_OFN13725_n_15686),
-	.Y(n_1084), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399720 (
-	.A(u_uart_u_uart_core_rx_buffer_size[3]),
-	.B(u_uart_u_uart_core_rx_buffer_size[2]),
-	.Y(n_1083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399721 (
-	.A(n_181),
-	.B(u_top_u_core_alu_operand_b_ex[0]),
-	.Y(n_1081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399722 (
-	.A(FE_OFN1847_n_10794),
-	.B_N(FE_OFN1045_n_13600),
-	.Y(n_1080), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399723 (
-	.A(FE_OFN1861_n_10832),
-	.B_N(FE_OFN1045_n_13600),
-	.Y(n_1079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399724 (
-	.A(n_212),
-	.B(u_uart_u_uart_core_rx_buffer_size[0]),
-	.Y(n_1078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399725 (
-	.A(n_228),
-	.B(u_uart_u_uart_core_rx_buffer_size[1]),
-	.Y(n_1077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399726 (
-	.A(FE_OFN1852_u_top_u_core_rf_waddr_wb_2),
-	.B(u_top_u_core_rf_waddr_wb[1]),
-	.Y(n_1076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399727 (
-	.A(n_703),
-	.B(FE_OFN1852_u_top_u_core_rf_waddr_wb_2),
-	.Y(n_1075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399728 (
-	.A(n_262),
-	.B(u_top_u_core_rf_waddr_wb[1]),
-	.Y(n_1073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399729 (
-	.A(FE_OFN919_n_0),
-	.B(FE_OFN1062_u_top_data_we),
-	.Y(n_1071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g399730 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.B(u_top_u_core_pc_if[1]),
-	.X(n_1070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399731 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.B(n_611),
-	.Y(n_1069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399732 (
-	.A(n_186),
-	.B(u_top_u_core_pc_if[1]),
-	.Y(n_1068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399733 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [0]),
-	.B(u_top_u_core_pc_if[1]),
-	.Y(n_1065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g399734 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.B_N(n_611),
-	.Y(n_1064), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g399735 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.B(n_611),
-	.X(n_1062), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399736 (
-	.A(n_13228),
-	.B(n_13222),
-	.Y(n_1061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g399737 (
-	.A(n_13228),
-	.B(n_13221),
-	.Y(n_1060), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g399738 (
-	.A(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.B(u_uart_u_uart_core_rx[3]),
-	.Y(n_1058), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g399739 (
-	.A(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.B(u_uart_u_uart_core_rx[2]),
-	.Y(n_1056), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g399740 (
-	.A(FE_DBTN0_u_uart_u_uart_core_rx_fifo_clr),
-	.B(u_uart_u_uart_core_rx[0]),
-	.Y(n_1054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399741 (
-	.A(n_13274),
-	.Y(n_1039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399742 (
-	.A(iccm_adapter_inst_mem_u_sramreqfifo_n_52),
-	.Y(n_1038), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g399743 (
-	.A(iccm_ctrl_addr_o[8]),
-	.Y(n_1037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399744 (
-	.A(dccm_adapter_data_mem_u_rspfifo_n_125),
-	.Y(n_1036), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399745 (
-	.A(n_13287),
-	.Y(n_1035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g399746 (
-	.A(iccm_ctrl_addr_o[9]),
-	.Y(n_1034), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g399748 (
-	.A(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
-	.Y(n_1032), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g399749 (
-	.A(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]),
-	.Y(n_1031), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g399751 (
-	.A(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]),
-	.Y(n_1029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400012 (
-	.A(FE_OFN15854_u_top_u_core_alu_operand_b_ex_8),
-	.Y(n_768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400013 (
-	.A(u_top_u_core_alu_operator_ex[0]),
-	.Y(n_767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400020 (
-	.A(FE_OFN1550_n_13717),
-	.Y(n_760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400026 (
-	.A(n_13728),
-	.Y(n_754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400027 (
-	.A(n_13718),
-	.Y(n_753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400038 (
-	.A(FE_OFN1545_n_13707),
-	.Y(n_742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400042 (
-	.A(n_13726),
-	.Y(n_738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400043 (
-	.A(FE_OFN1547_n_13714),
-	.Y(n_737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400046 (
-	.A(n_13722),
-	.Y(n_734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400047 (
-	.A(FE_OFN1552_n_13720),
-	.Y(n_733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400056 (
-	.A(FE_OFN1551_n_13719),
-	.Y(n_724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400081 (
-	.A(FE_OCPN16335_n_13299),
-	.Y(n_699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400109 (
-	.A(n_13319),
-	.Y(n_671), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400110 (
-	.A(n_13305),
-	.Y(n_670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400111 (
-	.A(n_13308),
-	.Y(n_669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400112 (
-	.A(FE_OCPN16324_n_13301),
-	.Y(n_668), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400113 (
-	.A(n_13320),
-	.Y(n_667), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400115 (
-	.A(n_13315),
-	.Y(n_665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400117 (
-	.A(n_13325),
-	.Y(n_663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400118 (
-	.A(n_13318),
-	.Y(n_662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400119 (
-	.A(FE_PSN3876_n_13304),
-	.Y(n_661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400120 (
-	.A(n_13321),
-	.Y(n_660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400127 (
-	.A(n_13317),
-	.Y(n_653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g400128 (
-	.A(FE_OCPN16831_n_13300),
-	.Y(n_652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400129 (
-	.A(FE_OFN16760_n_13307),
-	.Y(n_651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400130 (
-	.A(n_13323),
-	.Y(n_650), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400131 (
-	.A(FE_OFN1062_u_top_data_we),
-	.Y(n_649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400132 (
-	.A(n_13313),
-	.Y(n_648), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400133 (
-	.A(FE_OCPN16287_n_13302),
-	.Y(n_647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400141 (
-	.A(n_13309),
-	.Y(n_639), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400142 (
-	.A(FE_PSN3875_n_13303),
-	.Y(n_638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400143 (
-	.A(n_13306),
-	.Y(n_637), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400148 (
-	.A(n_13327),
-	.Y(n_632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400149 (
-	.A(n_13322),
-	.Y(n_631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400167 (
-	.A(u_uart_u_uart_core_rx_fifo_rst),
-	.Y(n_613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g400168 (
-	.A(FE_OCPN16286_u_top_u_core_alu_operand_b_ex_2),
-	.Y(n_612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g400171 (
-	.A(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.Y(n_609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g400172 (
-	.A(n_11284),
-	.Y(n_608), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400174 (
-	.A(u_tcam_u_tcam_adapter_u_rspfifo_n_125),
-	.Y(n_606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400175 (
-	.A(iccm_adapter_inst_mem_u_rspfifo_n_108),
-	.Y(n_605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400176 (
-	.A(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
-	.Y(n_604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400177 (
-	.A(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
-	.Y(n_603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400178 (
-	.A(\iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
-	.Y(n_602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400182 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [10]),
-	.Y(n_598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400231 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [11]),
-	.Y(n_549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400278 (
-	.A(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
-	.Y(n_502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400444 (
-	.A(n_13725),
-	.Y(n_336), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400445 (
-	.A(n_13727),
-	.Y(n_335), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400451 (
-	.A(n_13729),
-	.Y(n_329), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400456 (
-	.A(FE_OFN1548_n_13715),
-	.Y(n_324), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400462 (
-	.A(FE_OFN1553_n_13721),
-	.Y(n_318), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400468 (
-	.A(FE_OFN1546_n_13713),
-	.Y(n_312), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400469 (
-	.A(n_13724),
-	.Y(n_311), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400474 (
-	.A(FE_OFN1549_n_13716),
-	.Y(n_306), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400488 (
-	.A(n_13730),
-	.Y(n_292), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400510 (
-	.A(FE_OFN1886_n_13712),
-	.Y(n_270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400515 (
-	.A(n_13723),
-	.Y(n_265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400523 (
-	.A(n_13402),
-	.Y(n_257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400547 (
-	.A(n_13731),
-	.Y(n_233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400548 (
-	.A(u_top_u_core_csr_save_cause),
-	.Y(n_232), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400562 (
-	.A(n_13330),
-	.Y(n_218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400573 (
-	.A(n_13316),
-	.Y(n_207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400575 (
-	.A(FE_OFN5763_lsu_to_xbar_a_address__31),
-	.Y(n_205), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400576 (
-	.A(n_13326),
-	.Y(n_204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400584 (
-	.A(n_13312),
-	.Y(n_196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g400585 (
-	.A(n_13311),
-	.Y(n_195), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400586 (
-	.A(n_13314),
-	.Y(n_194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400587 (
-	.A(n_13328),
-	.Y(n_193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g400595 (
-	.A(u_top_u_core_csr_restore_mret_id),
-	.Y(n_185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400596 (
-	.A(n_13324),
-	.Y(n_184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400598 (
-	.A(n_13329),
-	.Y(n_182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g400599 (
-	.A(FE_OFN13725_n_15686),
-	.Y(n_181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g400601 (
-	.A(FE_OFN852_xbar_to_dccm_a_address__2),
-	.Y(n_179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g400609 (
-	.A(n_13296),
-	.Y(n_171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g400610 (
-	.A(u_top_u_core_csr_op[1]),
-	.Y(n_170), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 inc_main_swith_host_lsu_add_105_52_g277 (
-	.A(main_swith_host_lsu_num_req_outstanding[16]),
-	.B(inc_main_swith_host_lsu_add_105_52_n_162),
-	.X(n_13749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_main_swith_host_lsu_add_105_52_g278 (
-	.A(main_swith_host_lsu_num_req_outstanding[15]),
-	.B(inc_main_swith_host_lsu_add_105_52_n_164),
-	.COUT(inc_main_swith_host_lsu_add_105_52_n_162),
-	.SUM(n_13748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_main_swith_host_lsu_add_105_52_g279 (
-	.A(main_swith_host_lsu_num_req_outstanding[14]),
-	.B(inc_main_swith_host_lsu_add_105_52_n_166),
-	.COUT(inc_main_swith_host_lsu_add_105_52_n_164),
-	.SUM(n_13747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_main_swith_host_lsu_add_105_52_g280 (
-	.A(main_swith_host_lsu_num_req_outstanding[13]),
-	.B(inc_main_swith_host_lsu_add_105_52_n_168),
-	.COUT(inc_main_swith_host_lsu_add_105_52_n_166),
-	.SUM(n_13746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_main_swith_host_lsu_add_105_52_g281 (
-	.A(main_swith_host_lsu_num_req_outstanding[12]),
-	.B(inc_main_swith_host_lsu_add_105_52_n_170),
-	.COUT(inc_main_swith_host_lsu_add_105_52_n_168),
-	.SUM(n_13745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_main_swith_host_lsu_add_105_52_g282 (
-	.A(main_swith_host_lsu_num_req_outstanding[11]),
-	.B(inc_main_swith_host_lsu_add_105_52_n_172),
-	.COUT(inc_main_swith_host_lsu_add_105_52_n_170),
-	.SUM(n_13744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_main_swith_host_lsu_add_105_52_g283 (
-	.A(main_swith_host_lsu_num_req_outstanding[10]),
-	.B(inc_main_swith_host_lsu_add_105_52_n_174),
-	.COUT(inc_main_swith_host_lsu_add_105_52_n_172),
-	.SUM(n_13743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_main_swith_host_lsu_add_105_52_g284 (
-	.A(main_swith_host_lsu_num_req_outstanding[9]),
-	.B(inc_main_swith_host_lsu_add_105_52_n_176),
-	.COUT(inc_main_swith_host_lsu_add_105_52_n_174),
-	.SUM(n_13742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_main_swith_host_lsu_add_105_52_g285 (
-	.A(main_swith_host_lsu_num_req_outstanding[8]),
-	.B(inc_main_swith_host_lsu_add_105_52_n_178),
-	.COUT(inc_main_swith_host_lsu_add_105_52_n_176),
-	.SUM(n_13741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_main_swith_host_lsu_add_105_52_g286 (
-	.A(main_swith_host_lsu_num_req_outstanding[7]),
-	.B(inc_main_swith_host_lsu_add_105_52_n_180),
-	.COUT(inc_main_swith_host_lsu_add_105_52_n_178),
-	.SUM(n_13740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_main_swith_host_lsu_add_105_52_g287 (
-	.A(main_swith_host_lsu_num_req_outstanding[6]),
-	.B(inc_main_swith_host_lsu_add_105_52_n_182),
-	.COUT(inc_main_swith_host_lsu_add_105_52_n_180),
-	.SUM(n_13739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_main_swith_host_lsu_add_105_52_g288 (
-	.A(main_swith_host_lsu_num_req_outstanding[5]),
-	.B(inc_main_swith_host_lsu_add_105_52_n_184),
-	.COUT(inc_main_swith_host_lsu_add_105_52_n_182),
-	.SUM(n_13738), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_main_swith_host_lsu_add_105_52_g289 (
-	.A(main_swith_host_lsu_num_req_outstanding[4]),
-	.B(inc_main_swith_host_lsu_add_105_52_n_186),
-	.COUT(inc_main_swith_host_lsu_add_105_52_n_184),
-	.SUM(n_13737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_main_swith_host_lsu_add_105_52_g290 (
-	.A(main_swith_host_lsu_num_req_outstanding[3]),
-	.B(inc_main_swith_host_lsu_add_105_52_n_188),
-	.COUT(inc_main_swith_host_lsu_add_105_52_n_186),
-	.SUM(n_13736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_main_swith_host_lsu_add_105_52_g291 (
-	.A(main_swith_host_lsu_num_req_outstanding[2]),
-	.B(inc_main_swith_host_lsu_add_105_52_n_190),
-	.COUT(inc_main_swith_host_lsu_add_105_52_n_188),
-	.SUM(n_13735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_main_swith_host_lsu_add_105_52_g292 (
-	.A(main_swith_host_lsu_num_req_outstanding[0]),
-	.B(main_swith_host_lsu_num_req_outstanding[1]),
-	.COUT(inc_main_swith_host_lsu_add_105_52_n_190),
-	.SUM(n_13734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 hi_fo_buf401462 (
-	.A(n_2134),
-	.Y(n_2133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 hi_fo_buf401477 (
-	.A(n_1876),
-	.Y(n_1889), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g401642 (
-	.A(u_top_u_core_exc_pc_mux_id[1]),
-	.B(n_13241),
-	.Y(n_15685), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_4 g401643 (
-	.A(n_12985),
-	.B(n_11643),
-	.C(n_11526),
-	.Y(n_15686), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_2 \u_top_u_core_if_stage_i_instr_rdata_id_o_reg[24]  (
-	.CLK(CTS_5),
-	.D(n_10637),
-	.Q(u_top_u_core_instr_rdata_id[24]),
-	.Q_N(n_11176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_2 \u_top_u_core_if_stage_i_instr_rdata_id_o_reg[19]  (
-	.CLK(CTS_10),
-	.D(n_10702),
-	.Q(u_top_u_core_instr_rdata_id[19]),
-	.Q_N(n_11175), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_2 \u_top_u_core_if_stage_i_instr_rdata_id_o_reg[18]  (
-	.CLK(CTS_10),
-	.D(FE_PSN3838_n_10745),
-	.Q(u_top_u_core_instr_rdata_id[18]),
-	.Q_N(n_11174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_1 \u_top_u_core_if_stage_i_instr_rdata_id_o_reg[16]  (
-	.CLK(CTS_10),
-	.D(FE_OFN1625_n_10705),
-	.Q(u_top_u_core_instr_rdata_id[16]),
-	.Q_N(n_11173), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_2 \u_top_u_core_if_stage_i_instr_rdata_id_o_reg[23]  (
-	.CLK(CTS_5),
-	.D(FE_OFN1531_n_10439),
-	.Q(u_top_u_core_instr_rdata_id[23]),
-	.Q_N(n_11172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[0]  (
-	.CLK(CTS_3),
-	.D(u_top_u_core_load_store_unit_i_n_939),
-	.Q(u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
-	.Q_N(n_10759),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_2 \u_top_u_core_if_stage_i_instr_rdata_id_o_reg[21]  (
-	.CLK(CTS_5),
-	.D(FE_OFN16161_n_10634),
-	.Q(u_top_u_core_instr_rdata_id[21]),
-	.Q_N(n_11156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_2 \u_top_u_core_if_stage_i_instr_rdata_id_o_reg[22]  (
-	.CLK(CTS_5),
-	.D(n_10476),
-	.Q(u_top_u_core_instr_rdata_id[22]),
-	.Q_N(n_11146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_2 \u_top_u_core_if_stage_i_instr_rdata_id_o_reg[20]  (
-	.CLK(CTS_5),
-	.D(FE_OFN1650_n_10636),
-	.Q(u_top_u_core_instr_rdata_id[20]),
-	.Q_N(n_11145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_2 \u_top_u_core_if_stage_i_instr_rdata_id_o_reg[15]  (
-	.CLK(CTS_10),
-	.D(FE_OFN1624_n_10638),
-	.Q(u_top_u_core_instr_rdata_id[15]),
-	.Q_N(n_11144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 u_top_u_core_load_store_unit_i_handle_misaligned_q_reg (
-	.CLK(CTS_3),
-	.D(n_3022),
-	.Q(u_top_u_core_load_store_unit_i_handle_misaligned_q),
-	.Q_N(n_10767),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q_reg  (
-	.CLK(CTS_2),
-	.D(n_3174),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.Q_N(n_10766),
-	.RESET_B(FE_OFN21_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[2]  (
-	.CLK(CTS_3),
-	.D(u_top_u_core_load_store_unit_i_n_937),
-	.Q(u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
-	.Q_N(n_10760),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_2 u_top_u_core_if_stage_i_instr_valid_id_q_reg (
-	.CLK(CTS_9),
-	.D(n_15760),
-	.Q(u_top_u_core_instr_valid_id),
-	.Q_N(n_1030),
-	.RESET_B(FE_OFN23_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 u_uart_u_uart_core_rx_en_reg (
-	.CLK(CTS_58),
-	.D(n_3051),
-	.Q(u_uart_u_uart_core_rx_en),
-	.Q_N(n_908),
-	.RESET_B(FE_OFN179_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q_reg[0]  (
-	.CLK(CTS_6),
-	.D(n_7705),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [0]),
-	.Q_N(n_727),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[4]  (
-	.CLK(CTS_4),
-	.D(n_8964),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
-	.Q_N(n_720),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \main_swith_host_lsu_dev_select_outstanding_reg[1]  (
-	.CLK(CTS_81),
-	.D(n_1363),
-	.Q(main_swith_host_lsu_dev_select_outstanding[1]),
-	.Q_N(n_710),
-	.RESET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[3]  (
-	.CLK(CTS_4),
-	.D(n_8817),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
-	.Q_N(n_704),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_read_fifo_raddr_reg[1]  (
-	.CLK(CTS_56),
-	.D(n_9128),
-	.Q(u_uart_u_uart_core_read_fifo_raddr[1]),
-	.Q_N(n_701),
-	.RESET_B(FE_OFN65_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_read_fifo_raddr_reg[4]  (
-	.CLK(CTS_60),
-	.D(n_10185),
-	.Q(u_uart_u_uart_core_read_fifo_raddr[4]),
-	.Q_N(n_700),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[0]  (
-	.CLK(CTS_4),
-	.D(n_8284),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
-	.Q_N(n_698),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[31]  (
-	.CLK(CTS_4),
-	.D(n_9354),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
-	.Q_N(n_697),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_83),
-	.D(n_7715),
-	.Q(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Q_N(n_693),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_87),
-	.D(n_3428),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Q_N(n_687),
-	.RESET_B(FE_OFN21_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_83),
-	.D(n_3450),
-	.Q(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Q_N(n_685),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_69),
-	.D(n_4238),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Q_N(n_675),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_82),
-	.D(n_4257),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Q_N(n_641),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_read_fifo_waddr_reg[2]  (
-	.CLK(CTS_31),
-	.D(n_8273),
-	.Q(u_uart_u_uart_core_rx_buffer_size[2]),
-	.Q_N(n_625),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[2]  (
-	.CLK(CTS_3),
-	.D(n_10756),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
-	.Q_N(n_616),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[1]  (
-	.CLK(CTS_6),
-	.D(n_9125),
-	.Q(u_top_u_core_pc_if[1]),
-	.Q_N(n_611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_state_reg_reg[1]  (
-	.CLK(CTS_74),
-	.D(n_15785),
-	.Q(u_uart_u_uart_core_rx_time_state_reg[1]),
-	.Q_N(n_610),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_69),
-	.D(n_1332),
-	.Q(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Q_N(n_589),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_81),
-	.D(n_1333),
-	.Q(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Q_N(n_569),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_94),
-	.D(n_1331),
-	.Q(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Q_N(n_557),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_81),
-	.D(n_2096),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Q_N(n_307),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_read_fifo_raddr_reg[8]  (
-	.CLK(CTS_60),
-	.D(n_10704),
-	.Q(u_uart_u_uart_core_read_fifo_raddr[8]),
-	.Q_N(n_302),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[5]  (
-	.CLK(CTS_7),
-	.D(n_10685),
-	.Q(u_top_u_core_csr_mstatus_mie),
-	.Q_N(n_301),
-	.RESET_B(FE_OFN83_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[1]  (
-	.CLK(CTS_3),
-	.D(n_10754),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.Q_N(n_280),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[1]  (
-	.CLK(CTS_4),
-	.D(n_8816),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
-	.Q_N(n_277),
-	.RESET_B(FE_OFN25_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q_reg[2]  (
-	.CLK(CTS_6),
-	.D(n_8812),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [1]),
-	.Q_N(n_276),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_read_fifo_raddr_reg[3]  (
-	.CLK(CTS_60),
-	.D(n_9969),
-	.Q(u_uart_u_uart_core_read_fifo_raddr[3]),
-	.Q_N(n_261),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_94),
-	.D(n_4061),
-	.Q(\iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.Q_N(n_245),
-	.RESET_B(FE_OFN3_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_83),
-	.D(n_4237),
-	.Q(\u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Q_N(n_239),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_82),
-	.D(n_4240),
-	.Q(\dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Q_N(n_235),
-	.RESET_B(FE_OFN84_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \main_swith_host_lsu_dev_select_outstanding_reg[0]  (
-	.CLK(CTS_81),
-	.D(n_1347),
-	.Q(main_swith_host_lsu_dev_select_outstanding[0]),
-	.Q_N(n_231),
-	.RESET_B(FE_OFN26_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_read_fifo_raddr_reg[7]  (
-	.CLK(CTS_60),
-	.D(n_10742),
-	.Q(u_uart_u_uart_core_read_fifo_raddr[7]),
-	.Q_N(n_230),
-	.RESET_B(FE_OFN18_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_read_fifo_waddr_reg[4]  (
-	.CLK(CTS_31),
-	.D(n_8804),
-	.Q(u_uart_u_uart_core_rx_buffer_size[4]),
-	.Q_N(n_229),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_read_fifo_waddr_reg[0]  (
-	.CLK(CTS_31),
-	.D(n_3066),
-	.Q(u_uart_u_uart_core_rx_buffer_size[0]),
-	.Q_N(n_228),
-	.RESET_B(FE_OFN162_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_87),
-	.D(n_3449),
-	.Q(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.Q_N(n_223),
-	.RESET_B(FE_OFN21_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_83),
-	.D(n_3427),
-	.Q(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.Q_N(n_214),
-	.RESET_B(FE_OFN183_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_read_fifo_waddr_reg[1]  (
-	.CLK(CTS_31),
-	.D(n_5664),
-	.Q(u_uart_u_uart_core_rx_buffer_size[1]),
-	.Q_N(n_212),
-	.RESET_B(FE_OFN161_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q_reg[1]  (
-	.CLK(CTS_6),
-	.D(n_8966),
-	.Q(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [0]),
-	.Q_N(n_186),
-	.RESET_B(FE_OFN5_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[2]  (
-	.CLK(CTS_4),
-	.D(n_8803),
-	.Q(\u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
-	.Q_N(n_183),
-	.RESET_B(FE_OFN85_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_read_fifo_waddr_reg[6]  (
-	.CLK(CTS_31),
-	.D(n_9124),
-	.Q(u_uart_u_uart_core_rx_buffer_size[6]),
-	.Q_N(n_180),
-	.RESET_B(FE_OFN80_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_read_fifo_raddr_reg[5]  (
-	.CLK(CTS_60),
-	.D(n_10445),
-	.Q(u_uart_u_uart_core_read_fifo_raddr[5]),
-	.Q_N(n_178),
-	.RESET_B(FE_OFN223_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_read_fifo_raddr_reg[2]  (
-	.CLK(CTS_56),
-	.D(n_9582),
-	.Q(u_uart_u_uart_core_read_fifo_raddr[2]),
-	.Q_N(n_177),
-	.RESET_B(FE_OFN65_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_read_fifo_waddr_reg[3]  (
-	.CLK(CTS_31),
-	.D(n_8818),
-	.Q(u_uart_u_uart_core_rx_buffer_size[3]),
-	.Q_N(n_173),
-	.RESET_B(FE_OFN76_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 reset_manager_rst_fq_reg (
-	.CLK(CTS_94),
-	.D(reset_manager_rst_q),
-	.Q(system_rst_ni),
-	.Q_N(n_0),
-	.RESET_B(FE_PDN17118_FE_OFN231_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g2 (
-	.A(n_15846),
-	.B(n_11277),
-	.X(n_15688), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401763 (
-	.A(n_15847),
-	.B(n_11210),
-	.X(n_15689), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401764 (
-	.A(n_15847),
-	.B(n_11211),
-	.X(n_15690), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401765 (
-	.A(n_15846),
-	.B(n_11211),
-	.X(n_15691), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401766 (
-	.A(n_15846),
-	.B(n_11210),
-	.X(n_15692), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g401767 (
-	.A(FE_RN_8),
-	.B(n_11367),
-	.C(n_11211),
-	.X(n_15693), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_2 g401768 (
-	.A(FE_RN_8),
-	.B(n_11367),
-	.C(n_11210),
-	.X(n_15694), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401769 (
-	.A(n_15844),
-	.B(n_11211),
-	.X(n_15695), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401770 (
-	.A(n_15847),
-	.B(n_11277),
-	.X(n_15696), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401771 (
-	.A(n_15844),
-	.B(n_11212),
-	.X(n_15697), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401773 (
-	.A(n_15849),
-	.B(n_11212),
-	.X(n_15699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401774 (
-	.A(n_15849),
-	.B(n_11210),
-	.X(n_15700), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401775 (
-	.A(n_15849),
-	.B(n_11211),
-	.X(n_15701), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g401776 (
-	.A(n_15848),
-	.B(n_11211),
-	.X(n_15702), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401777 (
-	.A(n_15848),
-	.B(n_11210),
-	.X(n_15703), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401778 (
-	.A(n_15719),
-	.B(n_11212),
-	.X(n_15704), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401779 (
-	.A(n_15845),
-	.B(n_11210),
-	.X(n_15705), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401780 (
-	.A(n_15845),
-	.B(n_11277),
-	.X(n_15706), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401782 (
-	.A(n_15719),
-	.B(n_11210),
-	.X(n_15708), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401783 (
-	.A(n_15845),
-	.B(n_11211),
-	.X(n_15709), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g401784 (
-	.A(FE_OCPN16569_FE_RN_8),
-	.B(n_11367),
-	.C(n_11277),
-	.X(n_15710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401785 (
-	.A(n_15846),
-	.B(n_11212),
-	.X(n_15711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401786 (
-	.A(n_15845),
-	.B(n_11212),
-	.X(n_15712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g401787 (
-	.A(FE_OFN15989_n_15734),
-	.B(n_11361),
-	.C(FE_OCPN16574_n_11215),
-	.X(n_15713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g401788 (
-	.A(FE_OFN15989_n_15734),
-	.B(n_11214),
-	.C(n_11361),
-	.X(n_15714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401789 (
-	.A(n_15850),
-	.B(n_11275),
-	.X(n_15715), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_2 g401790 (
-	.A(FE_OFN15989_n_15734),
-	.B(n_11362),
-	.C(FE_OCPN16574_n_11215),
-	.X(n_15716), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401791 (
-	.A(n_15850),
-	.B(FE_OCPN16574_n_11215),
-	.X(n_15717), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g401792 (
-	.A(FE_OFN15989_n_15734),
-	.B(n_11364),
-	.C(FE_OCPN16574_n_11215),
-	.X(n_15718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g401793 (
-	.A(n_15732),
-	.B(FE_PSN3878_n_11278),
-	.C(FE_OCPN16565_u_top_u_core_instr_rdata_id_15),
-	.X(n_15719), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401800 (
-	.A(n_15850),
-	.B(n_11224),
-	.X(n_15726), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g401802 (
-	.A(FE_OFN15989_n_15734),
-	.B(n_11362),
-	.C(n_11214),
-	.X(n_15728), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g401803 (
-	.A(n_11519),
-	.B(n_11224),
-	.X(n_15729), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_4 g401806 (
-	.A_N(n_13192),
-	.B(n_11396),
-	.Y(n_15732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401807 (
-	.A_N(n_11524),
-	.B(n_11521),
-	.Y(n_15733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_4 g401808 (
-	.A_N(n_13191),
-	.B(n_11396),
-	.Y(n_15734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401809 (
-	.A_N(FE_OFN16056_n),
-	.B(FE_OFN1545_n_13707),
-	.Y(n_15735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401810 (
-	.A_N(n_11393),
-	.B(n_13666),
-	.Y(n_15736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401811 (
-	.A_N(n_13666),
-	.B(u_top_u_core_multdiv_operator_ex[0]),
-	.Y(n_15737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401813 (
-	.A_N(u_top_u_core_id_stage_i_imm_b_mux_sel_dec[0]),
-	.B(n_11524),
-	.Y(n_15739), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401814 (
-	.A_N(u_top_u_core_alu_operator_ex[3]),
-	.B(n_679),
-	.Y(n_15740), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_4 g401815 (
-	.A_N(\u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
-	.B(n_10765),
-	.C(\u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-	.Y(n_15741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_4 g401816 (
-	.A(n_11382),
-	.B(u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]),
-	.X(n_15742), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401817 (
-	.A(FE_OFN1815_u_top_u_core_alu_operator_ex_2),
-	.B_N(n_11108),
-	.Y(n_15743), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_0 g401818 (
-	.A1(n_10983),
-	.A2(n_10982),
-	.B1_N(n_10998),
-	.Y(n_15744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401819 (
-	.A_N(FE_OFN16174_n_10955),
-	.B(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.Y(n_15745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401820 (
-	.A_N(FE_OFN16219_n_10954),
-	.B(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.Y(n_15746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401821 (
-	.A_N(n_10953),
-	.B(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.Y(n_15747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401822 (
-	.A_N(FE_OFN16546_n_10952),
-	.B(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.Y(n_15748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401823 (
-	.A_N(FE_OFN16175_n_10951),
-	.B(FE_OCPN16259_FE_OFN15956_u_top_u_core_pc_set),
-	.Y(n_15749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_2 g401824 (
-	.A(u_top_u_core_alu_operand_b_ex[3]),
-	.B(n_13231),
-	.C_N(n_10927),
-	.X(n_15750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g401825 (
-	.A1(n_10942),
-	.A2(n_623),
-	.B1_N(n_10860),
-	.Y(n_15751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g401826 (
-	.A(FE_OFN15985_u_top_u_core_alu_operand_b_ex_6),
-	.B(FE_OFN15861_u_top_u_core_alu_operand_b_ex_11),
-	.C(n_768),
-	.D_N(n_10837),
-	.Y(n_15752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401827 (
-	.A(n_13255),
-	.B_N(n_10805),
-	.Y(n_15753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g401828 (
-	.A(n_609),
-	.B(u_top_u_core_pc_mux_id[1]),
-	.C_N(n_10802),
-	.Y(n_15754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_1 g401829 (
-	.A_N(FE_OFN1060_n_16023),
-	.B(FE_OFN1061_n_16024),
-	.X(n_15755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401830 (
-	.A(u_top_u_core_load_store_unit_i_handle_misaligned_q),
-	.B_N(FE_OFN1060_n_16023),
-	.Y(n_15756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_1 g401831 (
-	.A_N(n_10196),
-	.B(n_9413),
-	.C(n_9316),
-	.X(n_15757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401832 (
-	.A(n_9242),
-	.B_N(n_9659),
-	.Y(n_15758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g401833 (
-	.A_N(n_9242),
-	.B(FE_OFN1623_n_9245),
-	.C(FE_OFN1595_n_8978),
-	.Y(n_15759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_1 g401834 (
-	.A1(n_1030),
-	.A2(u_top_u_core_instr_valid_clear),
-	.B1_N(FE_OFN1792_n_8201),
-	.Y(n_15760), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3_1 g401835 (
-	.A(n_8198),
-	.B(n_4264),
-	.C(n_4266),
-	.X(n_15761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401836 (
-	.A_N(n_6569),
-	.B(n_1278),
-	.Y(n_15762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_4 g401837 (
-	.A_N(n_1260),
-	.B(n_15766),
-	.X(n_15763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_2 g401838 (
-	.A_N(n_1260),
-	.B(n_5041),
-	.X(n_15764), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401839 (
-	.A_N(n_15769),
-	.B(FE_PSN3874_FE_OFN1859_u_top_u_core_rf_waddr_wb_4),
-	.Y(n_15765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401840 (
-	.A(FE_PSN3874_FE_OFN1859_u_top_u_core_rf_waddr_wb_4),
-	.B_N(n_3970),
-	.Y(n_15766), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401841 (
-	.A_N(n_3959),
-	.B(n_1268),
-	.Y(n_15767), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401842 (
-	.A(n_13289),
-	.B_N(n_3620),
-	.Y(n_15768), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401843 (
-	.A_N(n_15776),
-	.B(FE_PSN3872_u_top_u_core_rf_waddr_wb_3),
-	.Y(n_15769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401844 (
-	.A(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B_N(n_3400),
-	.Y(n_15770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401845 (
-	.A(\u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.B_N(n_3398),
-	.Y(n_15771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401846 (
-	.A(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [1]),
-	.B_N(n_3259),
-	.Y(n_15772), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401847 (
-	.A(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B_N(n_2322),
-	.Y(n_15773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401848 (
-	.A(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B_N(n_2317),
-	.Y(n_15774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401849 (
-	.A_N(n_2299),
-	.B(n_1268),
-	.Y(n_15775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401850 (
-	.A_N(n_2202),
-	.B(FE_OFN15922_u_top_u_core_rf_waddr_wb_0),
-	.Y(n_15776), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4b_1 g401851 (
-	.A_N(n_2193),
-	.B(n_1256),
-	.C(u_top_u_core_debug_mode),
-	.D(n_1106),
-	.Y(n_15777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2o_1 g401852 (
-	.A1_N(n_8611),
-	.A2_N(n_5796),
-	.B1(\xbar_to_dccm[a_address] [13]),
-	.B2(n_2145),
-	.X(n_15778), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_1 g401853 (
-	.A(n_13259),
-	.B(u_top_u_core_alu_operator_ex[3]),
-	.C(n_1174),
-	.D_N(n_1745),
-	.Y(n_15779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_1 g401854 (
-	.A_N(FE_OFN16141_n_1722),
-	.B(FE_OFN991_n_5758),
-	.C(FE_OFN1578_n_1726),
-	.X(n_15780), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401855 (
-	.A(n_1966),
-	.B_N(n_1720),
-	.Y(n_15781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g401856 (
-	.A(n_1299),
-	.B(n_1317),
-	.C_N(n_13732),
-	.Y(n_15782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401857 (
-	.A(n_1969),
-	.B_N(n_1282),
-	.Y(n_15783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401858 (
-	.A_N(n_1263),
-	.B(n_1088),
-	.Y(n_15784), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401859 (
-	.A_N(FE_OFN1305_n_1245),
-	.B(n_1348),
-	.Y(n_15785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401860 (
-	.A_N(n_1086),
-	.B(n_1268),
-	.Y(n_15786), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g401861 (
-	.A_N(n_1083),
-	.B(n_1268),
-	.Y(n_15787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g401862 (
-	.A(n_13240),
-	.B(n_13230),
-	.C_N(n_2189),
-	.Y(n_15788), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401863 (
-	.A(u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
-	.B_N(u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
-	.Y(n_15789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401864 (
-	.A(u_top_u_core_instr_first_cycle_id),
-	.B_N(n_13239),
-	.Y(n_15790), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 g401865 (
-	.A0(n_661),
-	.A1(FE_PSN3876_n_13304),
-	.S(FE_OFN15970_u_top_u_core_alu_operand_b_ex_5),
-	.Y(n_15791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2i_1 g401866 (
-	.A0(n_637),
-	.A1(FE_OCPN16341_n_13306),
-	.S(FE_OFN15968_u_top_u_core_alu_operand_b_ex_7),
-	.Y(n_15792), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g401867 (
-	.A_N(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(n_1748),
-	.C(\dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(n_15793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401868 (
-	.A(\xbar_to_dccm[a_valid] ),
-	.B_N(\xbar_to_dccm[a_address] [11]),
-	.Y(n_15794), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401869 (
-	.A(\xbar_to_dccm[a_valid] ),
-	.B_N(\xbar_to_dccm[a_address] [10]),
-	.Y(n_15795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_1 g401870 (
-	.A(FE_OFN16787_xbar_to_dccm_a_address__5),
-	.B(n_13208),
-	.C_N(FE_OFN1832_xbar_to_dccm_a_address__4),
-	.X(n_15796), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g401871 (
-	.A(u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
-	.B_N(u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
-	.Y(n_15797), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g401872 (
-	.A_N(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(n_2180),
-	.C(\dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(n_15798), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g401873 (
-	.A_N(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.B(n_1747),
-	.C(\u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.Y(n_15799), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_2 g401874 (
-	.A(n_13208),
-	.B(FE_OFN1832_xbar_to_dccm_a_address__4),
-	.C_N(FE_OFN16787_xbar_to_dccm_a_address__5),
-	.X(n_15800), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g401876 (
-	.A1(FE_OCPN16296_n_11907),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
-	.B1(FE_PSN3963_n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
-	.C1(n_12269),
-	.Y(n_15802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g401877 (
-	.A1(n_15708),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
-	.B1(n_15688),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
-	.C1(n_12267),
-	.Y(n_15803), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g401878 (
-	.A1(n_15707),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
-	.B1(n_15708),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
-	.C1(n_12247),
-	.Y(n_15804), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g401879 (
-	.A1(n_15701),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
-	.B1(n_11904),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
-	.C1(n_15818),
-	.Y(n_15805), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g401880 (
-	.A1(FE_OCPN16375_n_15702),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
-	.B1(n_15703),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
-	.C1(n_15819),
-	.Y(n_15806), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g401882 (
-	.A1(n_15711),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
-	.B1(n_15692),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
-	.C1(n_12233),
-	.Y(n_15808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g401884 (
-	.A1(n_15711),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
-	.B1(FE_OFN16083_n),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
-	.C1(n_15705),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
-	.Y(n_15810), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g401885 (
-	.A1(n_15697),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
-	.B1(FE_OFN15962_n_15700),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
-	.C1(n_11583),
-	.C2(u_top_u_core_rf_wdata_fwd_wb[2]),
-	.Y(n_15811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g401886 (
-	.A1(n_15709),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
-	.B1(n_15701),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
-	.C1(FE_OFN16009_n_15695),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
-	.Y(n_15812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g401887 (
-	.A1(FE_OCPN16833_n_15717),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
-	.B1(n_15727),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
-	.C1(n_11937),
-	.Y(n_15813), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g401889 (
-	.A1(FE_OFN16054_n_15698),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
-	.B1(n_11902),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
-	.X(n_15815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g401890 (
-	.A1(n_15704),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
-	.B1(n_15710),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
-	.X(n_15816), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g401891 (
-	.A1(n_11904),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
-	.B1(n_15693),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
-	.X(n_15817), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g401892 (
-	.A1(n_15695),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
-	.B1(n_11923),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
-	.X(n_15818), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g401893 (
-	.A1(n_11905),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
-	.B1(n_11931),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
-	.X(n_15819), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g401895 (
-	.A1(n_15833),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
-	.B1(n_15834),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
-	.C1(n_11554),
-	.C2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
-	.Y(n_15821), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g401896 (
-	.A1(FE_OCPN16822_n_11638),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
-	.B1(n_15726),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
-	.X(n_15822), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g401897 (
-	.A1(n_11613),
-	.A2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
-	.B1(n_15726),
-	.B2(\u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
-	.X(n_15823), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_4 g401899 (
-	.A_N(n_11357),
-	.B(FE_OFN15989_n_15734),
-	.C(n_11214),
-	.X(n_15825), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g401900 (
-	.A(FE_OFN15989_n_15734),
-	.B(n_11364),
-	.C(n_11214),
-	.X(n_15826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_4 g401901 (
-	.A_N(FE_OFN1863_n_11276),
-	.B(FE_RN_7),
-	.C(n_11363),
-	.X(n_15827), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g401904 (
-	.A(FE_RN_7),
-	.B(FE_PSN3967_n_15731),
-	.C(n_11275),
-	.X(n_15830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g401905 (
-	.A(FE_OFN15989_n_15734),
-	.B(n_11363),
-	.C(n_11214),
-	.X(n_15831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g401906 (
-	.A(FE_OFN15989_n_15734),
-	.B(FE_OFN16044_n_11358),
-	.C(FE_OCPN16574_n_11215),
-	.X(n_15832), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g401908 (
-	.A(FE_RN_7),
-	.B(n_11362),
-	.C(n_11275),
-	.X(n_15834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_2 g401909 (
-	.A(FE_OFN15932_n_11438),
-	.B(u_top_u_core_id_stage_i_imm_a_mux_sel),
-	.C_N(n_15742),
-	.Y(n_15835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_1 g401912 (
-	.A_N(n_197),
-	.B(n_13283),
-	.C(n_11282),
-	.Y(n_15839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_1 g401914 (
-	.A(n_13230),
-	.B(n_13240),
-	.C_N(n_2198),
-	.Y(n_15841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_1 g401916 (
-	.A1(n_10815),
-	.A2(u_top_u_core_load_store_unit_i_n_937),
-	.A3(u_top_u_core_load_store_unit_i_n_939),
-	.A4(u_top_u_core_load_store_unit_i_n_938),
-	.B1(u_top_u_core_id_stage_i_lsu_req_dec),
-	.Y(n_15843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_4 g401919 (
-	.A(FE_OCPN16565_u_top_u_core_instr_rdata_id_15),
-	.B(n_11227),
-	.C_N(n_15732),
-	.Y(n_15846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_2 g401922 (
-	.A(n_11144),
-	.B(n_11227),
-	.C_N(n_15732),
-	.Y(n_15849), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_4 g401923 (
-	.A(FE_OCPN16818_n_11145),
-	.B(n_11229),
-	.C_N(n_15734),
-	.Y(n_15850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 inc_ADD_UNS_OP2_g850 (
-	.A1_N(\u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
-	.A2_N(inc_ADD_UNS_OP2_n_124),
-	.B1(\u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
-	.B2(inc_ADD_UNS_OP2_n_124),
-	.Y(n_13467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 inc_ADD_UNS_OP2_g851 (
-	.A1(\u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
-	.A2(inc_ADD_UNS_OP2_n_123),
-	.B1(inc_ADD_UNS_OP2_n_124),
-	.X(n_13466), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 inc_ADD_UNS_OP2_g852 (
-	.A(inc_ADD_UNS_OP2_n_123),
-	.B(\u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
-	.Y(inc_ADD_UNS_OP2_n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g853 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
-	.B(inc_ADD_UNS_OP2_n_120),
-	.COUT(inc_ADD_UNS_OP2_n_123),
-	.SUM(n_13465), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g854 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
-	.B(inc_ADD_UNS_OP2_n_118),
-	.COUT(inc_ADD_UNS_OP2_n_120),
-	.SUM(n_13464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g855 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
-	.B(inc_ADD_UNS_OP2_n_116),
-	.COUT(inc_ADD_UNS_OP2_n_118),
-	.SUM(n_13463), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g856 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
-	.B(inc_ADD_UNS_OP2_n_114),
-	.COUT(inc_ADD_UNS_OP2_n_116),
-	.SUM(n_13462), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g857 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
-	.B(inc_ADD_UNS_OP2_n_112),
-	.COUT(inc_ADD_UNS_OP2_n_114),
-	.SUM(n_13461), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g858 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
-	.B(inc_ADD_UNS_OP2_n_110),
-	.COUT(inc_ADD_UNS_OP2_n_112),
-	.SUM(n_13460), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g859 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
-	.B(inc_ADD_UNS_OP2_n_108),
-	.COUT(inc_ADD_UNS_OP2_n_110),
-	.SUM(n_13459), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g860 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
-	.B(inc_ADD_UNS_OP2_n_106),
-	.COUT(inc_ADD_UNS_OP2_n_108),
-	.SUM(n_13458), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g861 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
-	.B(inc_ADD_UNS_OP2_n_104),
-	.COUT(inc_ADD_UNS_OP2_n_106),
-	.SUM(n_13457), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g862 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
-	.B(inc_ADD_UNS_OP2_n_102),
-	.COUT(inc_ADD_UNS_OP2_n_104),
-	.SUM(n_13456), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g863 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
-	.B(inc_ADD_UNS_OP2_n_100),
-	.COUT(inc_ADD_UNS_OP2_n_102),
-	.SUM(n_13455), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g864 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
-	.B(inc_ADD_UNS_OP2_n_98),
-	.COUT(inc_ADD_UNS_OP2_n_100),
-	.SUM(n_13454), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g865 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
-	.B(inc_ADD_UNS_OP2_n_96),
-	.COUT(inc_ADD_UNS_OP2_n_98),
-	.SUM(n_13453), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g866 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
-	.B(inc_ADD_UNS_OP2_n_94),
-	.COUT(inc_ADD_UNS_OP2_n_96),
-	.SUM(n_13452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g867 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
-	.B(inc_ADD_UNS_OP2_n_92),
-	.COUT(inc_ADD_UNS_OP2_n_94),
-	.SUM(n_13451), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g868 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
-	.B(inc_ADD_UNS_OP2_n_90),
-	.COUT(inc_ADD_UNS_OP2_n_92),
-	.SUM(n_13450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g869 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
-	.B(inc_ADD_UNS_OP2_n_88),
-	.COUT(inc_ADD_UNS_OP2_n_90),
-	.SUM(n_13449), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g870 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
-	.B(inc_ADD_UNS_OP2_n_86),
-	.COUT(inc_ADD_UNS_OP2_n_88),
-	.SUM(n_13448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g871 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
-	.B(inc_ADD_UNS_OP2_n_84),
-	.COUT(inc_ADD_UNS_OP2_n_86),
-	.SUM(n_13447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g872 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
-	.B(inc_ADD_UNS_OP2_n_82),
-	.COUT(inc_ADD_UNS_OP2_n_84),
-	.SUM(n_13446), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g873 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
-	.B(inc_ADD_UNS_OP2_n_80),
-	.COUT(inc_ADD_UNS_OP2_n_82),
-	.SUM(n_13445), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g874 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
-	.B(inc_ADD_UNS_OP2_n_78),
-	.COUT(inc_ADD_UNS_OP2_n_80),
-	.SUM(n_13444), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g875 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
-	.B(inc_ADD_UNS_OP2_n_76),
-	.COUT(inc_ADD_UNS_OP2_n_78),
-	.SUM(n_13443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g876 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
-	.B(inc_ADD_UNS_OP2_n_74),
-	.COUT(inc_ADD_UNS_OP2_n_76),
-	.SUM(n_13442), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g877 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
-	.B(inc_ADD_UNS_OP2_n_72),
-	.COUT(inc_ADD_UNS_OP2_n_74),
-	.SUM(n_13441), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g878 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
-	.B(inc_ADD_UNS_OP2_n_70),
-	.COUT(inc_ADD_UNS_OP2_n_72),
-	.SUM(n_13440), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g879 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
-	.B(inc_ADD_UNS_OP2_n_68),
-	.COUT(inc_ADD_UNS_OP2_n_70),
-	.SUM(n_13439), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g880 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
-	.B(inc_ADD_UNS_OP2_n_66),
-	.COUT(inc_ADD_UNS_OP2_n_68),
-	.SUM(n_13438), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g881 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
-	.B(inc_ADD_UNS_OP2_n_64),
-	.COUT(inc_ADD_UNS_OP2_n_66),
-	.SUM(n_13437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g882 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
-	.B(inc_ADD_UNS_OP2_n_62),
-	.COUT(inc_ADD_UNS_OP2_n_64),
-	.SUM(n_13436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g883 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
-	.B(inc_ADD_UNS_OP2_n_60),
-	.COUT(inc_ADD_UNS_OP2_n_62),
-	.SUM(n_13435), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g884 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
-	.B(inc_ADD_UNS_OP2_n_58),
-	.COUT(inc_ADD_UNS_OP2_n_60),
-	.SUM(n_13434), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g885 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
-	.B(inc_ADD_UNS_OP2_n_56),
-	.COUT(inc_ADD_UNS_OP2_n_58),
-	.SUM(n_13433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g886 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
-	.B(inc_ADD_UNS_OP2_n_54),
-	.COUT(inc_ADD_UNS_OP2_n_56),
-	.SUM(n_13432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g887 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
-	.B(inc_ADD_UNS_OP2_n_52),
-	.COUT(inc_ADD_UNS_OP2_n_54),
-	.SUM(n_13431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g888 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
-	.B(inc_ADD_UNS_OP2_n_50),
-	.COUT(inc_ADD_UNS_OP2_n_52),
-	.SUM(n_13430), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g889 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
-	.B(inc_ADD_UNS_OP2_n_48),
-	.COUT(inc_ADD_UNS_OP2_n_50),
-	.SUM(n_13429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g890 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
-	.B(inc_ADD_UNS_OP2_n_46),
-	.COUT(inc_ADD_UNS_OP2_n_48),
-	.SUM(n_13428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g891 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
-	.B(inc_ADD_UNS_OP2_n_44),
-	.COUT(inc_ADD_UNS_OP2_n_46),
-	.SUM(n_13427), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g892 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
-	.B(inc_ADD_UNS_OP2_n_42),
-	.COUT(inc_ADD_UNS_OP2_n_44),
-	.SUM(n_13426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g893 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
-	.B(inc_ADD_UNS_OP2_n_40),
-	.COUT(inc_ADD_UNS_OP2_n_42),
-	.SUM(n_13425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g894 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
-	.B(inc_ADD_UNS_OP2_n_38),
-	.COUT(inc_ADD_UNS_OP2_n_40),
-	.SUM(n_13424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g895 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
-	.B(inc_ADD_UNS_OP2_n_36),
-	.COUT(inc_ADD_UNS_OP2_n_38),
-	.SUM(n_13423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g896 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
-	.B(inc_ADD_UNS_OP2_n_34),
-	.COUT(inc_ADD_UNS_OP2_n_36),
-	.SUM(n_13422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g897 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
-	.B(inc_ADD_UNS_OP2_n_32),
-	.COUT(inc_ADD_UNS_OP2_n_34),
-	.SUM(n_13421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g898 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
-	.B(inc_ADD_UNS_OP2_n_30),
-	.COUT(inc_ADD_UNS_OP2_n_32),
-	.SUM(n_13420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g899 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
-	.B(inc_ADD_UNS_OP2_n_28),
-	.COUT(inc_ADD_UNS_OP2_n_30),
-	.SUM(n_13419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g900 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
-	.B(inc_ADD_UNS_OP2_n_26),
-	.COUT(inc_ADD_UNS_OP2_n_28),
-	.SUM(n_13418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g901 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
-	.B(inc_ADD_UNS_OP2_n_24),
-	.COUT(inc_ADD_UNS_OP2_n_26),
-	.SUM(n_13417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g902 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
-	.B(inc_ADD_UNS_OP2_n_22),
-	.COUT(inc_ADD_UNS_OP2_n_24),
-	.SUM(n_13416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g903 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
-	.B(inc_ADD_UNS_OP2_n_20),
-	.COUT(inc_ADD_UNS_OP2_n_22),
-	.SUM(n_13415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g904 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
-	.B(inc_ADD_UNS_OP2_n_18),
-	.COUT(inc_ADD_UNS_OP2_n_20),
-	.SUM(n_13414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g905 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
-	.B(inc_ADD_UNS_OP2_n_16),
-	.COUT(inc_ADD_UNS_OP2_n_18),
-	.SUM(n_13413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g906 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
-	.B(inc_ADD_UNS_OP2_n_14),
-	.COUT(inc_ADD_UNS_OP2_n_16),
-	.SUM(n_13412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g907 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
-	.B(inc_ADD_UNS_OP2_n_12),
-	.COUT(inc_ADD_UNS_OP2_n_14),
-	.SUM(n_13411), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g908 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
-	.B(inc_ADD_UNS_OP2_n_10),
-	.COUT(inc_ADD_UNS_OP2_n_12),
-	.SUM(n_13410), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g909 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
-	.B(inc_ADD_UNS_OP2_n_8),
-	.COUT(inc_ADD_UNS_OP2_n_10),
-	.SUM(n_13409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g910 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
-	.B(inc_ADD_UNS_OP2_n_6),
-	.COUT(inc_ADD_UNS_OP2_n_8),
-	.SUM(n_13408), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g911 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
-	.B(inc_ADD_UNS_OP2_n_4),
-	.COUT(inc_ADD_UNS_OP2_n_6),
-	.SUM(n_13407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g912 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
-	.B(inc_ADD_UNS_OP2_n_2),
-	.COUT(inc_ADD_UNS_OP2_n_4),
-	.SUM(n_13406), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g913 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
-	.B(inc_ADD_UNS_OP2_n_0),
-	.COUT(inc_ADD_UNS_OP2_n_2),
-	.SUM(n_13405), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g914 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
-	.B(n_13468),
-	.COUT(inc_ADD_UNS_OP2_n_0),
-	.SUM(n_13404), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 inc_ADD_UNS_OP12174_g850 (
-	.A1_N(\u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
-	.A2_N(inc_ADD_UNS_OP12174_n_124),
-	.B1(\u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
-	.B2(inc_ADD_UNS_OP12174_n_124),
-	.Y(n_13532), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 inc_ADD_UNS_OP12174_g851 (
-	.A1(\u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
-	.A2(inc_ADD_UNS_OP12174_n_123),
-	.B1(inc_ADD_UNS_OP12174_n_124),
-	.X(n_13531), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 inc_ADD_UNS_OP12174_g852 (
-	.A(inc_ADD_UNS_OP12174_n_123),
-	.B(\u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
-	.Y(inc_ADD_UNS_OP12174_n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g853 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
-	.B(inc_ADD_UNS_OP12174_n_120),
-	.COUT(inc_ADD_UNS_OP12174_n_123),
-	.SUM(n_13530), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g854 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
-	.B(inc_ADD_UNS_OP12174_n_118),
-	.COUT(inc_ADD_UNS_OP12174_n_120),
-	.SUM(n_13529), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g855 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
-	.B(inc_ADD_UNS_OP12174_n_116),
-	.COUT(inc_ADD_UNS_OP12174_n_118),
-	.SUM(n_13528), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g856 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
-	.B(inc_ADD_UNS_OP12174_n_114),
-	.COUT(inc_ADD_UNS_OP12174_n_116),
-	.SUM(n_13527), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g857 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
-	.B(inc_ADD_UNS_OP12174_n_112),
-	.COUT(inc_ADD_UNS_OP12174_n_114),
-	.SUM(n_13526), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g858 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
-	.B(inc_ADD_UNS_OP12174_n_110),
-	.COUT(inc_ADD_UNS_OP12174_n_112),
-	.SUM(n_13525), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g859 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
-	.B(inc_ADD_UNS_OP12174_n_108),
-	.COUT(inc_ADD_UNS_OP12174_n_110),
-	.SUM(n_13524), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g860 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
-	.B(inc_ADD_UNS_OP12174_n_106),
-	.COUT(inc_ADD_UNS_OP12174_n_108),
-	.SUM(n_13523), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g861 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
-	.B(inc_ADD_UNS_OP12174_n_104),
-	.COUT(inc_ADD_UNS_OP12174_n_106),
-	.SUM(n_13522), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g862 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
-	.B(inc_ADD_UNS_OP12174_n_102),
-	.COUT(inc_ADD_UNS_OP12174_n_104),
-	.SUM(n_13521), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g863 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
-	.B(inc_ADD_UNS_OP12174_n_100),
-	.COUT(inc_ADD_UNS_OP12174_n_102),
-	.SUM(n_13520), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g864 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
-	.B(inc_ADD_UNS_OP12174_n_98),
-	.COUT(inc_ADD_UNS_OP12174_n_100),
-	.SUM(n_13519), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g865 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
-	.B(inc_ADD_UNS_OP12174_n_96),
-	.COUT(inc_ADD_UNS_OP12174_n_98),
-	.SUM(n_13518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g866 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
-	.B(inc_ADD_UNS_OP12174_n_94),
-	.COUT(inc_ADD_UNS_OP12174_n_96),
-	.SUM(n_13517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g867 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
-	.B(inc_ADD_UNS_OP12174_n_92),
-	.COUT(inc_ADD_UNS_OP12174_n_94),
-	.SUM(n_13516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g868 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
-	.B(inc_ADD_UNS_OP12174_n_90),
-	.COUT(inc_ADD_UNS_OP12174_n_92),
-	.SUM(n_13515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g869 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
-	.B(inc_ADD_UNS_OP12174_n_88),
-	.COUT(inc_ADD_UNS_OP12174_n_90),
-	.SUM(n_13514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g870 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
-	.B(inc_ADD_UNS_OP12174_n_86),
-	.COUT(inc_ADD_UNS_OP12174_n_88),
-	.SUM(n_13513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g871 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
-	.B(inc_ADD_UNS_OP12174_n_84),
-	.COUT(inc_ADD_UNS_OP12174_n_86),
-	.SUM(n_13512), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g872 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
-	.B(inc_ADD_UNS_OP12174_n_82),
-	.COUT(inc_ADD_UNS_OP12174_n_84),
-	.SUM(n_13511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g873 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
-	.B(inc_ADD_UNS_OP12174_n_80),
-	.COUT(inc_ADD_UNS_OP12174_n_82),
-	.SUM(n_13510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g874 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
-	.B(inc_ADD_UNS_OP12174_n_78),
-	.COUT(inc_ADD_UNS_OP12174_n_80),
-	.SUM(n_13509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g875 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
-	.B(inc_ADD_UNS_OP12174_n_76),
-	.COUT(inc_ADD_UNS_OP12174_n_78),
-	.SUM(n_13508), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g876 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
-	.B(inc_ADD_UNS_OP12174_n_74),
-	.COUT(inc_ADD_UNS_OP12174_n_76),
-	.SUM(n_13507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g877 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
-	.B(inc_ADD_UNS_OP12174_n_72),
-	.COUT(inc_ADD_UNS_OP12174_n_74),
-	.SUM(n_13506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g878 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
-	.B(inc_ADD_UNS_OP12174_n_70),
-	.COUT(inc_ADD_UNS_OP12174_n_72),
-	.SUM(n_13505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g879 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
-	.B(inc_ADD_UNS_OP12174_n_68),
-	.COUT(inc_ADD_UNS_OP12174_n_70),
-	.SUM(n_13504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g880 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
-	.B(inc_ADD_UNS_OP12174_n_66),
-	.COUT(inc_ADD_UNS_OP12174_n_68),
-	.SUM(n_13503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g881 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
-	.B(inc_ADD_UNS_OP12174_n_64),
-	.COUT(inc_ADD_UNS_OP12174_n_66),
-	.SUM(n_13502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g882 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
-	.B(inc_ADD_UNS_OP12174_n_62),
-	.COUT(inc_ADD_UNS_OP12174_n_64),
-	.SUM(n_13501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g883 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
-	.B(inc_ADD_UNS_OP12174_n_60),
-	.COUT(inc_ADD_UNS_OP12174_n_62),
-	.SUM(n_13500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g884 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
-	.B(inc_ADD_UNS_OP12174_n_58),
-	.COUT(inc_ADD_UNS_OP12174_n_60),
-	.SUM(n_13499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g885 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
-	.B(inc_ADD_UNS_OP12174_n_56),
-	.COUT(inc_ADD_UNS_OP12174_n_58),
-	.SUM(n_13498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g886 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
-	.B(inc_ADD_UNS_OP12174_n_54),
-	.COUT(inc_ADD_UNS_OP12174_n_56),
-	.SUM(n_13497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g887 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
-	.B(inc_ADD_UNS_OP12174_n_52),
-	.COUT(inc_ADD_UNS_OP12174_n_54),
-	.SUM(n_13496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g888 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
-	.B(inc_ADD_UNS_OP12174_n_50),
-	.COUT(inc_ADD_UNS_OP12174_n_52),
-	.SUM(n_13495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g889 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
-	.B(inc_ADD_UNS_OP12174_n_48),
-	.COUT(inc_ADD_UNS_OP12174_n_50),
-	.SUM(n_13494), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g890 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
-	.B(inc_ADD_UNS_OP12174_n_46),
-	.COUT(inc_ADD_UNS_OP12174_n_48),
-	.SUM(n_13493), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g891 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
-	.B(inc_ADD_UNS_OP12174_n_44),
-	.COUT(inc_ADD_UNS_OP12174_n_46),
-	.SUM(n_13492), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g892 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
-	.B(inc_ADD_UNS_OP12174_n_42),
-	.COUT(inc_ADD_UNS_OP12174_n_44),
-	.SUM(n_13491), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g893 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
-	.B(inc_ADD_UNS_OP12174_n_40),
-	.COUT(inc_ADD_UNS_OP12174_n_42),
-	.SUM(n_13490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g894 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
-	.B(inc_ADD_UNS_OP12174_n_38),
-	.COUT(inc_ADD_UNS_OP12174_n_40),
-	.SUM(n_13489), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g895 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
-	.B(inc_ADD_UNS_OP12174_n_36),
-	.COUT(inc_ADD_UNS_OP12174_n_38),
-	.SUM(n_13488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g896 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
-	.B(inc_ADD_UNS_OP12174_n_34),
-	.COUT(inc_ADD_UNS_OP12174_n_36),
-	.SUM(n_13487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g897 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
-	.B(inc_ADD_UNS_OP12174_n_32),
-	.COUT(inc_ADD_UNS_OP12174_n_34),
-	.SUM(n_13486), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g898 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
-	.B(inc_ADD_UNS_OP12174_n_30),
-	.COUT(inc_ADD_UNS_OP12174_n_32),
-	.SUM(n_13485), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g899 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
-	.B(inc_ADD_UNS_OP12174_n_28),
-	.COUT(inc_ADD_UNS_OP12174_n_30),
-	.SUM(n_13484), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g900 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
-	.B(inc_ADD_UNS_OP12174_n_26),
-	.COUT(inc_ADD_UNS_OP12174_n_28),
-	.SUM(n_13483), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g901 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
-	.B(inc_ADD_UNS_OP12174_n_24),
-	.COUT(inc_ADD_UNS_OP12174_n_26),
-	.SUM(n_13482), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g902 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
-	.B(inc_ADD_UNS_OP12174_n_22),
-	.COUT(inc_ADD_UNS_OP12174_n_24),
-	.SUM(n_13481), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g903 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
-	.B(inc_ADD_UNS_OP12174_n_20),
-	.COUT(inc_ADD_UNS_OP12174_n_22),
-	.SUM(n_13480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g904 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
-	.B(inc_ADD_UNS_OP12174_n_18),
-	.COUT(inc_ADD_UNS_OP12174_n_20),
-	.SUM(n_13479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g905 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
-	.B(inc_ADD_UNS_OP12174_n_16),
-	.COUT(inc_ADD_UNS_OP12174_n_18),
-	.SUM(n_13478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g906 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
-	.B(inc_ADD_UNS_OP12174_n_14),
-	.COUT(inc_ADD_UNS_OP12174_n_16),
-	.SUM(n_13477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g907 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
-	.B(inc_ADD_UNS_OP12174_n_12),
-	.COUT(inc_ADD_UNS_OP12174_n_14),
-	.SUM(n_13476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g908 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
-	.B(inc_ADD_UNS_OP12174_n_10),
-	.COUT(inc_ADD_UNS_OP12174_n_12),
-	.SUM(n_13475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g909 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
-	.B(inc_ADD_UNS_OP12174_n_8),
-	.COUT(inc_ADD_UNS_OP12174_n_10),
-	.SUM(n_13474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g910 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
-	.B(inc_ADD_UNS_OP12174_n_6),
-	.COUT(inc_ADD_UNS_OP12174_n_8),
-	.SUM(n_13473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g911 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
-	.B(inc_ADD_UNS_OP12174_n_4),
-	.COUT(inc_ADD_UNS_OP12174_n_6),
-	.SUM(n_13472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g912 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
-	.B(inc_ADD_UNS_OP12174_n_2),
-	.COUT(inc_ADD_UNS_OP12174_n_4),
-	.SUM(n_13471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g913 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
-	.B(inc_ADD_UNS_OP12174_n_0),
-	.COUT(inc_ADD_UNS_OP12174_n_2),
-	.SUM(n_13470), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12174_g914 (
-	.A(\u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
-	.B(n_13533),
-	.COUT(inc_ADD_UNS_OP12174_n_0),
-	.SUM(n_13469), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 u_top_u_core_ex_block_i_add_98_45_g743 (
-	.A1_N(u_top_u_core_ex_block_i_add_98_45_n_1),
-	.A2_N(u_top_u_core_ex_block_i_add_98_45_n_61),
-	.B1(u_top_u_core_ex_block_i_add_98_45_n_1),
-	.B2(u_top_u_core_ex_block_i_add_98_45_n_61),
-	.Y(n_13566), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g744 (
-	.A(n_13362),
-	.B(n_13597),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_58),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_61),
-	.SUM(n_13565), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g745 (
-	.A(n_13362),
-	.B(n_13596),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_56),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_58),
-	.SUM(n_13564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g746 (
-	.A(n_13362),
-	.B(n_13595),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_54),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_56),
-	.SUM(n_13563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g747 (
-	.A(n_13362),
-	.B(n_13594),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_52),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_54),
-	.SUM(n_13562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g748 (
-	.A(n_13362),
-	.B(n_13593),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_50),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_52),
-	.SUM(n_13561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g749 (
-	.A(n_13362),
-	.B(n_13592),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_48),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_50),
-	.SUM(n_13560), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g750 (
-	.A(n_13362),
-	.B(n_13591),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_46),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_48),
-	.SUM(n_13559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g751 (
-	.A(n_13362),
-	.B(n_13590),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_44),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_46),
-	.SUM(n_13558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g752 (
-	.A(n_13362),
-	.B(n_13589),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_42),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_44),
-	.SUM(n_13557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g753 (
-	.A(n_13362),
-	.B(n_13588),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_40),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_42),
-	.SUM(n_13556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g754 (
-	.A(n_13362),
-	.B(n_13587),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_38),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_40),
-	.SUM(n_13555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g755 (
-	.A(n_13361),
-	.B(n_13586),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_36),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_38),
-	.SUM(n_13554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g756 (
-	.A(n_13360),
-	.B(n_13585),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_34),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_36),
-	.SUM(n_13553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g757 (
-	.A(n_13359),
-	.B(n_13584),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_32),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_34),
-	.SUM(n_13552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g758 (
-	.A(n_13358),
-	.B(n_13583),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_30),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_32),
-	.SUM(n_13551), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g759 (
-	.A(n_13357),
-	.B(n_13582),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_28),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_30),
-	.SUM(n_13550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g760 (
-	.A(n_13356),
-	.B(n_13581),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_26),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_28),
-	.SUM(n_13549), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g761 (
-	.A(n_13355),
-	.B(n_13580),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_24),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_26),
-	.SUM(n_13548), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g762 (
-	.A(n_13354),
-	.B(n_13579),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_22),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_24),
-	.SUM(n_13547), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_2 u_top_u_core_ex_block_i_add_98_45_g763 (
-	.A(n_13353),
-	.B(n_13578),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_20),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_22),
-	.SUM(n_13546), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_2 u_top_u_core_ex_block_i_add_98_45_g764 (
-	.A(n_13352),
-	.B(n_13577),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_18),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_20),
-	.SUM(n_13545), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g765 (
-	.A(n_13351),
-	.B(n_13576),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_16),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_18),
-	.SUM(n_13544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g766 (
-	.A(n_13350),
-	.B(n_13575),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_14),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_16),
-	.SUM(n_13543), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g767 (
-	.A(n_13349),
-	.B(n_13574),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_12),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_14),
-	.SUM(n_13542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g768 (
-	.A(n_13348),
-	.B(n_13573),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_10),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_12),
-	.SUM(n_13541), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_2 u_top_u_core_ex_block_i_add_98_45_g769 (
-	.A(n_13347),
-	.B(n_13572),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_8),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_10),
-	.SUM(n_13540), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_2 u_top_u_core_ex_block_i_add_98_45_g770 (
-	.A(n_13346),
-	.B(n_13571),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_6),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_8),
-	.SUM(n_13539), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g771 (
-	.A(n_13345),
-	.B(n_13570),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_4),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_6),
-	.SUM(n_13538), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_top_u_core_ex_block_i_add_98_45_g772 (
-	.A(n_13343),
-	.B(n_13569),
-	.CIN(u_top_u_core_ex_block_i_add_98_45_n_2),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_4),
-	.SUM(n_13537), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_2 u_top_u_core_ex_block_i_add_98_45_g773 (
-	.A(n_13342),
-	.B(n_13568),
-	.CIN(n_17649),
-	.COUT(u_top_u_core_ex_block_i_add_98_45_n_2),
-	.SUM(n_13536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 u_top_u_core_ex_block_i_add_98_45_g774 (
-	.A(n_13362),
-	.B(n_13598),
-	.Y(u_top_u_core_ex_block_i_add_98_45_n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2392 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_28),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_215),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_27),
-	.Y(n_13365), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2393 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_82),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_214),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_216),
-	.Y(\lsu_to_xbar[a_address] [31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2394 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_214),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_82),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2395 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_214),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2396 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_212),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_63),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2398 (
-	.A(FE_RN_10),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_56),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_212), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2399 (
-	.A(FE_RN_10),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_81),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_211), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2401 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_80),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_206),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_207),
-	.Y(\lsu_to_xbar[a_address] [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2402 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_206),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_52),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2403 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_206),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_80),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2404 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_204),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_57),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2405 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_84),
-	.A2(FE_RN_4),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_203),
-	.Y(\lsu_to_xbar[a_address] [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2406 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_198),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_19),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_204), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2407 (
-	.A(FE_RN_4),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_84),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_203), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2408 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_79),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_194),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_200),
-	.Y(\lsu_to_xbar[a_address] [26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2409 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_76),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_193),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_199),
-	.Y(\lsu_to_xbar[a_address] [22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2410 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_194),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_79),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_200), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2411 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_193),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_76),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_199), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2412 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_195),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_0),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_198), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2413 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_75),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_184),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_196),
-	.Y(\lsu_to_xbar[a_address] [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2414 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_184),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_75),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2416 (
-	.A1(FE_OFN1803_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_285),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_40),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_194), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2417 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_34),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_182),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_46),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2418 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_102),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_182),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_186),
-	.Y(\lsu_to_xbar[a_address] [21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2419 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_101),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_181),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_187),
-	.Y(\lsu_to_xbar[a_address] [20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2420 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_92),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_180),
-	.X(\lsu_to_xbar[a_address] [18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2421 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_78),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_285),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_185),
-	.Y(\lsu_to_xbar[a_address] [25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2422 (
-	.A1_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_77),
-	.A2_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_178),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_77),
-	.B2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_178),
-	.Y(\lsu_to_xbar[a_address] [24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2423 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_181),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_101),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_187), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2424 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_182),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_102),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_186), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2425 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_285),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_78),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2426 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_120),
-	.B(FE_PSN3979_u_top_u_core_ex_block_i_alu_i_add_86_53_n_179),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_184), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2428 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_170),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_103),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_109),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_182), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2429 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_9),
-	.A2(FE_OFN1783_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_43),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2430 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_2),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_168),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_44),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_180), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2431 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_99),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_172),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_179), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2432 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_48),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_167),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_6),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_178), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2433 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_93),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_170),
-	.X(\lsu_to_xbar[a_address] [19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2434 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_91),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_168),
-	.X(\lsu_to_xbar[a_address] [17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2436 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_67),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_167),
-	.X(\lsu_to_xbar[a_address] [23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2438 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_166),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_100),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_172), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2439 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_4),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_163),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_7),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_171), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2441 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_105),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_119),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2442 (
-	.A1(FE_OCPN16567_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_104),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_106),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_168), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2443 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_166),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_167), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2444 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_164),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_124),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2446 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_105),
-	.C(u_top_u_core_ex_block_i_alu_i_add_86_53_n_110),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_164), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2447 (
-	.A(FE_OCPN16567_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2448 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_160),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_12),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2449 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_89),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_159),
-	.X(\lsu_to_xbar[a_address] [14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2450 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_159),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_11),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2451 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_157),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_14),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2452 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_87),
-	.B(FE_RN_11),
-	.X(\xbar_to_dccm[a_address] [13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2453 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_156),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_22),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2454 (
-	.A(FE_RN_12),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_24),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2455 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_96),
-	.B(FE_RN_3),
-	.X(\xbar_to_dccm[a_address] [12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2456 (
-	.A(FE_RN_3),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_61),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_154), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2457 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_66),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_149),
-	.X(\xbar_to_dccm[a_address] [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2459 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_86),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_146),
-	.X(\xbar_to_dccm[a_address] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2460 (
-	.A(FE_RN_2),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_17),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2461 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_33),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_145),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_5),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_149), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2462 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_69),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_145),
-	.X(\xbar_to_dccm[a_address] [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2463 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_94),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_144),
-	.X(\xbar_to_dccm[a_address] [8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2464 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_143),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_117),
-	.C(u_top_u_core_ex_block_i_alu_i_add_86_53_n_21),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2465 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_108),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_141),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2466 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_49),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_140),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_8),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2469 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_139),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_98),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2470 (
-	.A(FE_RN_6),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2471 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_137),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_15),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2472 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_73),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_136),
-	.X(\xbar_to_dccm[a_address] [6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2473 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_136),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_64),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_137), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2474 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_134),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_16),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2475 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_70),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_133),
-	.X(\xbar_to_dccm[a_address] [5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2476 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_133),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_53),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2477 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_131),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_29),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2478 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_72),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_130),
-	.X(\xbar_to_dccm[a_address] [4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2479 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_130),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_13),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_131), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2480 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_128),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_25),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2481 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_68),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_127),
-	.X(\xbar_to_dccm[a_address] [3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2482 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_127),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_59),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2483 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_125),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_26),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2484 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_71),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_123),
-	.X(\xbar_to_dccm[a_address] [2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2485 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_123),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_23),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_125), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2486 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_119),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_110),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_54),
-	.C1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_118),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2487 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_121),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_32),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2489 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_114),
-	.B(FE_RN_13),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2490 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_45),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_116),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_65),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2491 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_37),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_115),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_60),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2492 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_112),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_46),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_38),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_118), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2493 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_111),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_5),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_47),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_117), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2494 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_107),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_35),
-	.B1_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_40),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2495 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_106),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_1),
-	.B1_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_44),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2496 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_3),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_18),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_20),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_114), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2498 (
-	.A_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_34),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_109),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2499 (
-	.A_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_33),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_108),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2501 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_43),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_42),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_62),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2502 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_8),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_41),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_55),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2503 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_6),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_10),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_50),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2504 (
-	.A1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_7),
-	.A2(u_top_u_core_ex_block_i_alu_i_add_86_53_n_39),
-	.B1(u_top_u_core_ex_block_i_alu_i_add_86_53_n_30),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_106), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2505 (
-	.A(FE_OFN1802_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_37),
-	.C_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_104),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_105), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2506 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_48),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_10),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2507 (
-	.A_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_45),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_35),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2508 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_49),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_41),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_98), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2509 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_33),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_47),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_97), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2510 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_4),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_39),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2511 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_9),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_42),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2512 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_61),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_24),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_96), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2513 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_34),
-	.B_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_46),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2514 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_49),
-	.B_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_8),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_95), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2515 (
-	.A_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_42),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_62),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2516 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_41),
-	.B_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_55),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2517 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_9),
-	.B_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_43),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_93), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2518 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_37),
-	.B_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_60),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_92), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2519 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_1),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_44),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_91), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2520 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_39),
-	.B_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_30),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_90), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2521 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_11),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_12),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_89), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2522 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_4),
-	.B_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_7),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_88), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2523 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_22),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_14),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2524 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_17),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_58),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2525 (
-	.A(n_13667),
-	.B(n_13602),
-	.X(n_13366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2526 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_64),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_15),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2527 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_13),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_29),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_72), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2528 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_23),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_26),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_71), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2529 (
-	.A(FE_RN_13),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_32),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_85), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2530 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_19),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_57),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_84), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2531 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_18),
-	.B_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_20),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_83), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2532 (
-	.A_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_28),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_27),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_82), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2533 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_56),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_63),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2534 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_52),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_31),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2535 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_53),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_16),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2536 (
-	.A_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_45),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_65),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_79), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2537 (
-	.A_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_33),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_5),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_69), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2538 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_59),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_25),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_68), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2539 (
-	.A(FE_OFN1803_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36),
-	.B_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_40),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_78), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2540 (
-	.A_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_10),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_50),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_77), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2541 (
-	.A_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_48),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_6),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_67), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 u_top_u_core_ex_block_i_alu_i_add_86_53_g2542 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_38),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_54),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_76), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2543 (
-	.A(n_13695),
-	.B(n_13630),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2544 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_47),
-	.B_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_21),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_66), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2546 (
-	.A(n_13629),
-	.B(n_13694),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_65), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2547 (
-	.A(n_13674),
-	.B(n_13609),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2548 (
-	.A(n_13633),
-	.B(n_13698),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_63), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2549 (
-	.A(n_13623),
-	.B(n_13688),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2550 (
-	.A(n_13680),
-	.B(n_13615),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2551 (
-	.A(n_13621),
-	.B(n_13686),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2552 (
-	.A(n_13671),
-	.B(n_13606),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2553 (
-	.A(n_13614),
-	.B(n_13679),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2554 (
-	.A(n_13631),
-	.B(n_13696),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2555 (
-	.A(n_13698),
-	.B(n_13633),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2556 (
-	.A(n_13611),
-	.B(n_13676),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2557 (
-	.A(n_13625),
-	.B(n_13690),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2558 (
-	.A(n_13673),
-	.B(n_13608),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2559 (
-	.A(n_13697),
-	.B(n_13632),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2561 (
-	.A(n_13627),
-	.B(n_13692),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2562 (
-	.A(n_13675),
-	.B(n_13610),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2563 (
-	.A(n_13691),
-	.B(n_13626),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2564 (
-	.A(n_13678),
-	.B(n_13613),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2565 (
-	.A(n_13624),
-	.B(n_13689),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2566 (
-	.A(n_13694),
-	.B(n_13629),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2567 (
-	.A(n_13620),
-	.B(n_13685),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2568 (
-	.A(n_13622),
-	.B(n_13687),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2569 (
-	.A(n_13688),
-	.B(n_13623),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2570 (
-	.A(n_13676),
-	.B(n_13611),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2571 (
-	.A(n_13628),
-	.B(n_13693),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2572 (
-	.A(n_13684),
-	.B(n_13619),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2573 (
-	.A(n_13690),
-	.B(n_13625),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2574 (
-	.A(n_13686),
-	.B(n_13621),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2575 (
-	.A(n_13693),
-	.B(n_13628),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2576 (
-	.A(n_13689),
-	.B(n_13624),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2577 (
-	.A(n_13677),
-	.B(n_13612),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2579 (
-	.A(n_13630),
-	.B(n_13695),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2580 (
-	.A(n_13604),
-	.B(n_13669),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2581 (
-	.A(n_13632),
-	.B(n_13697),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2582 (
-	.A(n_13619),
-	.B(n_13684),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2583 (
-	.A(n_13607),
-	.B(n_13672),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2584 (
-	.A(n_13699),
-	.B(n_13634),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2585 (
-	.A(n_13634),
-	.B(n_13699),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2586 (
-	.A(n_13605),
-	.B(n_13670),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2587 (
-	.A(n_13606),
-	.B(n_13671),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2588 (
-	.A(n_13615),
-	.B(n_13680),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2589 (
-	.A(n_13670),
-	.B(n_13605),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2590 (
-	.A(n_13681),
-	.B(n_13616),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2591 (
-	.A(n_13613),
-	.B(n_13678),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2592 (
-	.A(n_13603),
-	.B(n_13668),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2593 (
-	.A(n_13696),
-	.B(n_13631),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2594 (
-	.A(n_13668),
-	.B(n_13603),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 u_top_u_core_ex_block_i_alu_i_add_86_53_g2595 (
-	.A(n_13679),
-	.B(n_13614),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2596 (
-	.A(n_13608),
-	.B(n_13673),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2597 (
-	.A(n_13609),
-	.B(n_13674),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2598 (
-	.A(n_13616),
-	.B(n_13681),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_4 u_top_u_core_ex_block_i_alu_i_add_86_53_g2599 (
-	.A(n_13672),
-	.B(n_13607),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2600 (
-	.A(n_13617),
-	.B(n_13682),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2601 (
-	.A(n_13682),
-	.B(n_13617),
-	.X(u_top_u_core_ex_block_i_alu_i_add_86_53_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2602 (
-	.A(n_13692),
-	.B(n_13627),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2603 (
-	.A(n_13687),
-	.B(n_13622),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2604 (
-	.A(n_13610),
-	.B(n_13675),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2605 (
-	.A(n_13618),
-	.B(n_13683),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2606 (
-	.A(n_13626),
-	.B(n_13691),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2607 (
-	.A(n_13612),
-	.B(n_13677),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2608 (
-	.A(n_13683),
-	.B(n_13618),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2609 (
-	.A(n_13602),
-	.B(n_13667),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 u_top_u_core_ex_block_i_alu_i_add_86_53_g2610 (
-	.A(n_13685),
-	.B(n_13620),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 u_top_u_core_ex_block_i_alu_i_add_86_53_g2 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_107),
-	.B_N(u_top_u_core_ex_block_i_alu_i_add_86_53_n_172),
-	.Y(u_top_u_core_ex_block_i_alu_i_add_86_53_n_285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g401  (
-	.A(u_top_u_core_pc_if[31]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_60 ),
-	.X(n_13397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g402  (
-	.A(u_top_u_core_pc_if[30]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_58 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_60 ),
-	.SUM(n_13396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g403  (
-	.A(u_top_u_core_pc_if[29]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_56 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_58 ),
-	.SUM(n_13395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g404  (
-	.A(u_top_u_core_pc_if[28]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_54 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_56 ),
-	.SUM(n_13394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g405  (
-	.A(u_top_u_core_pc_if[27]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_52 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_54 ),
-	.SUM(n_13393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g406  (
-	.A(u_top_u_core_pc_if[26]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_50 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_52 ),
-	.SUM(n_13392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g407  (
-	.A(u_top_u_core_pc_if[25]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_48 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_50 ),
-	.SUM(n_13391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g408  (
-	.A(u_top_u_core_pc_if[24]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_46 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_48 ),
-	.SUM(n_13390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g409  (
-	.A(u_top_u_core_pc_if[23]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_44 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_46 ),
-	.SUM(n_13389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g410  (
-	.A(u_top_u_core_pc_if[22]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_42 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_44 ),
-	.SUM(n_13388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g411  (
-	.A(u_top_u_core_pc_if[21]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_40 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_42 ),
-	.SUM(n_13387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g412  (
-	.A(u_top_u_core_pc_if[20]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_38 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_40 ),
-	.SUM(n_13386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g413  (
-	.A(u_top_u_core_pc_if[19]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_36 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_38 ),
-	.SUM(n_13385), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g414  (
-	.A(u_top_u_core_pc_if[18]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_34 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_36 ),
-	.SUM(n_13384), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g415  (
-	.A(u_top_u_core_pc_if[17]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_32 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_34 ),
-	.SUM(n_13383), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g416  (
-	.A(u_top_u_core_pc_if[16]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_30 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_32 ),
-	.SUM(n_13382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g417  (
-	.A(u_top_u_core_pc_if[15]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_28 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_30 ),
-	.SUM(n_13381), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g418  (
-	.A(u_top_u_core_pc_if[14]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_26 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_28 ),
-	.SUM(n_13380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g419  (
-	.A(u_top_u_core_pc_if[13]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_24 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_26 ),
-	.SUM(n_13379), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g420  (
-	.A(u_top_u_core_pc_if[12]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_22 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_24 ),
-	.SUM(n_13378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g421  (
-	.A(u_top_u_core_pc_if[11]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_20 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_22 ),
-	.SUM(n_13377), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g422  (
-	.A(u_top_u_core_pc_if[10]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_18 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_20 ),
-	.SUM(n_13376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g423  (
-	.A(u_top_u_core_pc_if[9]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_16 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_18 ),
-	.SUM(n_13375), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g424  (
-	.A(u_top_u_core_pc_if[8]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_14 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_16 ),
-	.SUM(n_13374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g425  (
-	.A(u_top_u_core_pc_if[7]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_12 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_14 ),
-	.SUM(n_13373), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g426  (
-	.A(u_top_u_core_pc_if[6]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_10 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_12 ),
-	.SUM(n_13372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g427  (
-	.A(u_top_u_core_pc_if[5]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_8 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_10 ),
-	.SUM(n_13371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g428  (
-	.A(u_top_u_core_pc_if[4]),
-	.B(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_6 ),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_8 ),
-	.SUM(n_13370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g429  (
-	.A(u_top_u_core_pc_if[3]),
-	.B(n_17647),
-	.COUT(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_6 ),
-	.SUM(n_13369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g430  (
-	.A1_N(FE_PSN3841_u_top_u_core_if_stage_i_gen_prefetch_buffer_prefetch_buffer_i_fifo_i_add_145_48_n_1),
-	.A2_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_2 ),
-	.B1(FE_PSN3841_u_top_u_core_if_stage_i_gen_prefetch_buffer_prefetch_buffer_i_fifo_i_add_145_48_n_1),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_2 ),
-	.Y(n_13368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g432  (
-	.A1(FE_OFN823_u_top_u_core_pc_if_1),
-	.A2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_addr_incr_two ),
-	.B1(FE_PSN3842_u_top_u_core_if_stage_i_gen_prefetch_buffer_prefetch_buffer_i_fifo_i_add_145_48_n_1),
-	.X(n_13367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g433  (
-	.A1_N(u_top_u_core_pc_if[2]),
-	.A2_N(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_addr_incr_two ),
-	.B1(u_top_u_core_pc_if[2]),
-	.B2(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_addr_incr_two ),
-	.Y(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_2 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 \u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g434  (
-	.A(FE_PSN3835_u_top_u_core_if_stage_i_gen_prefetch_buffer_prefetch_buffer_i_fifo_i_addr_incr_two),
-	.B(FE_OFN823_u_top_u_core_pc_if_1),
-	.Y(\u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1 ), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g706 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_25),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_61),
-	.C(u_uart_u_uart_core_rx_time_rx_time[31]),
-	.X(u_uart_u_uart_core_rx_time_n_217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g707 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_27),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_60),
-	.C(u_uart_u_uart_core_rx_time_rx_time[30]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_61), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g708 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_2),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_59),
-	.C(u_uart_u_uart_core_rx_time_rx_time[29]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g709 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_0),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_58),
-	.C(u_uart_u_uart_core_rx_time_rx_time[28]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_59), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g710 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_14),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_57),
-	.C(u_uart_u_uart_core_rx_time_rx_time[27]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g711 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_23),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_56),
-	.C(u_uart_u_uart_core_rx_time_rx_time[26]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g712 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_13),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_55),
-	.C(u_uart_u_uart_core_rx_time_rx_time[25]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g713 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_29),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_54),
-	.C(u_uart_u_uart_core_rx_time_rx_time[24]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_55), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g714 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_9),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_53),
-	.C(u_uart_u_uart_core_rx_time_rx_time[23]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g715 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_17),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_52),
-	.C(u_uart_u_uart_core_rx_time_rx_time[22]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g716 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_21),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_51),
-	.C(u_uart_u_uart_core_rx_time_rx_time[21]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g717 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_16),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_50),
-	.C(u_uart_u_uart_core_rx_time_rx_time[20]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g718 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_7),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_49),
-	.C(u_uart_u_uart_core_rx_time_rx_time[19]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g719 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_11),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_48),
-	.C(u_uart_u_uart_core_rx_time_rx_time[18]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g720 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_6),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_47),
-	.C(u_uart_u_uart_core_rx_time_rx_time[17]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g721 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_20),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_46),
-	.C(u_uart_u_uart_core_rx_time_rx_time[16]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_47), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g722 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_5),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_45),
-	.C(u_uart_u_uart_core_rx_time_rx_time[15]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_46), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g723 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_1),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_44),
-	.C(u_uart_u_uart_core_rx_time_rx_time[14]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g724 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_18),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_43),
-	.C(u_uart_u_uart_core_rx_time_rx_time[13]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g725 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_4),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_42),
-	.C(u_uart_u_uart_core_rx_time_rx_time[12]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_43), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g726 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_8),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_41),
-	.C(u_uart_u_uart_core_rx_time_rx_time[11]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_42), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g727 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_19),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_40),
-	.C(u_uart_u_uart_core_rx_time_rx_time[10]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_41), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g728 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_12),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_39),
-	.C(u_uart_u_uart_core_rx_time_rx_time[9]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_40), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g729 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_26),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_38),
-	.C(u_uart_u_uart_core_rx_time_rx_time[8]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_39), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g730 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_15),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_37),
-	.C(u_uart_u_uart_core_rx_time_rx_time[7]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_38), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g731 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_10),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_36),
-	.C(u_uart_u_uart_core_rx_time_rx_time[6]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g732 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_3),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_35),
-	.C(u_uart_u_uart_core_rx_time_rx_time[5]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g733 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_22),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_34),
-	.C(u_uart_u_uart_core_rx_time_rx_time[4]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g734 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_24),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_33),
-	.C(u_uart_u_uart_core_rx_time_rx_time[3]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__maj3_1 u_uart_u_uart_core_rx_time_gte_47_24_g735 (
-	.A(u_uart_u_uart_core_rx_time_gte_47_24_n_28),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_32),
-	.C(u_uart_u_uart_core_rx_time_rx_time[2]),
-	.X(u_uart_u_uart_core_rx_time_gte_47_24_n_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 u_uart_u_uart_core_rx_time_gte_47_24_g736 (
-	.A1_N(u_uart_u_uart_core_rx_time_rx_time[1]),
-	.A2_N(u_uart_u_uart_core_rx_time_gte_47_24_n_30),
-	.B1(u_uart_u_uart_core_rx_time_rx_timeout[1]),
-	.B2(u_uart_u_uart_core_rx_time_gte_47_24_n_31),
-	.Y(u_uart_u_uart_core_rx_time_gte_47_24_n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 u_uart_u_uart_core_rx_time_gte_47_24_g737 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[1]),
-	.B(u_uart_u_uart_core_rx_time_gte_47_24_n_30),
-	.Y(u_uart_u_uart_core_rx_time_gte_47_24_n_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 u_uart_u_uart_core_rx_time_gte_47_24_g738 (
-	.A_N(u_uart_u_uart_core_rx_time_rx_time[0]),
-	.B(u_uart_u_uart_core_rx_time_rx_timeout[0]),
-	.Y(u_uart_u_uart_core_rx_time_gte_47_24_n_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g407 (
-	.A1_N(u_uart_u_uart_core_rx_time_rx_time[31]),
-	.A2_N(u_uart_u_uart_core_rx_time_inc_add_40_24_n_58),
-	.B1(u_uart_u_uart_core_rx_time_rx_time[31]),
-	.B2(u_uart_u_uart_core_rx_time_inc_add_40_24_n_58),
-	.Y(u_uart_u_uart_core_rx_time_n_576), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g408 (
-	.A1(u_uart_u_uart_core_rx_time_rx_time[30]),
-	.A2(u_uart_u_uart_core_rx_time_inc_add_40_24_n_57),
-	.B1(u_uart_u_uart_core_rx_time_inc_add_40_24_n_58),
-	.X(u_uart_u_uart_core_rx_time_n_577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g409 (
-	.A(u_uart_u_uart_core_rx_time_inc_add_40_24_n_57),
-	.B(u_uart_u_uart_core_rx_time_rx_time[30]),
-	.Y(u_uart_u_uart_core_rx_time_inc_add_40_24_n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g410 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[29]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_54),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_57),
-	.SUM(u_uart_u_uart_core_rx_time_n_578), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g411 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[28]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_52),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_54),
-	.SUM(u_uart_u_uart_core_rx_time_n_579), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g412 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[27]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_50),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_52),
-	.SUM(u_uart_u_uart_core_rx_time_n_580), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g413 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[26]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_48),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_50),
-	.SUM(u_uart_u_uart_core_rx_time_n_581), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g414 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[25]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_46),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_48),
-	.SUM(u_uart_u_uart_core_rx_time_n_582), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g415 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[24]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_44),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_46),
-	.SUM(u_uart_u_uart_core_rx_time_n_583), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g416 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[23]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_42),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_44),
-	.SUM(u_uart_u_uart_core_rx_time_n_584), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g417 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[22]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_40),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_42),
-	.SUM(u_uart_u_uart_core_rx_time_n_585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g418 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[21]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_38),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_40),
-	.SUM(u_uart_u_uart_core_rx_time_n_586), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g419 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[20]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_36),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_38),
-	.SUM(u_uart_u_uart_core_rx_time_n_587), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g420 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[19]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_34),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_36),
-	.SUM(u_uart_u_uart_core_rx_time_n_588), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g421 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[18]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_32),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_34),
-	.SUM(u_uart_u_uart_core_rx_time_n_589), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g422 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[17]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_30),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_32),
-	.SUM(u_uart_u_uart_core_rx_time_n_590), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g423 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[16]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_28),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_30),
-	.SUM(u_uart_u_uart_core_rx_time_n_591), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g424 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[15]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_26),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_28),
-	.SUM(u_uart_u_uart_core_rx_time_n_592), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g425 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[14]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_24),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_26),
-	.SUM(u_uart_u_uart_core_rx_time_n_593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g426 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[13]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_22),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_24),
-	.SUM(u_uart_u_uart_core_rx_time_n_594), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g427 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[12]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_20),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_22),
-	.SUM(u_uart_u_uart_core_rx_time_n_595), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g428 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[11]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_18),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_20),
-	.SUM(u_uart_u_uart_core_rx_time_n_596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g429 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[10]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_16),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_18),
-	.SUM(u_uart_u_uart_core_rx_time_n_597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g430 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[9]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_14),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_16),
-	.SUM(u_uart_u_uart_core_rx_time_n_598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g431 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[8]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_12),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_14),
-	.SUM(u_uart_u_uart_core_rx_time_n_599), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g432 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[7]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_10),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_12),
-	.SUM(u_uart_u_uart_core_rx_time_n_600), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g433 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[6]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_8),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_10),
-	.SUM(u_uart_u_uart_core_rx_time_n_601), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g434 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[5]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_6),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_8),
-	.SUM(u_uart_u_uart_core_rx_time_n_602), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g435 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[4]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_4),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_6),
-	.SUM(u_uart_u_uart_core_rx_time_n_603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g436 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[3]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_2),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_4),
-	.SUM(u_uart_u_uart_core_rx_time_n_604), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g437 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[2]),
-	.B(u_uart_u_uart_core_rx_time_inc_add_40_24_n_0),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_2),
-	.SUM(u_uart_u_uart_core_rx_time_n_605), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 u_uart_u_uart_core_rx_time_inc_add_40_24_g438 (
-	.A(u_uart_u_uart_core_rx_time_rx_time[0]),
-	.B(u_uart_u_uart_core_rx_time_rx_time[1]),
-	.COUT(u_uart_u_uart_core_rx_time_inc_add_40_24_n_0),
-	.SUM(u_uart_u_uart_core_rx_time_n_606), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g401925 (
-	.A(u_top_u_core_id_stage_i_div_en_dec),
-	.Y(n_1317), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g402061 (
-	.A1(n_10261),
-	.A2(n_9045),
-	.B1(u_top_u_core_csr_restore_mret_id),
-	.B2(u_top_u_core_cs_registers_i_csr_rdata_int[7]),
-	.Y(n_16021), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g402062 (
-	.A(u_top_u_core_alu_operand_b_ex[3]),
-	.B(n_1743),
-	.Y(n_16022), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g402063 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_85),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_114),
-	.Y(n_16023), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xnor2_1 g402064 (
-	.A(u_top_u_core_ex_block_i_alu_i_add_86_53_n_3),
-	.B(u_top_u_core_ex_block_i_alu_i_add_86_53_n_83),
-	.Y(n_16024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g403103 (
-	.A(iccm_adapter_inst_mem_u_reqfifo_n_68),
-	.B_N(n_10855),
-	.Y(n_17517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[24]  (
-	.CLK(CTS_76),
-	.D(n_2246),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_29),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfsbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[2]  (
-	.CLK(CTS_74),
-	.D(n_2258),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_28),
-	.SET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[30]  (
-	.CLK(CTS_76),
-	.D(n_2240),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_27),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[8]  (
-	.CLK(CTS_74),
-	.D(n_2231),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_26),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[31]  (
-	.CLK(CTS_76),
-	.D(n_2255),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_25),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfsbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[3]  (
-	.CLK(CTS_76),
-	.D(n_2251),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_24),
-	.SET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[26]  (
-	.CLK(CTS_76),
-	.D(n_2238),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_23),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[4]  (
-	.CLK(CTS_76),
-	.D(n_2229),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_22),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[21]  (
-	.CLK(CTS_69),
-	.D(n_2208),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_21),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[16]  (
-	.CLK(CTS_69),
-	.D(n_2239),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_20),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[10]  (
-	.CLK(CTS_69),
-	.D(n_2242),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_19),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[13]  (
-	.CLK(CTS_69),
-	.D(n_2228),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_18),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[22]  (
-	.CLK(CTS_69),
-	.D(n_2243),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_17),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[20]  (
-	.CLK(CTS_69),
-	.D(n_2259),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_16),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[7]  (
-	.CLK(CTS_76),
-	.D(n_2245),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_15),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[27]  (
-	.CLK(CTS_76),
-	.D(n_2248),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_14),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[25]  (
-	.CLK(CTS_76),
-	.D(n_2227),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_13),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[9]  (
-	.CLK(CTS_74),
-	.D(n_2253),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_12),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[18]  (
-	.CLK(CTS_69),
-	.D(n_2247),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_11),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[6]  (
-	.CLK(CTS_76),
-	.D(n_2230),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_10),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[23]  (
-	.CLK(CTS_69),
-	.D(n_2257),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_9),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[11]  (
-	.CLK(CTS_69),
-	.D(n_2250),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_8),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[19]  (
-	.CLK(CTS_69),
-	.D(n_2249),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_7),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[17]  (
-	.CLK(CTS_69),
-	.D(n_2232),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_6),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[15]  (
-	.CLK(CTS_69),
-	.D(n_2252),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_5),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[12]  (
-	.CLK(CTS_69),
-	.D(n_2214),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_4),
-	.RESET_B(FE_OFN92_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[5]  (
-	.CLK(CTS_76),
-	.D(n_2254),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_3),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[29]  (
-	.CLK(CTS_76),
-	.D(n_2210),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_2),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[14]  (
-	.CLK(CTS_69),
-	.D(n_2233),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_1),
-	.RESET_B(FE_OFN86_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_1 \u_uart_u_uart_core_rx_time_rx_timeout_reg[28]  (
-	.CLK(CTS_76),
-	.D(n_2244),
-	.Q_N(u_uart_u_uart_core_rx_time_gte_47_24_n_0),
-	.RESET_B(FE_OFN181_system_rst_ni), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g403292 (
-	.A(n_11368),
-	.B_N(n_15837),
-	.Y(n_17646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell (
-	.HI(n_17951),
-	.LO(logic_0_1_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell1 (
-	.HI(n_17952),
-	.LO(logic_0_2_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell2 (
-	.HI(n_17953),
-	.LO(logic_0_3_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell3 (
-	.HI(n_17954),
-	.LO(logic_0_4_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell4 (
-	.HI(n_17955),
-	.LO(logic_0_5_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell5 (
-	.HI(n_17956),
-	.LO(logic_0_6_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell6 (
-	.HI(n_17957),
-	.LO(logic_0_7_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell7 (
-	.HI(n_17958),
-	.LO(logic_0_8_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell8 (
-	.HI(n_17959),
-	.LO(logic_0_9_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell9 (
-	.HI(n_17960),
-	.LO(logic_0_10_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell10 (
-	.HI(n_17961),
-	.LO(logic_0_11_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell11 (
-	.HI(n_17962),
-	.LO(logic_0_12_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell12 (
-	.HI(n_17963),
-	.LO(logic_0_13_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell13 (
-	.HI(n_17964),
-	.LO(logic_0_14_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell14 (
-	.HI(n_17965),
-	.LO(logic_0_15_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell15 (
-	.HI(n_17966),
-	.LO(logic_0_16_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell16 (
-	.HI(n_17967),
-	.LO(logic_0_17_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell17 (
-	.HI(n_17968),
-	.LO(logic_0_18_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell18 (
-	.HI(n_17969),
-	.LO(logic_0_19_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell19 (
-	.HI(n_17970),
-	.LO(logic_0_20_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell20 (
-	.HI(n_17971),
-	.LO(logic_0_21_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell21 (
-	.HI(n_17972),
-	.LO(logic_0_22_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell22 (
-	.HI(n_17973),
-	.LO(logic_0_23_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell23 (
-	.HI(n_17974),
-	.LO(logic_0_24_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell24 (
-	.HI(n_17975),
-	.LO(logic_0_25_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell25 (
-	.HI(n_17976),
-	.LO(logic_0_26_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell26 (
-	.HI(n_17977),
-	.LO(logic_0_27_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell27 (
-	.HI(n_17978),
-	.LO(logic_0_28_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell28 (
-	.HI(n_17979),
-	.LO(logic_0_29_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell29 (
-	.HI(n_17980),
-	.LO(logic_0_30_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell30 (
-	.HI(n_17981),
-	.LO(logic_0_31_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell31 (
-	.HI(n_17982),
-	.LO(logic_0_32_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell32 (
-	.HI(n_17983),
-	.LO(logic_0_33_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell33 (
-	.HI(n_17984),
-	.LO(logic_0_34_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell34 (
-	.HI(n_17985),
-	.LO(logic_0_35_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell35 (
-	.HI(n_17986),
-	.LO(logic_0_36_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell36 (
-	.HI(n_17987),
-	.LO(logic_0_37_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell37 (
-	.HI(n_17988),
-	.LO(logic_0_38_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell38 (
-	.HI(n_17989),
-	.LO(logic_0_39_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell39 (
-	.HI(n_17990),
-	.LO(logic_0_40_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell40 (
-	.HI(n_17991),
-	.LO(logic_0_41_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell41 (
-	.HI(n_17992),
-	.LO(logic_0_42_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell42 (
-	.HI(n_17993),
-	.LO(logic_0_43_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell43 (
-	.HI(n_17994),
-	.LO(logic_0_44_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell44 (
-	.HI(n_17995),
-	.LO(logic_0_45_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell45 (
-	.HI(n_17996),
-	.LO(logic_0_46_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell46 (
-	.HI(n_17997),
-	.LO(logic_0_47_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell47 (
-	.HI(n_17998),
-	.LO(logic_0_48_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell48 (
-	.HI(n_17999),
-	.LO(logic_0_49_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell49 (
-	.HI(n_18000),
-	.LO(logic_0_50_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell50 (
-	.HI(n_18001),
-	.LO(logic_0_51_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell51 (
-	.HI(n_18002),
-	.LO(logic_0_52_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell52 (
-	.HI(n_18003),
-	.LO(logic_0_53_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell53 (
-	.HI(n_18004),
-	.LO(logic_0_54_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell54 (
-	.HI(n_18005),
-	.LO(logic_0_55_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell55 (
-	.LO(logic_0_56_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell56 (
-	.LO(logic_0_57_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell57 (
-	.LO(logic_0_58_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell58 (
-	.LO(logic_0_59_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell59 (
-	.LO(logic_0_60_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell60 (
-	.LO(logic_0_61_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell61 (
-	.LO(logic_0_62_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell62 (
-	.LO(logic_0_63_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell63 (
-	.LO(logic_0_64_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell64 (
-	.LO(logic_0_65_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell65 (
-	.LO(logic_0_66_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell66 (
-	.LO(logic_0_67_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell67 (
-	.LO(logic_0_68_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell68 (
-	.LO(logic_0_69_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell69 (
-	.LO(logic_0_70_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell70 (
-	.LO(logic_0_71_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell71 (
-	.LO(logic_0_72_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell72 (
-	.LO(logic_0_73_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-endmodule
-
-module rest_top (
-	wb_clk_i, 
-	wb_rst_i, 
-	wbs_stb_i, 
-	wbs_cyc_i, 
-	wbs_we_i, 
-	wbs_sel_i, 
-	wbs_dat_i, 
-	wbs_adr_i, 
-	wbs_ack_o, 
-	wbs_dat_o, 
-	la_data_in, 
-	la_data_out, 
-	la_oenb, 
-	io_in, 
-	io_out, 
-	io_oeb, 
-	analog_io, 
-	user_clock2, 
-	user_irq, 
-	vccd1, 
-	vssd1);
-   input wb_clk_i;
-   input wb_rst_i;
-   input wbs_stb_i;
-   input wbs_cyc_i;
-   input wbs_we_i;
-   input [3:0] wbs_sel_i;
-   input [31:0] wbs_dat_i;
-   input [31:0] wbs_adr_i;
-   output wbs_ack_o;
-   output [31:0] wbs_dat_o;
-   input [127:0] la_data_in;
-   output [127:0] la_data_out;
-   input [127:0] la_oenb;
-   input [37:0] io_in;
-   output [37:0] io_out;
-   output [37:0] io_oeb;
-   inout [28:0] analog_io;
-   input user_clock2;
-   output [2:0] user_irq;
-   inout vccd1;
-   inout vssd1;
-
-   // Internal wires
-   wire FE_PDN3649_io_out_6;
-   wire FE_OFN229_io_out_6;
-   wire n_0;
-
-   // Module instantiations
-   sky130_fd_sc_hd__buf_4 FE_PDC3650_io_out_6 (
-	.A(FE_PDN3649_io_out_6),
-	.X(io_out[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC229_io_out_6 (
-	.A(FE_OFN229_io_out_6),
-	.X(FE_PDN3649_io_out_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   azadi_soc_top u_soc (
-	.clk_i(wb_clk_i),
-	.rst_ni(n_0),
-	.prog(io_in[8]),
-	.clks_per_bit({ la_data_in[15],
-		la_data_in[14],
-		la_data_in[13],
-		la_data_in[12],
-		la_data_in[11],
-		la_data_in[10],
-		la_data_in[9],
-		la_data_in[8],
-		la_data_in[7],
-		la_data_in[6],
-		la_data_in[5],
-		la_data_in[4],
-		la_data_in[3],
-		la_data_in[2],
-		la_data_in[1],
-		la_data_in[0] }),
-	.uart_tx(FE_OFN229_io_out_6),
-	.uart_rx(io_in[5]), 
-	.vccd1(vccd1), 
-	.vssd1(vssd1));
-   sky130_fd_sc_hd__inv_2 g165 (
-	.A(wb_rst_i),
-	.Y(n_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell (
-	.HI(io_oeb[5]),
-	.LO(io_oeb[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell1 (
-	.HI(io_oeb[8]),
-	.LO(io_oeb[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell2 (
-	.LO(io_oeb[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell3 (
-	.LO(io_oeb[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell4 (
-	.LO(io_oeb[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell5 (
-	.LO(io_oeb[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell6 (
-	.LO(io_oeb[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell7 (
-	.LO(io_oeb[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell8 (
-	.LO(io_oeb[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell9 (
-	.LO(io_oeb[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell10 (
-	.LO(io_oeb[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell11 (
-	.LO(io_oeb[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell12 (
-	.LO(io_oeb[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell13 (
-	.LO(io_oeb[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell14 (
-	.LO(io_oeb[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell15 (
-	.LO(io_oeb[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell16 (
-	.LO(io_oeb[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell17 (
-	.LO(io_oeb[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell18 (
-	.LO(io_oeb[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell19 (
-	.LO(io_oeb[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell20 (
-	.LO(io_oeb[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell21 (
-	.LO(io_oeb[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell22 (
-	.LO(io_oeb[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell23 (
-	.LO(io_oeb[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell24 (
-	.LO(io_oeb[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell25 (
-	.LO(io_oeb[32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell26 (
-	.LO(io_oeb[33]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell27 (
-	.LO(io_oeb[34]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell28 (
-	.LO(io_oeb[35]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell29 (
-	.LO(io_oeb[36]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell30 (
-	.LO(io_oeb[37]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell31 (
-	.LO(io_oeb[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell32 (
-	.LO(io_oeb[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell33 (
-	.LO(io_oeb[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell34 (
-	.LO(io_oeb[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell35 (
-	.LO(io_oeb[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell36 (
-	.LO(io_out[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell37 (
-	.LO(io_out[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell38 (
-	.LO(io_out[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell39 (
-	.LO(io_out[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell40 (
-	.LO(io_out[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell41 (
-	.LO(io_out[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell42 (
-	.LO(io_out[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell43 (
-	.LO(io_out[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell44 (
-	.LO(io_out[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell45 (
-	.LO(io_out[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell46 (
-	.LO(io_out[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell47 (
-	.LO(io_out[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell48 (
-	.LO(io_out[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell49 (
-	.LO(io_out[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell50 (
-	.LO(io_out[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell51 (
-	.LO(io_out[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell52 (
-	.LO(io_out[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell53 (
-	.LO(io_out[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell54 (
-	.LO(io_out[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell55 (
-	.LO(io_out[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell56 (
-	.LO(io_out[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell57 (
-	.LO(io_out[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell58 (
-	.LO(io_out[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell59 (
-	.LO(io_out[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell60 (
-	.LO(io_out[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell61 (
-	.LO(io_out[32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell62 (
-	.LO(io_out[33]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell63 (
-	.LO(io_out[34]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell64 (
-	.LO(io_out[35]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell65 (
-	.LO(io_out[36]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell66 (
-	.LO(io_out[37]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell67 (
-	.LO(io_out[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell68 (
-	.LO(io_out[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell69 (
-	.LO(io_out[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell70 (
-	.LO(io_out[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell71 (
-	.LO(io_out[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell72 (
-	.LO(io_out[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell73 (
-	.LO(la_data_out[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell74 (
-	.LO(la_data_out[100]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell75 (
-	.LO(la_data_out[101]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell76 (
-	.LO(la_data_out[102]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell77 (
-	.LO(la_data_out[103]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell78 (
-	.LO(la_data_out[104]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell79 (
-	.LO(la_data_out[105]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell80 (
-	.LO(la_data_out[106]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell81 (
-	.LO(la_data_out[107]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell82 (
-	.LO(la_data_out[108]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell83 (
-	.LO(la_data_out[109]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell84 (
-	.LO(la_data_out[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell85 (
-	.LO(la_data_out[110]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell86 (
-	.LO(la_data_out[111]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell87 (
-	.LO(la_data_out[112]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell88 (
-	.LO(la_data_out[113]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell89 (
-	.LO(la_data_out[114]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell90 (
-	.LO(la_data_out[115]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell91 (
-	.LO(la_data_out[116]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell92 (
-	.LO(la_data_out[117]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell93 (
-	.LO(la_data_out[118]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell94 (
-	.LO(la_data_out[119]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell95 (
-	.LO(la_data_out[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell96 (
-	.LO(la_data_out[120]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell97 (
-	.LO(la_data_out[121]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell98 (
-	.LO(la_data_out[122]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell99 (
-	.LO(la_data_out[123]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell100 (
-	.LO(la_data_out[124]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell101 (
-	.LO(la_data_out[125]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell102 (
-	.LO(la_data_out[126]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell103 (
-	.LO(la_data_out[127]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell104 (
-	.LO(la_data_out[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell105 (
-	.LO(la_data_out[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell106 (
-	.LO(la_data_out[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell107 (
-	.LO(la_data_out[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell108 (
-	.LO(la_data_out[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell109 (
-	.LO(la_data_out[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell110 (
-	.LO(la_data_out[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell111 (
-	.LO(la_data_out[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell112 (
-	.LO(la_data_out[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell113 (
-	.LO(la_data_out[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell114 (
-	.LO(la_data_out[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell115 (
-	.LO(la_data_out[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell116 (
-	.LO(la_data_out[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell117 (
-	.LO(la_data_out[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell118 (
-	.LO(la_data_out[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell119 (
-	.LO(la_data_out[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell120 (
-	.LO(la_data_out[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell121 (
-	.LO(la_data_out[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell122 (
-	.LO(la_data_out[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell123 (
-	.LO(la_data_out[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell124 (
-	.LO(la_data_out[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell125 (
-	.LO(la_data_out[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell126 (
-	.LO(la_data_out[32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell127 (
-	.LO(la_data_out[33]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell128 (
-	.LO(la_data_out[34]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell129 (
-	.LO(la_data_out[35]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell130 (
-	.LO(la_data_out[36]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell131 (
-	.LO(la_data_out[37]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell132 (
-	.LO(la_data_out[38]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell133 (
-	.LO(la_data_out[39]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell134 (
-	.LO(la_data_out[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell135 (
-	.LO(la_data_out[40]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell136 (
-	.LO(la_data_out[41]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell137 (
-	.LO(la_data_out[42]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell138 (
-	.LO(la_data_out[43]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell139 (
-	.LO(la_data_out[44]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell140 (
-	.LO(la_data_out[45]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell141 (
-	.LO(la_data_out[46]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell142 (
-	.LO(la_data_out[47]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell143 (
-	.LO(la_data_out[48]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell144 (
-	.LO(la_data_out[49]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell145 (
-	.LO(la_data_out[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell146 (
-	.LO(la_data_out[50]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell147 (
-	.LO(la_data_out[51]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell148 (
-	.LO(la_data_out[52]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell149 (
-	.LO(la_data_out[53]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell150 (
-	.LO(la_data_out[54]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell151 (
-	.LO(la_data_out[55]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell152 (
-	.LO(la_data_out[56]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell153 (
-	.LO(la_data_out[57]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell154 (
-	.LO(la_data_out[58]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell155 (
-	.LO(la_data_out[59]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell156 (
-	.LO(la_data_out[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell157 (
-	.LO(la_data_out[60]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell158 (
-	.LO(la_data_out[61]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell159 (
-	.LO(la_data_out[62]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell160 (
-	.LO(la_data_out[63]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell161 (
-	.LO(la_data_out[64]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell162 (
-	.LO(la_data_out[65]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell163 (
-	.LO(la_data_out[66]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell164 (
-	.LO(la_data_out[67]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell165 (
-	.LO(la_data_out[68]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell166 (
-	.LO(la_data_out[69]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell167 (
-	.LO(la_data_out[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell168 (
-	.LO(la_data_out[70]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell169 (
-	.LO(la_data_out[71]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell170 (
-	.LO(la_data_out[72]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell171 (
-	.LO(la_data_out[73]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell172 (
-	.LO(la_data_out[74]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell173 (
-	.LO(la_data_out[75]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell174 (
-	.LO(la_data_out[76]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell175 (
-	.LO(la_data_out[77]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell176 (
-	.LO(la_data_out[78]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell177 (
-	.LO(la_data_out[79]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell178 (
-	.LO(la_data_out[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell179 (
-	.LO(la_data_out[80]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell180 (
-	.LO(la_data_out[81]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell181 (
-	.LO(la_data_out[82]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell182 (
-	.LO(la_data_out[83]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell183 (
-	.LO(la_data_out[84]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell184 (
-	.LO(la_data_out[85]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell185 (
-	.LO(la_data_out[86]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell186 (
-	.LO(la_data_out[87]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell187 (
-	.LO(la_data_out[88]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell188 (
-	.LO(la_data_out[89]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell189 (
-	.LO(la_data_out[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell190 (
-	.LO(la_data_out[90]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell191 (
-	.LO(la_data_out[91]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell192 (
-	.LO(la_data_out[92]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell193 (
-	.LO(la_data_out[93]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell194 (
-	.LO(la_data_out[94]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell195 (
-	.LO(la_data_out[95]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell196 (
-	.LO(la_data_out[96]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell197 (
-	.LO(la_data_out[97]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell198 (
-	.LO(la_data_out[98]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell199 (
-	.LO(la_data_out[99]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell200 (
-	.LO(la_data_out[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell201 (
-	.LO(user_irq[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell202 (
-	.LO(user_irq[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell203 (
-	.LO(user_irq[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell204 (
-	.LO(wbs_ack_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell205 (
-	.LO(wbs_dat_o[0]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell206 (
-	.LO(wbs_dat_o[10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell207 (
-	.LO(wbs_dat_o[11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell208 (
-	.LO(wbs_dat_o[12]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell209 (
-	.LO(wbs_dat_o[13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell210 (
-	.LO(wbs_dat_o[14]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell211 (
-	.LO(wbs_dat_o[15]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell212 (
-	.LO(wbs_dat_o[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell213 (
-	.LO(wbs_dat_o[17]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell214 (
-	.LO(wbs_dat_o[18]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell215 (
-	.LO(wbs_dat_o[19]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell216 (
-	.LO(wbs_dat_o[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell217 (
-	.LO(wbs_dat_o[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell218 (
-	.LO(wbs_dat_o[21]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell219 (
-	.LO(wbs_dat_o[22]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell220 (
-	.LO(wbs_dat_o[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell221 (
-	.LO(wbs_dat_o[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell222 (
-	.LO(wbs_dat_o[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell223 (
-	.LO(wbs_dat_o[26]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell224 (
-	.LO(wbs_dat_o[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell225 (
-	.LO(wbs_dat_o[28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell226 (
-	.LO(wbs_dat_o[29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell227 (
-	.LO(wbs_dat_o[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell228 (
-	.LO(wbs_dat_o[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell229 (
-	.LO(wbs_dat_o[31]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell230 (
-	.LO(wbs_dat_o[3]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell231 (
-	.LO(wbs_dat_o[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell232 (
-	.LO(wbs_dat_o[5]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell233 (
-	.LO(wbs_dat_o[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell234 (
-	.LO(wbs_dat_o[7]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell235 (
-	.LO(wbs_dat_o[8]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__conb_1 tie_0_cell236 (
-	.LO(wbs_dat_o[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
+module rest_top(wb_clk_i, wb_rst_i, wbs_stb_i, wbs_cyc_i, wbs_we_i,
+     wbs_sel_i, wbs_dat_i, wbs_adr_i, wbs_ack_o, wbs_dat_o, la_data_in,
+     la_data_out, la_oenb, io_in, io_out, io_oeb, analog_io,
+     user_clock2, user_irq);
+  input wb_clk_i, wb_rst_i, wbs_stb_i, wbs_cyc_i, wbs_we_i, user_clock2;
+  input [3:0] wbs_sel_i;
+  input [31:0] wbs_dat_i, wbs_adr_i;
+  input [127:0] la_data_in, la_oenb;
+  input [37:0] io_in;
+  output wbs_ack_o;
+  output [31:0] wbs_dat_o;
+  output [127:0] la_data_out;
+  output [37:0] io_out, io_oeb;
+  output [2:0] user_irq;
+  inout [28:0] analog_io;
+  wire wb_clk_i, wb_rst_i, wbs_stb_i, wbs_cyc_i, wbs_we_i, user_clock2;
+  wire [3:0] wbs_sel_i;
+  wire [31:0] wbs_dat_i, wbs_adr_i;
+  wire [127:0] la_data_in, la_oenb;
+  wire [37:0] io_in;
+  wire wbs_ack_o;
+  wire [31:0] wbs_dat_o;
+  wire [127:0] la_data_out;
+  wire [37:0] io_out, io_oeb;
+  wire [2:0] user_irq;
+  wire [28:0] analog_io;
+  wire [31:0] u_soc_data_wdata;
+  wire [31:0] u_soc_u_dccm_rdata1;
+  wire [31:0] u_soc_u_dccm_rdata2;
+  wire [31:0] u_soc_u_dccm_rdata3;
+  wire [31:0] u_soc_u_dccm_rdata4;
+  wire [7:0] u_soc_rx_byte_i;
+  wire [11:0] u_soc_iccm_ctrl_addr_o;
+  wire [31:0] u_soc_iccm_ctrl_data;
+  wire [31:0] u_soc_instr_wdata;
+  wire [31:0] u_soc_u_iccm_rdata1;
+  wire [31:0] u_soc_u_iccm_rdata2;
+  wire [31:0] u_soc_u_iccm_rdata3;
+  wire [31:0] u_soc_u_iccm_rdata4;
+  wire [31:0] \u_soc_u_tcam_u_tcam_adapter_wmask_int[0] ;
+  wire [31:0] u_soc_u_tcam_data_addr;
+  wire [31:0] u_soc_u_tcam_data_wdata;
+  wire [31:0] u_soc_u_tcam_rdata;
+  wire [31:0] u_soc_u_top_u_core_instr_rdata_id;
+  wire [15:0] u_soc_u_top_u_core_instr_rdata_c_id;
+  wire [1:0] u_soc_u_top_u_core_priv_mode_id;
+  wire [2:0] u_soc_u_top_u_core_pc_mux_id;
+  wire [1:0] u_soc_u_top_u_core_exc_pc_mux_id;
+  wire [5:0] u_soc_u_top_u_core_exc_cause;
+  wire [2:0] u_soc_u_top_u_core_debug_cause;
+  wire [31:0] u_soc_u_top_u_core_csr_mtval;
+  wire [2:0] u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec;
+  wire [1:0] u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel;
+  wire [2:0] u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel;
+  wire [5:0] u_soc_u_top_u_core_alu_operator_ex;
+  wire [1:0] u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec;
+  wire [1:0] u_soc_u_top_u_core_multdiv_operator_ex;
+  wire [1:0] u_soc_u_top_u_core_multdiv_signed_mode_ex;
+  wire [1:0] u_soc_u_top_u_core_csr_op;
+  wire [1:0] u_soc_u_top_u_core_lsu_type;
+  wire [15:0] u_soc_baud_reg;
+  wire [15:0] u_soc_u_uart_u_uart_core_control;
+  wire [7:0] u_soc_u_uart_u_uart_core_rx;
+  wire [31:0] u_soc_u_uart_u_uart_core_tx_fifo_data;
+  wire [2:0] u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q;
+  wire [31:0] \u_soc_lsu_to_xbar[a_address] ;
+  wire [31:0] \u_soc_xbar_to_dccm[a_address] ;
+  wire [31:0] u_soc_u_top_u_core_alu_adder_result_ex;
+  wire [31:0] u_soc_u_top_u_core_alu_operand_b_ex;
+  wire [33:0] \u_soc_u_top_u_core_imd_val_q_ex[0] ;
+  wire [32:0]
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       ;
+  wire [32:0]
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       ;
+  wire [31:0] u_soc_u_top_u_core_pc_id;
+  wire [31:0] u_soc_u_top_u_core_lsu_addr_last;
+  wire [1:0]
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q
+       ;
+  wire [1:0] u_soc_main_swith_host_lsu_dev_select_outstanding;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] ;
+  wire [31:0] u_soc_u_top_u_core_rf_wdata_fwd_wb;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] ;
+  wire [2:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       ;
+  wire [2:0]
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       ;
+  wire [4:0] u_soc_u_top_u_core_rf_waddr_wb;
+  wire [12:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2]
+       ;
+  wire [12:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3]
+       ;
+  wire [12:0]
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2]
+       ;
+  wire [12:0]
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3]
+       ;
+  wire [12:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0]
+       ;
+  wire [12:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1]
+       ;
+  wire [12:0]
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0]
+       ;
+  wire [12:0]
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1]
+       ;
+  wire [2:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       ;
+  wire [2:0]
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       ;
+  wire [2:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       ;
+  wire [2:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       ;
+  wire [2:0] u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs;
+  wire [2:0]
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       ;
+  wire [2:0]
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       ;
+  wire [2:0]
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       ;
+  wire [31:0] u_soc_u_top_u_core_cs_registers_i_tmatch_control_rdata;
+  wire [31:0]
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       ;
+  wire [31:0] u_soc_u_top_u_core_pc_if;
+  wire [17:0] u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q;
+  wire [11:0] u_soc_data_addr;
+  wire [3:0] u_soc_data_wmask;
+  wire [11:0] u_soc_instr_addr;
+  wire [3:0] u_soc_instr_wmask;
+  wire [31:0] u_soc_u_uart_u_uart_core_rx_val;
+  wire [31:0] u_soc_u_top_u_core_if_stage_i_if_instr_rdata;
+  wire [31:0] u_soc_u_top_u_core_if_stage_i_fetch_rdata;
+  wire [31:0]
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata
+       ;
+  wire [8:0] u_soc_u_uart_u_uart_core_read_fifo_raddr;
+  wire [31:0]
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       ;
+  wire [2:0]
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
+       ;
+  wire [7:0] u_soc_u_iccm_addr4;
+  wire [7:0] u_soc_u_iccm_addr2;
+  wire [7:0] u_soc_u_iccm_addr1;
+  wire [7:0] u_soc_u_iccm_addr3;
+  wire [31:0] \u_soc_iccm_to_xbar[d_data] ;
+  wire [32:0]
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       ;
+  wire [32:0]
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       ;
+  wire [7:0] u_soc_u_dccm_addr2;
+  wire [7:0] u_soc_u_dccm_addr3;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[19] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[23] ;
+  wire [7:0] u_soc_u_dccm_addr1;
+  wire [7:0] u_soc_u_dccm_addr4;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[91] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[155] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[83] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[147] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[191] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[255] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[187] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[251] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[0] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[64] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[172] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[236] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[31] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[223] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[27] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[31] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[11] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[15] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[59] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[63] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[40] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[104] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[168] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[232] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[26] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[30] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[3] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[7] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[58] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[62] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[136] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[200] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[160] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[224] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[43] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[47] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[8] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[72] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[156] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[220] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[128] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[192] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[93] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[157] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[17] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[209] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[89] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[153] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[29] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[221] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[49] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[241] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[185] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[249] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[177] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[137] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[201] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[81] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[145] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[189] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[253] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[25] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[217] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[30] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[222] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[18] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[210] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[190] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[254] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[186] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[250] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[94] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[158] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[90] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[154] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[26] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[218] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[171] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[235] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[3] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[67] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[19] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[211] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[22] ;
+  wire [31:0] \u_soc_xbar_to_dccm[a_data] ;
+  wire [31:0] \u_soc_ifu_to_xbar[a_address] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[61] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[125] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[113] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[21] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[85] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[57] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[121] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[51] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[115] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[55] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[119] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[59] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[123] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[63] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[127] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[51] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[55] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[35] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[39] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[50] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[54] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[34] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[38] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[53] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[117] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[54] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[118] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[58] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[122] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[62] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[126] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[50] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[114] ;
+  wire [1:0]
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[48] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[112] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[12] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[76] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[4] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[68] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[28] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[92] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[15] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[79] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[7] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[71] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[11] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[75] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[99] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[35] ;
+  wire [31:0]
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       ;
+  wire [31:0]
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       ;
+  wire [1:0]
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       ;
+  wire [31:0] \u_soc_iccm_adapter_inst_mem_wmask_int[0] ;
+  wire [8:0] u_soc_u_uart_u_uart_core_fifo_read_size;
+  wire [1:0]
+       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       ;
+  wire [12:0]
+       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1]
+       ;
+  wire [4:0]
+       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[41] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[105] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[13] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[77] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[1] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[65] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[45] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[109] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[239] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[175] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[44] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[48] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[203] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[139] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[231] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[167] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[227] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[163] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[195] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[131] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[208] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[144] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[207] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[143] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[60] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[124] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[199] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[135] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[52] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[116] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[61] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[56] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[120] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[13] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[60] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[17] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[216] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[152] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[244] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[180] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[29] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[33] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[16] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[80] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[248] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[184] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[20] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[84] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[45] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[28] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[212] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[148] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[24] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[88] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[240] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[176] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[16] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[252] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[188] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[5] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[69] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[33] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[97] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[205] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[141] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[37] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[101] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[9] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[73] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[197] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[133] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[193] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[129] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[229] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[165] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[233] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[169] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[6] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[70] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[10] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[74] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[14] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[78] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[2] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[66] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[238] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[174] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[202] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[138] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[198] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[134] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[226] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[162] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[42] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[106] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[46] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[110] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[38] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[102] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[234] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[170] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[34] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[98] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[230] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[166] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[194] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[130] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[206] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[142] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[95] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[23] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[87] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[39] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[103] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[8] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[12] ;
+  wire [12:0]
+       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0]
+       ;
+  wire [31:0] u_soc_u_top_u_core_csr_mtvec;
+  wire [31:0] u_soc_u_top_u_core_csr_mepc;
+  wire [31:0] u_soc_u_top_u_core_csr_depc;
+  wire [2:0]
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       ;
+  wire [2:0]
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       ;
+  wire [2:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       ;
+  wire [2:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[32] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[96] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[0] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[4] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[25] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[41] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[24] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[57] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[9] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[56] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[173] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[237] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[161] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[225] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[42] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[46] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[183] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[247] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[219] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[179] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[243] ;
+  wire [16:0] u_soc_main_swith_host_lsu_num_req_outstanding;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[49] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[53] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[1] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[5] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[52] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[32] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[36] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[40] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[21] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[37] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[20] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[132] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[196] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[215] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[151] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[27] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[159] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[140] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[204] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[44] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[108] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[36] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[100] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[164] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[228] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[18] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[10] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[14] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[2] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_write_fifo_buffer[6] ;
+  wire [1:0]
+       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[149] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[213] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[245] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[181] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[22] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[214] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[182] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[246] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[86] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[150] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[178] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[242] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[82] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[146] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[47] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[111] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[43] ;
+  wire [8:0] \u_soc_u_uart_u_uart_core_read_fifo_buffer[107] ;
+  wire [1:0]
+       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       ;
+  wire [1:0]
+       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       ;
+  wire [1:0]
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
+       ;
+  wire [1:0]
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
+       ;
+  wire [1:0] u_soc_u_iccm_bank_sel;
+  wire [4:0]
+       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       ;
+  wire [32:0]
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       ;
+  wire [32:0]
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       ;
+  wire [32:0]
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       ;
+  wire [32:0]
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       ;
+  wire [4:0]
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       ;
+  wire [4:0]
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       ;
+  wire [4:0]
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
+       ;
+  wire [4:0]
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
+       ;
+  wire [2:0] u_soc_main_swith_host_lsu_err_resp_err_opcode;
+  wire [1:0] u_soc_u_dccm_bank_sel;
+  wire [32:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       ;
+  wire [32:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       ;
+  wire [32:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       ;
+  wire [32:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       ;
+  wire [4:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0]
+       ;
+  wire [4:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1]
+       ;
+  wire [4:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2]
+       ;
+  wire [4:0]
+       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3]
+       ;
+  wire [63:0] \u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] ;
+  wire [63:0] \u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] ;
+  wire [1:0] \u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] ;
+  wire [2:0] \u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] ;
+  wire [31:0] u_soc_u_top_u_core_cs_registers_i_dscratch0_q;
+  wire [31:0] u_soc_u_top_u_core_cs_registers_i_dscratch1_q;
+  wire [5:0] u_soc_u_top_u_core_cs_registers_i_mcause_q;
+  wire [31:0] u_soc_u_top_u_core_cs_registers_i_mscratch_q;
+  wire [31:0] u_soc_u_top_u_core_cs_registers_i_csr_rdata_int;
+  wire [31:0] u_soc_u_top_u_core_cs_registers_i_mtval_q;
+  wire [4:0]
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       ;
+  wire [33:0] \u_soc_u_top_u_core_imd_val_q_ex[1] ;
+  wire [1:0]
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q
+       ;
+  wire [31:0]
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       ;
+  wire [31:0]
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       ;
+  wire [1:0] u_soc_u_top_u_core_load_store_unit_i_data_type_q;
+  wire [1:0] u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q;
+  wire [31:8] u_soc_u_top_u_core_load_store_unit_i_rdata_q;
+  wire [31:0] \u_soc_uart_to_xbar[d_data] ;
+  wire [2:0] \u_soc_uart_to_xbar[d_opcode] ;
+  wire [8:0] u_soc_u_uart_u_uart_core_rx_buffer_size;
+  wire [1:0] u_soc_u_uart_u_uart_core_rx_time_state_reg;
+  wire [31:0] u_soc_u_uart_u_uart_core_rx_time_rx_time;
+  wire [31:0] u_soc_u_uart_u_uart_core_rx_time_rx_timeout;
+  wire [31:0] u_soc_u_uart_u_uart_core_rx_timeout;
+  wire [8:0] u_soc_u_uart_u_uart_core_write_fifo_waddr;
+  wire inc_ADD_UNS_OP2_n_0, inc_ADD_UNS_OP2_n_2, inc_ADD_UNS_OP2_n_4,
+       inc_ADD_UNS_OP2_n_6, inc_ADD_UNS_OP2_n_8, inc_ADD_UNS_OP2_n_10,
+       inc_ADD_UNS_OP2_n_12, inc_ADD_UNS_OP2_n_14;
+  wire inc_ADD_UNS_OP2_n_16, inc_ADD_UNS_OP2_n_18,
+       inc_ADD_UNS_OP2_n_20, inc_ADD_UNS_OP2_n_22,
+       inc_ADD_UNS_OP2_n_24, inc_ADD_UNS_OP2_n_26,
+       inc_ADD_UNS_OP2_n_28, inc_ADD_UNS_OP2_n_30;
+  wire inc_ADD_UNS_OP2_n_32, inc_ADD_UNS_OP2_n_34,
+       inc_ADD_UNS_OP2_n_36, inc_ADD_UNS_OP2_n_38,
+       inc_ADD_UNS_OP2_n_40, inc_ADD_UNS_OP2_n_42,
+       inc_ADD_UNS_OP2_n_44, inc_ADD_UNS_OP2_n_46;
+  wire inc_ADD_UNS_OP2_n_48, inc_ADD_UNS_OP2_n_50,
+       inc_ADD_UNS_OP2_n_52, inc_ADD_UNS_OP2_n_54,
+       inc_ADD_UNS_OP2_n_56, inc_ADD_UNS_OP2_n_58,
+       inc_ADD_UNS_OP2_n_60, inc_ADD_UNS_OP2_n_62;
+  wire inc_ADD_UNS_OP2_n_64, inc_ADD_UNS_OP2_n_66,
+       inc_ADD_UNS_OP2_n_68, inc_ADD_UNS_OP2_n_70,
+       inc_ADD_UNS_OP2_n_72, inc_ADD_UNS_OP2_n_74,
+       inc_ADD_UNS_OP2_n_76, inc_ADD_UNS_OP2_n_78;
+  wire inc_ADD_UNS_OP2_n_80, inc_ADD_UNS_OP2_n_82,
+       inc_ADD_UNS_OP2_n_84, inc_ADD_UNS_OP2_n_86,
+       inc_ADD_UNS_OP2_n_88, inc_ADD_UNS_OP2_n_90,
+       inc_ADD_UNS_OP2_n_92, inc_ADD_UNS_OP2_n_94;
+  wire inc_ADD_UNS_OP2_n_96, inc_ADD_UNS_OP2_n_98,
+       inc_ADD_UNS_OP2_n_100, inc_ADD_UNS_OP2_n_102,
+       inc_ADD_UNS_OP2_n_104, inc_ADD_UNS_OP2_n_106,
+       inc_ADD_UNS_OP2_n_108, inc_ADD_UNS_OP2_n_110;
+  wire inc_ADD_UNS_OP2_n_112, inc_ADD_UNS_OP2_n_114,
+       inc_ADD_UNS_OP2_n_116, inc_ADD_UNS_OP2_n_118,
+       inc_ADD_UNS_OP2_n_120, inc_ADD_UNS_OP2_n_123,
+       inc_ADD_UNS_OP2_n_124, inc_ADD_UNS_OP_n_0;
+  wire inc_ADD_UNS_OP_n_2, inc_ADD_UNS_OP_n_4, inc_ADD_UNS_OP_n_6,
+       inc_ADD_UNS_OP_n_8, inc_ADD_UNS_OP_n_10, inc_ADD_UNS_OP_n_12,
+       inc_ADD_UNS_OP_n_14, inc_ADD_UNS_OP_n_16;
+  wire inc_ADD_UNS_OP_n_18, inc_ADD_UNS_OP_n_20, inc_ADD_UNS_OP_n_22,
+       inc_ADD_UNS_OP_n_24, inc_ADD_UNS_OP_n_26, inc_ADD_UNS_OP_n_28,
+       inc_ADD_UNS_OP_n_30, inc_ADD_UNS_OP_n_32;
+  wire inc_ADD_UNS_OP_n_34, inc_ADD_UNS_OP_n_36, inc_ADD_UNS_OP_n_38,
+       inc_ADD_UNS_OP_n_40, inc_ADD_UNS_OP_n_42, inc_ADD_UNS_OP_n_44,
+       inc_ADD_UNS_OP_n_46, inc_ADD_UNS_OP_n_48;
+  wire inc_ADD_UNS_OP_n_50, inc_ADD_UNS_OP_n_52, inc_ADD_UNS_OP_n_54,
+       inc_ADD_UNS_OP_n_56, inc_ADD_UNS_OP_n_58, inc_ADD_UNS_OP_n_60,
+       inc_ADD_UNS_OP_n_62, inc_ADD_UNS_OP_n_64;
+  wire inc_ADD_UNS_OP_n_66, inc_ADD_UNS_OP_n_68, inc_ADD_UNS_OP_n_70,
+       inc_ADD_UNS_OP_n_72, inc_ADD_UNS_OP_n_74, inc_ADD_UNS_OP_n_76,
+       inc_ADD_UNS_OP_n_78, inc_ADD_UNS_OP_n_80;
+  wire inc_ADD_UNS_OP_n_82, inc_ADD_UNS_OP_n_84, inc_ADD_UNS_OP_n_86,
+       inc_ADD_UNS_OP_n_88, inc_ADD_UNS_OP_n_90, inc_ADD_UNS_OP_n_92,
+       inc_ADD_UNS_OP_n_94, inc_ADD_UNS_OP_n_96;
+  wire inc_ADD_UNS_OP_n_98, inc_ADD_UNS_OP_n_100, inc_ADD_UNS_OP_n_102,
+       inc_ADD_UNS_OP_n_104, inc_ADD_UNS_OP_n_106,
+       inc_ADD_UNS_OP_n_108, inc_ADD_UNS_OP_n_110, inc_ADD_UNS_OP_n_112;
+  wire inc_ADD_UNS_OP_n_114, inc_ADD_UNS_OP_n_116,
+       inc_ADD_UNS_OP_n_118, inc_ADD_UNS_OP_n_120,
+       inc_ADD_UNS_OP_n_123, inc_ADD_UNS_OP_n_124,
+       inc_u_soc_main_swith_host_lsu_add_105_52_n_0,
+       inc_u_soc_main_swith_host_lsu_add_105_52_n_2;
+  wire inc_u_soc_main_swith_host_lsu_add_105_52_n_4,
+       inc_u_soc_main_swith_host_lsu_add_105_52_n_6,
+       inc_u_soc_main_swith_host_lsu_add_105_52_n_8,
+       inc_u_soc_main_swith_host_lsu_add_105_52_n_10,
+       inc_u_soc_main_swith_host_lsu_add_105_52_n_12,
+       inc_u_soc_main_swith_host_lsu_add_105_52_n_14,
+       inc_u_soc_main_swith_host_lsu_add_105_52_n_16,
+       inc_u_soc_main_swith_host_lsu_add_105_52_n_18;
+  wire inc_u_soc_main_swith_host_lsu_add_105_52_n_20,
+       inc_u_soc_main_swith_host_lsu_add_105_52_n_22,
+       inc_u_soc_main_swith_host_lsu_add_105_52_n_24,
+       inc_u_soc_main_swith_host_lsu_add_105_52_n_27,
+       inc_u_soc_main_swith_host_lsu_add_105_52_n_28, logic_0_1_net,
+       logic_0_2_net, logic_0_3_net;
+  wire logic_0_4_net, logic_0_5_net, logic_0_6_net, logic_0_7_net,
+       logic_0_8_net, logic_0_9_net, logic_0_10_net, logic_0_11_net;
+  wire logic_0_12_net, logic_0_13_net, logic_0_14_net, logic_0_15_net,
+       logic_0_16_net, logic_0_17_net, logic_0_18_net, logic_0_19_net;
+  wire logic_0_20_net, logic_0_21_net, logic_0_22_net, logic_0_23_net,
+       logic_0_24_net, logic_0_25_net, logic_0_26_net, logic_0_27_net;
+  wire logic_0_28_net, logic_0_29_net, logic_0_30_net, logic_0_31_net,
+       logic_0_32_net, logic_0_33_net, logic_0_34_net, logic_0_35_net;
+  wire logic_0_36_net, logic_0_37_net, logic_0_38_net, logic_0_39_net,
+       logic_0_40_net, logic_0_41_net, logic_0_42_net, logic_0_43_net;
+  wire logic_0_44_net, logic_0_45_net, logic_0_46_net, logic_0_47_net,
+       logic_0_48_net, logic_0_49_net, logic_0_50_net, logic_0_51_net;
+  wire logic_0_52_net, logic_0_53_net, logic_0_54_net, logic_0_55_net,
+       logic_0_56_net, logic_0_57_net, logic_0_58_net, logic_0_59_net;
+  wire logic_0_60_net, logic_0_61_net, logic_0_62_net, logic_0_63_net,
+       logic_0_64_net, logic_0_65_net, logic_0_66_net, logic_0_67_net;
+  wire logic_0_68_net, logic_0_69_net, logic_0_70_net, logic_0_71_net,
+       logic_0_72_net, logic_0_73_net, n_0, n_1;
+  wire n_2, n_3, n_4, n_5, n_6, n_7, n_8, n_10;
+  wire n_11, n_12, n_13, n_14, n_15, n_16, n_17, n_19;
+  wire n_28, n_29, n_30, n_32, n_43, n_47, n_53, n_62;
+  wire n_71, n_82, n_89, n_94, n_98, n_104, n_109, n_110;
+  wire n_111, n_112, n_113, n_114, n_115, n_116, n_117, n_118;
+  wire n_119, n_121, n_127, n_128, n_129, n_130, n_131, n_132;
+  wire n_133, n_134, n_135, n_136, n_141, n_142, n_143, n_152;
+  wire n_153, n_157, n_164, n_175, n_188, n_195, n_198, n_437;
+  wire n_467, n_468, n_469, n_470, n_471, n_472, n_473, n_474;
+  wire n_475, n_476, n_477, n_478, n_479, n_480, n_487, n_494;
+  wire n_495, n_496, n_497, n_499, n_511, n_522, n_533, n_534;
+  wire n_536, n_547, n_555, n_556, n_557, n_559, n_568, n_577;
+  wire n_579, n_587, n_589, n_597, n_599, n_610, n_614, n_615;
+  wire n_616, n_617, n_618, n_619, n_620, n_621, n_622, n_623;
+  wire n_624, n_625, n_626, n_633, n_634, n_635, n_636, n_637;
+  wire n_638, n_639, n_640, n_641, n_642, n_646, n_652, n_653;
+  wire n_654, n_657, n_661, n_665, n_666, n_669, n_670, n_689;
+  wire n_690, n_720, n_728, n_730, n_831, n_955, n_981, n_1010;
+  wire n_1011, n_1012, n_1013, n_1014, n_1015, n_1016, n_1017, n_1018;
+  wire n_1019, n_1020, n_1021, n_1022, n_1023, n_1024, n_1025, n_1026;
+  wire n_1027, n_1028, n_1029, n_1030, n_1031, n_1032, n_1033, n_1034;
+  wire n_1035, n_1036, n_1037, n_1038, n_1039, n_1040, n_1042, n_1043;
+  wire n_1044, n_1045, n_1046, n_1047, n_1048, n_1049, n_1050, n_1051;
+  wire n_1052, n_1053, n_1054, n_1055, n_1056, n_1057, n_1058, n_1059;
+  wire n_1060, n_1061, n_1062, n_1063, n_1064, n_1065, n_1066, n_1067;
+  wire n_1068, n_1069, n_1070, n_1071, n_1072, n_1073, n_1074, n_1075;
+  wire n_1076, n_1077, n_1078, n_1079, n_1080, n_1081, n_1082, n_1083;
+  wire n_1084, n_1085, n_1086, n_1087, n_1088, n_1089, n_1090, n_1091;
+  wire n_1092, n_1093, n_1094, n_1095, n_1096, n_1097, n_1098, n_1099;
+  wire n_1100, n_1101, n_1102, n_1103, n_1105, n_1106, n_1107, n_1108;
+  wire n_1109, n_1110, n_1111, n_1112, n_1113, n_1114, n_1115, n_1116;
+  wire n_1117, n_1118, n_1119, n_1120, n_1121, n_1122, n_1123, n_1124;
+  wire n_1125, n_1126, n_1127, n_1128, n_1129, n_1130, n_1131, n_1132;
+  wire n_1133, n_1134, n_1135, n_1136, n_1137, n_1138, n_1139, n_1140;
+  wire n_1141, n_1142, n_1143, n_1144, n_1145, n_1146, n_1147, n_1148;
+  wire n_1149, n_1150, n_1151, n_1152, n_1153, n_1154, n_1155, n_1156;
+  wire n_1157, n_1158, n_1159, n_1160, n_1161, n_1162, n_1163, n_1164;
+  wire n_1165, n_1166, n_1168, n_1169, n_1170, n_1171, n_1172, n_1173;
+  wire n_1174, n_1175, n_1176, n_1177, n_1178, n_1179, n_1180, n_1181;
+  wire n_1182, n_1183, n_1184, n_1185, n_1186, n_1187, n_1188, n_1189;
+  wire n_1191, n_1192, n_1194, n_1195, n_1196, n_1197, n_1198, n_1199;
+  wire n_1200, n_1201, n_1202, n_1203, n_1204, n_1205, n_1206, n_1207;
+  wire n_1208, n_1209, n_1210, n_1211, n_1212, n_1213, n_1214, n_1215;
+  wire n_1216, n_1217, n_1218, n_1219, n_1220, n_1221, n_1222, n_1223;
+  wire n_1224, n_1225, n_1226, n_1227, n_1228, n_1229, n_1230, n_1231;
+  wire n_1233, n_1234, n_1235, n_1236, n_1237, n_1238, n_1239, n_1240;
+  wire n_1241, n_1243, n_1244, n_1245, n_1246, n_1248, n_1249, n_1250;
+  wire n_1251, n_1252, n_1253, n_1254, n_1255, n_1256, n_1257, n_1258;
+  wire n_1259, n_1260, n_1261, n_1262, n_1263, n_1264, n_1266, n_1267;
+  wire n_1268, n_1269, n_1270, n_1271, n_1272, n_1273, n_1274, n_1276;
+  wire n_1277, n_1278, n_1279, n_1280, n_1281, n_1282, n_1283, n_1284;
+  wire n_1285, n_1286, n_1287, n_1288, n_1289, n_1290, n_1292, n_1293;
+  wire n_1294, n_1295, n_1296, n_1297, n_1298, n_1299, n_1300, n_1301;
+  wire n_1302, n_1304, n_1305, n_1306, n_1307, n_1308, n_1309, n_1310;
+  wire n_1311, n_1312, n_1313, n_1314, n_1315, n_1316, n_1317, n_1318;
+  wire n_1319, n_1320, n_1321, n_1322, n_1323, n_1324, n_1325, n_1326;
+  wire n_1327, n_1328, n_1329, n_1330, n_1331, n_1332, n_1333, n_1334;
+  wire n_1335, n_1336, n_1337, n_1338, n_1339, n_1340, n_1341, n_1342;
+  wire n_1343, n_1344, n_1345, n_1346, n_1347, n_1348, n_1349, n_1350;
+  wire n_1351, n_1352, n_1353, n_1354, n_1355, n_1356, n_1357, n_1358;
+  wire n_1359, n_1360, n_1361, n_1362, n_1363, n_1364, n_1365, n_1366;
+  wire n_1367, n_1368, n_1369, n_1370, n_1371, n_1372, n_1373, n_1374;
+  wire n_1375, n_1376, n_1377, n_1378, n_1379, n_1380, n_1381, n_1382;
+  wire n_1383, n_1384, n_1385, n_1386, n_1387, n_1388, n_1389, n_1390;
+  wire n_1391, n_1392, n_1393, n_1394, n_1395, n_1396, n_1397, n_1398;
+  wire n_1399, n_1400, n_1401, n_1402, n_1403, n_1404, n_1405, n_1406;
+  wire n_1407, n_1408, n_1409, n_1410, n_1411, n_1412, n_1413, n_1414;
+  wire n_1415, n_1416, n_1417, n_1418, n_1419, n_1420, n_1421, n_1422;
+  wire n_1423, n_1424, n_1425, n_1426, n_1427, n_1428, n_1429, n_1431;
+  wire n_1432, n_1433, n_1434, n_1435, n_1436, n_1438, n_1439, n_1440;
+  wire n_1441, n_1442, n_1443, n_1444, n_1445, n_1446, n_1447, n_1448;
+  wire n_1449, n_1450, n_1451, n_1452, n_1453, n_1454, n_1455, n_1456;
+  wire n_1457, n_1458, n_1459, n_1460, n_1461, n_1462, n_1463, n_1464;
+  wire n_1465, n_1466, n_1467, n_1468, n_1469, n_1470, n_1471, n_1473;
+  wire n_1474, n_1475, n_1476, n_1477, n_1478, n_1479, n_1480, n_1481;
+  wire n_1482, n_1483, n_1484, n_1485, n_1486, n_1487, n_1488, n_1489;
+  wire n_1490, n_1491, n_1492, n_1493, n_1494, n_1495, n_1496, n_1497;
+  wire n_1498, n_1499, n_1500, n_1501, n_1502, n_1503, n_1504, n_1505;
+  wire n_1506, n_1507, n_1508, n_1509, n_1510, n_1511, n_1512, n_1513;
+  wire n_1514, n_1515, n_1516, n_1517, n_1518, n_1519, n_1520, n_1521;
+  wire n_1522, n_1523, n_1524, n_1525, n_1526, n_1527, n_1528, n_1529;
+  wire n_1531, n_1532, n_1533, n_1534, n_1535, n_1537, n_1538, n_1539;
+  wire n_1541, n_1542, n_1543, n_1544, n_1545, n_1546, n_1547, n_1548;
+  wire n_1549, n_1550, n_1551, n_1552, n_1553, n_1555, n_1556, n_1557;
+  wire n_1558, n_1561, n_1562, n_1563, n_1564, n_1565, n_1566, n_1567;
+  wire n_1568, n_1569, n_1570, n_1571, n_1572, n_1573, n_1574, n_1575;
+  wire n_1576, n_1577, n_1578, n_1579, n_1580, n_1581, n_1582, n_1583;
+  wire n_1584, n_1585, n_1586, n_1587, n_1588, n_1589, n_1590, n_1591;
+  wire n_1592, n_1593, n_1594, n_1595, n_1596, n_1597, n_1598, n_1599;
+  wire n_1600, n_1601, n_1602, n_1603, n_1604, n_1605, n_1606, n_1607;
+  wire n_1608, n_1609, n_1610, n_1611, n_1612, n_1613, n_1614, n_1615;
+  wire n_1616, n_1617, n_1618, n_1619, n_1620, n_1621, n_1622, n_1623;
+  wire n_1624, n_1625, n_1626, n_1627, n_1628, n_1629, n_1630, n_1631;
+  wire n_1632, n_1633, n_1634, n_1635, n_1636, n_1637, n_1638, n_1639;
+  wire n_1640, n_1641, n_1642, n_1643, n_1644, n_1645, n_1646, n_1647;
+  wire n_1648, n_1649, n_1650, n_1651, n_1652, n_1653, n_1654, n_1655;
+  wire n_1656, n_1657, n_1658, n_1659, n_1660, n_1661, n_1662, n_1663;
+  wire n_1664, n_1665, n_1666, n_1667, n_1668, n_1669, n_1670, n_1671;
+  wire n_1672, n_1673, n_1674, n_1675, n_1676, n_1677, n_1678, n_1679;
+  wire n_1680, n_1681, n_1682, n_1683, n_1684, n_1685, n_1686, n_1687;
+  wire n_1688, n_1689, n_1690, n_1691, n_1692, n_1693, n_1694, n_1695;
+  wire n_1696, n_1697, n_1698, n_1699, n_1700, n_1701, n_1702, n_1703;
+  wire n_1704, n_1705, n_1706, n_1707, n_1708, n_1709, n_1710, n_1711;
+  wire n_1712, n_1713, n_1714, n_1715, n_1716, n_1717, n_1718, n_1719;
+  wire n_1720, n_1721, n_1722, n_1723, n_1724, n_1725, n_1726, n_1727;
+  wire n_1728, n_1729, n_1730, n_1731, n_1732, n_1733, n_1734, n_1735;
+  wire n_1736, n_1737, n_1738, n_1739, n_1740, n_1741, n_1742, n_1743;
+  wire n_1744, n_1745, n_1746, n_1747, n_1748, n_1749, n_1750, n_1751;
+  wire n_1752, n_1753, n_1754, n_1755, n_1756, n_1757, n_1758, n_1759;
+  wire n_1760, n_1761, n_1762, n_1763, n_1764, n_1765, n_1766, n_1767;
+  wire n_1768, n_1769, n_1770, n_1771, n_1772, n_1773, n_1774, n_1775;
+  wire n_1776, n_1777, n_1778, n_1779, n_1781, n_1782, n_1783, n_1784;
+  wire n_1786, n_1787, n_1788, n_1789, n_1790, n_1791, n_1792, n_1793;
+  wire n_1794, n_1795, n_1796, n_1797, n_1798, n_1799, n_1800, n_1801;
+  wire n_1802, n_1803, n_1804, n_1805, n_1806, n_1807, n_1808, n_1809;
+  wire n_1810, n_1811, n_1812, n_1813, n_1814, n_1815, n_1816, n_1817;
+  wire n_1818, n_1819, n_1820, n_1821, n_1822, n_1823, n_1824, n_1825;
+  wire n_1826, n_1827, n_1828, n_1829, n_1830, n_1831, n_1832, n_1833;
+  wire n_1834, n_1835, n_1836, n_1837, n_1838, n_1839, n_1840, n_1841;
+  wire n_1842, n_1843, n_1844, n_1845, n_1846, n_1847, n_1848, n_1849;
+  wire n_1850, n_1851, n_1852, n_1853, n_1854, n_1855, n_1856, n_1857;
+  wire n_1858, n_1859, n_1860, n_1861, n_1862, n_1863, n_1864, n_1865;
+  wire n_1866, n_1867, n_1868, n_1869, n_1870, n_1871, n_1872, n_1873;
+  wire n_1874, n_1875, n_1876, n_1877, n_1878, n_1879, n_1880, n_1881;
+  wire n_1882, n_1883, n_1884, n_1885, n_1886, n_1887, n_1888, n_1889;
+  wire n_1890, n_1891, n_1892, n_1893, n_1894, n_1895, n_1896, n_1897;
+  wire n_1898, n_1899, n_1900, n_1901, n_1902, n_1903, n_1904, n_1905;
+  wire n_1906, n_1907, n_1908, n_1909, n_1910, n_1911, n_1912, n_1913;
+  wire n_1914, n_1915, n_1916, n_1917, n_1918, n_1920, n_1921, n_1923;
+  wire n_1924, n_1925, n_1926, n_1927, n_1928, n_1929, n_1930, n_1931;
+  wire n_1932, n_1933, n_1934, n_1935, n_1936, n_1937, n_1938, n_1939;
+  wire n_1940, n_1941, n_1942, n_1943, n_1944, n_1945, n_1946, n_1947;
+  wire n_1948, n_1949, n_1950, n_1951, n_1952, n_1953, n_1954, n_1955;
+  wire n_1956, n_1957, n_1958, n_1959, n_1960, n_1961, n_1962, n_1963;
+  wire n_1964, n_1965, n_1966, n_1967, n_1968, n_1969, n_1970, n_1971;
+  wire n_1972, n_1973, n_1974, n_1975, n_1976, n_1977, n_1978, n_1979;
+  wire n_1980, n_1981, n_1982, n_1983, n_1984, n_1985, n_1986, n_1987;
+  wire n_1988, n_1989, n_1990, n_1991, n_1992, n_1993, n_1994, n_1995;
+  wire n_1996, n_1997, n_1998, n_1999, n_2000, n_2001, n_2003, n_2004;
+  wire n_2005, n_2006, n_2007, n_2008, n_2009, n_2010, n_2011, n_2012;
+  wire n_2013, n_2014, n_2015, n_2016, n_2017, n_2018, n_2019, n_2020;
+  wire n_2021, n_2022, n_2023, n_2024, n_2025, n_2026, n_2027, n_2028;
+  wire n_2029, n_2030, n_2031, n_2032, n_2033, n_2034, n_2035, n_2036;
+  wire n_2037, n_2038, n_2039, n_2040, n_2041, n_2042, n_2043, n_2044;
+  wire n_2045, n_2046, n_2047, n_2048, n_2049, n_2050, n_2051, n_2052;
+  wire n_2053, n_2054, n_2055, n_2056, n_2057, n_2058, n_2059, n_2060;
+  wire n_2061, n_2062, n_2063, n_2064, n_2065, n_2066, n_2067, n_2068;
+  wire n_2069, n_2070, n_2071, n_2072, n_2073, n_2074, n_2075, n_2076;
+  wire n_2077, n_2078, n_2079, n_2080, n_2081, n_2082, n_2083, n_2084;
+  wire n_2085, n_2086, n_2087, n_2088, n_2089, n_2090, n_2091, n_2092;
+  wire n_2093, n_2094, n_2095, n_2096, n_2097, n_2098, n_2099, n_2100;
+  wire n_2101, n_2102, n_2103, n_2104, n_2105, n_2106, n_2107, n_2108;
+  wire n_2109, n_2110, n_2111, n_2112, n_2113, n_2114, n_2115, n_2116;
+  wire n_2117, n_2118, n_2119, n_2120, n_2121, n_2122, n_2123, n_2124;
+  wire n_2125, n_2126, n_2127, n_2128, n_2129, n_2130, n_2131, n_2132;
+  wire n_2133, n_2134, n_2135, n_2136, n_2137, n_2138, n_2139, n_2140;
+  wire n_2141, n_2142, n_2143, n_2144, n_2145, n_2146, n_2147, n_2148;
+  wire n_2149, n_2150, n_2151, n_2152, n_2153, n_2154, n_2156, n_2157;
+  wire n_2158, n_2159, n_2160, n_2161, n_2162, n_2163, n_2164, n_2165;
+  wire n_2166, n_2167, n_2169, n_2170, n_2171, n_2172, n_2173, n_2174;
+  wire n_2175, n_2176, n_2177, n_2178, n_2179, n_2180, n_2181, n_2182;
+  wire n_2183, n_2184, n_2185, n_2186, n_2187, n_2188, n_2189, n_2190;
+  wire n_2191, n_2192, n_2193, n_2194, n_2195, n_2196, n_2197, n_2198;
+  wire n_2199, n_2200, n_2201, n_2202, n_2203, n_2204, n_2205, n_2206;
+  wire n_2207, n_2208, n_2209, n_2210, n_2211, n_2212, n_2213, n_2214;
+  wire n_2215, n_2216, n_2217, n_2218, n_2219, n_2220, n_2221, n_2222;
+  wire n_2223, n_2224, n_2225, n_2226, n_2227, n_2228, n_2229, n_2230;
+  wire n_2231, n_2232, n_2233, n_2234, n_2235, n_2236, n_2237, n_2238;
+  wire n_2239, n_2240, n_2241, n_2242, n_2243, n_2244, n_2245, n_2246;
+  wire n_2247, n_2248, n_2249, n_2250, n_2251, n_2252, n_2253, n_2254;
+  wire n_2255, n_2256, n_2257, n_2258, n_2259, n_2260, n_2261, n_2262;
+  wire n_2263, n_2264, n_2265, n_2266, n_2267, n_2268, n_2269, n_2270;
+  wire n_2271, n_2272, n_2273, n_2274, n_2275, n_2276, n_2277, n_2278;
+  wire n_2279, n_2280, n_2281, n_2282, n_2283, n_2284, n_2285, n_2286;
+  wire n_2287, n_2288, n_2289, n_2290, n_2291, n_2292, n_2294, n_2295;
+  wire n_2296, n_2297, n_2298, n_2299, n_2300, n_2301, n_2302, n_2303;
+  wire n_2304, n_2305, n_2306, n_2307, n_2308, n_2309, n_2310, n_2311;
+  wire n_2312, n_2313, n_2314, n_2315, n_2316, n_2317, n_2318, n_2319;
+  wire n_2320, n_2321, n_2322, n_2323, n_2324, n_2325, n_2326, n_2327;
+  wire n_2328, n_2329, n_2330, n_2331, n_2332, n_2333, n_2334, n_2335;
+  wire n_2336, n_2337, n_2338, n_2339, n_2340, n_2341, n_2342, n_2343;
+  wire n_2344, n_2345, n_2346, n_2347, n_2348, n_2349, n_2350, n_2351;
+  wire n_2352, n_2353, n_2354, n_2355, n_2356, n_2357, n_2358, n_2359;
+  wire n_2360, n_2361, n_2362, n_2363, n_2364, n_2365, n_2366, n_2367;
+  wire n_2368, n_2369, n_2370, n_2371, n_2372, n_2373, n_2374, n_2375;
+  wire n_2376, n_2377, n_2378, n_2379, n_2380, n_2381, n_2382, n_2383;
+  wire n_2384, n_2385, n_2386, n_2387, n_2388, n_2389, n_2390, n_2391;
+  wire n_2392, n_2393, n_2394, n_2395, n_2396, n_2397, n_2398, n_2399;
+  wire n_2400, n_2401, n_2402, n_2403, n_2404, n_2405, n_2406, n_2407;
+  wire n_2408, n_2409, n_2410, n_2411, n_2412, n_2413, n_2414, n_2415;
+  wire n_2416, n_2417, n_2418, n_2419, n_2420, n_2421, n_2422, n_2423;
+  wire n_2424, n_2425, n_2426, n_2427, n_2428, n_2429, n_2430, n_2431;
+  wire n_2432, n_2433, n_2434, n_2435, n_2436, n_2437, n_2438, n_2439;
+  wire n_2440, n_2441, n_2442, n_2443, n_2444, n_2445, n_2446, n_2447;
+  wire n_2448, n_2449, n_2450, n_2451, n_2452, n_2453, n_2454, n_2455;
+  wire n_2456, n_2457, n_2458, n_2459, n_2460, n_2461, n_2462, n_2463;
+  wire n_2464, n_2465, n_2466, n_2467, n_2468, n_2469, n_2470, n_2471;
+  wire n_2472, n_2473, n_2474, n_2475, n_2476, n_2477, n_2478, n_2479;
+  wire n_2480, n_2481, n_2482, n_2483, n_2484, n_2485, n_2486, n_2487;
+  wire n_2488, n_2489, n_2490, n_2491, n_2492, n_2493, n_2494, n_2495;
+  wire n_2496, n_2497, n_2498, n_2499, n_2500, n_2501, n_2502, n_2503;
+  wire n_2504, n_2505, n_2506, n_2507, n_2508, n_2509, n_2510, n_2511;
+  wire n_2512, n_2513, n_2514, n_2515, n_2516, n_2517, n_2518, n_2519;
+  wire n_2520, n_2521, n_2522, n_2523, n_2524, n_2525, n_2526, n_2527;
+  wire n_2528, n_2529, n_2530, n_2531, n_2532, n_2533, n_2534, n_2535;
+  wire n_2536, n_2537, n_2538, n_2539, n_2540, n_2541, n_2542, n_2543;
+  wire n_2544, n_2545, n_2546, n_2547, n_2548, n_2549, n_2550, n_2551;
+  wire n_2552, n_2553, n_2554, n_2555, n_2556, n_2557, n_2558, n_2559;
+  wire n_2560, n_2561, n_2562, n_2563, n_2564, n_2565, n_2566, n_2567;
+  wire n_2568, n_2569, n_2570, n_2571, n_2572, n_2573, n_2574, n_2575;
+  wire n_2576, n_2577, n_2578, n_2579, n_2580, n_2581, n_2582, n_2583;
+  wire n_2584, n_2585, n_2586, n_2587, n_2588, n_2589, n_2590, n_2591;
+  wire n_2592, n_2593, n_2594, n_2595, n_2596, n_2597, n_2598, n_2599;
+  wire n_2600, n_2601, n_2602, n_2603, n_2604, n_2605, n_2608, n_2609;
+  wire n_2610, n_2611, n_2612, n_2613, n_2614, n_2615, n_2616, n_2617;
+  wire n_2618, n_2619, n_2620, n_2621, n_2622, n_2623, n_2624, n_2625;
+  wire n_2626, n_2627, n_2628, n_2629, n_2630, n_2631, n_2632, n_2633;
+  wire n_2634, n_2635, n_2636, n_2637, n_2638, n_2639, n_2640, n_2641;
+  wire n_2642, n_2643, n_2644, n_2645, n_2646, n_2647, n_2648, n_2649;
+  wire n_2650, n_2651, n_2652, n_2653, n_2654, n_2655, n_2656, n_2657;
+  wire n_2658, n_2659, n_2660, n_2661, n_2662, n_2663, n_2664, n_2665;
+  wire n_2666, n_2667, n_2668, n_2669, n_2670, n_2671, n_2672, n_2673;
+  wire n_2674, n_2675, n_2676, n_2677, n_2678, n_2679, n_2680, n_2681;
+  wire n_2682, n_2683, n_2684, n_2685, n_2686, n_2687, n_2688, n_2689;
+  wire n_2690, n_2691, n_2692, n_2693, n_2694, n_2695, n_2696, n_2697;
+  wire n_2698, n_2699, n_2700, n_2701, n_2702, n_2703, n_2704, n_2705;
+  wire n_2706, n_2707, n_2708, n_2709, n_2710, n_2711, n_2712, n_2713;
+  wire n_2714, n_2715, n_2716, n_2717, n_2718, n_2719, n_2720, n_2721;
+  wire n_2722, n_2723, n_2724, n_2725, n_2726, n_2727, n_2728, n_2729;
+  wire n_2730, n_2731, n_2732, n_2733, n_2734, n_2735, n_2736, n_2737;
+  wire n_2738, n_2739, n_2740, n_2741, n_2742, n_2743, n_2744, n_2745;
+  wire n_2746, n_2747, n_2748, n_2749, n_2750, n_2751, n_2752, n_2753;
+  wire n_2754, n_2755, n_2756, n_2757, n_2758, n_2759, n_2760, n_2761;
+  wire n_2762, n_2763, n_2764, n_2765, n_2766, n_2767, n_2768, n_2769;
+  wire n_2770, n_2771, n_2772, n_2773, n_2774, n_2775, n_2776, n_2777;
+  wire n_2778, n_2779, n_2780, n_2781, n_2782, n_2783, n_2784, n_2785;
+  wire n_2786, n_2787, n_2788, n_2789, n_2790, n_2791, n_2792, n_2793;
+  wire n_2794, n_2795, n_2796, n_2797, n_2798, n_2799, n_2800, n_2801;
+  wire n_2802, n_2803, n_2804, n_2805, n_2806, n_2807, n_2808, n_2809;
+  wire n_2810, n_2811, n_2812, n_2813, n_2814, n_2815, n_2816, n_2817;
+  wire n_2818, n_2819, n_2820, n_2821, n_2822, n_2823, n_2824, n_2825;
+  wire n_2826, n_2827, n_2828, n_2829, n_2830, n_2831, n_2832, n_2833;
+  wire n_2834, n_2835, n_2836, n_2837, n_2838, n_2839, n_2840, n_2841;
+  wire n_2842, n_2843, n_2844, n_2845, n_2846, n_2847, n_2848, n_2849;
+  wire n_2850, n_2851, n_2852, n_2853, n_2854, n_2855, n_2856, n_2857;
+  wire n_2858, n_2859, n_2860, n_2861, n_2862, n_2863, n_2864, n_2865;
+  wire n_2866, n_2867, n_2868, n_2869, n_2870, n_2871, n_2872, n_2873;
+  wire n_2874, n_2875, n_2876, n_2877, n_2878, n_2879, n_2880, n_2881;
+  wire n_2882, n_2883, n_2884, n_2885, n_2886, n_2887, n_2888, n_2889;
+  wire n_2890, n_2891, n_2892, n_2893, n_2894, n_2895, n_2896, n_2897;
+  wire n_2898, n_2899, n_2900, n_2901, n_2902, n_2903, n_2904, n_2905;
+  wire n_2906, n_2907, n_2908, n_2909, n_2910, n_2911, n_2912, n_2913;
+  wire n_2914, n_2915, n_2916, n_2917, n_2918, n_2919, n_2920, n_2921;
+  wire n_2922, n_2923, n_2924, n_2925, n_2926, n_2927, n_2928, n_2929;
+  wire n_2930, n_2931, n_2932, n_2933, n_2934, n_2935, n_2936, n_2937;
+  wire n_2938, n_2939, n_2940, n_2941, n_2942, n_2943, n_2944, n_2945;
+  wire n_2946, n_2947, n_2948, n_2949, n_2950, n_2951, n_2952, n_2953;
+  wire n_2954, n_2955, n_2956, n_2957, n_2958, n_2959, n_2960, n_2961;
+  wire n_2962, n_2963, n_2964, n_2965, n_2966, n_2967, n_2968, n_2969;
+  wire n_2970, n_2971, n_2972, n_2973, n_2974, n_2975, n_2976, n_2977;
+  wire n_2978, n_2979, n_2980, n_2981, n_2982, n_2983, n_2984, n_2985;
+  wire n_2986, n_2987, n_2988, n_2989, n_2990, n_2991, n_2992, n_2993;
+  wire n_2994, n_2995, n_2996, n_2997, n_2998, n_2999, n_3000, n_3001;
+  wire n_3002, n_3003, n_3004, n_3005, n_3006, n_3007, n_3008, n_3009;
+  wire n_3010, n_3011, n_3012, n_3013, n_3014, n_3015, n_3016, n_3017;
+  wire n_3018, n_3019, n_3020, n_3021, n_3022, n_3023, n_3024, n_3025;
+  wire n_3026, n_3027, n_3028, n_3029, n_3030, n_3031, n_3032, n_3033;
+  wire n_3034, n_3035, n_3036, n_3037, n_3038, n_3039, n_3040, n_3041;
+  wire n_3042, n_3043, n_3044, n_3045, n_3046, n_3047, n_3048, n_3049;
+  wire n_3050, n_3051, n_3052, n_3053, n_3054, n_3055, n_3056, n_3057;
+  wire n_3058, n_3059, n_3060, n_3061, n_3062, n_3063, n_3064, n_3065;
+  wire n_3066, n_3067, n_3068, n_3069, n_3070, n_3071, n_3072, n_3073;
+  wire n_3074, n_3075, n_3076, n_3077, n_3078, n_3079, n_3080, n_3081;
+  wire n_3082, n_3083, n_3084, n_3085, n_3086, n_3087, n_3088, n_3090;
+  wire n_3091, n_3093, n_3094, n_3095, n_3096, n_3097, n_3098, n_3099;
+  wire n_3100, n_3101, n_3102, n_3103, n_3104, n_3105, n_3106, n_3107;
+  wire n_3108, n_3109, n_3110, n_3111, n_3112, n_3113, n_3114, n_3115;
+  wire n_3116, n_3117, n_3118, n_3119, n_3120, n_3121, n_3122, n_3123;
+  wire n_3124, n_3125, n_3126, n_3127, n_3128, n_3129, n_3130, n_3131;
+  wire n_3132, n_3133, n_3134, n_3135, n_3136, n_3137, n_3138, n_3139;
+  wire n_3140, n_3141, n_3142, n_3143, n_3144, n_3145, n_3146, n_3147;
+  wire n_3148, n_3149, n_3150, n_3151, n_3152, n_3153, n_3154, n_3155;
+  wire n_3156, n_3157, n_3158, n_3159, n_3160, n_3161, n_3162, n_3163;
+  wire n_3164, n_3165, n_3166, n_3167, n_3168, n_3169, n_3170, n_3171;
+  wire n_3172, n_3173, n_3174, n_3175, n_3176, n_3177, n_3178, n_3179;
+  wire n_3180, n_3181, n_3182, n_3183, n_3184, n_3185, n_3186, n_3187;
+  wire n_3188, n_3189, n_3190, n_3191, n_3192, n_3193, n_3194, n_3195;
+  wire n_3196, n_3197, n_3198, n_3199, n_3200, n_3201, n_3202, n_3203;
+  wire n_3204, n_3205, n_3206, n_3207, n_3208, n_3209, n_3210, n_3211;
+  wire n_3212, n_3213, n_3214, n_3215, n_3216, n_3217, n_3218, n_3219;
+  wire n_3220, n_3221, n_3222, n_3223, n_3224, n_3225, n_3226, n_3227;
+  wire n_3228, n_3229, n_3230, n_3231, n_3232, n_3233, n_3234, n_3235;
+  wire n_3236, n_3237, n_3238, n_3239, n_3240, n_3241, n_3242, n_3243;
+  wire n_3244, n_3245, n_3246, n_3247, n_3248, n_3249, n_3250, n_3251;
+  wire n_3252, n_3253, n_3254, n_3255, n_3256, n_3257, n_3258, n_3259;
+  wire n_3260, n_3261, n_3262, n_3263, n_3264, n_3265, n_3266, n_3267;
+  wire n_3268, n_3269, n_3270, n_3271, n_3272, n_3273, n_3274, n_3275;
+  wire n_3276, n_3277, n_3278, n_3279, n_3280, n_3281, n_3282, n_3283;
+  wire n_3284, n_3285, n_3286, n_3287, n_3288, n_3289, n_3290, n_3291;
+  wire n_3292, n_3293, n_3294, n_3295, n_3296, n_3297, n_3298, n_3299;
+  wire n_3300, n_3301, n_3302, n_3303, n_3304, n_3305, n_3306, n_3307;
+  wire n_3308, n_3309, n_3310, n_3311, n_3312, n_3313, n_3314, n_3315;
+  wire n_3316, n_3317, n_3318, n_3319, n_3320, n_3321, n_3322, n_3323;
+  wire n_3324, n_3325, n_3326, n_3327, n_3328, n_3329, n_3330, n_3331;
+  wire n_3332, n_3333, n_3334, n_3335, n_3336, n_3337, n_3338, n_3339;
+  wire n_3340, n_3341, n_3342, n_3343, n_3344, n_3345, n_3346, n_3347;
+  wire n_3348, n_3349, n_3350, n_3351, n_3352, n_3353, n_3354, n_3355;
+  wire n_3356, n_3357, n_3358, n_3359, n_3360, n_3361, n_3362, n_3363;
+  wire n_3364, n_3365, n_3366, n_3367, n_3368, n_3369, n_3370, n_3371;
+  wire n_3372, n_3373, n_3374, n_3375, n_3376, n_3377, n_3378, n_3379;
+  wire n_3380, n_3381, n_3382, n_3383, n_3384, n_3385, n_3386, n_3387;
+  wire n_3388, n_3389, n_3390, n_3391, n_3392, n_3393, n_3394, n_3395;
+  wire n_3396, n_3397, n_3398, n_3399, n_3400, n_3401, n_3402, n_3403;
+  wire n_3404, n_3405, n_3406, n_3407, n_3408, n_3409, n_3410, n_3411;
+  wire n_3412, n_3413, n_3414, n_3415, n_3416, n_3417, n_3418, n_3419;
+  wire n_3420, n_3421, n_3422, n_3423, n_3424, n_3425, n_3426, n_3427;
+  wire n_3428, n_3429, n_3430, n_3431, n_3432, n_3433, n_3434, n_3435;
+  wire n_3436, n_3437, n_3438, n_3439, n_3440, n_3441, n_3442, n_3443;
+  wire n_3444, n_3445, n_3446, n_3447, n_3448, n_3449, n_3450, n_3451;
+  wire n_3452, n_3453, n_3454, n_3455, n_3456, n_3457, n_3458, n_3459;
+  wire n_3460, n_3461, n_3462, n_3463, n_3464, n_3465, n_3466, n_3467;
+  wire n_3468, n_3469, n_3470, n_3471, n_3472, n_3473, n_3474, n_3475;
+  wire n_3476, n_3477, n_3478, n_3479, n_3480, n_3481, n_3482, n_3483;
+  wire n_3484, n_3485, n_3486, n_3487, n_3488, n_3489, n_3490, n_3491;
+  wire n_3492, n_3493, n_3494, n_3495, n_3496, n_3497, n_3498, n_3499;
+  wire n_3500, n_3501, n_3502, n_3503, n_3504, n_3505, n_3506, n_3507;
+  wire n_3508, n_3509, n_3510, n_3511, n_3512, n_3513, n_3514, n_3515;
+  wire n_3516, n_3517, n_3518, n_3519, n_3520, n_3521, n_3522, n_3523;
+  wire n_3524, n_3525, n_3526, n_3527, n_3528, n_3529, n_3530, n_3531;
+  wire n_3532, n_3533, n_3534, n_3535, n_3536, n_3537, n_3538, n_3539;
+  wire n_3540, n_3541, n_3542, n_3543, n_3544, n_3545, n_3546, n_3547;
+  wire n_3548, n_3549, n_3550, n_3551, n_3552, n_3553, n_3554, n_3555;
+  wire n_3556, n_3557, n_3558, n_3559, n_3560, n_3561, n_3562, n_3563;
+  wire n_3564, n_3565, n_3566, n_3567, n_3568, n_3569, n_3570, n_3571;
+  wire n_3572, n_3573, n_3574, n_3575, n_3576, n_3577, n_3578, n_3579;
+  wire n_3580, n_3581, n_3582, n_3583, n_3584, n_3585, n_3586, n_3587;
+  wire n_3588, n_3589, n_3590, n_3591, n_3592, n_3593, n_3594, n_3595;
+  wire n_3596, n_3597, n_3598, n_3599, n_3600, n_3601, n_3602, n_3603;
+  wire n_3604, n_3605, n_3606, n_3607, n_3608, n_3609, n_3610, n_3611;
+  wire n_3612, n_3613, n_3614, n_3615, n_3616, n_3617, n_3618, n_3619;
+  wire n_3620, n_3621, n_3622, n_3623, n_3624, n_3625, n_3626, n_3627;
+  wire n_3628, n_3629, n_3630, n_3631, n_3632, n_3633, n_3634, n_3635;
+  wire n_3636, n_3637, n_3638, n_3639, n_3640, n_3641, n_3642, n_3643;
+  wire n_3644, n_3645, n_3646, n_3647, n_3648, n_3649, n_3650, n_3651;
+  wire n_3652, n_3653, n_3654, n_3655, n_3656, n_3657, n_3658, n_3659;
+  wire n_3660, n_3661, n_3662, n_3663, n_3664, n_3665, n_3666, n_3667;
+  wire n_3668, n_3669, n_3670, n_3671, n_3672, n_3673, n_3674, n_3675;
+  wire n_3676, n_3677, n_3678, n_3679, n_3680, n_3681, n_3682, n_3683;
+  wire n_3684, n_3685, n_3686, n_3687, n_3688, n_3689, n_3690, n_3691;
+  wire n_3692, n_3693, n_3694, n_3695, n_3696, n_3697, n_3698, n_3699;
+  wire n_3700, n_3701, n_3702, n_3703, n_3704, n_3705, n_3706, n_3707;
+  wire n_3708, n_3709, n_3710, n_3711, n_3712, n_3713, n_3714, n_3715;
+  wire n_3716, n_3717, n_3718, n_3719, n_3720, n_3721, n_3722, n_3723;
+  wire n_3724, n_3725, n_3726, n_3727, n_3728, n_3729, n_3730, n_3731;
+  wire n_3732, n_3733, n_3734, n_3735, n_3736, n_3737, n_3738, n_3739;
+  wire n_3740, n_3741, n_3742, n_3743, n_3744, n_3745, n_3746, n_3747;
+  wire n_3748, n_3749, n_3750, n_3751, n_3752, n_3753, n_3754, n_3755;
+  wire n_3756, n_3757, n_3758, n_3759, n_3760, n_3761, n_3762, n_3763;
+  wire n_3764, n_3765, n_3766, n_3767, n_3768, n_3769, n_3770, n_3771;
+  wire n_3772, n_3773, n_3774, n_3775, n_3776, n_3777, n_3778, n_3779;
+  wire n_3780, n_3781, n_3782, n_3783, n_3784, n_3785, n_3786, n_3787;
+  wire n_3788, n_3789, n_3790, n_3791, n_3792, n_3793, n_3794, n_3795;
+  wire n_3796, n_3797, n_3798, n_3799, n_3800, n_3801, n_3802, n_3803;
+  wire n_3804, n_3805, n_3806, n_3807, n_3808, n_3809, n_3810, n_3811;
+  wire n_3812, n_3813, n_3814, n_3815, n_3816, n_3817, n_3818, n_3819;
+  wire n_3820, n_3821, n_3822, n_3823, n_3824, n_3825, n_3826, n_3827;
+  wire n_3828, n_3829, n_3830, n_3831, n_3832, n_3833, n_3834, n_3835;
+  wire n_3836, n_3837, n_3838, n_3839, n_3840, n_3841, n_3842, n_3843;
+  wire n_3844, n_3845, n_3846, n_3847, n_3848, n_3849, n_3850, n_3851;
+  wire n_3852, n_3853, n_3854, n_3855, n_3856, n_3857, n_3858, n_3859;
+  wire n_3860, n_3861, n_3862, n_3863, n_3864, n_3865, n_3866, n_3867;
+  wire n_3868, n_3869, n_3870, n_3871, n_3872, n_3873, n_3874, n_3875;
+  wire n_3876, n_3877, n_3878, n_3879, n_3880, n_3881, n_3882, n_3883;
+  wire n_3884, n_3885, n_3886, n_3887, n_3888, n_3889, n_3890, n_3891;
+  wire n_3892, n_3893, n_3894, n_3895, n_3896, n_3897, n_3898, n_3899;
+  wire n_3900, n_3901, n_3902, n_3903, n_3904, n_3905, n_3906, n_3907;
+  wire n_3908, n_3909, n_3910, n_3911, n_3912, n_3913, n_3914, n_3915;
+  wire n_3916, n_3917, n_3918, n_3919, n_3920, n_3921, n_3922, n_3923;
+  wire n_3924, n_3925, n_3926, n_3927, n_3928, n_3929, n_3930, n_3931;
+  wire n_3932, n_3933, n_3934, n_3935, n_3936, n_3937, n_3938, n_3939;
+  wire n_3940, n_3941, n_3942, n_3943, n_3944, n_3945, n_3946, n_3947;
+  wire n_3948, n_3949, n_3950, n_3951, n_3952, n_3953, n_3954, n_3955;
+  wire n_3956, n_3957, n_3958, n_3959, n_3960, n_3961, n_3962, n_3963;
+  wire n_3964, n_3965, n_3966, n_3967, n_3968, n_3969, n_3970, n_3971;
+  wire n_3972, n_3973, n_3974, n_3975, n_3976, n_3977, n_3978, n_3979;
+  wire n_3980, n_3981, n_3982, n_3983, n_3984, n_3985, n_3986, n_3987;
+  wire n_3988, n_3989, n_3990, n_3991, n_3992, n_3993, n_3994, n_3995;
+  wire n_3996, n_3997, n_3998, n_3999, n_4000, n_4001, n_4002, n_4003;
+  wire n_4004, n_4005, n_4006, n_4007, n_4008, n_4009, n_4010, n_4011;
+  wire n_4012, n_4013, n_4014, n_4015, n_4016, n_4017, n_4018, n_4019;
+  wire n_4020, n_4021, n_4022, n_4023, n_4024, n_4025, n_4026, n_4027;
+  wire n_4028, n_4029, n_4030, n_4031, n_4032, n_4033, n_4034, n_4035;
+  wire n_4036, n_4037, n_4038, n_4039, n_4040, n_4041, n_4042, n_4043;
+  wire n_4044, n_4045, n_4046, n_4047, n_4048, n_4049, n_4050, n_4051;
+  wire n_4052, n_4053, n_4054, n_4055, n_4056, n_4057, n_4058, n_4059;
+  wire n_4060, n_4061, n_4062, n_4063, n_4064, n_4065, n_4066, n_4067;
+  wire n_4068, n_4069, n_4070, n_4071, n_4072, n_4073, n_4074, n_4075;
+  wire n_4076, n_4077, n_4078, n_4079, n_4080, n_4081, n_4082, n_4083;
+  wire n_4084, n_4085, n_4086, n_4087, n_4088, n_4089, n_4090, n_4091;
+  wire n_4092, n_4093, n_4094, n_4095, n_4096, n_4097, n_4098, n_4099;
+  wire n_4100, n_4101, n_4102, n_4103, n_4104, n_4105, n_4106, n_4107;
+  wire n_4108, n_4109, n_4110, n_4111, n_4112, n_4113, n_4114, n_4115;
+  wire n_4116, n_4117, n_4118, n_4119, n_4120, n_4121, n_4122, n_4123;
+  wire n_4124, n_4125, n_4126, n_4127, n_4128, n_4129, n_4130, n_4131;
+  wire n_4132, n_4133, n_4134, n_4135, n_4136, n_4137, n_4138, n_4139;
+  wire n_4140, n_4141, n_4142, n_4143, n_4144, n_4145, n_4146, n_4147;
+  wire n_4148, n_4149, n_4150, n_4151, n_4152, n_4153, n_4154, n_4155;
+  wire n_4156, n_4157, n_4158, n_4159, n_4160, n_4161, n_4162, n_4163;
+  wire n_4164, n_4165, n_4166, n_4167, n_4168, n_4169, n_4170, n_4171;
+  wire n_4172, n_4173, n_4174, n_4175, n_4176, n_4177, n_4178, n_4179;
+  wire n_4180, n_4181, n_4182, n_4183, n_4184, n_4185, n_4186, n_4187;
+  wire n_4188, n_4189, n_4190, n_4191, n_4192, n_4193, n_4194, n_4195;
+  wire n_4196, n_4197, n_4198, n_4199, n_4200, n_4201, n_4202, n_4203;
+  wire n_4204, n_4205, n_4206, n_4207, n_4208, n_4209, n_4210, n_4211;
+  wire n_4212, n_4213, n_4214, n_4215, n_4216, n_4217, n_4218, n_4219;
+  wire n_4220, n_4221, n_4222, n_4223, n_4224, n_4225, n_4226, n_4227;
+  wire n_4228, n_4229, n_4230, n_4231, n_4232, n_4233, n_4234, n_4235;
+  wire n_4236, n_4237, n_4238, n_4239, n_4240, n_4241, n_4242, n_4243;
+  wire n_4244, n_4245, n_4246, n_4247, n_4248, n_4249, n_4250, n_4251;
+  wire n_4252, n_4253, n_4254, n_4255, n_4256, n_4257, n_4258, n_4259;
+  wire n_4260, n_4261, n_4262, n_4263, n_4264, n_4265, n_4266, n_4267;
+  wire n_4268, n_4269, n_4270, n_4271, n_4272, n_4273, n_4274, n_4275;
+  wire n_4276, n_4277, n_4278, n_4279, n_4280, n_4281, n_4282, n_4283;
+  wire n_4284, n_4285, n_4286, n_4287, n_4288, n_4289, n_4290, n_4291;
+  wire n_4292, n_4293, n_4294, n_4295, n_4296, n_4297, n_4298, n_4299;
+  wire n_4300, n_4301, n_4302, n_4303, n_4304, n_4305, n_4306, n_4307;
+  wire n_4308, n_4309, n_4310, n_4311, n_4312, n_4313, n_4314, n_4315;
+  wire n_4316, n_4317, n_4318, n_4319, n_4320, n_4321, n_4322, n_4323;
+  wire n_4324, n_4325, n_4326, n_4327, n_4328, n_4329, n_4330, n_4331;
+  wire n_4332, n_4333, n_4334, n_4335, n_4336, n_4337, n_4338, n_4339;
+  wire n_4340, n_4341, n_4342, n_4343, n_4344, n_4345, n_4346, n_4347;
+  wire n_4348, n_4349, n_4350, n_4351, n_4352, n_4353, n_4354, n_4355;
+  wire n_4356, n_4357, n_4358, n_4359, n_4360, n_4361, n_4362, n_4363;
+  wire n_4364, n_4365, n_4366, n_4367, n_4368, n_4369, n_4370, n_4371;
+  wire n_4372, n_4373, n_4374, n_4375, n_4376, n_4377, n_4378, n_4379;
+  wire n_4380, n_4381, n_4382, n_4383, n_4384, n_4385, n_4386, n_4387;
+  wire n_4388, n_4389, n_4390, n_4391, n_4392, n_4393, n_4394, n_4395;
+  wire n_4396, n_4397, n_4398, n_4399, n_4400, n_4401, n_4402, n_4403;
+  wire n_4404, n_4405, n_4406, n_4407, n_4408, n_4409, n_4410, n_4411;
+  wire n_4412, n_4413, n_4414, n_4415, n_4416, n_4417, n_4418, n_4419;
+  wire n_4420, n_4421, n_4422, n_4423, n_4424, n_4425, n_4426, n_4427;
+  wire n_4428, n_4429, n_4430, n_4431, n_4432, n_4433, n_4434, n_4435;
+  wire n_4436, n_4437, n_4438, n_4439, n_4440, n_4441, n_4442, n_4443;
+  wire n_4444, n_4445, n_4446, n_4447, n_4448, n_4449, n_4450, n_4451;
+  wire n_4452, n_4453, n_4454, n_4455, n_4456, n_4457, n_4458, n_4459;
+  wire n_4460, n_4461, n_4462, n_4463, n_4464, n_4465, n_4466, n_4467;
+  wire n_4468, n_4469, n_4470, n_4471, n_4472, n_4473, n_4474, n_4475;
+  wire n_4476, n_4477, n_4478, n_4479, n_4480, n_4481, n_4482, n_4483;
+  wire n_4484, n_4485, n_4486, n_4487, n_4488, n_4489, n_4490, n_4491;
+  wire n_4492, n_4493, n_4494, n_4495, n_4496, n_4497, n_4498, n_4499;
+  wire n_4500, n_4501, n_4502, n_4503, n_4504, n_4505, n_4506, n_4507;
+  wire n_4508, n_4509, n_4510, n_4511, n_4512, n_4513, n_4514, n_4515;
+  wire n_4516, n_4517, n_4518, n_4519, n_4520, n_4521, n_4522, n_4523;
+  wire n_4524, n_4525, n_4526, n_4527, n_4528, n_4529, n_4530, n_4531;
+  wire n_4532, n_4533, n_4534, n_4535, n_4536, n_4537, n_4538, n_4539;
+  wire n_4540, n_4541, n_4542, n_4543, n_4544, n_4545, n_4546, n_4547;
+  wire n_4548, n_4549, n_4550, n_4551, n_4552, n_4553, n_4554, n_4555;
+  wire n_4556, n_4557, n_4558, n_4559, n_4560, n_4561, n_4562, n_4563;
+  wire n_4564, n_4565, n_4566, n_4567, n_4568, n_4569, n_4570, n_4571;
+  wire n_4572, n_4573, n_4574, n_4575, n_4576, n_4577, n_4578, n_4579;
+  wire n_4580, n_4581, n_4582, n_4583, n_4584, n_4585, n_4586, n_4587;
+  wire n_4588, n_4589, n_4590, n_4591, n_4592, n_4593, n_4594, n_4595;
+  wire n_4596, n_4597, n_4598, n_4599, n_4600, n_4601, n_4602, n_4603;
+  wire n_4604, n_4605, n_4606, n_4607, n_4608, n_4609, n_4610, n_4611;
+  wire n_4612, n_4613, n_4614, n_4615, n_4616, n_4617, n_4618, n_4619;
+  wire n_4620, n_4621, n_4622, n_4623, n_4624, n_4625, n_4626, n_4627;
+  wire n_4628, n_4629, n_4630, n_4631, n_4632, n_4633, n_4634, n_4635;
+  wire n_4636, n_4637, n_4638, n_4639, n_4640, n_4641, n_4642, n_4643;
+  wire n_4644, n_4645, n_4646, n_4647, n_4648, n_4649, n_4650, n_4651;
+  wire n_4652, n_4653, n_4654, n_4655, n_4656, n_4657, n_4658, n_4659;
+  wire n_4660, n_4661, n_4662, n_4663, n_4664, n_4665, n_4666, n_4667;
+  wire n_4668, n_4669, n_4670, n_4671, n_4672, n_4673, n_4674, n_4675;
+  wire n_4676, n_4677, n_4678, n_4679, n_4680, n_4681, n_4682, n_4683;
+  wire n_4684, n_4685, n_4686, n_4687, n_4688, n_4689, n_4690, n_4691;
+  wire n_4692, n_4693, n_4694, n_4695, n_4696, n_4697, n_4698, n_4699;
+  wire n_4700, n_4701, n_4702, n_4703, n_4704, n_4705, n_4706, n_4707;
+  wire n_4708, n_4709, n_4710, n_4711, n_4712, n_4713, n_4714, n_4715;
+  wire n_4716, n_4717, n_4718, n_4719, n_4720, n_4721, n_4722, n_4723;
+  wire n_4724, n_4725, n_4726, n_4727, n_4728, n_4729, n_4730, n_4731;
+  wire n_4732, n_4733, n_4734, n_4735, n_4736, n_4737, n_4738, n_4739;
+  wire n_4740, n_4741, n_4742, n_4743, n_4744, n_4745, n_4746, n_4747;
+  wire n_4748, n_4749, n_4750, n_4751, n_4752, n_4753, n_4754, n_4755;
+  wire n_4756, n_4757, n_4758, n_4759, n_4760, n_4761, n_4762, n_4763;
+  wire n_4764, n_4765, n_4766, n_4767, n_4768, n_4769, n_4770, n_4771;
+  wire n_4772, n_4773, n_4774, n_4775, n_4776, n_4777, n_4778, n_4779;
+  wire n_4780, n_4781, n_4782, n_4783, n_4784, n_4785, n_4786, n_4787;
+  wire n_4788, n_4789, n_4790, n_4791, n_4792, n_4793, n_4794, n_4795;
+  wire n_4796, n_4797, n_4798, n_4799, n_4800, n_4801, n_4802, n_4803;
+  wire n_4804, n_4805, n_4806, n_4807, n_4808, n_4809, n_4810, n_4811;
+  wire n_4812, n_4813, n_4814, n_4815, n_4816, n_4817, n_4818, n_4819;
+  wire n_4820, n_4821, n_4822, n_4823, n_4824, n_4825, n_4826, n_4827;
+  wire n_4828, n_4829, n_4830, n_4831, n_4832, n_4833, n_4834, n_4835;
+  wire n_4836, n_4837, n_4838, n_4839, n_4840, n_4841, n_4842, n_4843;
+  wire n_4844, n_4845, n_4846, n_4847, n_4848, n_4849, n_4850, n_4851;
+  wire n_4852, n_4853, n_4854, n_4855, n_4856, n_4857, n_4858, n_4859;
+  wire n_4860, n_4861, n_4862, n_4863, n_4864, n_4865, n_4866, n_4867;
+  wire n_4868, n_4869, n_4870, n_4871, n_4872, n_4873, n_4874, n_4875;
+  wire n_4876, n_4877, n_4878, n_4879, n_4880, n_4881, n_4882, n_4883;
+  wire n_4884, n_4885, n_4886, n_4887, n_4888, n_4889, n_4890, n_4891;
+  wire n_4892, n_4893, n_4894, n_4895, n_4896, n_4897, n_4898, n_4899;
+  wire n_4900, n_4901, n_4902, n_4903, n_4904, n_4905, n_4906, n_4907;
+  wire n_4908, n_4909, n_4910, n_4911, n_4912, n_4913, n_4914, n_4915;
+  wire n_4916, n_4917, n_4918, n_4919, n_4920, n_4921, n_4922, n_4923;
+  wire n_4924, n_4925, n_4926, n_4927, n_4928, n_4929, n_4930, n_4931;
+  wire n_4932, n_4933, n_4934, n_4935, n_4936, n_4937, n_4938, n_4939;
+  wire n_4940, n_4941, n_4942, n_4943, n_4944, n_4945, n_4946, n_4947;
+  wire n_4948, n_4949, n_4950, n_4951, n_4952, n_4953, n_4954, n_4955;
+  wire n_4956, n_4957, n_4958, n_4959, n_4960, n_4961, n_4962, n_4963;
+  wire n_4964, n_4965, n_4966, n_4967, n_4968, n_4969, n_4970, n_4971;
+  wire n_4972, n_4973, n_4974, n_4975, n_4976, n_4977, n_4978, n_4979;
+  wire n_4980, n_4981, n_4982, n_4983, n_4984, n_4985, n_4986, n_4987;
+  wire n_4988, n_4989, n_4990, n_4991, n_4992, n_4993, n_4994, n_4995;
+  wire n_4996, n_4997, n_4998, n_4999, n_5000, n_5001, n_5002, n_5003;
+  wire n_5004, n_5005, n_5006, n_5007, n_5008, n_5009, n_5010, n_5011;
+  wire n_5012, n_5013, n_5014, n_5015, n_5016, n_5017, n_5018, n_5019;
+  wire n_5020, n_5021, n_5022, n_5023, n_5024, n_5025, n_5026, n_5027;
+  wire n_5028, n_5029, n_5030, n_5031, n_5032, n_5033, n_5034, n_5035;
+  wire n_5036, n_5037, n_5038, n_5039, n_5040, n_5041, n_5042, n_5043;
+  wire n_5044, n_5045, n_5046, n_5047, n_5048, n_5049, n_5050, n_5051;
+  wire n_5052, n_5053, n_5054, n_5055, n_5056, n_5057, n_5058, n_5059;
+  wire n_5060, n_5061, n_5062, n_5063, n_5064, n_5065, n_5066, n_5067;
+  wire n_5068, n_5069, n_5070, n_5071, n_5072, n_5073, n_5074, n_5075;
+  wire n_5076, n_5077, n_5079, n_5080, n_5081, n_5082, n_5083, n_5084;
+  wire n_5085, n_5086, n_5087, n_5088, n_5089, n_5090, n_5091, n_5092;
+  wire n_5094, n_5095, n_5096, n_5097, n_5098, n_5099, n_5100, n_5101;
+  wire n_5102, n_5103, n_5104, n_5105, n_5106, n_5107, n_5108, n_5109;
+  wire n_5110, n_5111, n_5112, n_5113, n_5114, n_5115, n_5116, n_5117;
+  wire n_5118, n_5119, n_5120, n_5121, n_5122, n_5123, n_5124, n_5125;
+  wire n_5126, n_5127, n_5128, n_5129, n_5130, n_5131, n_5132, n_5133;
+  wire n_5134, n_5135, n_5136, n_5137, n_5138, n_5139, n_5140, n_5141;
+  wire n_5142, n_5143, n_5144, n_5145, n_5146, n_5147, n_5148, n_5149;
+  wire n_5150, n_5151, n_5152, n_5153, n_5154, n_5155, n_5156, n_5157;
+  wire n_5158, n_5159, n_5160, n_5161, n_5162, n_5163, n_5164, n_5165;
+  wire n_5166, n_5167, n_5168, n_5169, n_5170, n_5171, n_5172, n_5173;
+  wire n_5174, n_5175, n_5176, n_5177, n_5178, n_5179, n_5180, n_5181;
+  wire n_5182, n_5183, n_5184, n_5185, n_5186, n_5187, n_5188, n_5189;
+  wire n_5190, n_5191, n_5192, n_5193, n_5194, n_5195, n_5196, n_5197;
+  wire n_5198, n_5199, n_5200, n_5201, n_5202, n_5203, n_5204, n_5205;
+  wire n_5206, n_5207, n_5208, n_5209, n_5210, n_5211, n_5212, n_5213;
+  wire n_5214, n_5215, n_5216, n_5217, n_5218, n_5219, n_5220, n_5221;
+  wire n_5222, n_5223, n_5224, n_5225, n_5226, n_5227, n_5228, n_5229;
+  wire n_5230, n_5231, n_5232, n_5233, n_5234, n_5235, n_5236, n_5237;
+  wire n_5238, n_5239, n_5240, n_5241, n_5242, n_5243, n_5244, n_5245;
+  wire n_5246, n_5247, n_5248, n_5249, n_5250, n_5251, n_5252, n_5253;
+  wire n_5254, n_5255, n_5256, n_5257, n_5258, n_5259, n_5260, n_5261;
+  wire n_5262, n_5263, n_5264, n_5265, n_5266, n_5267, n_5268, n_5269;
+  wire n_5270, n_5271, n_5272, n_5273, n_5274, n_5275, n_5276, n_5277;
+  wire n_5278, n_5279, n_5280, n_5281, n_5282, n_5283, n_5284, n_5285;
+  wire n_5286, n_5287, n_5288, n_5289, n_5290, n_5291, n_5292, n_5293;
+  wire n_5294, n_5295, n_5296, n_5297, n_5298, n_5299, n_5300, n_5301;
+  wire n_5302, n_5303, n_5304, n_5305, n_5306, n_5307, n_5308, n_5309;
+  wire n_5310, n_5311, n_5312, n_5313, n_5314, n_5315, n_5316, n_5317;
+  wire n_5318, n_5319, n_5320, n_5321, n_5322, n_5323, n_5324, n_5325;
+  wire n_5326, n_5327, n_5328, n_5329, n_5330, n_5331, n_5333, n_5334;
+  wire n_5335, n_5336, n_5337, n_5338, n_5339, n_5340, n_5341, n_5342;
+  wire n_5343, n_5344, n_5345, n_5346, n_5347, n_5348, n_5349, n_5350;
+  wire n_5351, n_5352, n_5353, n_5354, n_5355, n_5356, n_5357, n_5358;
+  wire n_5360, n_5361, n_5362, n_5363, n_5364, n_5366, n_5367, n_5368;
+  wire n_5369, n_5370, n_5371, n_5372, n_5373, n_5374, n_5375, n_5376;
+  wire n_5377, n_5378, n_5379, n_5380, n_5381, n_5382, n_5383, n_5384;
+  wire n_5385, n_5386, n_5387, n_5388, n_5389, n_5390, n_5391, n_5392;
+  wire n_5393, n_5394, n_5395, n_5396, n_5397, n_5398, n_5399, n_5400;
+  wire n_5401, n_5403, n_5404, n_5405, n_5406, n_5407, n_5408, n_5409;
+  wire n_5410, n_5411, n_5412, n_5413, n_5414, n_5415, n_5416, n_5417;
+  wire n_5418, n_5419, n_5420, n_5421, n_5422, n_5423, n_5425, n_5426;
+  wire n_5427, n_5428, n_5429, n_5430, n_5431, n_5432, n_5433, n_5434;
+  wire n_5435, n_5436, n_5437, n_5438, n_5439, n_5440, n_5441, n_5442;
+  wire n_5443, n_5444, n_5445, n_5446, n_5447, n_5448, n_5449, n_5450;
+  wire n_5451, n_5452, n_5453, n_5454, n_5455, n_5456, n_5457, n_5458;
+  wire n_5459, n_5460, n_5461, n_5462, n_5463, n_5464, n_5465, n_5466;
+  wire n_5467, n_5468, n_5469, n_5470, n_5471, n_5472, n_5473, n_5474;
+  wire n_5475, n_5476, n_5477, n_5478, n_5479, n_5480, n_5481, n_5482;
+  wire n_5483, n_5484, n_5485, n_5486, n_5487, n_5488, n_5489, n_5490;
+  wire n_5491, n_5492, n_5493, n_5494, n_5495, n_5496, n_5497, n_5498;
+  wire n_5499, n_5500, n_5501, n_5502, n_5503, n_5504, n_5505, n_5506;
+  wire n_5507, n_5508, n_5509, n_5510, n_5511, n_5512, n_5513, n_5514;
+  wire n_5515, n_5516, n_5517, n_5518, n_5519, n_5520, n_5521, n_5522;
+  wire n_5523, n_5524, n_5525, n_5526, n_5527, n_5528, n_5529, n_5530;
+  wire n_5531, n_5532, n_5533, n_5534, n_5535, n_5536, n_5537, n_5538;
+  wire n_5539, n_5540, n_5541, n_5542, n_5543, n_5544, n_5545, n_5546;
+  wire n_5547, n_5548, n_5549, n_5550, n_5551, n_5552, n_5553, n_5554;
+  wire n_5555, n_5556, n_5557, n_5558, n_5559, n_5560, n_5561, n_5562;
+  wire n_5563, n_5564, n_5565, n_5566, n_5567, n_5568, n_5569, n_5570;
+  wire n_5571, n_5572, n_5573, n_5574, n_5575, n_5576, n_5577, n_5578;
+  wire n_5579, n_5580, n_5581, n_5582, n_5583, n_5584, n_5585, n_5586;
+  wire n_5587, n_5588, n_5589, n_5590, n_5591, n_5592, n_5593, n_5594;
+  wire n_5595, n_5596, n_5597, n_5598, n_5599, n_5600, n_5601, n_5602;
+  wire n_5603, n_5604, n_5605, n_5606, n_5607, n_5608, n_5609, n_5610;
+  wire n_5611, n_5612, n_5613, n_5614, n_5615, n_5616, n_5617, n_5618;
+  wire n_5619, n_5620, n_5621, n_5622, n_5623, n_5624, n_5625, n_5626;
+  wire n_5627, n_5628, n_5629, n_5630, n_5631, n_5632, n_5633, n_5634;
+  wire n_5635, n_5636, n_5637, n_5638, n_5639, n_5640, n_5641, n_5642;
+  wire n_5643, n_5644, n_5645, n_5646, n_5647, n_5648, n_5649, n_5650;
+  wire n_5651, n_5652, n_5653, n_5654, n_5655, n_5656, n_5657, n_5658;
+  wire n_5659, n_5660, n_5661, n_5662, n_5663, n_5664, n_5665, n_5666;
+  wire n_5667, n_5668, n_5669, n_5670, n_5671, n_5672, n_5673, n_5674;
+  wire n_5675, n_5676, n_5677, n_5678, n_5679, n_5680, n_5681, n_5682;
+  wire n_5683, n_5684, n_5685, n_5686, n_5687, n_5688, n_5689, n_5690;
+  wire n_5691, n_5692, n_5693, n_5694, n_5695, n_5696, n_5697, n_5699;
+  wire n_5700, n_5701, n_5702, n_5703, n_5704, n_5705, n_5706, n_5707;
+  wire n_5708, n_5709, n_5710, n_5711, n_5712, n_5713, n_5714, n_5715;
+  wire n_5716, n_5717, n_5718, n_5719, n_5720, n_5721, n_5722, n_5723;
+  wire n_5724, n_5725, n_5726, n_5727, n_5728, n_5729, n_5730, n_5731;
+  wire n_5732, n_5733, n_5734, n_5735, n_5736, n_5737, n_5738, n_5739;
+  wire n_5740, n_5741, n_5742, n_5743, n_5744, n_5745, n_5746, n_5747;
+  wire n_5748, n_5749, n_5750, n_5751, n_5752, n_5753, n_5754, n_5755;
+  wire n_5756, n_5757, n_5758, n_5759, n_5760, n_5761, n_5762, n_5763;
+  wire n_5764, n_5765, n_5766, n_5767, n_5768, n_5769, n_5770, n_5771;
+  wire n_5772, n_5773, n_5774, n_5775, n_5776, n_5777, n_5778, n_5779;
+  wire n_5780, n_5781, n_5782, n_5783, n_5784, n_5785, n_5786, n_5787;
+  wire n_5788, n_5789, n_5790, n_5791, n_5792, n_5793, n_5795, n_5796;
+  wire n_5797, n_5798, n_5799, n_5800, n_5801, n_5802, n_5803, n_5804;
+  wire n_5805, n_5806, n_5807, n_5808, n_5809, n_5810, n_5811, n_5812;
+  wire n_5813, n_5814, n_5815, n_5816, n_5817, n_5818, n_5819, n_5820;
+  wire n_5821, n_5822, n_5823, n_5824, n_5825, n_5826, n_5827, n_5828;
+  wire n_5829, n_5830, n_5831, n_5832, n_5833, n_5834, n_5835, n_5836;
+  wire n_5837, n_5838, n_5839, n_5840, n_5841, n_5842, n_5843, n_5844;
+  wire n_5845, n_5846, n_5847, n_5848, n_5849, n_5850, n_5851, n_5852;
+  wire n_5853, n_5854, n_5855, n_5856, n_5857, n_5858, n_5859, n_5860;
+  wire n_5861, n_5862, n_5863, n_5864, n_5865, n_5866, n_5867, n_5868;
+  wire n_5869, n_5870, n_5871, n_5872, n_5873, n_5874, n_5875, n_5876;
+  wire n_5877, n_5878, n_5880, n_5881, n_5882, n_5883, n_5884, n_5885;
+  wire n_5886, n_5887, n_5888, n_5889, n_5890, n_5891, n_5892, n_5893;
+  wire n_5894, n_5895, n_5896, n_5897, n_5898, n_5899, n_5900, n_5901;
+  wire n_5902, n_5903, n_5904, n_5905, n_5906, n_5907, n_5908, n_5909;
+  wire n_5910, n_5911, n_5912, n_5913, n_5914, n_5915, n_5916, n_5917;
+  wire n_5918, n_5919, n_5921, n_5922, n_5923, n_5924, n_5925, n_5926;
+  wire n_5927, n_5928, n_5929, n_5930, n_5932, n_5933, n_5934, n_5935;
+  wire n_5937, n_5938, n_5939, n_5940, n_5941, n_5942, n_5943, n_5944;
+  wire n_5945, n_5946, n_5947, n_5948, n_5949, n_5950, n_5951, n_5952;
+  wire n_5953, n_5954, n_5955, n_5956, n_5957, n_5958, n_5959, n_5960;
+  wire n_5961, n_5962, n_5963, n_5964, n_5965, n_5966, n_5967, n_5968;
+  wire n_5969, n_5970, n_5971, n_5972, n_5973, n_5974, n_5975, n_5976;
+  wire n_5977, n_5978, n_5979, n_5980, n_5981, n_5982, n_5983, n_5984;
+  wire n_5985, n_5986, n_5987, n_5988, n_5989, n_5990, n_5991, n_5992;
+  wire n_5993, n_5994, n_5995, n_5996, n_5997, n_5998, n_5999, n_6000;
+  wire n_6001, n_6002, n_6003, n_6004, n_6005, n_6006, n_6007, n_6008;
+  wire n_6010, n_6011, n_6012, n_6013, n_6014, n_6015, n_6016, n_6017;
+  wire n_6018, n_6019, n_6020, n_6021, n_6022, n_6023, n_6024, n_6025;
+  wire n_6026, n_6027, n_6028, n_6029, n_6030, n_6031, n_6032, n_6033;
+  wire n_6034, n_6035, n_6036, n_6037, n_6038, n_6039, n_6040, n_6041;
+  wire n_6042, n_6043, n_6044, n_6045, n_6046, n_6047, n_6048, n_6049;
+  wire n_6050, n_6051, n_6052, n_6053, n_6054, n_6055, n_6056, n_6057;
+  wire n_6058, n_6059, n_6060, n_6061, n_6062, n_6063, n_6064, n_6065;
+  wire n_6066, n_6067, n_6068, n_6069, n_6070, n_6071, n_6072, n_6073;
+  wire n_6074, n_6075, n_6076, n_6077, n_6078, n_6079, n_6080, n_6081;
+  wire n_6082, n_6083, n_6084, n_6085, n_6086, n_6087, n_6088, n_6089;
+  wire n_6090, n_6091, n_6092, n_6093, n_6094, n_6095, n_6096, n_6097;
+  wire n_6098, n_6099, n_6100, n_6101, n_6102, n_6103, n_6104, n_6105;
+  wire n_6106, n_6107, n_6108, n_6109, n_6110, n_6111, n_6112, n_6113;
+  wire n_6114, n_6115, n_6116, n_6117, n_6118, n_6119, n_6120, n_6121;
+  wire n_6122, n_6123, n_6124, n_6125, n_6126, n_6127, n_6128, n_6129;
+  wire n_6130, n_6131, n_6132, n_6133, n_6134, n_6135, n_6136, n_6137;
+  wire n_6138, n_6139, n_6140, n_6141, n_6142, n_6143, n_6144, n_6145;
+  wire n_6146, n_6147, n_6148, n_6149, n_6150, n_6151, n_6152, n_6153;
+  wire n_6154, n_6155, n_6156, n_6157, n_6158, n_6159, n_6160, n_6161;
+  wire n_6162, n_6163, n_6164, n_6165, n_6166, n_6167, n_6168, n_6169;
+  wire n_6170, n_6171, n_6172, n_6173, n_6174, n_6175, n_6176, n_6177;
+  wire n_6178, n_6179, n_6180, n_6181, n_6182, n_6183, n_6184, n_6185;
+  wire n_6186, n_6187, n_6188, n_6189, n_6190, n_6191, n_6192, n_6193;
+  wire n_6194, n_6195, n_6196, n_6197, n_6198, n_6199, n_6200, n_6201;
+  wire n_6202, n_6203, n_6204, n_6205, n_6206, n_6207, n_6208, n_6209;
+  wire n_6210, n_6211, n_6212, n_6213, n_6214, n_6215, n_6216, n_6217;
+  wire n_6218, n_6219, n_6220, n_6221, n_6222, n_6223, n_6224, n_6225;
+  wire n_6226, n_6227, n_6228, n_6229, n_6230, n_6231, n_6232, n_6233;
+  wire n_6234, n_6235, n_6236, n_6237, n_6238, n_6239, n_6240, n_6241;
+  wire n_6242, n_6243, n_6244, n_6245, n_6246, n_6247, n_6248, n_6249;
+  wire n_6250, n_6251, n_6252, n_6253, n_6254, n_6255, n_6256, n_6257;
+  wire n_6258, n_6259, n_6260, n_6261, n_6262, n_6263, n_6264, n_6265;
+  wire n_6266, n_6267, n_6268, n_6269, n_6270, n_6271, n_6272, n_6273;
+  wire n_6274, n_6275, n_6276, n_6277, n_6278, n_6279, n_6280, n_6281;
+  wire n_6282, n_6283, n_6284, n_6285, n_6286, n_6287, n_6288, n_6289;
+  wire n_6290, n_6291, n_6292, n_6293, n_6294, n_6295, n_6296, n_6297;
+  wire n_6298, n_6299, n_6300, n_6301, n_6302, n_6303, n_6304, n_6305;
+  wire n_6306, n_6307, n_6308, n_6309, n_6310, n_6311, n_6312, n_6313;
+  wire n_6314, n_6315, n_6316, n_6317, n_6318, n_6319, n_6320, n_6321;
+  wire n_6322, n_6323, n_6324, n_6325, n_6326, n_6327, n_6328, n_6329;
+  wire n_6330, n_6331, n_6332, n_6333, n_6334, n_6335, n_6336, n_6337;
+  wire n_6338, n_6339, n_6340, n_6341, n_6342, n_6343, n_6344, n_6345;
+  wire n_6346, n_6347, n_6348, n_6349, n_6350, n_6351, n_6352, n_6353;
+  wire n_6354, n_6355, n_6356, n_6357, n_6358, n_6359, n_6360, n_6361;
+  wire n_6362, n_6363, n_6364, n_6365, n_6366, n_6367, n_6368, n_6369;
+  wire n_6370, n_6371, n_6372, n_6373, n_6374, n_6375, n_6376, n_6377;
+  wire n_6378, n_6379, n_6380, n_6381, n_6382, n_6383, n_6384, n_6385;
+  wire n_6386, n_6387, n_6388, n_6389, n_6390, n_6391, n_6392, n_6393;
+  wire n_6394, n_6395, n_6396, n_6397, n_6398, n_6399, n_6400, n_6402;
+  wire n_6403, n_6404, n_6405, n_6406, n_6407, n_6408, n_6409, n_6410;
+  wire n_6411, n_6412, n_6413, n_6414, n_6415, n_6416, n_6417, n_6418;
+  wire n_6419, n_6420, n_6421, n_6422, n_6423, n_6424, n_6425, n_6426;
+  wire n_6427, n_6428, n_6429, n_6430, n_6431, n_6432, n_6433, n_6434;
+  wire n_6435, n_6436, n_6437, n_6438, n_6439, n_6440, n_6441, n_6442;
+  wire n_6443, n_6444, n_6445, n_6446, n_6447, n_6448, n_6449, n_6450;
+  wire n_6451, n_6452, n_6453, n_6454, n_6455, n_6456, n_6457, n_6458;
+  wire n_6459, n_6460, n_6461, n_6462, n_6463, n_6464, n_6465, n_6466;
+  wire n_6467, n_6468, n_6469, n_6470, n_6471, n_6472, n_6473, n_6474;
+  wire n_6475, n_6476, n_6477, n_6478, n_6479, n_6480, n_6481, n_6482;
+  wire n_6483, n_6484, n_6485, n_6486, n_6487, n_6488, n_6489, n_6490;
+  wire n_6491, n_6492, n_6493, n_6494, n_6495, n_6496, n_6497, n_6498;
+  wire n_6499, n_6500, n_6501, n_6502, n_6503, n_6504, n_6505, n_6506;
+  wire n_6507, n_6508, n_6509, n_6510, n_6511, n_6512, n_6513, n_6514;
+  wire n_6515, n_6516, n_6517, n_6518, n_6519, n_6520, n_6521, n_6522;
+  wire n_6523, n_6524, n_6525, n_6526, n_6527, n_6528, n_6529, n_6530;
+  wire n_6531, n_6532, n_6533, n_6534, n_6535, n_6536, n_6537, n_6538;
+  wire n_6539, n_6540, n_6541, n_6542, n_6543, n_6544, n_6546, n_6547;
+  wire n_6548, n_6549, n_6550, n_6551, n_6552, n_6553, n_6554, n_6555;
+  wire n_6556, n_6557, n_6558, n_6559, n_6560, n_6561, n_6562, n_6563;
+  wire n_6564, n_6565, n_6566, n_6567, n_6568, n_6569, n_6570, n_6571;
+  wire n_6572, n_6573, n_6574, n_6575, n_6576, n_6577, n_6578, n_6579;
+  wire n_6580, n_6581, n_6582, n_6583, n_6584, n_6585, n_6586, n_6587;
+  wire n_6588, n_6589, n_6590, n_6591, n_6592, n_6593, n_6594, n_6595;
+  wire n_6596, n_6597, n_6598, n_6599, n_6600, n_6601, n_6602, n_6603;
+  wire n_6604, n_6605, n_6606, n_6607, n_6608, n_6609, n_6610, n_6611;
+  wire n_6612, n_6613, n_6614, n_6615, n_6616, n_6617, n_6618, n_6619;
+  wire n_6620, n_6621, n_6622, n_6623, n_6624, n_6625, n_6626, n_6627;
+  wire n_6628, n_6629, n_6630, n_6631, n_6632, n_6633, n_6634, n_6635;
+  wire n_6636, n_6637, n_6638, n_6639, n_6640, n_6641, n_6642, n_6643;
+  wire n_6644, n_6645, n_6646, n_6647, n_6648, n_6649, n_6650, n_6651;
+  wire n_6652, n_6653, n_6654, n_6655, n_6656, n_6657, n_6658, n_6659;
+  wire n_6660, n_6661, n_6662, n_6663, n_6664, n_6665, n_6666, n_6667;
+  wire n_6668, n_6669, n_6670, n_6671, n_6672, n_6673, n_6674, n_6675;
+  wire n_6676, n_6677, n_6678, n_6679, n_6680, n_6681, n_6682, n_6683;
+  wire n_6684, n_6685, n_6686, n_6687, n_6688, n_6689, n_6690, n_6691;
+  wire n_6692, n_6693, n_6694, n_6696, n_6697, n_6698, n_6699, n_6700;
+  wire n_6701, n_6702, n_6703, n_6704, n_6705, n_6706, n_6707, n_6708;
+  wire n_6709, n_6710, n_6711, n_6712, n_6713, n_6714, n_6715, n_6716;
+  wire n_6717, n_6718, n_6719, n_6720, n_6721, n_6722, n_6723, n_6724;
+  wire n_6725, n_6726, n_6727, n_6728, n_6729, n_6730, n_6731, n_6732;
+  wire n_6733, n_6734, n_6735, n_6736, n_6737, n_6738, n_6739, n_6740;
+  wire n_6741, n_6742, n_6743, n_6744, n_6745, n_6746, n_6747, n_6748;
+  wire n_6749, n_6750, n_6751, n_6752, n_6753, n_6754, n_6755, n_6756;
+  wire n_6757, n_6758, n_6759, n_6760, n_6761, n_6762, n_6763, n_6764;
+  wire n_6765, n_6766, n_6767, n_6768, n_6769, n_6770, n_6771, n_6772;
+  wire n_6773, n_6774, n_6775, n_6776, n_6777, n_6778, n_6779, n_6780;
+  wire n_6781, n_6782, n_6783, n_6784, n_6785, n_6786, n_6787, n_6788;
+  wire n_6789, n_6790, n_6791, n_6792, n_6793, n_6794, n_6795, n_6796;
+  wire n_6797, n_6798, n_6799, n_6800, n_6801, n_6802, n_6803, n_6804;
+  wire n_6805, n_6806, n_6807, n_6808, n_6809, n_6810, n_6811, n_6812;
+  wire n_6813, n_6814, n_6815, n_6816, n_6817, n_6818, n_6819, n_6820;
+  wire n_6821, n_6822, n_6823, n_6824, n_6825, n_6826, n_6827, n_6828;
+  wire n_6829, n_6830, n_6831, n_6832, n_6833, n_6834, n_6835, n_6836;
+  wire n_6837, n_6838, n_6839, n_6840, n_6841, n_6842, n_6843, n_6844;
+  wire n_6845, n_6846, n_6847, n_6848, n_6849, n_6850, n_6851, n_6852;
+  wire n_6853, n_6854, n_6855, n_6856, n_6857, n_6858, n_6859, n_6860;
+  wire n_6861, n_6862, n_6863, n_6864, n_6865, n_6866, n_6867, n_6868;
+  wire n_6869, n_6870, n_6871, n_6872, n_6873, n_6874, n_6875, n_6876;
+  wire n_6877, n_6878, n_6879, n_6880, n_6881, n_6882, n_6883, n_6884;
+  wire n_6886, n_6887, n_6888, n_6889, n_6890, n_6891, n_6892, n_6893;
+  wire n_6894, n_6895, n_6896, n_6897, n_6898, n_6899, n_6900, n_6901;
+  wire n_6902, n_6903, n_6904, n_6905, n_6906, n_6907, n_6908, n_6909;
+  wire n_6910, n_6911, n_6912, n_6913, n_6915, n_6916, n_6917, n_6918;
+  wire n_6919, n_6920, n_6921, n_6922, n_6923, n_6924, n_6925, n_6926;
+  wire n_6927, n_6928, n_6929, n_6930, n_6931, n_6932, n_6933, n_6934;
+  wire n_6935, n_6936, n_6937, n_6938, n_6939, n_6940, n_6941, n_6942;
+  wire n_6943, n_6944, n_6945, n_6946, n_6947, n_6948, n_6949, n_6950;
+  wire n_6951, n_6952, n_6953, n_6954, n_6955, n_6956, n_6957, n_6958;
+  wire n_6959, n_6960, n_6961, n_6962, n_6963, n_6964, n_6965, n_6966;
+  wire n_6967, n_6968, n_6969, n_6970, n_6971, n_6972, n_6973, n_6974;
+  wire n_6975, n_6976, n_6977, n_6978, n_6979, n_6980, n_6981, n_6982;
+  wire n_6983, n_6984, n_6985, n_6986, n_6987, n_6988, n_6989, n_6990;
+  wire n_6991, n_6992, n_6993, n_6994, n_6995, n_6996, n_6997, n_6998;
+  wire n_6999, n_7000, n_7001, n_7002, n_7003, n_7004, n_7005, n_7006;
+  wire n_7007, n_7008, n_7009, n_7010, n_7011, n_7012, n_7013, n_7014;
+  wire n_7015, n_7016, n_7017, n_7018, n_7019, n_7020, n_7021, n_7022;
+  wire n_7023, n_7024, n_7025, n_7026, n_7027, n_7028, n_7029, n_7030;
+  wire n_7031, n_7032, n_7033, n_7034, n_7035, n_7036, n_7037, n_7038;
+  wire n_7039, n_7040, n_7041, n_7042, n_7043, n_7044, n_7045, n_7046;
+  wire n_7047, n_7048, n_7049, n_7050, n_7051, n_7052, n_7053, n_7054;
+  wire n_7055, n_7056, n_7057, n_7058, n_7059, n_7060, n_7061, n_7062;
+  wire n_7063, n_7064, n_7065, n_7066, n_7067, n_7068, n_7069, n_7070;
+  wire n_7071, n_7072, n_7073, n_7074, n_7075, n_7076, n_7077, n_7078;
+  wire n_7079, n_7080, n_7081, n_7082, n_7083, n_7084, n_7085, n_7086;
+  wire n_7087, n_7088, n_7089, n_7090, n_7091, n_7092, n_7093, n_7094;
+  wire n_7095, n_7096, n_7097, n_7098, n_7099, n_7100, n_7101, n_7102;
+  wire n_7103, n_7104, n_7105, n_7106, n_7107, n_7108, n_7109, n_7110;
+  wire n_7111, n_7112, n_7113, n_7114, n_7115, n_7116, n_7117, n_7118;
+  wire n_7119, n_7120, n_7121, n_7122, n_7123, n_7124, n_7125, n_7126;
+  wire n_7127, n_7128, n_7129, n_7130, n_7131, n_7132, n_7133, n_7134;
+  wire n_7135, n_7136, n_7137, n_7138, n_7139, n_7140, n_7141, n_7142;
+  wire n_7143, n_7144, n_7145, n_7146, n_7147, n_7148, n_7149, n_7150;
+  wire n_7151, n_7152, n_7153, n_7154, n_7155, n_7156, n_7157, n_7158;
+  wire n_7159, n_7160, n_7161, n_7162, n_7163, n_7164, n_7165, n_7166;
+  wire n_7167, n_7168, n_7169, n_7170, n_7171, n_7172, n_7173, n_7174;
+  wire n_7175, n_7176, n_7177, n_7178, n_7179, n_7180, n_7181, n_7182;
+  wire n_7183, n_7184, n_7185, n_7186, n_7187, n_7188, n_7189, n_7190;
+  wire n_7191, n_7192, n_7193, n_7194, n_7195, n_7196, n_7197, n_7199;
+  wire n_7200, n_7201, n_7202, n_7204, n_7205, n_7206, n_7207, n_7208;
+  wire n_7209, n_7210, n_7211, n_7212, n_7213, n_7214, n_7215, n_7216;
+  wire n_7217, n_7218, n_7219, n_7220, n_7221, n_7222, n_7223, n_7224;
+  wire n_7225, n_7226, n_7227, n_7228, n_7229, n_7230, n_7231, n_7232;
+  wire n_7233, n_7234, n_7235, n_7236, n_7237, n_7238, n_7239, n_7240;
+  wire n_7241, n_7242, n_7243, n_7244, n_7245, n_7246, n_7247, n_7248;
+  wire n_7249, n_7250, n_7251, n_7252, n_7253, n_7254, n_7255, n_7256;
+  wire n_7257, n_7258, n_7259, n_7260, n_7261, n_7262, n_7263, n_7264;
+  wire n_7265, n_7266, n_7267, n_7268, n_7269, n_7270, n_7271, n_7272;
+  wire n_7273, n_7274, n_7275, n_7276, n_7277, n_7279, n_7280, n_7281;
+  wire n_7282, n_7283, n_7284, n_7285, n_7286, n_7287, n_7288, n_7289;
+  wire n_7290, n_7291, n_7292, n_7293, n_7294, n_7295, n_7297, n_7298;
+  wire n_7299, n_7300, n_7301, n_7302, n_7303, n_7304, n_7305, n_7306;
+  wire n_7307, n_7308, n_7309, n_7310, n_7311, n_7312, n_7313, n_7314;
+  wire n_7315, n_7316, n_7317, n_7318, n_7319, n_7320, n_7321, n_7322;
+  wire n_7323, n_7324, n_7325, n_7326, n_7327, n_7328, n_7329, n_7330;
+  wire n_7331, n_7332, n_7333, n_7334, n_7335, n_7336, n_7337, n_7338;
+  wire n_7339, n_7340, n_7341, n_7342, n_7343, n_7344, n_7345, n_7346;
+  wire n_7347, n_7348, n_7349, n_7350, n_7351, n_7352, n_7353, n_7354;
+  wire n_7355, n_7356, n_7357, n_7358, n_7359, n_7360, n_7361, n_7362;
+  wire n_7363, n_7364, n_7365, n_7366, n_7367, n_7368, n_7369, n_7370;
+  wire n_7371, n_7372, n_7373, n_7374, n_7375, n_7376, n_7377, n_7378;
+  wire n_7379, n_7380, n_7381, n_7382, n_7383, n_7386, n_7387, n_7388;
+  wire n_7389, n_7390, n_7391, n_7392, n_7393, n_7394, n_7395, n_7396;
+  wire n_7397, n_7398, n_7399, n_7401, n_7402, n_7404, n_7405, n_7406;
+  wire n_7407, n_7408, n_7409, n_7410, n_7411, n_7412, n_7413, n_7414;
+  wire n_7415, n_7416, n_7417, n_7418, n_7419, n_7420, n_7421, n_7422;
+  wire n_7423, n_7424, n_7425, n_7426, n_7427, n_7428, n_7429, n_7430;
+  wire n_7431, n_7432, n_7433, n_7434, n_7435, n_7436, n_7437, n_7438;
+  wire n_7439, n_7440, n_7441, n_7442, n_7443, n_7444, n_7445, n_7446;
+  wire n_7447, n_7448, n_7449, n_7450, n_7451, n_7452, n_7453, n_7454;
+  wire n_7455, n_7456, n_7457, n_7458, n_7459, n_7460, n_7461, n_7462;
+  wire n_7463, n_7464, n_7465, n_7466, n_7467, n_7468, n_7469, n_7470;
+  wire n_7471, n_7472, n_7473, n_7474, n_7475, n_7476, n_7477, n_7478;
+  wire n_7479, n_7480, n_7481, n_7482, n_7483, n_7484, n_7485, n_7486;
+  wire n_7487, n_7488, n_7489, n_7490, n_7491, n_7492, n_7493, n_7494;
+  wire n_7495, n_7496, n_7497, n_7498, n_7499, n_7500, n_7501, n_7502;
+  wire n_7503, n_7504, n_7505, n_7506, n_7507, n_7508, n_7509, n_7510;
+  wire n_7511, n_7512, n_7513, n_7514, n_7515, n_7516, n_7517, n_7518;
+  wire n_7519, n_7520, n_7521, n_7522, n_7523, n_7524, n_7525, n_7526;
+  wire n_7527, n_7528, n_7529, n_7530, n_7531, n_7532, n_7533, n_7534;
+  wire n_7535, n_7536, n_7537, n_7538, n_7539, n_7540, n_7541, n_7542;
+  wire n_7543, n_7544, n_7545, n_7546, n_7547, n_7548, n_7549, n_7550;
+  wire n_7551, n_7552, n_7553, n_7554, n_7555, n_7556, n_7557, n_7558;
+  wire n_7559, n_7560, n_7561, n_7562, n_7563, n_7564, n_7565, n_7566;
+  wire n_7567, n_7568, n_7569, n_7570, n_7571, n_7572, n_7573, n_7574;
+  wire n_7575, n_7576, n_7577, n_7578, n_7579, n_7580, n_7581, n_7582;
+  wire n_7583, n_7584, n_7585, n_7586, n_7587, n_7588, n_7589, n_7590;
+  wire n_7591, n_7592, n_7593, n_7594, n_7595, n_7596, n_7597, n_7598;
+  wire n_7599, n_7600, n_7601, n_7602, n_7603, n_7604, n_7605, n_7606;
+  wire n_7607, n_7608, n_7609, n_7610, n_7611, n_7612, n_7613, n_7614;
+  wire n_7615, n_7616, n_7617, n_7618, n_7619, n_7620, n_7622, n_7624;
+  wire n_7625, n_7626, n_7627, n_7628, n_7630, n_7631, n_7632, n_7633;
+  wire n_7634, n_7635, n_7636, n_7637, n_7638, n_7639, n_7640, n_7641;
+  wire n_7642, n_7643, n_7644, n_7645, n_7646, n_7647, n_7648, n_7649;
+  wire n_7650, n_7651, n_7652, n_7653, n_7654, n_7655, n_7656, n_7657;
+  wire n_7658, n_7660, n_7661, n_7662, n_7663, n_7664, n_7665, n_7666;
+  wire n_7667, n_7668, n_7669, n_7670, n_7671, n_7672, n_7673, n_7674;
+  wire n_7675, n_7676, n_7677, n_7678, n_7679, n_7680, n_7681, n_7683;
+  wire n_7684, n_7685, n_7686, n_7687, n_7688, n_7689, n_7690, n_7691;
+  wire n_7692, n_7693, n_7694, n_7695, n_7696, n_7697, n_7698, n_7699;
+  wire n_7700, n_7701, n_7702, n_7703, n_7704, n_7705, n_7706, n_7707;
+  wire n_7708, n_7709, n_7710, n_7711, n_7712, n_7713, n_7714, n_7715;
+  wire n_7716, n_7717, n_7718, n_7719, n_7720, n_7721, n_7722, n_7723;
+  wire n_7724, n_7725, n_7726, n_7727, n_7728, n_7729, n_7730, n_7731;
+  wire n_7732, n_7733, n_7734, n_7735, n_7736, n_7737, n_7738, n_7739;
+  wire n_7740, n_7741, n_7742, n_7743, n_7744, n_7745, n_7746, n_7747;
+  wire n_7748, n_7749, n_7750, n_7751, n_7752, n_7753, n_7754, n_7755;
+  wire n_7756, n_7757, n_7758, n_7759, n_7760, n_7761, n_7762, n_7763;
+  wire n_7764, n_7765, n_7766, n_7767, n_7768, n_7769, n_7770, n_7771;
+  wire n_7772, n_7773, n_7774, n_7775, n_7776, n_7777, n_7778, n_7779;
+  wire n_7780, n_7781, n_7782, n_7783, n_7784, n_7785, n_7786, n_7787;
+  wire n_7788, n_7789, n_7790, n_7791, n_7792, n_7793, n_7794, n_7795;
+  wire n_7796, n_7797, n_7798, n_7799, n_7800, n_7801, n_7802, n_7803;
+  wire n_7804, n_7805, n_7806, n_7807, n_7808, n_7809, n_7810, n_7811;
+  wire n_7812, n_7813, n_7814, n_7815, n_7816, n_7817, n_7818, n_7819;
+  wire n_7820, n_7821, n_7822, n_7823, n_7824, n_7825, n_7826, n_7827;
+  wire n_7828, n_7829, n_7830, n_7831, n_7832, n_7833, n_7834, n_7835;
+  wire n_7836, n_7837, n_7838, n_7839, n_7840, n_7841, n_7842, n_7843;
+  wire n_7844, n_7845, n_7846, n_7847, n_7848, n_7849, n_7850, n_7851;
+  wire n_7852, n_7853, n_7854, n_7855, n_7856, n_7857, n_7858, n_7859;
+  wire n_7860, n_7861, n_7862, n_7863, n_7864, n_7865, n_7866, n_7867;
+  wire n_7868, n_7869, n_7870, n_7871, n_7872, n_7873, n_7874, n_7875;
+  wire n_7876, n_7877, n_7878, n_7879, n_7880, n_7881, n_7882, n_7883;
+  wire n_7884, n_7885, n_7886, n_7887, n_7888, n_7889, n_7890, n_7891;
+  wire n_7892, n_7893, n_7894, n_7895, n_7896, n_7897, n_7898, n_7899;
+  wire n_7900, n_7901, n_7902, n_7903, n_7904, n_7905, n_7906, n_7907;
+  wire n_7908, n_7909, n_7910, n_7911, n_7912, n_7913, n_7914, n_7915;
+  wire n_7916, n_7917, n_7918, n_7919, n_7920, n_7921, n_7922, n_7923;
+  wire n_7924, n_7925, n_7926, n_7927, n_7928, n_7929, n_7930, n_7931;
+  wire n_7932, n_7933, n_7934, n_7935, n_7936, n_7937, n_7938, n_7939;
+  wire n_7940, n_7941, n_7942, n_7943, n_7944, n_7945, n_7946, n_7947;
+  wire n_7948, n_7949, n_7950, n_7951, n_7952, n_7953, n_7954, n_7955;
+  wire n_7956, n_7957, n_7958, n_7959, n_7960, n_7961, n_7962, n_7963;
+  wire n_7964, n_7965, n_7966, n_7968, n_7969, n_7970, n_7971, n_7972;
+  wire n_7973, n_7974, n_7975, n_7976, n_7977, n_7978, n_7979, n_7980;
+  wire n_7981, n_7982, n_7983, n_7985, n_7986, n_7987, n_7988, n_7989;
+  wire n_7990, n_7991, n_7992, n_7993, n_7994, n_7995, n_7996, n_7997;
+  wire n_7998, n_7999, n_8000, n_8001, n_8002, n_8003, n_8004, n_8005;
+  wire n_8006, n_8007, n_8008, n_8009, n_8010, n_8011, n_8012, n_8013;
+  wire n_8014, n_8015, n_8016, n_8017, n_8018, n_8019, n_8020, n_8021;
+  wire n_8022, n_8023, n_8024, n_8025, n_8026, n_8027, n_8028, n_8029;
+  wire n_8030, n_8031, n_8032, n_8033, n_8034, n_8035, n_8036, n_8037;
+  wire n_8038, n_8039, n_8040, n_8041, n_8042, n_8043, n_8044, n_8045;
+  wire n_8046, n_8047, n_8048, n_8049, n_8050, n_8051, n_8052, n_8053;
+  wire n_8054, n_8055, n_8056, n_8057, n_8058, n_8059, n_8060, n_8061;
+  wire n_8062, n_8063, n_8064, n_8065, n_8066, n_8067, n_8068, n_8069;
+  wire n_8070, n_8071, n_8072, n_8073, n_8074, n_8075, n_8076, n_8077;
+  wire n_8078, n_8079, n_8080, n_8081, n_8082, n_8083, n_8084, n_8085;
+  wire n_8086, n_8087, n_8088, n_8089, n_8090, n_8091, n_8092, n_8093;
+  wire n_8094, n_8095, n_8096, n_8097, n_8098, n_8099, n_8100, n_8101;
+  wire n_8102, n_8103, n_8104, n_8105, n_8106, n_8107, n_8108, n_8109;
+  wire n_8110, n_8111, n_8112, n_8113, n_8114, n_8115, n_8116, n_8117;
+  wire n_8118, n_8119, n_8120, n_8121, n_8122, n_8123, n_8124, n_8125;
+  wire n_8126, n_8127, n_8128, n_8129, n_8130, n_8131, n_8132, n_8133;
+  wire n_8134, n_8135, n_8136, n_8137, n_8138, n_8139, n_8140, n_8141;
+  wire n_8142, n_8143, n_8144, n_8145, n_8146, n_8147, n_8148, n_8149;
+  wire n_8150, n_8151, n_8152, n_8153, n_8154, n_8155, n_8156, n_8157;
+  wire n_8158, n_8159, n_8160, n_8161, n_8162, n_8163, n_8164, n_8165;
+  wire n_8166, n_8167, n_8168, n_8169, n_8170, n_8171, n_8172, n_8173;
+  wire n_8174, n_8175, n_8176, n_8177, n_8178, n_8179, n_8180, n_8181;
+  wire n_8182, n_8183, n_8184, n_8185, n_8186, n_8187, n_8188, n_8189;
+  wire n_8190, n_8191, n_8192, n_8193, n_8194, n_8195, n_8196, n_8197;
+  wire n_8198, n_8199, n_8200, n_8201, n_8202, n_8203, n_8204, n_8205;
+  wire n_8206, n_8207, n_8208, n_8209, n_8210, n_8211, n_8212, n_8213;
+  wire n_8214, n_8215, n_8216, n_8217, n_8218, n_8219, n_8220, n_8221;
+  wire n_8222, n_8223, n_8224, n_8225, n_8226, n_8227, n_8228, n_8229;
+  wire n_8230, n_8231, n_8232, n_8233, n_8234, n_8235, n_8236, n_8237;
+  wire n_8238, n_8239, n_8240, n_8241, n_8242, n_8243, n_8244, n_8245;
+  wire n_8246, n_8247, n_8248, n_8249, n_8250, n_8251, n_8252, n_8253;
+  wire n_8254, n_8255, n_8256, n_8257, n_8258, n_8259, n_8260, n_8261;
+  wire n_8262, n_8263, n_8264, n_8265, n_8266, n_8267, n_8268, n_8269;
+  wire n_8270, n_8271, n_8272, n_8273, n_8274, n_8275, n_8276, n_8277;
+  wire n_8278, n_8279, n_8280, n_8281, n_8282, n_8283, n_8284, n_8285;
+  wire n_8286, n_8287, n_8288, n_8289, n_8290, n_8291, n_8292, n_8293;
+  wire n_8294, n_8295, n_8296, n_8298, n_8299, n_8300, n_8301, n_8302;
+  wire n_8303, n_8304, n_8305, n_8306, n_8307, n_8308, n_8309, n_8310;
+  wire n_8311, n_8312, n_8313, n_8314, n_8315, n_8316, n_8317, n_8318;
+  wire n_8319, n_8320, n_8321, n_8322, n_8323, n_8324, n_8325, n_8326;
+  wire n_8327, n_8328, n_8329, n_8330, n_8331, n_8332, n_8333, n_8334;
+  wire n_8335, n_8336, n_8337, n_8338, n_8339, n_8340, n_8341, n_8343;
+  wire n_8344, n_8345, n_8346, n_8347, n_8348, n_8349, n_8350, n_8351;
+  wire n_8352, n_8353, n_8354, n_8355, n_8356, n_8357, n_8358, n_8359;
+  wire n_8360, n_8361, n_8362, n_8363, n_8364, n_8365, n_8366, n_8367;
+  wire n_8368, n_8369, n_8370, n_8371, n_8372, n_8373, n_8374, n_8375;
+  wire n_8376, n_8377, n_8378, n_8379, n_8380, n_8381, n_8382, n_8383;
+  wire n_8384, n_8385, n_8386, n_8387, n_8388, n_8389, n_8390, n_8391;
+  wire n_8392, n_8393, n_8394, n_8395, n_8396, n_8397, n_8398, n_8399;
+  wire n_8400, n_8401, n_8402, n_8403, n_8404, n_8405, n_8406, n_8407;
+  wire n_8408, n_8409, n_8410, n_8411, n_8412, n_8413, n_8414, n_8415;
+  wire n_8416, n_8417, n_8418, n_8419, n_8420, n_8421, n_8422, n_8423;
+  wire n_8424, n_8425, n_8426, n_8427, n_8428, n_8429, n_8430, n_8431;
+  wire n_8432, n_8433, n_8434, n_8435, n_8436, n_8437, n_8438, n_8439;
+  wire n_8440, n_8441, n_8442, n_8443, n_8444, n_8445, n_8446, n_8447;
+  wire n_8448, n_8449, n_8450, n_8451, n_8452, n_8453, n_8454, n_8455;
+  wire n_8456, n_8457, n_8458, n_8459, n_8460, n_8461, n_8462, n_8463;
+  wire n_8464, n_8465, n_8466, n_8467, n_8468, n_8469, n_8470, n_8471;
+  wire n_8472, n_8473, n_8474, n_8475, n_8476, n_8477, n_8478, n_8479;
+  wire n_8480, n_8481, n_8482, n_8483, n_8484, n_8485, n_8486, n_8487;
+  wire n_8488, n_8489, n_8490, n_8491, n_8492, n_8493, n_8494, n_8495;
+  wire n_8496, n_8497, n_8498, n_8499, n_8500, n_8501, n_8502, n_8503;
+  wire n_8504, n_8505, n_8506, n_8507, n_8508, n_8509, n_8510, n_8511;
+  wire n_8512, n_8513, n_8514, n_8515, n_8516, n_8517, n_8518, n_8519;
+  wire n_8520, n_8521, n_8522, n_8523, n_8524, n_8525, n_8526, n_8527;
+  wire n_8528, n_8529, n_8530, n_8531, n_8532, n_8533, n_8534, n_8535;
+  wire n_8536, n_8537, n_8538, n_8539, n_8540, n_8541, n_8542, n_8543;
+  wire n_8544, n_8545, n_8546, n_8547, n_8548, n_8549, n_8550, n_8551;
+  wire n_8552, n_8553, n_8554, n_8555, n_8556, n_8557, n_8558, n_8559;
+  wire n_8560, n_8561, n_8562, n_8563, n_8564, n_8565, n_8566, n_8567;
+  wire n_8568, n_8569, n_8570, n_8571, n_8572, n_8573, n_8574, n_8575;
+  wire n_8576, n_8577, n_8578, n_8579, n_8580, n_8581, n_8582, n_8583;
+  wire n_8584, n_8585, n_8586, n_8587, n_8588, n_8589, n_8590, n_8591;
+  wire n_8592, n_8593, n_8594, n_8595, n_8596, n_8597, n_8598, n_8599;
+  wire n_8600, n_8601, n_8602, n_8603, n_8604, n_8605, n_8606, n_8607;
+  wire n_8608, n_8609, n_8610, n_8611, n_8612, n_8613, n_8614, n_8615;
+  wire n_8616, n_8617, n_8618, n_8619, n_8620, n_8621, n_8622, n_8623;
+  wire n_8624, n_8625, n_8626, n_8627, n_8628, n_8629, n_8630, n_8631;
+  wire n_8632, n_8633, n_8634, n_8635, n_8636, n_8637, n_8638, n_8639;
+  wire n_8640, n_8641, n_8642, n_8643, n_8644, n_8645, n_8646, n_8647;
+  wire n_8648, n_8649, n_8651, n_8652, n_8653, n_8654, n_8655, n_8656;
+  wire n_8657, n_8658, n_8659, n_8660, n_8661, n_8662, n_8663, n_8664;
+  wire n_8665, n_8666, n_8667, n_8668, n_8669, n_8670, n_8671, n_8672;
+  wire n_8673, n_8674, n_8675, n_8676, n_8677, n_8678, n_8679, n_8680;
+  wire n_8681, n_8682, n_8684, n_8685, n_8686, n_8687, n_8688, n_8689;
+  wire n_8690, n_8691, n_8692, n_8693, n_8694, n_8695, n_8696, n_8697;
+  wire n_8698, n_8699, n_8700, n_8701, n_8702, n_8703, n_8704, n_8705;
+  wire n_8706, n_8707, n_8708, n_8709, n_8710, n_8711, n_8712, n_8713;
+  wire n_8714, n_8715, n_8716, n_8717, n_8718, n_8719, n_8720, n_8721;
+  wire n_8722, n_8723, n_8724, n_8725, n_8726, n_8727, n_8728, n_8729;
+  wire n_8730, n_8731, n_8732, n_8733, n_8734, n_8735, n_8736, n_8737;
+  wire n_8738, n_8739, n_8740, n_8741, n_8742, n_8743, n_8744, n_8745;
+  wire n_8746, n_8747, n_8748, n_8749, n_8750, n_8751, n_8752, n_8753;
+  wire n_8754, n_8755, n_8756, n_8757, n_8758, n_8759, n_8760, n_8761;
+  wire n_8762, n_8763, n_8764, n_8765, n_8766, n_8767, n_8768, n_8769;
+  wire n_8770, n_8771, n_8772, n_8773, n_8774, n_8775, n_8776, n_8777;
+  wire n_8778, n_8779, n_8780, n_8781, n_8782, n_8783, n_8784, n_8785;
+  wire n_8786, n_8787, n_8788, n_8789, n_8790, n_8791, n_8792, n_8793;
+  wire n_8794, n_8795, n_8796, n_8797, n_8798, n_8799, n_8802, n_8803;
+  wire n_8804, n_8805, n_8806, n_8807, n_8808, n_8810, n_8814, n_8815;
+  wire n_8816, n_8817, n_8818, n_8819, n_8822, n_8823, n_8824, n_8825;
+  wire n_8826, n_8827, n_8828, n_8829, n_8830, n_8831, n_8832, n_8833;
+  wire n_8834, n_8835, n_8836, n_8838, n_8844, n_8845, n_8847, n_8853;
+  wire n_8854, n_8855, n_8856, n_8857, n_8859, n_8860, n_8861, n_8862;
+  wire n_8863, n_8864, n_8865, n_8866, n_8867, n_8868, n_8870, n_8871;
+  wire n_8874, n_8875, n_8876, n_8877, n_8878, n_8879, n_8880, n_8881;
+  wire n_8882, n_8883, n_8884, n_8885, n_8886, n_8887, n_8888, n_8889;
+  wire n_8890, n_8891, n_8892, n_8893, n_8894, n_8895, n_8896, n_8897;
+  wire n_8898, n_8899, n_8900, n_8901, n_8902, n_8903, n_8904, n_8905;
+  wire n_8906, n_8907, n_8908, n_8909, n_8910, n_8911, n_8912, n_8914;
+  wire n_8921, n_8922, n_8924, n_8931, n_8932, n_8933, n_8934, n_8935;
+  wire n_8936, n_8939, n_8940, n_8941, n_8942, n_8943, n_8944, n_8945;
+  wire n_8946, n_8947, n_8948, n_8949, n_8950, n_8951, n_8952, n_8953;
+  wire n_8954, n_8955, n_8956, n_8957, n_8958, n_8959, n_8960, n_8961;
+  wire n_8962, n_8963, n_8964, n_8965, n_8966, n_8967, n_8968, n_8970;
+  wire n_8971, n_8972, n_8973, n_8974, n_8975, n_8976, n_8977, n_8978;
+  wire n_8979, n_8980, n_8981, n_8982, n_8983, n_8984, n_8985, n_8986;
+  wire n_8987, n_8988, n_8989, n_8991, n_8992, n_8993, n_8994, n_8996;
+  wire n_8997, n_8998, n_8999, n_9000, n_9001, n_9002, n_9003, n_9004;
+  wire n_9005, n_9006, n_9007, n_9008, n_9009, n_9010, n_9011, n_9012;
+  wire n_9013, n_9014, n_9015, n_9016, n_9017, n_9018, n_9019, n_9020;
+  wire n_9021, n_9022, n_9023, n_9024, n_9025, n_9026, n_9027, n_9028;
+  wire n_9029, n_9030, n_9031, n_9032, n_9033, n_9034, n_9035, n_9036;
+  wire n_9037, n_9038, n_9039, n_9040, n_9041, n_9042, n_9043, n_9044;
+  wire n_9045, n_9046, n_9047, n_9048, n_9049, n_9050, n_9051, n_9052;
+  wire n_9053, n_9054, n_9055, n_9056, n_9057, n_9058, n_9059, n_9060;
+  wire n_9061, n_9062, n_9063, n_9064, n_9065, n_9066, n_9067, n_9068;
+  wire n_9069, n_9070, n_9071, n_9072, n_9073, n_9074, n_9075, n_9076;
+  wire n_9077, n_9078, n_9079, n_9080, n_9081, n_9082, n_9083, n_9084;
+  wire n_9085, n_9086, n_9087, n_9088, n_9089, n_9090, n_9091, n_9092;
+  wire n_9093, n_9094, n_9095, n_9096, n_9097, n_9098, n_9099, n_9100;
+  wire n_9101, n_9102, n_9103, n_9104, n_9105, n_9106, n_9107, n_9108;
+  wire n_9109, n_9110, n_9111, n_9112, n_9113, n_9114, n_9115, n_9116;
+  wire n_9117, n_9118, n_9119, n_9120, n_9121, n_9122, n_9123, n_9124;
+  wire n_9125, n_9126, n_9127, n_9128, n_9129, n_9130, n_9131, n_9132;
+  wire n_9133, n_9134, n_9135, n_9136, n_9137, n_9138, n_9139, n_9140;
+  wire n_9141, n_9142, n_9143, n_9144, n_9145, n_9146, n_9147, n_9148;
+  wire n_9149, n_9150, n_9151, n_9152, n_9153, n_9154, n_9155, n_9156;
+  wire n_9157, n_9158, n_9159, n_9160, n_9161, n_9162, n_9163, n_9164;
+  wire n_9165, n_9166, n_9167, n_9168, n_9169, n_9170, n_9171, n_9172;
+  wire n_9173, n_9174, n_9175, n_9176, n_9177, n_9178, n_9179, n_9180;
+  wire n_9181, n_9182, n_9183, n_9184, n_9185, n_9186, n_9187, n_9188;
+  wire n_9189, n_9190, n_9191, n_9192, n_9193, n_9194, n_9195, n_9196;
+  wire n_9197, n_9198, n_9199, n_9200, n_9201, n_9202, n_9203, n_9204;
+  wire n_9205, n_9206, n_9207, n_9208, n_9209, n_9210, n_9211, n_9212;
+  wire n_9213, n_9214, n_9215, n_9216, n_9217, n_9218, n_9219, n_9220;
+  wire n_9221, n_9222, n_9223, n_9224, n_9225, n_9226, n_9227, n_9228;
+  wire n_9229, n_9230, n_9231, n_9232, n_9233, n_9234, n_9235, n_9236;
+  wire n_9237, n_9238, n_9239, n_9240, n_9241, n_9242, n_9243, n_9244;
+  wire n_9245, n_9246, n_9247, n_9248, n_9249, n_9250, n_9251, n_9252;
+  wire n_9253, n_9254, n_9255, n_9256, n_9257, n_9258, n_9259, n_9260;
+  wire n_9261, n_9262, n_9263, n_9264, n_9265, n_9266, n_9267, n_9268;
+  wire n_9269, n_9270, n_9271, n_9272, n_9273, n_9274, n_9275, n_9276;
+  wire n_9277, n_9278, n_9279, n_9280, n_9281, n_9282, n_9283, n_9284;
+  wire n_9285, n_9286, n_9287, n_9288, n_9289, n_9290, n_9291, n_9292;
+  wire n_9293, n_9294, n_9295, n_9296, n_9297, n_9298, n_9299, n_9300;
+  wire n_9301, n_9302, n_9303, n_9304, n_9305, n_9306, n_9307, n_9308;
+  wire n_9309, n_9310, n_9311, n_9312, n_9313, n_9314, n_9315, n_9316;
+  wire n_9317, n_9318, n_9319, n_9320, n_9323, n_9324, n_9325, n_9326;
+  wire n_9327, n_9328, n_9329, n_9330, n_9331, n_9332, n_9333, n_9334;
+  wire n_9335, n_9336, n_9337, n_9338, n_9339, n_9340, n_9341, n_9342;
+  wire n_9343, n_9344, n_9345, n_9346, n_9347, n_9348, n_9349, n_9350;
+  wire n_9351, n_9352, n_9353, n_9354, n_9355, n_9356, n_9357, n_9358;
+  wire n_9359, n_9360, n_9361, n_9362, n_9363, n_9364, n_9365, n_9366;
+  wire n_9367, n_9368, n_9369, n_9370, n_9371, n_9372, n_9373, n_9374;
+  wire n_9375, n_9376, n_9377, n_9378, n_9379, n_9380, n_9381, n_9382;
+  wire n_9383, n_9384, n_9385, n_9386, n_9387, n_9388, n_9389, n_9390;
+  wire n_9391, n_9392, n_9393, n_9394, n_9395, n_9396, n_9397, n_9398;
+  wire n_9399, n_9400, n_9401, n_9402, n_9403, n_9404, n_9405, n_9406;
+  wire n_9407, n_9408, n_9409, n_9410, n_9411, n_9412, n_9413, n_9414;
+  wire n_9415, n_9416, n_9417, n_9418, n_9419, n_9420, n_9421, n_9422;
+  wire n_9423, n_9424, n_9425, n_9426, n_9427, n_9428, n_9429, n_9430;
+  wire n_9431, n_9432, n_9433, n_9434, n_9435, n_9436, n_9437, n_9438;
+  wire n_9439, n_9440, n_9441, n_9442, n_9443, n_9444, n_9445, n_9446;
+  wire n_9447, n_9448, n_9449, n_9450, n_9451, n_9452, n_9453, n_9454;
+  wire n_9455, n_9456, n_9457, n_9458, n_9459, n_9460, n_9461, n_9462;
+  wire n_9463, n_9464, n_9465, n_9466, n_9467, n_9468, n_9469, n_9470;
+  wire n_9471, n_9472, n_9473, n_9474, n_9475, n_9476, n_9477, n_9478;
+  wire n_9479, n_9480, n_9481, n_9482, n_9483, n_9484, n_9485, n_9486;
+  wire n_9487, n_9488, n_9489, n_9490, n_9491, n_9492, n_9493, n_9494;
+  wire n_9495, n_9496, n_9497, n_9498, n_9499, n_9500, n_9501, n_9502;
+  wire n_9503, n_9504, n_9505, n_9506, n_9507, n_9508, n_9509, n_9510;
+  wire n_9511, n_9512, n_9513, n_9514, n_9515, n_9516, n_9517, n_9518;
+  wire n_9519, n_9520, n_9521, n_9522, n_9523, n_9524, n_9525, n_9526;
+  wire n_9527, n_9528, n_9529, n_9530, n_9531, n_9532, n_9533, n_9534;
+  wire n_9535, n_9536, n_9537, n_9538, n_9539, n_9540, n_9541, n_9542;
+  wire n_9543, n_9544, n_9545, n_9546, n_9547, n_9548, n_9549, n_9550;
+  wire n_9551, n_9552, n_9553, n_9554, n_9555, n_9556, n_9557, n_9558;
+  wire n_9559, n_9560, n_9561, n_9562, n_9563, n_9564, n_9565, n_9566;
+  wire n_9567, n_9568, n_9569, n_9570, n_9571, n_9572, n_9573, n_9574;
+  wire n_9575, n_9576, n_9577, n_9578, n_9579, n_9580, n_9581, n_9582;
+  wire n_9583, n_9584, n_9585, n_9586, n_9587, n_9588, n_9589, n_9590;
+  wire n_9591, n_9592, n_9593, n_9594, n_9595, n_9596, n_9597, n_9598;
+  wire n_9599, n_9600, n_9601, n_9602, n_9603, n_9604, n_9605, n_9606;
+  wire n_9607, n_9608, n_9609, n_9610, n_9611, n_9612, n_9613, n_9614;
+  wire n_9615, n_9616, n_9617, n_9618, n_9619, n_9620, n_9621, n_9622;
+  wire n_9623, n_9624, n_9625, n_9626, n_9627, n_9628, n_9629, n_9630;
+  wire n_9631, n_9632, n_9633, n_9634, n_9635, n_9636, n_9637, n_9638;
+  wire n_9639, n_9640, n_9641, n_9642, n_9643, n_9644, n_9645, n_9646;
+  wire n_9647, n_9648, n_9649, n_9650, n_9651, n_9652, n_9653, n_9654;
+  wire n_9655, n_9656, n_9657, n_9658, n_9659, n_9660, n_9661, n_9662;
+  wire n_9663, n_9664, n_9665, n_9666, n_9667, n_9668, n_9669, n_9670;
+  wire n_9671, n_9672, n_9673, n_9674, n_9675, n_9676, n_9677, n_9678;
+  wire n_9679, n_9680, n_9681, n_9682, n_9683, n_9684, n_9685, n_9686;
+  wire n_9687, n_9688, n_9689, n_9690, n_9691, n_9692, n_9693, n_9694;
+  wire n_9695, n_9696, n_9697, n_9698, n_9699, n_9700, n_9701, n_9702;
+  wire n_9703, n_9704, n_9705, n_9706, n_9707, n_9708, n_9709, n_9710;
+  wire n_9711, n_9712, n_9713, n_9714, n_9715, n_9716, n_9717, n_9718;
+  wire n_9719, n_9720, n_9721, n_9722, n_9723, n_9724, n_9725, n_9726;
+  wire n_9727, n_9728, n_9729, n_9730, n_9731, n_9732, n_9733, n_9734;
+  wire n_9735, n_9736, n_9737, n_9738, n_9739, n_9740, n_9741, n_9742;
+  wire n_9743, n_9744, n_9745, n_9746, n_9747, n_9748, n_9749, n_9751;
+  wire n_9752, n_9753, n_9754, n_9755, n_9756, n_9757, n_9758, n_9759;
+  wire n_9760, n_9761, n_9762, n_9763, n_9764, n_9765, n_9766, n_9767;
+  wire n_9768, n_9769, n_9770, n_9771, n_9772, n_9773, n_9774, n_9775;
+  wire n_9776, n_9777, n_9778, n_9779, n_9780, n_9781, n_9782, n_9783;
+  wire n_9784, n_9785, n_9786, n_9787, n_9788, n_9789, n_9790, n_9791;
+  wire n_9792, n_9793, n_9794, n_9795, n_9796, n_9797, n_9798, n_9799;
+  wire n_9800, n_9801, n_9802, n_9803, n_9804, n_9805, n_9806, n_9807;
+  wire n_9808, n_9809, n_9810, n_9811, n_9812, n_9813, n_9814, n_9815;
+  wire n_9816, n_9817, n_9818, n_9819, n_9820, n_9821, n_9822, n_9823;
+  wire n_9824, n_9825, n_9826, n_9827, n_9828, n_9829, n_9830, n_9831;
+  wire n_9832, n_9833, n_9834, n_9835, n_9836, n_9837, n_9838, n_9839;
+  wire n_9840, n_9841, n_9842, n_9843, n_9844, n_9845, n_9846, n_9847;
+  wire n_9848, n_9849, n_9850, n_9851, n_9852, n_9853, n_9854, n_9855;
+  wire n_9856, n_9857, n_9858, n_9859, n_9860, n_9861, n_9862, n_9863;
+  wire n_9864, n_9865, n_9866, n_9867, n_9868, n_9869, n_9870, n_9871;
+  wire n_9872, n_9873, n_9874, n_9875, n_9876, n_9877, n_9878, n_9879;
+  wire n_9880, n_9881, n_9882, n_9883, n_9884, n_9885, n_9886, n_9887;
+  wire n_9888, n_9889, n_9890, n_9891, n_9892, n_9893, n_9894, n_9895;
+  wire n_9896, n_9897, n_9898, n_9899, n_9900, n_9901, n_9902, n_9903;
+  wire n_9904, n_9905, n_9906, n_9907, n_9908, n_9909, n_9910, n_9911;
+  wire n_9912, n_9913, n_9914, n_9915, n_9916, n_9917, n_9918, n_9919;
+  wire n_9920, n_9921, n_9922, n_9923, n_9924, n_9925, n_9926, n_9927;
+  wire n_9928, n_9929, n_9930, n_9931, n_9932, n_9933, n_9934, n_9935;
+  wire n_9936, n_9937, n_9938, n_9939, n_9940, n_9941, n_9942, n_9943;
+  wire n_9944, n_9945, n_9946, n_9947, n_9948, n_9949, n_9950, n_9951;
+  wire n_9952, n_9953, n_9954, n_9955, n_9956, n_9957, n_9958, n_9959;
+  wire n_9960, n_9961, n_9962, n_9963, n_9964, n_9965, n_9966, n_9967;
+  wire n_9968, n_9969, n_9970, n_9971, n_9972, n_9973, n_9974, n_9975;
+  wire n_9976, n_9977, n_9978, n_9979, n_9980, n_9981, n_9982, n_9983;
+  wire n_9984, n_9985, n_9986, n_9987, n_9988, n_9989, n_9990, n_9991;
+  wire n_9992, n_9993, n_9994, n_9995, n_9996, n_9997, n_9998, n_9999;
+  wire n_10000, n_10001, n_10002, n_10003, n_10004, n_10005, n_10006,
+       n_10007;
+  wire n_10008, n_10009, n_10010, n_10011, n_10012, n_10013, n_10014,
+       n_10015;
+  wire n_10016, n_10017, n_10018, n_10019, n_10020, n_10021, n_10022,
+       n_10023;
+  wire n_10024, n_10025, n_10026, n_10027, n_10028, n_10029, n_10030,
+       n_10031;
+  wire n_10032, n_10033, n_10034, n_10035, n_10036, n_10037, n_10038,
+       n_10039;
+  wire n_10040, n_10041, n_10042, n_10043, n_10044, n_10045, n_10046,
+       n_10047;
+  wire n_10048, n_10049, n_10050, n_10051, n_10052, n_10053, n_10054,
+       n_10055;
+  wire n_10056, n_10057, n_10058, n_10059, n_10060, n_10061, n_10062,
+       n_10063;
+  wire n_10064, n_10065, n_10066, n_10067, n_10068, n_10069, n_10070,
+       n_10071;
+  wire n_10072, n_10073, n_10074, n_10075, n_10076, n_10077, n_10078,
+       n_10079;
+  wire n_10080, n_10081, n_10082, n_10083, n_10084, n_10085, n_10086,
+       n_10087;
+  wire n_10088, n_10089, n_10090, n_10091, n_10092, n_10093, n_10094,
+       n_10095;
+  wire n_10096, n_10097, n_10098, n_10099, n_10100, n_10101, n_10102,
+       n_10103;
+  wire n_10104, n_10105, n_10106, n_10107, n_10108, n_10109, n_10110,
+       n_10111;
+  wire n_10112, n_10113, n_10114, n_10115, n_10116, n_10117, n_10118,
+       n_10119;
+  wire n_10120, n_10121, n_10122, n_10123, n_10124, n_10125, n_10126,
+       n_10127;
+  wire n_10128, n_10129, n_10130, n_10131, n_10132, n_10133, n_10134,
+       n_10135;
+  wire n_10136, n_10137, n_10138, n_10139, n_10140, n_10141, n_10142,
+       n_10143;
+  wire n_10144, n_10145, n_10146, n_10147, n_10148, n_10150, n_10151,
+       n_10152;
+  wire n_10153, n_10154, n_10155, n_10156, n_10157, n_10158, n_10159,
+       n_10160;
+  wire n_10161, n_10162, n_10163, n_10164, n_10165, n_10166, n_10167,
+       n_10168;
+  wire n_10169, n_10170, n_10171, n_10172, n_10173, n_10174, n_10175,
+       n_10176;
+  wire n_10177, n_10178, n_10179, n_10180, n_10181, n_10182, n_10183,
+       n_10184;
+  wire n_10185, n_10186, n_10187, n_10188, n_10189, n_10190, n_10191,
+       n_10192;
+  wire n_10193, n_10194, n_10195, n_10196, n_10197, n_10198, n_10199,
+       n_10200;
+  wire n_10201, n_10202, n_10203, n_10204, n_10205, n_10206, n_10207,
+       n_10208;
+  wire n_10209, n_10210, n_10211, n_10212, n_10213, n_10214, n_10215,
+       n_10216;
+  wire n_10217, n_10218, n_10219, n_10220, n_10221, n_10222, n_10223,
+       n_10224;
+  wire n_10225, n_10226, n_10227, n_10228, n_10229, n_10230, n_10231,
+       n_10232;
+  wire n_10233, n_10234, n_10235, n_10236, n_10237, n_10238, n_10239,
+       n_10240;
+  wire n_10241, n_10242, n_10243, n_10244, n_10245, n_10246, n_10247,
+       n_10248;
+  wire n_10249, n_10250, n_10251, n_10252, n_10253, n_10254, n_10255,
+       n_10256;
+  wire n_10257, n_10258, n_10259, n_10260, n_10261, n_10262, n_10263,
+       n_10264;
+  wire n_10265, n_10266, n_10267, n_10268, n_10269, n_10270, n_10271,
+       n_10272;
+  wire n_10273, n_10274, n_10275, n_10276, n_10277, n_10278, n_10279,
+       n_10280;
+  wire n_10281, n_10282, n_10283, n_10284, n_10285, n_10286, n_10287,
+       n_10288;
+  wire n_10289, n_10290, n_10291, n_10292, n_10293, n_10294, n_10295,
+       n_10296;
+  wire n_10297, n_10298, n_10299, n_10300, n_10301, n_10302, n_10303,
+       n_10304;
+  wire n_10305, n_10306, n_10307, n_10308, n_10309, n_10310, n_10311,
+       n_10312;
+  wire n_10313, n_10314, n_10315, n_10316, n_10317, n_10318, n_10319,
+       n_10320;
+  wire n_10321, n_10322, n_10323, n_10324, n_10325, n_10326, n_10327,
+       n_10328;
+  wire n_10330, n_10333, n_10334, n_10335, n_10336, n_10337, n_10338,
+       n_10339;
+  wire n_10340, n_10341, n_10342, n_10343, n_10344, n_10345, n_10346,
+       n_10347;
+  wire n_10348, n_10349, n_10350, n_10351, n_10352, n_10353, n_10354,
+       n_10355;
+  wire n_10356, n_10357, n_10358, n_10359, n_10360, n_10361, n_10362,
+       n_10363;
+  wire n_10364, n_10365, n_10366, n_10367, n_10368, n_10369, n_10370,
+       n_10371;
+  wire n_10372, n_10373, n_10374, n_10375, n_10376, n_10377, n_10378,
+       n_10379;
+  wire n_10380, n_10381, n_10382, n_10383, n_10384, n_10385, n_10386,
+       n_10387;
+  wire n_10388, n_10389, n_10390, n_10391, n_10392, n_10393, n_10394,
+       n_10395;
+  wire n_10396, n_10397, n_10398, n_10399, n_10400, n_10401, n_10402,
+       n_10403;
+  wire n_10404, n_10405, n_10406, n_10407, n_10408, n_10409, n_10410,
+       n_10411;
+  wire n_10412, n_10413, n_10414, n_10415, n_10416, n_10417, n_10418,
+       n_10419;
+  wire n_10420, n_10421, n_10422, n_10423, n_10424, n_10425, n_10426,
+       n_10427;
+  wire n_10428, n_10429, n_10430, n_10431, n_10432, n_10433, n_10434,
+       n_10435;
+  wire n_10436, n_10437, n_10438, n_10439, n_10440, n_10441, n_10442,
+       n_10443;
+  wire n_10444, n_10445, n_10446, n_10447, n_10448, n_10449, n_10450,
+       n_10451;
+  wire n_10452, n_10453, n_10454, n_10455, n_10456, n_10457, n_10458,
+       n_10459;
+  wire n_10460, n_10461, n_10462, n_10463, n_10464, n_10465, n_10466,
+       n_10467;
+  wire n_10468, n_10469, n_10470, n_10471, n_10472, n_10473, n_10474,
+       n_10475;
+  wire n_10476, n_10477, n_10478, n_10479, n_10480, n_10481, n_10482,
+       n_10483;
+  wire n_10484, n_10485, n_10486, n_10487, n_10488, n_10489, n_10490,
+       n_10491;
+  wire n_10492, n_10493, n_10494, n_10495, n_10496, n_10497, n_10498,
+       n_10499;
+  wire n_10500, n_10501, n_10502, n_10503, n_10504, n_10505, n_10506,
+       n_10507;
+  wire n_10508, n_10509, n_10510, n_10511, n_10512, n_10513, n_10514,
+       n_10515;
+  wire n_10516, n_10517, n_10518, n_10519, n_10520, n_10521, n_10522,
+       n_10523;
+  wire n_10524, n_10525, n_10526, n_10527, n_10528, n_10529, n_10530,
+       n_10531;
+  wire n_10532, n_10533, n_10534, n_10535, n_10536, n_10537, n_10538,
+       n_10539;
+  wire n_10540, n_10541, n_10542, n_10543, n_10544, n_10545, n_10546,
+       n_10547;
+  wire n_10548, n_10549, n_10550, n_10551, n_10552, n_10553, n_10554,
+       n_10555;
+  wire n_10556, n_10557, n_10558, n_10559, n_10560, n_10561, n_10562,
+       n_10563;
+  wire n_10564, n_10565, n_10566, n_10567, n_10568, n_10569, n_10570,
+       n_10573;
+  wire n_10574, n_10575, n_10576, n_10577, n_10578, n_10579, n_10580,
+       n_10581;
+  wire n_10582, n_10583, n_10584, n_10585, n_10586, n_10587, n_10588,
+       n_10589;
+  wire n_10590, n_10591, n_10592, n_10593, n_10594, n_10595, n_10596,
+       n_10597;
+  wire n_10598, n_10599, n_10600, n_10601, n_10602, n_10603, n_10604,
+       n_10605;
+  wire n_10606, n_10607, n_10608, n_10609, n_10610, n_10611, n_10612,
+       n_10613;
+  wire n_10614, n_10615, n_10616, n_10617, n_10618, n_10619, n_10620,
+       n_10621;
+  wire n_10622, n_10623, n_10624, n_10625, n_10626, n_10627, n_10628,
+       n_10629;
+  wire n_10630, n_10631, n_10632, n_10633, n_10634, n_10635, n_10636,
+       n_10637;
+  wire n_10638, n_10639, n_10640, n_10641, n_10642, n_10643, n_10644,
+       n_10645;
+  wire n_10646, n_10647, n_10648, n_10649, n_10650, n_10651, n_10652,
+       n_10653;
+  wire n_10655, n_10656, n_10657, n_10658, n_10659, n_10660, n_10661,
+       n_10662;
+  wire n_10663, n_10664, n_10665, n_10666, n_10667, n_10668, n_10669,
+       n_10670;
+  wire n_10671, n_10672, n_10673, n_10674, n_10675, n_10676, n_10677,
+       n_10678;
+  wire n_10679, n_10680, n_10681, n_10682, n_10683, n_10684, n_10685,
+       n_10686;
+  wire n_10687, n_10690, n_10691, n_10692, n_10695, n_10696, n_10697,
+       n_10698;
+  wire n_10699, n_10700, n_10701, n_10702, n_10703, n_10704, n_10705,
+       n_10706;
+  wire n_10707, n_10708, n_10709, n_10710, n_10711, n_10712, n_10713,
+       n_10714;
+  wire n_10715, n_10716, n_10717, n_10718, n_10719, n_10720, n_10721,
+       n_10722;
+  wire n_10723, n_10724, n_10725, n_10726, n_10727, n_10728, n_10729,
+       n_10730;
+  wire n_10731, n_10732, n_10733, n_10734, n_10735, n_10736, n_10737,
+       n_10738;
+  wire n_10739, n_10740, n_10741, n_10742, n_10743, n_10744, n_10745,
+       n_10746;
+  wire n_10747, n_10748, n_10749, n_10750, n_10751, n_10752, n_10753,
+       n_10754;
+  wire n_10755, n_10756, n_10757, n_10758, n_10759, n_10760, n_10761,
+       n_10762;
+  wire n_10763, n_10764, n_10765, n_10766, n_10767, n_10768, n_10769,
+       n_10770;
+  wire n_10771, n_10772, n_10773, n_10774, n_10775, n_10776, n_10777,
+       n_10778;
+  wire n_10779, n_10780, n_10781, n_10782, n_10783, n_10784, n_10785,
+       n_10786;
+  wire n_10787, n_10788, n_10789, n_10790, n_10791, n_10792, n_10793,
+       n_10794;
+  wire n_10795, n_10796, n_10797, n_10798, n_10799, n_10800, n_10801,
+       n_10802;
+  wire n_10803, n_10804, n_10805, n_10806, n_10807, n_10808, n_10809,
+       n_10810;
+  wire n_10811, n_10812, n_10813, n_10814, n_10815, n_10816, n_10817,
+       n_10818;
+  wire n_10819, n_10820, n_10821, n_10822, n_10823, n_10824, n_10825,
+       n_10826;
+  wire n_10827, n_10828, n_10829, n_10830, n_10831, n_10832, n_10833,
+       n_10834;
+  wire n_10835, n_10836, n_10837, n_10840, n_10841, n_10842, n_10843,
+       n_10844;
+  wire n_10845, n_10846, n_10847, n_10848, n_10849, n_10850, n_10851,
+       n_10852;
+  wire n_10853, n_10854, n_10855, n_10856, n_10857, n_10858, n_10859,
+       n_10860;
+  wire n_10861, n_10862, n_10863, n_10864, n_10865, n_10866, n_10867,
+       n_10868;
+  wire n_10869, n_10870, n_10871, n_10872, n_10873, n_10874, n_10875,
+       n_10876;
+  wire n_10877, n_10878, n_10879, n_10880, n_10881, n_10882, n_10883,
+       n_10884;
+  wire n_10885, n_10886, n_10887, n_10888, n_10889, n_10890, n_10891,
+       n_10892;
+  wire n_10893, n_10894, n_10895, n_10896, n_10897, n_10898, n_10899,
+       n_10900;
+  wire n_10901, n_10902, n_10903, n_10904, n_10905, n_10906, n_10907,
+       n_10908;
+  wire n_10909, n_10910, n_10911, n_10912, n_10913, n_10914, n_10915,
+       n_10916;
+  wire n_10917, n_10918, n_10919, n_10920, n_10921, n_10922, n_10923,
+       n_10924;
+  wire n_10925, n_10926, n_10927, n_10928, n_10929, n_10930, n_10931,
+       n_10932;
+  wire n_10933, n_10934, n_10935, n_10936, n_10937, n_10938, n_10939,
+       n_10940;
+  wire n_10941, n_10942, n_10943, n_10944, n_10945, n_10946, n_10947,
+       n_10948;
+  wire n_10949, n_10950, n_10951, n_10952, n_10953, n_10954, n_10955,
+       n_10956;
+  wire n_10957, n_10958, n_10960, n_10961, n_10962, n_10963, n_10964,
+       n_10965;
+  wire n_10966, n_10967, n_10968, n_10969, n_10970, n_10971, n_10972,
+       n_10973;
+  wire n_10974, n_10975, n_10976, n_10977, n_10978, n_10979, n_10980,
+       n_10981;
+  wire n_10982, n_10983, n_10984, n_10985, n_10986, n_10987, n_10988,
+       n_10989;
+  wire n_10990, n_10991, n_10992, n_10993, n_10994, n_10995, n_10996,
+       n_10997;
+  wire n_10998, n_10999, n_11000, n_11001, n_11002, n_11003, n_11004,
+       n_11005;
+  wire n_11006, n_11018, n_11019, n_11020, n_11021, n_11022, n_11028,
+       n_11031;
+  wire n_11032, n_11033, n_11034, n_11035, n_11036, n_11037, n_11038,
+       n_11039;
+  wire n_11040, n_11041, n_11043, n_11044, n_11045, n_11046, n_11054,
+       n_11058;
+  wire n_11086, n_11087, n_11088, n_11089, n_11090, n_11091, n_11092,
+       n_11093;
+  wire n_11094, n_11096, n_11098, n_11099, n_11100, n_11101, n_11102,
+       n_11104;
+  wire n_11105, n_11110, n_11111, n_11112, n_11113, n_11114, n_11121,
+       n_11122;
+  wire n_11123, n_11124, n_11125, n_11128, n_11129, n_11130, n_11132,
+       n_11133;
+  wire n_11134, n_11135, n_11137, n_11138, n_11139, n_11140, n_11141,
+       n_11142;
+  wire n_11143, n_11144, n_11145, n_11146, n_11147, n_11148, n_11149,
+       n_11151;
+  wire n_11152, n_11153, n_11154, n_11155, n_11156, n_11157, n_11158,
+       n_11159;
+  wire n_11160, n_11161, n_11164, n_11165, n_11166, n_11167, n_11168,
+       n_11169;
+  wire n_11170, n_11171, n_11172, n_11173, n_11174, n_11175, n_11176,
+       n_11179;
+  wire n_11181, n_11207, n_11208, n_11209, n_11210, n_11212, n_11213,
+       n_11214;
+  wire n_11215, n_11216, n_11217, n_11218, n_11219, n_11220, n_11221,
+       n_11222;
+  wire n_11223, n_11224, n_11225, n_11226, n_11227, n_11228, n_11229,
+       n_11230;
+  wire n_11231, n_11232, n_11233, n_11234, n_11235, n_11236, n_11237,
+       n_11238;
+  wire n_11239, n_11240, n_11241, n_11242, n_11243, n_11244, n_11245,
+       n_11246;
+  wire n_11247, n_11248, n_11249, n_11250, n_11251, n_11252, n_11253,
+       n_11254;
+  wire n_11255, n_11256, n_11257, n_11258, n_11259, n_11260, n_11261,
+       n_11262;
+  wire n_11265, n_11266, n_11268, n_11269, n_11271, n_11272, n_11273,
+       n_11274;
+  wire n_11275, n_11276, n_11277, n_11278, n_11279, n_11280, n_11281,
+       n_11282;
+  wire n_11283, n_11284, n_11286, n_11287, n_11289, n_11290, n_11291,
+       n_11294;
+  wire n_11295, n_11296, n_11298, n_11299, n_11300, n_11302, n_11303,
+       n_11304;
+  wire n_11306, n_11307, n_11310, n_11311, n_11312, n_11313, n_11314,
+       n_11315;
+  wire n_11316, n_11317, n_11318, n_11319, n_11320, n_11321, n_11322,
+       n_11323;
+  wire n_11324, n_11325, n_11326, n_11327, n_11328, n_11329, n_11330,
+       n_11331;
+  wire n_11332, n_11333, n_11334, n_11335, n_11336, n_11337, n_11338,
+       n_11339;
+  wire n_11340, n_11341, n_11342, n_11343, n_11344, n_11345, n_11346,
+       n_11347;
+  wire n_11348, n_11349, n_11350, n_11351, n_11352, n_11353, n_11354,
+       n_11355;
+  wire n_11356, n_11357, n_11360, n_11361, n_11362, n_11363, n_11364,
+       n_11365;
+  wire n_11366, n_11367, n_11368, n_11369, n_11370, n_11371, n_11372,
+       n_11373;
+  wire n_11374, n_11375, n_11376, n_11377, n_11378, n_11379, n_11380,
+       n_11381;
+  wire n_11382, n_11383, n_11384, n_11385, n_11386, n_11388, n_11389,
+       n_11390;
+  wire n_11391, n_11392, n_11393, n_11395, n_11396, n_11397, n_11398,
+       n_11399;
+  wire n_11400, n_11401, n_11411, n_11412, n_11413, n_11414, n_11415,
+       n_11418;
+  wire n_11421, n_11422, n_11423, n_11425, n_11428, n_11431, n_11432,
+       n_11433;
+  wire n_11434, n_11435, n_11438, n_11439, n_11440, n_11441, n_11442,
+       n_11443;
+  wire n_11446, n_11447, n_11450, n_11451, n_11452, n_11455, n_11456,
+       n_11457;
+  wire n_11458, n_11459, n_11460, n_11461, n_11462, n_11463, n_11464,
+       n_11465;
+  wire n_11466, n_11467, n_11468, n_11469, n_11470, n_11471, n_11472,
+       n_11473;
+  wire n_11474, n_11475, n_11476, n_11478, n_11479, n_11480, n_11482,
+       n_11483;
+  wire n_11513, n_11514, n_11515, n_11516, n_11517, n_11518, n_11550,
+       n_11552;
+  wire n_11553, n_11554, n_11555, n_11556, n_11557, n_11558, n_11559,
+       n_11561;
+  wire n_11563, n_11564, n_11566, n_11567, n_11568, n_11569, n_11570,
+       n_11571;
+  wire n_11572, n_11573, n_11574, n_11579, n_11580, n_11581, n_11593,
+       n_11625;
+  wire n_11626, n_11628, n_11629, n_11631, n_11632, n_11633, n_11635,
+       n_11636;
+  wire n_11637, n_11646, n_11647, n_11648, n_11651, n_11652, n_11653,
+       n_11654;
+  wire n_11655, n_11661, n_11662, n_11667, n_11668, n_11669, n_11670,
+       n_11671;
+  wire n_11672, n_11673, n_11674, n_11675, n_11676, n_11677, n_11678,
+       n_11679;
+  wire n_11680, n_11681, n_11682, n_11684, n_11685, n_11686, n_11687,
+       n_11688;
+  wire n_11689, n_11690, n_11691, n_11692, n_11694, n_11695, n_11696,
+       n_11697;
+  wire n_11698, n_11699, n_11700, n_11701, n_11702, n_11703, n_11704,
+       n_11705;
+  wire n_11706, n_11708, n_11709, n_11710, n_11711, n_11712, n_11713,
+       n_11714;
+  wire n_11715, n_11716, n_11717, n_11719, n_11720, n_11721, n_11722,
+       n_11723;
+  wire n_11724, n_11725, n_11726, n_11727, n_11728, n_11730, n_11731,
+       n_11732;
+  wire n_11733, n_11734, n_11735, n_11736, n_11737, n_11738, n_11739,
+       n_11740;
+  wire n_11742, n_11743, n_11744, n_11745, n_11746, n_11748, n_11749,
+       n_11751;
+  wire n_11753, n_11754, n_11756, n_11757, n_11761, n_11762, n_11763,
+       n_11765;
+  wire n_11769, n_11770, n_11771, n_11772, n_11773, n_11777, n_11780,
+       n_11781;
+  wire n_11783, n_11785, n_11786, n_11787, n_11788, n_11791, n_11795,
+       n_11796;
+  wire n_11797, n_11798, n_11799, n_11800, n_11801, n_11802, n_11804,
+       n_11805;
+  wire n_11807, n_11809, n_11810, n_11811, n_11813, n_11814, n_11815,
+       n_11816;
+  wire n_11817, n_11818, n_11819, n_11820, n_11822, n_11824, n_11825,
+       n_11826;
+  wire n_11827, n_11828, n_11829, n_11830, n_11831, n_11832, n_11833,
+       n_11834;
+  wire n_11835, n_11836, n_11837, n_11838, n_11839, n_11841, n_11842,
+       n_11843;
+  wire n_11844, n_11845, n_11846, n_11847, n_11848, n_11850, n_11851,
+       n_11852;
+  wire n_11853, n_11854, n_11855, n_11856, n_11857, n_11858, n_11859,
+       n_11860;
+  wire n_11861, n_11862, n_11863, n_11864, n_11865, n_11866, n_11867,
+       n_11868;
+  wire n_11869, n_11871, n_11872, n_11873, n_11874, n_11875, n_11876,
+       n_11877;
+  wire n_11878, n_11879, n_11880, n_11881, n_11882, n_11883, n_11884,
+       n_11885;
+  wire n_11886, n_11887, n_11888, n_11889, n_11890, n_11892, n_11893,
+       n_11895;
+  wire n_11896, n_11897, n_11898, n_11899, n_11900, n_11901, n_11902,
+       n_11903;
+  wire n_11904, n_11905, n_11906, n_11907, n_11908, n_11909, n_11917,
+       n_11918;
+  wire n_11919, n_11924, n_11925, n_11931, n_11932, n_11933, n_11934,
+       n_11936;
+  wire n_11938, n_11939, n_11940, n_11941, n_11944, n_11945, n_11946,
+       n_11947;
+  wire n_11948, n_11949, n_11950, n_11951, n_11952, n_11953, n_11954,
+       n_11955;
+  wire n_11956, n_11957, n_11958, n_11959, n_11960, n_11961, n_11962,
+       n_11963;
+  wire n_11964, n_11965, n_11966, n_11967, n_11968, n_11969, n_11970,
+       n_11971;
+  wire n_11972, n_11973, n_11974, n_11975, n_11976, n_11977, n_11978,
+       n_11979;
+  wire n_11980, n_11981, n_11982, n_11983, n_11984, n_11985, n_11986,
+       n_11987;
+  wire n_11988, n_11989, n_11990, n_11991, n_11992, n_11993, n_11994,
+       n_11995;
+  wire n_11996, n_11997, n_11998, n_11999, n_12000, n_12001, n_12002,
+       n_12005;
+  wire n_12007, n_12008, n_12009, n_12010, n_12011, n_12012, n_12016,
+       n_12017;
+  wire n_12018, n_12019, n_12020, n_12021, n_12022, n_12024, n_12025,
+       n_12027;
+  wire n_12029, n_12030, n_12031, n_12032, n_12033, n_12034, n_12035,
+       n_12036;
+  wire n_12037, n_12039, n_12040, n_12041, n_12042, n_12044, n_12045,
+       n_12046;
+  wire n_12047, n_12048, n_12049, n_12050, n_12051, n_12052, n_12055,
+       n_12056;
+  wire n_12057, n_12058, n_12059, n_12060, n_12061, n_12062, n_12063,
+       n_12064;
+  wire n_12065, n_12066, n_12067, n_12068, n_12069, n_12070, n_12071,
+       n_12072;
+  wire n_12073, n_12074, n_12075, n_12076, n_12077, n_12079, n_12080,
+       n_12081;
+  wire n_12082, n_12083, n_12084, n_12085, n_12086, n_12087, n_12088,
+       n_12089;
+  wire n_12090, n_12091, n_12092, n_12093, n_12094, n_12095, n_12096,
+       n_12097;
+  wire n_12098, n_12099, n_12100, n_12101, n_12102, n_12103, n_12104,
+       n_12105;
+  wire n_12106, n_12107, n_12108, n_12109, n_12110, n_12111, n_12112,
+       n_12113;
+  wire n_12114, n_12115, n_12116, n_12117, n_12118, n_12120, n_12122,
+       n_12123;
+  wire n_12124, n_12125, n_12126, n_12127, n_12128, n_12129, n_12130,
+       n_12131;
+  wire n_12132, n_12133, n_12134, n_12135, n_12136, n_12137, n_12138,
+       n_12139;
+  wire n_12140, n_12141, n_12142, n_12143, n_12144, n_12145, n_12146,
+       n_12147;
+  wire n_12148, n_12150, n_12151, n_12152, n_12153, n_12154, n_12155,
+       n_12156;
+  wire n_12157, n_12158, n_12159, n_12160, n_12161, n_12162, n_12163,
+       n_12164;
+  wire n_12165, n_12166, n_12167, n_12168, n_12169, n_12170, n_12172,
+       n_12174;
+  wire n_12175, n_12176, n_12177, n_12178, n_12179, n_12180, n_12181,
+       n_12182;
+  wire n_12183, n_12184, n_12186, n_12187, n_12188, n_12189, n_12190,
+       n_12191;
+  wire n_12192, n_12193, n_12194, n_12195, n_12196, n_12197, n_12198,
+       n_12199;
+  wire n_12200, n_12201, n_12202, n_12203, n_12204, n_12205, n_12206,
+       n_12207;
+  wire n_12208, n_12209, n_12210, n_12211, n_12212, n_12213, n_12214,
+       n_12215;
+  wire n_12216, n_12217, n_12218, n_12219, n_12221, n_12222, n_12223,
+       n_12224;
+  wire n_12225, n_12226, n_12227, n_12228, n_12229, n_12230, n_12231,
+       n_12232;
+  wire n_12233, n_12234, n_12235, n_12236, n_12237, n_12238, n_12239,
+       n_12240;
+  wire n_12241, n_12242, n_12243, n_12244, n_12245, n_12246, n_12247,
+       n_12248;
+  wire n_12249, n_12250, n_12251, n_12252, n_12253, n_12254, n_12255,
+       n_12256;
+  wire n_12257, n_12259, n_12260, n_12261, n_12262, n_12263, n_12264,
+       n_12265;
+  wire n_12266, n_12267, n_12268, n_12269, n_12270, n_12271, n_12272,
+       n_12273;
+  wire n_12274, n_12275, n_12276, n_12277, n_12278, n_12279, n_12280,
+       n_12281;
+  wire n_12282, n_12283, n_12284, n_12285, n_12286, n_12287, n_12288,
+       n_12289;
+  wire n_12290, n_12291, n_12292, n_12293, n_12294, n_12295, n_12296,
+       n_12297;
+  wire n_12298, n_12299, n_12300, n_12301, n_12302, n_12303, n_12304,
+       n_12305;
+  wire n_12306, n_12307, n_12308, n_12309, n_12310, n_12311, n_12312,
+       n_12313;
+  wire n_12314, n_12315, n_12316, n_12317, n_12318, n_12319, n_12320,
+       n_12321;
+  wire n_12322, n_12323, n_12324, n_12325, n_12327, n_12328, n_12329,
+       n_12330;
+  wire n_12331, n_12332, n_12333, n_12334, n_12335, n_12336, n_12337,
+       n_12338;
+  wire n_12340, n_12341, n_12342, n_12344, n_12345, n_12346, n_12347,
+       n_12348;
+  wire n_12349, n_12350, n_12351, n_12352, n_12353, n_12354, n_12355,
+       n_12356;
+  wire n_12357, n_12358, n_12359, n_12360, n_12361, n_12362, n_12363,
+       n_12364;
+  wire n_12365, n_12366, n_12367, n_12368, n_12369, n_12370, n_12371,
+       n_12372;
+  wire n_12374, n_12375, n_12376, n_12377, n_12378, n_12379, n_12380,
+       n_12381;
+  wire n_12382, n_12383, n_12384, n_12385, n_12386, n_12387, n_12388,
+       n_12389;
+  wire n_12390, n_12391, n_12392, n_12393, n_12394, n_12395, n_12396,
+       n_12397;
+  wire n_12398, n_12399, n_12400, n_12401, n_12402, n_12403, n_12404,
+       n_12405;
+  wire n_12406, n_12407, n_12408, n_12409, n_12410, n_12411, n_12412,
+       n_12413;
+  wire n_12414, n_12415, n_12416, n_12417, n_12418, n_12419, n_12420,
+       n_12421;
+  wire n_12422, n_12423, n_12424, n_12425, n_12426, n_12427, n_12428,
+       n_12429;
+  wire n_12430, n_12431, n_12432, n_12433, n_12434, n_12435, n_12436,
+       n_12437;
+  wire n_12438, n_12439, n_12440, n_12441, n_12442, n_12443, n_12444,
+       n_12445;
+  wire n_12446, n_12447, n_12448, n_12449, n_12450, n_12451, n_12452,
+       n_12453;
+  wire n_12454, n_12455, n_12456, n_12457, n_12458, n_12459, n_12460,
+       n_12461;
+  wire n_12462, n_12463, n_12464, n_12467, n_12469, n_12472, n_12473,
+       n_12474;
+  wire n_12477, n_12479, n_12480, n_12483, n_12484, n_12487, n_12491,
+       n_12492;
+  wire n_12493, n_12495, n_12497, n_12498, n_12500, n_12501, n_12502,
+       n_12503;
+  wire n_12504, n_12505, n_12506, n_12507, n_12508, n_12509, n_12510,
+       n_12512;
+  wire n_12513, n_12514, n_12515, n_12516, n_12517, n_12518, n_12519,
+       n_12520;
+  wire n_12521, n_12522, n_12523, n_12524, n_12525, n_12526, n_12527,
+       n_12528;
+  wire n_12529, n_12530, n_12531, n_12532, n_12533, n_12534, n_12535,
+       n_12536;
+  wire n_12537, n_12538, n_12539, n_12540, n_12541, n_12542, n_12543,
+       n_12544;
+  wire n_12545, n_12546, n_12547, n_12548, n_12549, n_12550, n_12551,
+       n_12552;
+  wire n_12553, n_12554, n_12555, n_12556, n_12557, n_12558, n_12559,
+       n_12560;
+  wire n_12561, n_12562, n_12563, n_12564, n_12565, n_12566, n_12567,
+       n_12568;
+  wire n_12569, n_12570, n_12571, n_12572, n_12573, n_12574, n_12575,
+       n_12576;
+  wire n_12577, n_12578, n_12579, n_12580, n_12581, n_12582, n_12583,
+       n_12584;
+  wire n_12585, n_12586, n_12587, n_12588, n_12589, n_12590, n_12591,
+       n_12592;
+  wire n_12593, n_12594, n_12595, n_12596, n_12597, n_12598, n_12599,
+       n_12600;
+  wire n_12602, n_12603, n_12604, n_12605, n_12606, n_12607, n_12608,
+       n_12609;
+  wire n_12610, n_12611, n_12612, n_12613, n_12614, n_12615, n_12616,
+       n_12617;
+  wire n_12618, n_12619, n_12620, n_12621, n_12623, n_12624, n_12626,
+       n_12627;
+  wire n_12628, n_12629, n_12630, n_12631, n_12632, n_12633, n_12635,
+       n_12636;
+  wire n_12638, n_12639, n_12640, n_12641, n_12642, n_12643, n_12644,
+       n_12645;
+  wire n_12646, n_12647, n_12648, n_12649, n_12650, n_12651, n_12652,
+       n_12653;
+  wire n_12654, n_12655, n_12656, n_12657, n_12658, n_12659, n_12660,
+       n_12661;
+  wire n_12662, n_12663, n_12664, n_12665, n_12666, n_12668, n_12669,
+       n_12671;
+  wire n_12672, n_12673, n_12674, n_12675, n_12676, n_12677, n_12678,
+       n_12679;
+  wire n_12680, n_12681, n_12683, n_12684, n_12685, n_12686, n_12687,
+       n_12688;
+  wire n_12689, n_12690, n_12691, n_12692, n_12693, n_12694, n_12695,
+       n_12696;
+  wire n_12697, n_12698, n_12699, n_12700, n_12701, n_12702, n_12703,
+       n_12704;
+  wire n_12705, n_12706, n_12707, n_12708, n_12709, n_12710, n_12711,
+       n_12712;
+  wire n_12713, n_12714, n_12715, n_12716, n_12717, n_12718, n_12719,
+       n_12720;
+  wire n_12721, n_12722, n_12723, n_12724, n_12725, n_12726, n_12727,
+       n_12728;
+  wire n_12729, n_12730, n_12731, n_12732, n_12733, n_12734, n_12735,
+       n_12736;
+  wire n_12737, n_12738, n_12739, n_12740, n_12741, n_12742, n_12743,
+       n_12744;
+  wire n_12745, n_12746, n_12747, n_12748, n_12749, n_12750, n_12751,
+       n_12752;
+  wire n_12753, n_12754, n_12755, n_12756, n_12757, n_12758, n_12759,
+       n_12761;
+  wire n_12762, n_12763, n_12764, n_12765, n_12766, n_12767, n_12768,
+       n_12769;
+  wire n_12770, n_12771, n_12772, n_12773, n_12774, n_12775, n_12776,
+       n_12777;
+  wire n_12778, n_12779, n_12780, n_12781, n_12782, n_12783, n_12784,
+       n_12785;
+  wire n_12786, n_12788, n_12790, n_12792, n_12793, n_12794, n_12795,
+       n_12796;
+  wire n_12797, n_12798, n_12799, n_12800, n_12801, n_12802, n_12803,
+       n_12804;
+  wire n_12805, n_12806, n_12807, n_12808, n_12809, n_12810, n_12811,
+       n_12814;
+  wire n_12815, n_12816, n_12817, n_12818, n_12819, n_12821, n_12822,
+       n_12825;
+  wire n_12826, n_12829, n_12830, n_12831, n_12832, n_12833, n_12834,
+       n_12835;
+  wire n_12836, n_12837, n_12838, n_12839, n_12841, n_12842, n_12843,
+       n_12844;
+  wire n_12845, n_12846, n_12847, n_12848, n_12849, n_12850, n_12851,
+       n_12852;
+  wire n_12853, n_12854, n_12855, n_12856, n_12857, n_12858, n_12859,
+       n_12860;
+  wire n_12861, n_12862, n_12863, n_12864, n_12865, n_12866, n_12867,
+       n_12868;
+  wire n_12869, n_12870, n_12871, n_12872, n_12873, n_12874, n_12875,
+       n_12876;
+  wire n_12877, n_12878, n_12879, n_12880, n_12881, n_12882, n_12883,
+       n_12884;
+  wire n_12885, n_12886, n_12887, n_12888, n_12889, n_12890, n_12891,
+       n_12892;
+  wire n_12893, n_12894, n_12895, n_12896, n_12897, n_12898, n_12899,
+       n_12900;
+  wire n_12901, n_12902, n_12903, n_12904, n_12905, n_12906, n_12907,
+       n_12908;
+  wire n_12909, n_12910, n_12911, n_12912, n_12913, n_12914, n_12915,
+       n_12916;
+  wire n_12917, n_12918, n_12919, n_12920, n_12921, n_12922, n_12923,
+       n_12924;
+  wire n_12925, n_12926, n_12927, n_12928, n_12929, n_12930, n_12931,
+       n_12932;
+  wire n_12933, n_12934, n_12935, n_12936, n_12937, n_12938, n_12939,
+       n_12940;
+  wire n_12941, n_12942, n_12943, n_12944, n_12945, n_12946, n_12947,
+       n_12948;
+  wire n_12949, n_12950, n_12951, n_12952, n_12953, n_12954, n_12955,
+       n_12956;
+  wire n_12957, n_12958, n_12959, n_12960, n_12961, n_12962, n_12963,
+       n_12964;
+  wire n_12965, n_12966, n_12967, n_12968, n_12969, n_12970, n_12971,
+       n_12972;
+  wire n_12973, n_12974, n_12975, n_12976, n_12977, n_12978, n_12979,
+       n_12980;
+  wire n_12981, n_12982, n_12983, n_12984, n_12985, n_12986, n_12987,
+       n_12988;
+  wire n_12989, n_12990, n_12991, n_12992, n_12993, n_12994, n_12995,
+       n_12996;
+  wire n_12997, n_12998, n_12999, n_13000, n_13001, n_13002, n_13004,
+       n_13005;
+  wire n_13006, n_13007, n_13008, n_13009, n_13010, n_13011, n_13012,
+       n_13013;
+  wire n_13014, n_13015, n_13016, n_13017, n_13018, n_13019, n_13020,
+       n_13021;
+  wire n_13022, n_13023, n_13024, n_13025, n_13026, n_13027, n_13028,
+       n_13029;
+  wire n_13030, n_13031, n_13032, n_13033, n_13034, n_13035, n_13036,
+       n_13037;
+  wire n_13038, n_13039, n_13040, n_13041, n_13042, n_13043, n_13044,
+       n_13045;
+  wire n_13046, n_13047, n_13048, n_13049, n_13051, n_13052, n_13053,
+       n_13054;
+  wire n_13055, n_13056, n_13057, n_13058, n_13059, n_13060, n_13061,
+       n_13062;
+  wire n_13063, n_13064, n_13065, n_13067, n_13068, n_13069, n_13070,
+       n_13073;
+  wire n_13074, n_13075, n_13076, n_13077, n_13078, n_13079, n_13080,
+       n_13081;
+  wire n_13082, n_13083, n_13084, n_13085, n_13086, n_13087, n_13088,
+       n_13089;
+  wire n_13090, n_13091, n_13092, n_13093, n_13094, n_13096, n_13097,
+       n_13098;
+  wire n_13099, n_13100, n_13101, n_13102, n_13103, n_13105, n_13106,
+       n_13107;
+  wire n_13108, n_13111, n_13112, n_13113, n_13114, n_13115, n_13116,
+       n_13117;
+  wire n_13118, n_13119, n_13120, n_13121, n_13122, n_13123, n_13124,
+       n_13125;
+  wire n_13126, n_13127, n_13128, n_13134, n_13142, n_13143, n_13144,
+       n_13145;
+  wire n_13146, n_13147, n_13148, n_13149, n_13150, n_13151, n_13152,
+       n_13153;
+  wire n_13154, n_13155, n_13157, n_13158, n_13159, n_13160, n_13161,
+       n_13162;
+  wire n_13163, n_13164, n_13165, n_13166, n_13167, n_13168, n_13169,
+       n_13170;
+  wire n_13171, n_13172, n_13173, n_13174, n_13175, n_13176, n_13177,
+       n_13178;
+  wire n_13179, n_13180, n_13181, n_13182, n_13183, n_13184, n_13185,
+       n_13186;
+  wire n_13187, n_13188, n_13189, n_13190, n_13191, n_13192, n_13193,
+       n_13194;
+  wire n_13195, n_13196, n_13197, n_13198, n_13199, n_13200, n_13201,
+       n_13202;
+  wire n_13204, n_13205, n_13206, n_13207, n_13208, n_13209, n_13210,
+       n_13211;
+  wire n_13212, n_13213, n_13214, n_13215, n_13216, n_13218, n_13219,
+       n_13220;
+  wire n_13221, n_13222, n_13223, n_13224, n_13225, n_13226, n_13227,
+       n_13228;
+  wire n_13229, n_13230, n_13231, n_13232, n_13233, n_13234, n_13235,
+       n_13236;
+  wire n_13237, n_13238, n_13239, n_13240, n_13241, n_13242, n_13243,
+       n_13244;
+  wire n_13245, n_13246, n_13247, n_13248, n_13249, n_13250, n_13251,
+       n_13252;
+  wire n_13253, n_13254, n_13255, n_13256, n_13257, n_13258, n_13259,
+       n_13260;
+  wire n_13261, n_13262, n_13263, n_13264, n_13265, n_13266, n_13267,
+       n_13268;
+  wire n_13269, n_13270, n_13272, n_13273, n_13274, n_13275, n_13277,
+       n_13282;
+  wire n_13283, n_13284, n_13285, n_13286, n_13287, n_13288, n_13303,
+       n_13308;
+  wire n_13309, n_13310, n_13311, n_13312, n_13313, n_13314, n_13315,
+       n_13316;
+  wire n_13317, n_13318, n_13319, n_13320, n_13321, n_13322, n_13323,
+       n_13324;
+  wire n_13325, n_13326, n_13327, n_13328, n_13329, n_13331, n_13332,
+       n_13334;
+  wire n_13335, n_13336, n_13337, n_13338, n_13339, n_13340, n_13341,
+       n_13342;
+  wire n_13343, n_13344, n_13345, n_13346, n_13347, n_13348, n_13349,
+       n_13351;
+  wire n_13352, n_13353, n_13354, n_13355, n_13356, n_13357, n_13358,
+       n_13359;
+  wire n_13360, n_13361, n_13362, n_13363, n_13364, n_13365, n_13366,
+       n_13367;
+  wire n_13369, n_13370, n_13371, n_13373, n_13374, n_13375, n_13376,
+       n_13377;
+  wire n_13378, n_13379, n_13380, n_13381, n_13382, n_13383, n_13384,
+       n_13385;
+  wire n_13386, n_13387, n_13388, n_13389, n_13390, n_13391, n_13392,
+       n_13393;
+  wire n_13394, n_13395, n_13396, n_13397, n_13398, n_13399, n_13400,
+       n_13401;
+  wire n_13402, n_13403, n_13404, n_13405, n_13406, n_13407, n_13408,
+       n_13409;
+  wire n_13410, n_13411, n_13412, n_13413, n_13414, n_13415, n_13416,
+       n_13417;
+  wire n_13418, n_13419, n_13420, n_13421, n_13422, n_13423, n_13424,
+       n_13425;
+  wire n_13426, n_13427, n_13428, n_13429, n_13430, n_13431, n_13432,
+       n_13433;
+  wire n_13434, n_13435, n_13436, n_13437, n_13438, n_13439, n_13440,
+       n_13441;
+  wire n_13442, n_13443, n_13444, n_13445, n_13446, n_13447, n_13448,
+       n_13449;
+  wire n_13451, n_13452, n_13453, n_13454, n_13455, n_13456, n_13457,
+       n_13458;
+  wire n_13459, n_13460, n_13461, n_13462, n_13464, n_13465, n_13466,
+       n_13467;
+  wire n_13468, n_13469, n_13470, n_13471, n_13472, n_13473, n_13474,
+       n_13475;
+  wire n_13476, n_13477, n_13478, n_13479, n_13480, n_13481, n_13482,
+       n_13483;
+  wire n_13484, n_13485, n_13486, n_13487, n_13488, n_13489, n_13490,
+       n_13491;
+  wire n_13492, n_13493, n_13494, n_13495, n_13496, n_13497, n_13498,
+       n_13499;
+  wire n_13500, n_13501, n_13502, n_13503, n_13504, n_13505, n_13506,
+       n_13507;
+  wire n_13508, n_13509, n_13510, n_13511, n_13512, n_13513, n_13514,
+       n_13515;
+  wire n_13516, n_13517, n_13518, n_13519, n_13520, n_13521, n_13522,
+       n_13523;
+  wire n_13524, n_13525, n_13526, n_13527, n_13528, n_13529, n_13530,
+       n_13531;
+  wire n_13532, n_13533, n_13534, n_13535, n_13536, n_13538, n_13539,
+       n_13540;
+  wire n_13541, n_13542, n_13543, n_13544, n_13545, n_13546, n_13547,
+       n_13548;
+  wire n_13549, n_13550, n_13551, n_13552, n_13553, n_13554, n_13555,
+       n_13556;
+  wire n_13557, n_13558, n_13559, n_13560, n_13561, n_13562, n_13563,
+       n_13564;
+  wire n_13565, n_13566, n_13567, n_13568, n_13569, n_13570, n_13571,
+       n_13572;
+  wire n_13573, n_13574, n_13575, n_13576, n_13577, n_13578, n_13579,
+       n_13580;
+  wire n_13581, n_13582, n_13583, n_13584, n_13585, n_13586, n_13587,
+       n_13588;
+  wire n_13589, n_13590, n_13591, n_13592, n_13593, n_13594, n_13595,
+       n_13596;
+  wire n_13597, n_13598, n_13599, n_13600, n_13601, n_13602, n_13603,
+       n_13604;
+  wire n_13605, n_13606, n_13607, n_13608, n_13609, n_13610, n_13611,
+       n_13612;
+  wire n_13613, n_13614, n_13615, n_13616, n_13617, n_13618, n_13619,
+       n_13620;
+  wire n_13621, n_13622, n_13623, n_13624, n_13625, n_13626, n_13627,
+       n_13628;
+  wire n_13629, n_13630, n_13631, n_13632, n_13633, n_13634, n_13635,
+       n_13636;
+  wire n_13637, n_13638, n_13639, n_13640, n_13641, n_13642, n_13643,
+       n_13644;
+  wire n_13645, n_13646, n_13647, n_13648, n_13649, n_13650, n_13651,
+       n_13652;
+  wire n_13653, n_13654, n_13655, n_13656, n_13657, n_13658, n_13659,
+       n_13660;
+  wire n_13661, n_13662, n_13663, n_13664, n_13665, n_13666, n_13667,
+       n_13668;
+  wire n_13669, n_13670, n_13671, n_13672, n_13673, n_13674, n_13675,
+       n_13676;
+  wire n_13677, n_13678, n_13679, n_13680, n_13681, n_13682, n_13683,
+       n_13684;
+  wire n_13685, n_13686, n_13687, n_13688, n_13689, n_13690, n_13691,
+       n_13692;
+  wire n_13693, n_13694, n_13695, n_13696, n_13697, n_13698, n_13699,
+       n_13700;
+  wire n_13701, n_13702, n_13703, n_13704, n_13705, n_13706, n_13707,
+       n_13708;
+  wire n_13709, n_13710, n_13711, n_13712, n_13713, n_13714, n_13715,
+       n_13716;
+  wire n_13717, n_13718, n_13719, n_13720, n_13721, n_13722, n_13723,
+       n_13724;
+  wire n_13725, n_13726, n_13727, n_13728, n_13729, n_13730, n_13731,
+       n_13732;
+  wire n_13733, n_13734, n_13735, n_13736, n_13737, n_13738, n_13739,
+       n_13740;
+  wire n_13741, n_13743, n_13744, n_13745, n_13746, n_13747, n_13748,
+       n_13749;
+  wire n_13750, n_13751, n_13752, n_13753, n_13754, n_13755, n_13756,
+       n_13757;
+  wire n_13758, n_13759, n_13760, n_13761, n_13762, n_13763, n_13764,
+       n_13765;
+  wire n_13766, n_13767, n_13768, n_13769, n_13770, n_13771, n_13772,
+       n_13773;
+  wire n_13775, n_13776, n_13777, n_13778, n_13779, n_13780, n_13781,
+       n_13782;
+  wire n_13783, n_13784, n_13785, n_13786, n_13787, n_13788, n_13789,
+       n_13790;
+  wire n_13791, n_13792, n_13793, n_13794, n_13795, n_13796, n_13797,
+       n_13798;
+  wire n_13799, n_13800, n_13801, n_13802, n_13803, n_13804, n_13805,
+       n_13807;
+  wire n_13808, n_13809, n_13810, n_13811, n_13812, n_13813, n_13814,
+       n_13815;
+  wire n_13816, n_13817, n_13818, n_13819, n_13820, n_13821, n_13822,
+       n_13823;
+  wire n_13824, n_13825, n_13826, n_13827, n_13828, n_13829, n_13830,
+       n_13831;
+  wire n_13834, n_13835, n_13836, n_13837, n_13838, n_13839, n_13840,
+       n_13841;
+  wire n_13842, n_13843, n_13844, n_13845, n_13846, n_13847, n_13848,
+       n_13849;
+  wire n_13850, n_13851, n_13852, n_13853, n_13854, n_13855, n_13856,
+       n_13857;
+  wire n_13858, n_13859, n_13860, n_13861, n_13862, n_13863, n_13864,
+       n_13865;
+  wire n_13866, n_13867, n_13868, n_13869, n_13870, n_13871, n_13872,
+       n_13873;
+  wire n_13874, n_13875, n_13877, n_13878, n_13879, n_13880, n_13882,
+       n_13884;
+  wire n_13885, n_15856, n_15857, n_15858, n_15859, n_15860, n_15861,
+       n_15862;
+  wire n_15863, n_15864, n_15865, n_15866, n_15867, n_15868, n_15869,
+       n_15870;
+  wire n_15871, n_15872, n_15873, n_15874, n_15875, n_15876, n_15877,
+       n_15879;
+  wire n_15880, n_15881, n_15882, n_15883, n_15884, n_15885, n_15886,
+       n_15887;
+  wire n_15889, n_15890, n_15891, n_15896, n_15897, n_15898, n_15899,
+       n_15900;
+  wire n_15901, n_15902, n_15903, n_15904, n_15905, n_15906, n_15907,
+       n_15908;
+  wire n_15909, n_15910, n_15911, n_15912, n_15913, n_15914, n_15915,
+       n_15916;
+  wire n_15917, n_15918, n_15919, n_15920, n_15921, n_15922, n_15923,
+       n_15924;
+  wire n_15925, n_15926, n_15927, n_15928, n_15929, n_15930, n_15931,
+       n_15932;
+  wire n_15933, n_15934, n_15935, n_15936, n_15937, n_15938, n_15939,
+       n_15940;
+  wire n_15941, n_15942, n_15943, n_15945, n_15946, n_15947, n_15948,
+       n_15949;
+  wire n_15950, n_15951, n_15952, n_15953, n_15954, n_15955, n_15956,
+       n_15957;
+  wire n_15958, n_15959, n_15960, n_15961, n_15962, n_15963, n_15964,
+       n_15965;
+  wire n_15966, n_15967, n_15968, n_15969, n_15970, n_15971, n_15972,
+       n_15973;
+  wire n_15974, n_15975, n_15976, n_15977, n_15978, n_15979, n_15980,
+       n_15981;
+  wire n_15982, n_15983, n_15984, n_15985, n_15986, n_15987, n_15988,
+       n_15989;
+  wire n_15990, n_15991, n_15992, n_15993, n_15994, n_15995, n_15996,
+       n_15997;
+  wire n_15998, n_15999, n_16000, n_16001, n_16002, n_16003, n_16004,
+       n_16005;
+  wire n_16006, n_16007, n_16008, n_16009, n_16010, n_16011, n_16012,
+       n_16152;
+  wire n_16153, n_16157, n_16158, n_16162, n_16163, n_16167, n_16168,
+       n_16172;
+  wire n_16173, n_16177, n_16178, n_16182, n_16183, n_16187, n_16188,
+       n_16192;
+  wire n_16193, n_16197, n_16198, n_16202, n_16203, n_16207, n_16208,
+       n_16212;
+  wire n_16213, n_16217, n_16218, n_16222, n_16223, n_16227, n_16228,
+       n_16232;
+  wire n_16233, n_16237, n_16238, n_16242, n_16243, n_16247, n_16248,
+       n_16252;
+  wire n_16253, n_16257, n_16258, n_16262, n_16263, n_16267, n_16268,
+       n_16272;
+  wire n_16273, n_16277, n_16278, n_16282, n_16283, n_16287, n_16288,
+       n_16292;
+  wire n_16293, n_16297, n_16298, n_16302, n_16303, n_16307, n_16308,
+       n_16312;
+  wire n_16313, n_16317, n_16318, n_16322, n_16323, n_16327, n_16328,
+       n_16332;
+  wire n_16333, n_16337, n_16338, n_16342, n_16343, n_16347, n_16348,
+       n_16352;
+  wire n_16353, n_16357, n_16358, n_16362, n_16363, n_16367, n_16368,
+       n_16372;
+  wire n_16373, n_16377, n_16378, n_16382, n_16383, n_16387, n_16388,
+       n_16400;
+  wire n_16413, n_16421, n_16429, n_16437, n_16445, n_16453, n_16461,
+       n_16469;
+  wire n_16477, n_16482, n_16483, n_16487, n_16488, n_16492, n_16493,
+       n_16497;
+  wire n_16498, n_16502, n_16503, n_16507, n_16508, n_16512, n_16513,
+       n_16517;
+  wire n_16518, n_16522, n_16523, n_16527, n_16528, n_16532, n_16533,
+       n_16537;
+  wire n_16538, n_16542, n_16543, n_16547, n_16548, n_16552, n_16553,
+       n_16557;
+  wire n_16558, n_16847, n_16849, n_16853, n_16855, n_16865, n_16873,
+       n_17393;
+  wire n_17394, n_17395, n_17396, n_17397, n_17398, n_17399, n_17413,
+       n_17427;
+  wire n_17481, n_17482, n_17483, n_17497, n_17498, n_17499, n_17933,
+       n_17934;
+  wire n_17935, n_17936, n_17937, n_17938, n_17939, n_17940, n_17941,
+       n_17942;
+  wire n_17943, n_17944, n_17945, n_17946, n_17947, n_17948, n_17949,
+       n_17950;
+  wire n_17951, n_17952, n_17953, n_17954, n_17955, n_17956, n_17957,
+       n_17958;
+  wire n_17959, n_17960, n_17961, n_17962, n_17963, n_17964, n_17965,
+       n_17966;
+  wire n_17967, n_17968, n_17969, n_17970, n_17971, n_17972, n_17973,
+       n_17974;
+  wire n_17975, n_17976, n_17977, n_17978, n_17979, n_17980, n_17981,
+       n_17982;
+  wire n_17983, n_17984, n_17985, n_17986, n_17987, n_82523_BAR, rx,
+       u_soc_data_csb;
+  wire u_soc_data_we, u_soc_dccm_adapter_data_csbD,
+       u_soc_dccm_adapter_data_mem_error_internal,
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst
+       , u_soc_dccm_adapter_data_mem_u_reqfifo_n_85,
+       u_soc_dccm_adapter_data_mem_u_rspfifo_n_125,
+       u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69,
+       u_soc_dccm_adapter_data_weD;
+  wire u_soc_dccm_adapter_rvalid_o, \u_soc_dccm_to_xbar[d_valid] ,
+       u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68,
+       u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108,
+       u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52,
+       u_soc_iccm_adapter_instr_csbD, u_soc_iccm_adapter_instr_weD,
+       u_soc_iccm_adapter_rvalid;
+  wire u_soc_iccm_ctrl_we, u_soc_instr_we, u_soc_intr_u_rx,
+       u_soc_intr_u_tx, \u_soc_main_swith_host_lsu_tl_u_i[3][d_valid] ,
+       u_soc_n_289, u_soc_prog_rst_ni, u_soc_reset_manager_rst_q;
+  wire u_soc_rx_dv_i, \u_soc_tcam_to_xbar[d_valid] , u_soc_u_dccm_csb1,
+       u_soc_u_dccm_csb2, u_soc_u_dccm_csb3, u_soc_u_dccm_csb4,
+       u_soc_u_iccm_csb1, u_soc_u_iccm_csb2;
+  wire u_soc_u_iccm_csb3, u_soc_u_iccm_csb4, u_soc_u_tcam_n_26,
+       u_soc_u_tcam_n_27, u_soc_u_tcam_rvalid_o,
+       u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85,
+       u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125,
+       u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69;
+  wire u_soc_u_top_data_we, u_soc_u_top_u_core_clk,
+       u_soc_u_top_u_core_core_busy_q,
+       u_soc_u_top_u_core_core_clock_gate_i_en_latch,
+       \u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ,
+       \u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ,
+       u_soc_u_top_u_core_csr_access,
+       u_soc_u_top_u_core_csr_mstatus_mie;
+  wire u_soc_u_top_u_core_csr_mstatus_tw,
+       u_soc_u_top_u_core_csr_restore_mret_id,
+       u_soc_u_top_u_core_csr_save_cause,
+       u_soc_u_top_u_core_csr_save_id, u_soc_u_top_u_core_csr_save_if,
+       u_soc_u_top_u_core_ctrl_busy, u_soc_u_top_u_core_debug_csr_save,
+       u_soc_u_top_u_core_debug_ebreakm;
+  wire u_soc_u_top_u_core_debug_ebreaku, u_soc_u_top_u_core_debug_mode,
+       u_soc_u_top_u_core_debug_single_step,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_1,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_2,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_4,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_6,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_8;
+  wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_10,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_12,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_14,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_16,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_18,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_20,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_22,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_24;
+  wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_26,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_28,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_30,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_32,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_34,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_36,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_38,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_40;
+  wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_42,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_44,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_46,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_48,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_50,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_52,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_54,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_56;
+  wire u_soc_u_top_u_core_ex_block_i_add_98_45_n_58,
+       u_soc_u_top_u_core_ex_block_i_add_98_45_n_61,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_3,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_5;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_7,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_8,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_10,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_12,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_15,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_16,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_17,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_18,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_19,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_20,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_21,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_22;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_23,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_24,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_25,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_26,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_28,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_29,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_30;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_31,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_33,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_35,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_39;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_41,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_42,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_46,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_47,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_48;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_49,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_50,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_51,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_52,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_53,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_54,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_55,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_56;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_57,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_58,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_59,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_60,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_61,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_62,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_63,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_64;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_65,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_66,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_67,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_68,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_69,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_70,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_71,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_72;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_73,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_74,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_75,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_76,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_77,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_78,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_79,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_80;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_81,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_82,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_83,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_85,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_86,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_87,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_88,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_89;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_90,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_91,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_92,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_93,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_94,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_95,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_96,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_97;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_98,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_99,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_101,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_102,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_103,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_104,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_105;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_106,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_107,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_108,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_109,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_110,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_112,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_113;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_114,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_117,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_118,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_119,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_120,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_122;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_125,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_128,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_131,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_134;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_137,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_140,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_143,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_144,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_145;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_146,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_149,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_150,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_154,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_157,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_160,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_164,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_166,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_167,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_168,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_172,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_177,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_181,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_188,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_190,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_191;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_196,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_201,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_205,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208;
+  wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_210,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_214,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_215,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_285,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287,
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288;
+  wire \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q
+       , u_soc_u_top_u_core_fetch_enable_q,
+       u_soc_u_top_u_core_id_in_ready,
+       u_soc_u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec,
+       u_soc_u_top_u_core_id_stage_i_branch_in_dec,
+       u_soc_u_top_u_core_id_stage_i_branch_set,
+       u_soc_u_top_u_core_id_stage_i_controller_run,
+       u_soc_u_top_u_core_id_stage_i_csr_pipe_flush;
+  wire u_soc_u_top_u_core_id_stage_i_div_en_dec,
+       u_soc_u_top_u_core_id_stage_i_dret_insn_dec,
+       u_soc_u_top_u_core_id_stage_i_ebrk_insn,
+       u_soc_u_top_u_core_id_stage_i_ecall_insn_dec,
+       u_soc_u_top_u_core_id_stage_i_flush_id,
+       u_soc_u_top_u_core_id_stage_i_id_fsm_q,
+       u_soc_u_top_u_core_id_stage_i_illegal_insn_dec,
+       u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel;
+  wire u_soc_u_top_u_core_id_stage_i_jump_in_dec,
+       u_soc_u_top_u_core_id_stage_i_jump_set,
+       u_soc_u_top_u_core_id_stage_i_jump_set_dec,
+       u_soc_u_top_u_core_id_stage_i_lsu_req_dec,
+       u_soc_u_top_u_core_id_stage_i_mret_insn_dec,
+       u_soc_u_top_u_core_id_stage_i_mult_en_dec,
+       u_soc_u_top_u_core_id_stage_i_n_932,
+       u_soc_u_top_u_core_id_stage_i_n_1058;
+  wire u_soc_u_top_u_core_id_stage_i_n_1065,
+       u_soc_u_top_u_core_id_stage_i_n_1227,
+       u_soc_u_top_u_core_id_stage_i_n_1229,
+       u_soc_u_top_u_core_id_stage_i_rf_ren_a,
+       u_soc_u_top_u_core_id_stage_i_rf_ren_b,
+       u_soc_u_top_u_core_id_stage_i_rf_we_dec,
+       u_soc_u_top_u_core_id_stage_i_stall_id,
+       u_soc_u_top_u_core_id_stage_i_stall_wb;
+  wire u_soc_u_top_u_core_id_stage_i_wfi_insn_dec,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_2
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_6
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_8
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_10
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_12
+       ;
+  wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_14
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_16
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_18
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_20
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_22
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_24
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_26
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_28
+       ;
+  wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_30
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_32
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_34
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_36
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_38
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_40
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_42
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_44
+       ;
+  wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_46
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_48
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_50
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_52
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_54
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_56
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_58
+       ,
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_60
+       ;
+  wire \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
+       , u_soc_u_top_u_core_if_stage_i_instr_is_compressed,
+       u_soc_u_top_u_core_illegal_c_insn_id,
+       u_soc_u_top_u_core_illegal_csr_insn_id,
+       u_soc_u_top_u_core_instr_first_cycle_id,
+       u_soc_u_top_u_core_instr_is_compressed_id,
+       u_soc_u_top_u_core_instr_req_int,
+       u_soc_u_top_u_core_instr_valid_clear;
+  wire u_soc_u_top_u_core_instr_valid_id,
+       \u_soc_u_top_u_core_irqs[irq_external] ,
+       u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q,
+       u_soc_u_top_u_core_load_store_unit_i_data_we_q,
+       u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q,
+       u_soc_u_top_u_core_load_store_unit_i_n_937,
+       u_soc_u_top_u_core_load_store_unit_i_n_938,
+       u_soc_u_top_u_core_load_store_unit_i_n_939;
+  wire u_soc_u_top_u_core_lsu_sign_ext, u_soc_u_top_u_core_mult_sel_ex,
+       u_soc_u_top_u_core_pc_set, u_soc_u_top_u_core_ready_wb,
+       u_soc_u_top_u_core_trigger_match,
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ,
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ,
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ;
+  wire u_soc_u_uart_u_uart_core_n_186, u_soc_u_uart_u_uart_core_n_188,
+       u_soc_u_uart_u_uart_core_read_fifo_buffer_empty,
+       u_soc_u_uart_u_uart_core_rx_clr,
+       u_soc_u_uart_u_uart_core_rx_done,
+       u_soc_u_uart_u_uart_core_rx_en,
+       u_soc_u_uart_u_uart_core_rx_fifo_clr,
+       u_soc_u_uart_u_uart_core_rx_fifo_rst;
+  wire u_soc_u_uart_u_uart_core_rx_sbit,
+       u_soc_u_uart_u_uart_core_rx_status,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_0,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_1,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_2,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_3,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_4,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_5;
+  wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_6,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_7,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_8,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_9,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_10,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_11,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_12,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_13;
+  wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_14,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_15,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_16,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_17,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_18,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_19,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_20,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_21;
+  wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_22,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_23,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_24,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_25,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_26,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_27,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_28,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_29;
+  wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_30,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_31,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_32,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_33,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_34,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_35,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_36,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_37;
+  wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_38,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_39,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_40,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_41,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_42,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_43,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_44,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_45;
+  wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_46,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_47,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_48,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_49,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_50,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_51,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_52,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_53;
+  wire u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_54,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_55,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_56,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_57,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_58,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_59,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_60,
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_61;
+  wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_0,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_2,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_4,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_6,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_10,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_12,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_14;
+  wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_18,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_20,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_22,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_24,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_26,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_28,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_30;
+  wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_32,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_34,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_36,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_38,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_40,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_42,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_44,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_46;
+  wire u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_48,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_50,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_52,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_54,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_57,
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_58,
+       u_soc_u_uart_u_uart_core_rx_time_n_217,
+       u_soc_u_uart_u_uart_core_rx_time_n_576;
+  wire u_soc_u_uart_u_uart_core_rx_time_n_577,
+       u_soc_u_uart_u_uart_core_rx_time_n_578,
+       u_soc_u_uart_u_uart_core_rx_time_n_579,
+       u_soc_u_uart_u_uart_core_rx_time_n_580,
+       u_soc_u_uart_u_uart_core_rx_time_n_581,
+       u_soc_u_uart_u_uart_core_rx_time_n_582,
+       u_soc_u_uart_u_uart_core_rx_time_n_583,
+       u_soc_u_uart_u_uart_core_rx_time_n_584;
+  wire u_soc_u_uart_u_uart_core_rx_time_n_585,
+       u_soc_u_uart_u_uart_core_rx_time_n_586,
+       u_soc_u_uart_u_uart_core_rx_time_n_587,
+       u_soc_u_uart_u_uart_core_rx_time_n_588,
+       u_soc_u_uart_u_uart_core_rx_time_n_589,
+       u_soc_u_uart_u_uart_core_rx_time_n_590,
+       u_soc_u_uart_u_uart_core_rx_time_n_591,
+       u_soc_u_uart_u_uart_core_rx_time_n_592;
+  wire u_soc_u_uart_u_uart_core_rx_time_n_593,
+       u_soc_u_uart_u_uart_core_rx_time_n_594,
+       u_soc_u_uart_u_uart_core_rx_time_n_595,
+       u_soc_u_uart_u_uart_core_rx_time_n_596,
+       u_soc_u_uart_u_uart_core_rx_time_n_597,
+       u_soc_u_uart_u_uart_core_rx_time_n_598,
+       u_soc_u_uart_u_uart_core_rx_time_n_599,
+       u_soc_u_uart_u_uart_core_rx_time_n_600;
+  wire u_soc_u_uart_u_uart_core_rx_time_n_601,
+       u_soc_u_uart_u_uart_core_rx_time_n_602,
+       u_soc_u_uart_u_uart_core_rx_time_n_603,
+       u_soc_u_uart_u_uart_core_rx_time_n_604,
+       u_soc_u_uart_u_uart_core_rx_time_n_605,
+       u_soc_u_uart_u_uart_core_rx_time_n_606,
+       u_soc_u_uart_u_uart_core_tx_done, u_soc_u_uart_u_uart_core_tx_en;
+  wire u_soc_u_uart_u_uart_core_tx_fifo_clear,
+       u_soc_u_uart_u_uart_core_tx_fifo_init,
+       u_soc_u_uart_u_uart_core_tx_fifo_re,
+       u_soc_u_uart_u_uart_core_tx_fifo_reset,
+       u_soc_u_uart_u_uart_core_write_fifo_buffer_empty,
+       u_soc_u_uart_u_uart_core_write_fifo_n_1309,
+       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ,
+       \u_soc_uart_to_xbar[d_valid] ;
+  wire \u_soc_xbar_to_lsu[d_valid] ;
+  iccm_controller u_soc_u_dut(.clk_i (wb_clk_i), .rst_ni (n_470),
+       .prog_i (io_in[8]), .rx_dv_i (u_soc_rx_dv_i), .rx_byte_i
+       (u_soc_rx_byte_i), .we_o (u_soc_iccm_ctrl_we), .addr_o
+       (u_soc_iccm_ctrl_addr_o), .wdata_o (u_soc_iccm_ctrl_data),
+       .reset_o (u_soc_prog_rst_ni));
+  tcam_top u_soc_u_tcam_u_tcam_top(.clk_i (wb_clk_i), .rst_ni
+       (io_out[37]), .csb_i (u_soc_u_tcam_n_26), .web_i
+       (u_soc_u_tcam_n_27), .wmask_i
+       ({\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [30],
+       \u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [22],
+       \u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [14],
+       \u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [6]}), .addr_i
+       (u_soc_u_tcam_data_addr[27:0]), .wdata_i
+       (u_soc_u_tcam_data_wdata), .rdata_o ({UNCONNECTED282,
+       UNCONNECTED281, UNCONNECTED280, UNCONNECTED279, UNCONNECTED278,
+       UNCONNECTED277, UNCONNECTED276, UNCONNECTED275, UNCONNECTED274,
+       UNCONNECTED273, UNCONNECTED272, UNCONNECTED271, UNCONNECTED270,
+       UNCONNECTED269, UNCONNECTED268, UNCONNECTED267, UNCONNECTED266,
+       UNCONNECTED265, UNCONNECTED264, UNCONNECTED263, UNCONNECTED262,
+       UNCONNECTED261, UNCONNECTED260, UNCONNECTED259, UNCONNECTED258,
+       UNCONNECTED257, u_soc_u_tcam_rdata[5:0]}));
+  ibex_controller_WritebackStage1_BranchPredictor0
+       u_soc_u_top_u_core_id_stage_i_controller_i(.clk_i
+       (u_soc_u_top_u_core_clk), .rst_ni (io_out[37]), .ctrl_busy_o
+       (u_soc_u_top_u_core_ctrl_busy), .illegal_insn_i
+       (u_soc_u_top_u_core_id_stage_i_n_932), .ecall_insn_i
+       (u_soc_u_top_u_core_id_stage_i_ecall_insn_dec), .mret_insn_i
+       (u_soc_u_top_u_core_id_stage_i_mret_insn_dec), .dret_insn_i
+       (u_soc_u_top_u_core_id_stage_i_dret_insn_dec), .wfi_insn_i
+       (u_soc_u_top_u_core_id_stage_i_wfi_insn_dec), .ebrk_insn_i
+       (u_soc_u_top_u_core_id_stage_i_ebrk_insn), .csr_pipe_flush_i
+       (u_soc_u_top_u_core_id_stage_i_csr_pipe_flush), .instr_valid_i
+       (u_soc_u_top_u_core_instr_valid_id), .instr_i
+       (u_soc_u_top_u_core_instr_rdata_id), .instr_compressed_i
+       (u_soc_u_top_u_core_instr_rdata_c_id), .instr_is_compressed_i
+       (u_soc_u_top_u_core_instr_is_compressed_id),
+       .instr_valid_clear_o (u_soc_u_top_u_core_instr_valid_clear),
+       .id_in_ready_o (u_soc_u_top_u_core_id_in_ready),
+       .controller_run_o
+       (u_soc_u_top_u_core_id_stage_i_controller_run), .instr_req_o
+       (u_soc_u_top_u_core_instr_req_int), .pc_set_o
+       (u_soc_u_top_u_core_pc_set), .pc_mux_o
+       (u_soc_u_top_u_core_pc_mux_id), .exc_pc_mux_o
+       ({u_soc_u_top_u_core_exc_pc_mux_id[1],
+       u_soc_u_top_u_core_id_stage_i_n_1065}), .exc_cause_o
+       ({u_soc_u_top_u_core_exc_cause[5], UNCONNECTED286,
+       u_soc_u_top_u_core_exc_cause[3], UNCONNECTED285,
+       u_soc_u_top_u_core_exc_cause[1:0]}), .branch_set_spec_i
+       (u_soc_u_top_u_core_id_stage_i_branch_set), .jump_set_i
+       (u_soc_u_top_u_core_id_stage_i_jump_set), .csr_mstatus_mie_i
+       (u_soc_u_top_u_core_csr_mstatus_mie), .\irqs_i[irq_external] 
+       (\u_soc_u_top_u_core_irqs[irq_external] ), .debug_cause_o
+       (u_soc_u_top_u_core_debug_cause), .debug_csr_save_o
+       (u_soc_u_top_u_core_debug_csr_save), .debug_mode_o
+       (u_soc_u_top_u_core_debug_mode), .debug_single_step_i
+       (u_soc_u_top_u_core_debug_single_step), .debug_ebreakm_i
+       (u_soc_u_top_u_core_debug_ebreakm), .debug_ebreaku_i
+       (u_soc_u_top_u_core_debug_ebreaku), .trigger_match_i
+       (u_soc_u_top_u_core_trigger_match), .csr_save_if_o
+       (u_soc_u_top_u_core_csr_save_if), .csr_save_id_o
+       (u_soc_u_top_u_core_csr_save_id), .csr_restore_mret_id_o
+       (u_soc_u_top_u_core_csr_restore_mret_id), .csr_save_cause_o
+       (u_soc_u_top_u_core_csr_save_cause), .csr_mtval_o
+       (u_soc_u_top_u_core_csr_mtval), .priv_mode_i
+       (u_soc_u_top_u_core_priv_mode_id), .csr_mstatus_tw_i
+       (u_soc_u_top_u_core_csr_mstatus_tw), .stall_id_i
+       (u_soc_u_top_u_core_id_stage_i_stall_id), .stall_wb_i
+       (u_soc_u_top_u_core_id_stage_i_stall_wb), .flush_id_o
+       (u_soc_u_top_u_core_id_stage_i_flush_id), .ready_wb_i
+       (u_soc_u_top_u_core_ready_wb));
+  ibex_decoder_RV32E0_RV32M1_RV32B0_BranchTargetALU1
+       u_soc_u_top_u_core_id_stage_i_decoder_i(.illegal_insn_o
+       (u_soc_u_top_u_core_id_stage_i_illegal_insn_dec), .ebrk_insn_o
+       (u_soc_u_top_u_core_id_stage_i_ebrk_insn), .mret_insn_o
+       (u_soc_u_top_u_core_id_stage_i_mret_insn_dec), .dret_insn_o
+       (u_soc_u_top_u_core_id_stage_i_dret_insn_dec), .ecall_insn_o
+       (u_soc_u_top_u_core_id_stage_i_ecall_insn_dec), .wfi_insn_o
+       (u_soc_u_top_u_core_id_stage_i_wfi_insn_dec), .jump_set_o
+       (u_soc_u_top_u_core_id_stage_i_jump_set_dec),
+       .instr_first_cycle_i (u_soc_u_top_u_core_instr_first_cycle_id),
+       .instr_rdata_i ({UNCONNECTED_HIER_Z109, UNCONNECTED_HIER_Z108,
+       UNCONNECTED_HIER_Z107, UNCONNECTED_HIER_Z106,
+       UNCONNECTED_HIER_Z105, UNCONNECTED_HIER_Z104,
+       UNCONNECTED_HIER_Z103, u_soc_u_top_u_core_instr_rdata_id[24:15],
+       UNCONNECTED_HIER_Z102, UNCONNECTED_HIER_Z101,
+       UNCONNECTED_HIER_Z100, u_soc_u_top_u_core_instr_rdata_id[11:7],
+       UNCONNECTED_HIER_Z99, UNCONNECTED_HIER_Z98,
+       UNCONNECTED_HIER_Z97, UNCONNECTED_HIER_Z96,
+       UNCONNECTED_HIER_Z95, UNCONNECTED_HIER_Z94,
+       UNCONNECTED_HIER_Z93}), .instr_rdata_alu_i
+       ({u_soc_u_top_u_core_instr_rdata_id[31:25],
+       UNCONNECTED_HIER_Z124, UNCONNECTED_HIER_Z123,
+       UNCONNECTED_HIER_Z122, UNCONNECTED_HIER_Z121,
+       UNCONNECTED_HIER_Z120, UNCONNECTED_HIER_Z119,
+       UNCONNECTED_HIER_Z118, UNCONNECTED_HIER_Z117,
+       UNCONNECTED_HIER_Z116, UNCONNECTED_HIER_Z115,
+       u_soc_u_top_u_core_instr_rdata_id[14:12], UNCONNECTED_HIER_Z114,
+       UNCONNECTED_HIER_Z113, UNCONNECTED_HIER_Z112,
+       UNCONNECTED_HIER_Z111, UNCONNECTED_HIER_Z110,
+       u_soc_u_top_u_core_instr_rdata_id[6:0]}), .illegal_c_insn_i
+       (u_soc_u_top_u_core_illegal_c_insn_id), .imm_a_mux_sel_o
+       (u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel), .imm_b_mux_sel_o
+       (u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec),
+       .bt_a_mux_sel_o ({u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1],
+       UNCONNECTED291}), .bt_b_mux_sel_o
+       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel), .rf_we_o
+       (u_soc_u_top_u_core_id_stage_i_rf_we_dec), .rf_ren_a_o
+       (u_soc_u_top_u_core_id_stage_i_rf_ren_a), .rf_ren_b_o
+       (u_soc_u_top_u_core_id_stage_i_rf_ren_b), .alu_operator_o
+       (u_soc_u_top_u_core_alu_operator_ex), .alu_op_a_mux_sel_o
+       (u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec),
+       .alu_op_b_mux_sel_o
+       (u_soc_u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec), .mult_en_o
+       (u_soc_u_top_u_core_id_stage_i_mult_en_dec), .div_en_o
+       (u_soc_u_top_u_core_id_stage_i_div_en_dec), .mult_sel_o
+       (u_soc_u_top_u_core_mult_sel_ex), .div_sel_o
+       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .multdiv_operator_o
+       ({UNCONNECTED501, u_soc_u_top_u_core_multdiv_operator_ex[0]}),
+       .multdiv_signed_mode_o
+       (u_soc_u_top_u_core_multdiv_signed_mode_ex), .csr_access_o
+       (u_soc_u_top_u_core_csr_access), .csr_op_o
+       (u_soc_u_top_u_core_csr_op), .data_req_o
+       (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .data_we_o
+       (u_soc_u_top_data_we), .data_type_o
+       (u_soc_u_top_u_core_lsu_type), .data_sign_extension_o
+       (u_soc_u_top_u_core_lsu_sign_ext), .jump_in_dec_o
+       (u_soc_u_top_u_core_id_stage_i_jump_in_dec), .branch_in_dec_o
+       (u_soc_u_top_u_core_id_stage_i_branch_in_dec));
+  uart_rx_prog u_soc_u_uart_rx_prog(.clk_i (wb_clk_i), .rst_ni (n_470),
+       .i_Rx_Serial (rx), .CLKS_PER_BIT (u_soc_baud_reg), .o_Rx_DV
+       (u_soc_rx_dv_i), .o_Rx_Byte (u_soc_rx_byte_i));
+  uart_rx u_soc_u_uart_u_uart_core_u_rx(.clk_i (wb_clk_i), .rst_ni
+       (io_out[37]), .i_Rx_Serial (u_soc_u_uart_u_uart_core_n_188),
+       .CLKS_PER_BIT (u_soc_u_uart_u_uart_core_control), .sbit_o
+       (u_soc_u_uart_u_uart_core_rx_sbit), .o_Rx_DV
+       (u_soc_u_uart_u_uart_core_rx_done), .o_Rx_Byte
+       (u_soc_u_uart_u_uart_core_rx));
+  uart_tx u_soc_u_uart_u_uart_core_u_tx(.clk_i (wb_clk_i), .rst_ni
+       (io_out[37]), .tx_en (u_soc_u_uart_u_uart_core_n_186),
+       .i_TX_Byte ({u_soc_u_uart_u_uart_core_tx_fifo_data[8],
+       u_soc_u_uart_u_uart_core_tx_fifo_data[6:0]}), .CLKS_PER_BIT
+       (u_soc_u_uart_u_uart_core_control), .o_TX_Serial (io_out[34]),
+       .o_TX_Done (u_soc_u_uart_u_uart_core_tx_done));
+  sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_dccm_sram1(.din0
+       (u_soc_data_wdata), .addr0 ({n_16332, n_16337, n_16342, n_16347,
+       n_16212, n_16232, n_16317, n_16367}), .wmask0 ({n_16469,
+       n_16453, n_16429, n_16421}), .csb0 (u_soc_u_dccm_csb1), .web0
+       (u_soc_data_we), .clk0 (wb_clk_i), .addr1 ({logic_0_2_net,
+       logic_0_3_net, logic_0_4_net, logic_0_5_net, logic_0_6_net,
+       logic_0_7_net, logic_0_8_net, logic_0_9_net}), .csb1 (n_17979),
+       .clk1 (logic_0_10_net), .dout0 (u_soc_u_dccm_rdata1));
+  sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_dccm_sram2(.din0
+       (u_soc_data_wdata), .addr0 ({n_16292, n_16297, n_16302, n_16217,
+       n_16372, n_16237, n_16247, n_16327}), .wmask0 ({n_16469,
+       n_16453, n_16429, n_16421}), .csb0 (u_soc_u_dccm_csb2), .web0
+       (u_soc_data_we), .clk0 (wb_clk_i), .addr1 ({logic_0_11_net,
+       logic_0_12_net, logic_0_13_net, logic_0_14_net, logic_0_15_net,
+       logic_0_16_net, logic_0_17_net, logic_0_18_net}), .csb1
+       (n_17980), .clk1 (logic_0_19_net), .dout0 (u_soc_u_dccm_rdata2));
+  sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_dccm_sram3(.din0
+       (u_soc_data_wdata), .addr0 ({n_16252, n_16257, n_16262, n_16267,
+       n_16272, n_16277, n_16282, n_16287}), .wmask0 ({n_16445,
+       n_16437, n_16477, n_16461}), .csb0 (u_soc_u_dccm_csb3), .web0
+       (u_soc_data_we), .clk0 (wb_clk_i), .addr1 ({logic_0_20_net,
+       logic_0_21_net, logic_0_22_net, logic_0_23_net, logic_0_24_net,
+       logic_0_25_net, logic_0_26_net, logic_0_27_net}), .csb1
+       (n_17981), .clk1 (logic_0_28_net), .dout0 (u_soc_u_dccm_rdata3));
+  sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_dccm_sram4(.din0
+       (u_soc_data_wdata), .addr0 ({n_16517, n_16507, n_16502, n_16492,
+       n_16557, n_16552, n_16532, n_16522}), .wmask0 ({n_16445,
+       n_16437, n_16477, n_16461}), .csb0 (u_soc_u_dccm_csb4), .web0
+       (u_soc_data_we), .clk0 (wb_clk_i), .addr1 ({logic_0_29_net,
+       logic_0_30_net, logic_0_31_net, logic_0_32_net, logic_0_33_net,
+       logic_0_34_net, logic_0_35_net, logic_0_36_net}), .csb1
+       (n_17982), .clk1 (logic_0_37_net), .dout0 (u_soc_u_dccm_rdata4));
+  sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_iccm_sram1(.din0
+       (u_soc_instr_wdata), .addr0 ({n_16172, n_16177, n_16182,
+       n_16187, n_16192, n_16197, n_16202, n_16207}), .wmask0
+       ({n_17499, n_17427, n_16865, n_17413}), .csb0
+       (u_soc_u_iccm_csb1), .web0 (u_soc_instr_we), .clk0 (wb_clk_i),
+       .addr1 ({logic_0_38_net, logic_0_39_net, logic_0_40_net,
+       logic_0_41_net, logic_0_42_net, logic_0_43_net, logic_0_44_net,
+       logic_0_45_net}), .csb1 (n_17983), .clk1 (logic_0_46_net),
+       .dout0 (u_soc_u_iccm_rdata1));
+  sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_iccm_sram2(.din0
+       (u_soc_instr_wdata), .addr0 ({n_16242, n_16322, n_16362,
+       n_16382, n_16152, n_16157, n_16162, n_16167}), .wmask0
+       ({n_16847, n_17482, n_16847, n_17427}), .csb0
+       (u_soc_u_iccm_csb2), .web0 (u_soc_instr_we), .clk0 (wb_clk_i),
+       .addr1 ({logic_0_47_net, logic_0_48_net, logic_0_49_net,
+       logic_0_50_net, logic_0_51_net, logic_0_52_net, logic_0_53_net,
+       logic_0_54_net}), .csb1 (n_17984), .clk1 (logic_0_55_net),
+       .dout0 (u_soc_u_iccm_rdata2));
+  sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_iccm_sram3(.din0
+       (u_soc_instr_wdata), .addr0 ({n_16227, n_16222, n_16312,
+       n_16357, n_16307, n_16352, n_16377, n_16387}), .wmask0
+       ({n_17413, n_17498, n_16853, n_16853}), .csb0
+       (u_soc_u_iccm_csb3), .web0 (u_soc_instr_we), .clk0 (wb_clk_i),
+       .addr1 ({logic_0_56_net, logic_0_57_net, logic_0_58_net,
+       logic_0_59_net, logic_0_60_net, logic_0_61_net, logic_0_62_net,
+       logic_0_63_net}), .csb1 (n_17985), .clk1 (logic_0_64_net),
+       .dout0 (u_soc_u_iccm_rdata3));
+  sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_iccm_sram4(.din0
+       (u_soc_instr_wdata), .addr0 ({n_16542, n_16497, n_16527,
+       n_16487, n_16512, n_16482, n_16547, n_16537}), .wmask0
+       ({n_17498, n_17482, n_17483, n_16873}), .csb0
+       (u_soc_u_iccm_csb4), .web0 (u_soc_instr_we), .clk0 (wb_clk_i),
+       .addr1 ({logic_0_65_net, logic_0_66_net, logic_0_67_net,
+       logic_0_68_net, logic_0_69_net, logic_0_70_net, logic_0_71_net,
+       logic_0_72_net}), .csb1 (n_17986), .clk1 (logic_0_73_net),
+       .dout0 (u_soc_u_iccm_rdata4));
+  sky130_fd_sc_hd__inv_2 g276479(.A (n_13490), .Y (n_13303));
+  sky130_fd_sc_hd__inv_1 g276482(.A
+       (u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[0]), .Y
+       (n_13741));
+  sky130_fd_sc_hd__nor2b_1 g276475__5122(.A
+       (u_soc_u_top_u_core_id_stage_i_id_fsm_q), .B_N
+       (u_soc_u_top_u_core_instr_valid_id), .Y
+       (u_soc_u_top_u_core_instr_first_cycle_id));
+  sky130_fd_sc_hd__nor3b_1 g277248__8246(.A (n_13332), .B (n_13885),
+       .C_N (n_13610), .Y (u_soc_u_top_u_core_id_stage_i_branch_set));
+  sky130_fd_sc_hd__o221ai_1 g277249__7098(.A1 (n_82523_BAR), .A2
+       (n_13273), .B1 (n_13460), .B2 (n_13274), .C1 (n_13288), .Y
+       (n_13610));
+  sky130_fd_sc_hd__a21oi_1 g277250__6131(.A1 (n_13286), .A2 (n_13487),
+       .B1 (n_13287), .Y (n_13288));
+  sky130_fd_sc_hd__nor2_1 g277251__1881(.A (n_15890), .B (n_13286), .Y
+       (n_13287));
+  sky130_fd_sc_hd__inv_1 g277252(.A (n_13286), .Y (n_13376));
+  sky130_fd_sc_hd__nor3_1 g277253__5115(.A (n_13285), .B
+       (\u_soc_lsu_to_xbar[a_address] [30]), .C
+       (\u_soc_lsu_to_xbar[a_address] [31]), .Y (n_13286));
+  sky130_fd_sc_hd__nand2_1 g277254__7482(.A (n_13284), .B (n_15938), .Y
+       (n_13285));
+  sky130_fd_sc_hd__nor3_1 g277255__4733(.A (n_13283), .B
+       (\u_soc_lsu_to_xbar[a_address] [26]), .C
+       (\u_soc_lsu_to_xbar[a_address] [29]), .Y (n_13284));
+  sky130_fd_sc_hd__or4_1 g277256__6161(.A
+       (\u_soc_lsu_to_xbar[a_address] [19]), .B (n_13275), .C
+       (n_13282), .D (\u_soc_lsu_to_xbar[a_address] [18]), .X
+       (n_13283));
+  sky130_fd_sc_hd__or4_1 g277257__9315(.A
+       (\u_soc_lsu_to_xbar[a_address] [14]), .B (n_15939), .C
+       (\u_soc_lsu_to_xbar[a_address] [15]), .D
+       (\u_soc_lsu_to_xbar[a_address] [17]), .X (n_13282));
+  sky130_fd_sc_hd__nor4_1 g277262__9945(.A
+       (\u_soc_xbar_to_dccm[a_address] [8]), .B (n_13378), .C
+       (\u_soc_xbar_to_dccm[a_address] [9]), .D
+       (\u_soc_xbar_to_dccm[a_address] [11]), .Y (n_13277));
+  sky130_fd_sc_hd__nor2_1 g277263__2883(.A (n_13331), .B (n_13885), .Y
+       (u_soc_u_top_u_core_id_stage_i_jump_set));
+  sky130_fd_sc_hd__nand4_1 g277264__2346(.A (n_13453), .B (n_16009), .C
+       (u_soc_u_top_u_core_instr_valid_id), .D
+       (u_soc_u_top_u_core_id_stage_i_controller_run), .Y (n_13885));
+  sky130_fd_sc_hd__or4_1 g277266__1666(.A
+       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .B
+       (\u_soc_xbar_to_dccm[a_address] [2]), .C
+       (\u_soc_xbar_to_dccm[a_address] [3]), .D
+       (\u_soc_lsu_to_xbar[a_address] [16]), .X (n_13275));
+  sky130_fd_sc_hd__nand2_1 g277267__7410(.A (n_13270), .B (n_13269), .Y
+       (n_13378));
+  sky130_fd_sc_hd__clkinv_1 g277268(.A (n_13273), .Y (n_13274));
+  sky130_fd_sc_hd__nor3_1 g277269__6417(.A (n_16012), .B
+       (\u_soc_lsu_to_xbar[a_address] [21]), .C
+       (\u_soc_lsu_to_xbar[a_address] [20]), .Y (n_13272));
+  sky130_fd_sc_hd__o21ai_1 g277270__5477(.A1 (n_13611), .A2
+       (\u_soc_lsu_to_xbar[a_address] [31]), .B1 (n_13374), .Y
+       (n_13273));
+  sky130_fd_sc_hd__inv_1 g277272(.A (n_13270), .Y (n_13373));
+  sky130_fd_sc_hd__nor2_1 g277273__2398(.A
+       (\u_soc_xbar_to_dccm[a_address] [4]), .B
+       (\u_soc_xbar_to_dccm[a_address] [5]), .Y (n_13269));
+  sky130_fd_sc_hd__nor2_1 g277274__5107(.A
+       (\u_soc_xbar_to_dccm[a_address] [6]), .B
+       (\u_soc_xbar_to_dccm[a_address] [7]), .Y (n_13270));
+  sky130_fd_sc_hd__o2111ai_1 g323786__6260(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .A2 (n_11593), .B1
+       (n_13125), .C1 (n_13224), .D1 (n_13178), .Y (n_13812));
+  sky130_fd_sc_hd__o2111ai_1 g323788__4319(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[27]), .A2 (n_11593), .B1
+       (n_13211), .C1 (n_11567), .D1 (n_13186), .Y (n_13834));
+  sky130_fd_sc_hd__o2111ai_1 g323789__8428(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[13]), .A2 (n_11593), .B1
+       (n_13199), .C1 (n_11466), .D1 (n_13184), .Y (n_13820));
+  sky130_fd_sc_hd__o2111ai_1 g323790__5526(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .A2 (n_11593), .B1
+       (n_13213), .C1 (n_11581), .D1 (n_13183), .Y (n_13817));
+  sky130_fd_sc_hd__o2111ai_1 g323791__6783(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .A2 (n_11593), .B1
+       (n_13190), .C1 (n_13225), .D1 (n_13180), .Y (n_13814));
+  sky130_fd_sc_hd__o2111ai_1 g323792__3680(.A1 (n_11151), .A2
+       (n_13446), .B1 (n_13155), .C1 (n_13266), .D1 (n_13240), .Y
+       (n_13836));
+  sky130_fd_sc_hd__o2111ai_1 g323793__1617(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[22]), .A2 (n_11593), .B1
+       (n_13214), .C1 (n_11572), .D1 (n_13169), .Y (n_13829));
+  sky130_fd_sc_hd__o2111ai_1 g323795__2802(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[21]), .A2 (n_11593), .B1
+       (n_13212), .C1 (n_11568), .D1 (n_13188), .Y (n_13828));
+  sky130_fd_sc_hd__o2111ai_1 g323796__1705(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[18]), .A2 (n_11593), .B1
+       (n_13205), .C1 (n_11573), .D1 (n_13172), .Y (n_13825));
+  sky130_fd_sc_hd__o2111ai_1 g323797__5122(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[24]), .A2 (n_11593), .B1
+       (n_13201), .C1 (n_11566), .D1 (n_13171), .Y (n_13831));
+  sky130_fd_sc_hd__o2111ai_1 g323798__8246(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[23]), .A2 (n_11593), .B1
+       (n_13197), .C1 (n_11469), .D1 (n_13170), .Y (n_13830));
+  sky130_fd_sc_hd__o2111ai_1 g323799__7098(.A1 (n_11151), .A2
+       (n_13437), .B1 (n_13162), .C1 (n_13254), .D1 (n_13243), .Y
+       (n_13827));
+  sky130_fd_sc_hd__o2111ai_1 g323800__6131(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[28]), .A2 (n_11593), .B1
+       (n_13149), .C1 (n_11571), .D1 (n_13185), .Y (n_13835));
+  sky130_fd_sc_hd__o2111ai_1 g323801__1881(.A1 (n_11151), .A2
+       (n_13436), .B1 (n_13116), .C1 (n_13231), .D1 (n_13209), .Y
+       (n_13826));
+  sky130_fd_sc_hd__o221ai_1 g323802__5115(.A1
+       (u_soc_u_top_u_core_alu_operator_ex[0]), .A2 (n_13526), .B1
+       (n_11039), .B2 (n_141), .C1 (n_13611), .Y (n_13374));
+  sky130_fd_sc_hd__o2111ai_1 g323803__7482(.A1 (n_11151), .A2
+       (n_13447), .B1 (n_13128), .C1 (n_13233), .D1 (n_13210), .Y
+       (n_13837));
+  sky130_fd_sc_hd__o2111ai_1 g323804__4733(.A1 (n_11151), .A2
+       (n_13431), .B1 (n_13122), .C1 (n_13228), .D1 (n_13200), .Y
+       (n_13821));
+  sky130_fd_sc_hd__o221ai_1 g323805__6161(.A1 (n_142), .A2 (n_11746),
+       .B1 (u_soc_u_top_u_core_alu_operand_b_ex[3]), .B2 (n_11593), .C1
+       (n_13226), .Y (n_13810));
+  sky130_fd_sc_hd__o2111ai_1 g323806__9315(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .A2 (n_11593), .B1
+       (n_11442), .C1 (n_13167), .D1 (n_13194), .Y (n_13809));
+  sky130_fd_sc_hd__o2111ai_1 g323807__9945(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .A2 (n_11593), .B1
+       (n_13112), .C1 (n_13152), .D1 (n_13192), .Y (n_13807));
+  sky130_fd_sc_hd__o2111ai_1 g323808__2883(.A1 (n_11139), .A2
+       (n_11313), .B1 (n_13208), .C1 (n_13207), .D1 (n_13191), .Y
+       (n_13838));
+  sky130_fd_sc_hd__o2111ai_1 g323809__2346(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[9]), .A2 (n_11593), .B1
+       (n_13142), .C1 (n_11570), .D1 (n_13182), .Y (n_13816));
+  sky130_fd_sc_hd__nand4_1 g323810__1666(.A (n_13232), .B (n_13181), .C
+       (n_11441), .D (n_13143), .Y (n_13815));
+  sky130_fd_sc_hd__o2111ai_1 g323811__7410(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .A2 (n_11593), .B1
+       (n_13145), .C1 (n_11569), .D1 (n_13179), .Y (n_13813));
+  sky130_fd_sc_hd__o2111ai_1 g323812__6417(.A1 (n_11151), .A2
+       (n_13433), .B1 (n_13111), .C1 (n_13230), .D1 (n_13204), .Y
+       (n_13823));
+  sky130_fd_sc_hd__o2111ai_1 g323813__5477(.A1 (n_11151), .A2
+       (n_13432), .B1 (n_13121), .C1 (n_13229), .D1 (n_13202), .Y
+       (n_13822));
+  sky130_fd_sc_hd__o2111ai_1 g323814__2398(.A1 (n_11151), .A2
+       (n_13429), .B1 (n_13123), .C1 (n_13227), .D1 (n_13198), .Y
+       (n_13819));
+  sky130_fd_sc_hd__o2111ai_1 g323815__5107(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[17]), .A2 (n_11593), .B1
+       (n_13148), .C1 (n_11468), .D1 (n_13234), .Y (n_13824));
+  sky130_fd_sc_hd__o2111ai_1 g323816__6260(.A1 (n_15943), .A2
+       (n_11593), .B1 (n_13114), .C1 (n_13147), .D1 (n_13175), .Y
+       (n_13808));
+  sky130_fd_sc_hd__o2111ai_1 g323817__4319(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .A2 (n_11593), .B1
+       (n_11352), .C1 (n_13150), .D1 (n_13196), .Y (n_13818));
+  sky130_fd_sc_hd__o2111ai_1 g323818__8428(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .A2 (n_11593), .B1
+       (n_13126), .C1 (n_13161), .D1 (n_13193), .Y (n_13811));
+  sky130_fd_sc_hd__inv_1 g323819(.A (n_13268), .Y (n_13859));
+  sky130_fd_sc_hd__inv_1 g323820(.A (n_13264), .Y (n_13843));
+  sky130_fd_sc_hd__inv_1 g323821(.A (n_13263), .Y (n_13869));
+  sky130_fd_sc_hd__inv_1 g323822(.A (n_13262), .Y (n_13867));
+  sky130_fd_sc_hd__inv_1 g323823(.A (n_13261), .Y (n_13866));
+  sky130_fd_sc_hd__inv_1 g323824(.A (n_13260), .Y (n_13865));
+  sky130_fd_sc_hd__inv_1 g323825(.A (n_13259), .Y (n_13864));
+  sky130_fd_sc_hd__inv_1 g323826(.A (n_13258), .Y (n_13863));
+  sky130_fd_sc_hd__inv_1 g323827(.A (n_13257), .Y (n_13862));
+  sky130_fd_sc_hd__inv_1 g323828(.A (n_13256), .Y (n_13861));
+  sky130_fd_sc_hd__inv_1 g323829(.A (n_13255), .Y (n_13860));
+  sky130_fd_sc_hd__a222oi_1 g323830__5526(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [19]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [20]), .C1
+       (n_13514), .C2 (n_11058), .Y (n_13268));
+  sky130_fd_sc_hd__nor2_1 g323831__6783(.A (n_11367), .B (n_13236), .Y
+       (n_13267));
+  sky130_fd_sc_hd__nor2_1 g323832__3680(.A (n_11371), .B (n_13235), .Y
+       (n_13266));
+  sky130_fd_sc_hd__nor2_1 g323833__1617(.A (n_11379), .B (n_13237), .Y
+       (n_13265));
+  sky130_fd_sc_hd__a222oi_1 g323834__2802(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [3]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [4]), .C1
+       (n_13498), .C2 (n_11058), .Y (n_13264));
+  sky130_fd_sc_hd__o21ai_1 g323835__1705(.A1 (n_13452), .A2 (n_141),
+       .B1 (n_11323), .Y (n_13871));
+  sky130_fd_sc_hd__a222oi_1 g323836__5122(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [29]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [30]), .C1
+       (n_13524), .C2 (n_11058), .Y (n_13263));
+  sky130_fd_sc_hd__a222oi_1 g323837__8246(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [27]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [28]), .C1
+       (n_13522), .C2 (n_11058), .Y (n_13262));
+  sky130_fd_sc_hd__a222oi_1 g323838__7098(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [26]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [27]), .C1
+       (n_13521), .C2 (n_11058), .Y (n_13261));
+  sky130_fd_sc_hd__a222oi_1 g323839__6131(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [25]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [26]), .C1
+       (n_13520), .C2 (n_11058), .Y (n_13260));
+  sky130_fd_sc_hd__a222oi_1 g323840__1881(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [24]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [25]), .C1
+       (n_13519), .C2 (n_11058), .Y (n_13259));
+  sky130_fd_sc_hd__a222oi_1 g323841__5115(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [23]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [24]), .C1
+       (n_13518), .C2 (n_11058), .Y (n_13258));
+  sky130_fd_sc_hd__a222oi_1 g323842__7482(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [22]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [23]), .C1
+       (n_13517), .C2 (n_11058), .Y (n_13257));
+  sky130_fd_sc_hd__a222oi_1 g323843__4733(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [21]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [22]), .C1
+       (n_13516), .C2 (n_11058), .Y (n_13256));
+  sky130_fd_sc_hd__a222oi_1 g323844__6161(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [20]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [21]), .C1
+       (n_13515), .C2 (n_11058), .Y (n_13255));
+  sky130_fd_sc_hd__nor2_1 g323845(.A (n_11365), .B (n_13218), .Y
+       (n_13254));
+  sky130_fd_sc_hd__inv_1 g323846(.A (n_13253), .Y (n_13848));
+  sky130_fd_sc_hd__clkinv_1 g323847(.A (n_13252), .Y (n_13855));
+  sky130_fd_sc_hd__inv_1 g323848(.A (n_13251), .Y (n_13854));
+  sky130_fd_sc_hd__inv_1 g323849(.A (n_13250), .Y (n_13853));
+  sky130_fd_sc_hd__inv_1 g323850(.A (n_13249), .Y (n_13852));
+  sky130_fd_sc_hd__inv_1 g323851(.A (n_13248), .Y (n_13851));
+  sky130_fd_sc_hd__inv_1 g323852(.A (n_13247), .Y (n_13850));
+  sky130_fd_sc_hd__inv_1 g323853(.A (n_13246), .Y (n_13856));
+  sky130_fd_sc_hd__inv_1 g323854(.A (n_13245), .Y (n_13847));
+  sky130_fd_sc_hd__inv_1 g323855(.A (n_13244), .Y (n_13845));
+  sky130_fd_sc_hd__inv_1 g323856(.A (n_13239), .Y (n_13858));
+  sky130_fd_sc_hd__a222oi_1 g323857(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [8]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [9]), .C1
+       (n_13503), .C2 (n_11058), .Y (n_13253));
+  sky130_fd_sc_hd__a222oi_1 g323858(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [15]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [16]), .C1
+       (n_13510), .C2 (n_11058), .Y (n_13252));
+  sky130_fd_sc_hd__a222oi_1 g323859(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [14]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [15]), .C1
+       (n_13509), .C2 (n_11058), .Y (n_13251));
+  sky130_fd_sc_hd__a222oi_1 g323860(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [13]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [14]), .C1
+       (n_13508), .C2 (n_11058), .Y (n_13250));
+  sky130_fd_sc_hd__a222oi_1 g323861(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [12]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [13]), .C1
+       (n_13507), .C2 (n_11058), .Y (n_13249));
+  sky130_fd_sc_hd__a222oi_1 g323862(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [11]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [12]), .C1
+       (n_13506), .C2 (n_11058), .Y (n_13248));
+  sky130_fd_sc_hd__a222oi_1 g323863(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [10]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [11]), .C1
+       (n_13505), .C2 (n_11058), .Y (n_13247));
+  sky130_fd_sc_hd__a222oi_1 g323864(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [16]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [17]), .C1
+       (n_13511), .C2 (n_11058), .Y (n_13246));
+  sky130_fd_sc_hd__a222oi_1 g323865(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [7]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [8]), .C1
+       (n_13502), .C2 (n_11058), .Y (n_13245));
+  sky130_fd_sc_hd__a222oi_1 g323866(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [5]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [6]), .C1
+       (n_13500), .C2 (n_11058), .Y (n_13244));
+  sky130_fd_sc_hd__a22oi_1 g323867(.A1 (n_11745), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[20]), .B1 (n_11393), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [21]), .Y (n_13243));
+  sky130_fd_sc_hd__a22oi_1 g323868(.A1 (n_11745), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[26]), .B1 (n_11393), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [27]), .Y (n_13242));
+  sky130_fd_sc_hd__a22oi_1 g323869(.A1 (n_11745), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[25]), .B1 (n_11393), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [26]), .Y (n_13241));
+  sky130_fd_sc_hd__a22oi_1 g323870(.A1 (n_11745), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[29]), .B1 (n_11393), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [30]), .Y (n_13240));
+  sky130_fd_sc_hd__a222oi_1 g323871(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [18]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [19]), .C1
+       (n_13513), .C2 (n_11058), .Y (n_13239));
+  sky130_fd_sc_hd__xor2_1 g323872(.A (n_13526), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[31]), .X (n_13611));
+  sky130_fd_sc_hd__inv_1 g323873(.A (n_13238), .Y (n_13857));
+  sky130_fd_sc_hd__inv_1 g323874(.A (n_13223), .Y (n_13844));
+  sky130_fd_sc_hd__inv_1 g323875(.A (n_13222), .Y (n_13842));
+  sky130_fd_sc_hd__inv_1 g323876(.A (n_13221), .Y (n_13841));
+  sky130_fd_sc_hd__inv_1 g323877(.A (n_13220), .Y (n_13870));
+  sky130_fd_sc_hd__inv_1 g323878(.A (n_13219), .Y (n_13868));
+  sky130_fd_sc_hd__a222oi_1 g323879(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [17]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [18]), .C1
+       (n_13512), .C2 (n_11058), .Y (n_13238));
+  sky130_fd_sc_hd__nor2_1 g323880(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[25]), .B (n_11593), .Y
+       (n_13237));
+  sky130_fd_sc_hd__nor2_1 g323881(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[26]), .B (n_11593), .Y
+       (n_13236));
+  sky130_fd_sc_hd__nor2_1 g323882(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[29]), .B (n_11593), .Y
+       (n_13235));
+  sky130_fd_sc_hd__nand2_1 g323883(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[17]), .Y (n_13234));
+  sky130_fd_sc_hd__nor2_1 g323884(.A (n_11373), .B (n_13176), .Y
+       (n_13233));
+  sky130_fd_sc_hd__nor2_1 g323885(.A (n_11370), .B (n_13173), .Y
+       (n_13232));
+  sky130_fd_sc_hd__nor2_1 g323886(.A (n_11380), .B (n_13187), .Y
+       (n_13231));
+  sky130_fd_sc_hd__nor2_1 g323887(.A (n_11381), .B (n_13189), .Y
+       (n_13230));
+  sky130_fd_sc_hd__nor2_1 g323888(.A (n_11383), .B (n_13177), .Y
+       (n_13229));
+  sky130_fd_sc_hd__nor2_1 g323889(.A (n_11368), .B (n_13195), .Y
+       (n_13228));
+  sky130_fd_sc_hd__nor2_1 g323890(.A (n_11361), .B (n_13174), .Y
+       (n_13227));
+  sky130_fd_sc_hd__nor2_1 g323891(.A (n_13151), .B (n_11465), .Y
+       (n_13226));
+  sky130_fd_sc_hd__a21oi_1 g323892(.A1 (n_11393), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [8]), .B1 (n_13144), .Y (n_13225));
+  sky130_fd_sc_hd__a21oi_1 g323893(.A1 (n_11393), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [6]), .B1 (n_13146), .Y (n_13224));
+  sky130_fd_sc_hd__a222oi_1 g323894(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [4]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [5]), .C1
+       (n_13499), .C2 (n_11058), .Y (n_13223));
+  sky130_fd_sc_hd__a222oi_1 g323895(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [2]), .A2 (n_11258), .B1
+       (n_11176), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [3]), .C1
+       (n_13497), .C2 (n_11058), .Y (n_13222));
+  sky130_fd_sc_hd__a222oi_1 g323896(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [1]), .A2 (n_11258), .B1
+       (n_11176), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [2]), .C1
+       (n_13496), .C2 (n_11058), .Y (n_13221));
+  sky130_fd_sc_hd__a222oi_1 g323897(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [30]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [31]), .C1
+       (n_13525), .C2 (n_11058), .Y (n_13220));
+  sky130_fd_sc_hd__a222oi_1 g323898(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [28]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [29]), .C1
+       (n_13523), .C2 (n_11058), .Y (n_13219));
+  sky130_fd_sc_hd__nor2_1 g323899(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[20]), .B (n_11593), .Y
+       (n_13218));
+  sky130_fd_sc_hd__inv_1 g323900(.A (n_13216), .Y (n_13846));
+  sky130_fd_sc_hd__inv_1 g323901(.A (n_13215), .Y (n_13840));
+  sky130_fd_sc_hd__inv_1 g323902(.A (n_13206), .Y (n_13849));
+  sky130_fd_sc_hd__a222oi_1 g323904(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [6]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [7]), .C1
+       (n_13501), .C2 (n_11058), .Y (n_13216));
+  sky130_fd_sc_hd__a222oi_1 g323905(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [0]), .A2 (n_11258), .B1
+       (n_11176), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [1]), .C1
+       (n_13495), .C2 (n_11058), .Y (n_13215));
+  sky130_fd_sc_hd__o21a_1 g323906(.A1 (n_11208), .A2 (n_13406), .B1
+       (n_13166), .X (n_13214));
+  sky130_fd_sc_hd__o21a_1 g323907(.A1 (n_11208), .A2 (n_13394), .B1
+       (n_13165), .X (n_13213));
+  sky130_fd_sc_hd__o21a_1 g323908(.A1 (n_11208), .A2 (n_13405), .B1
+       (n_13164), .X (n_13212));
+  sky130_fd_sc_hd__o21a_1 g323909(.A1 (n_11208), .A2 (n_13411), .B1
+       (n_13163), .X (n_13211));
+  sky130_fd_sc_hd__a22oi_1 g323910(.A1 (n_11745), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[30]), .B1 (n_11393), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [31]), .Y (n_13210));
+  sky130_fd_sc_hd__a22oi_1 g323911(.A1 (n_11745), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[19]), .B1 (n_11393), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [20]), .Y (n_13209));
+  sky130_fd_sc_hd__o21a_1 g323912(.A1 (n_11208), .A2 (n_13415), .B1
+       (n_13158), .X (n_13208));
+  sky130_fd_sc_hd__a2bb2oi_1 g323913(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[31]), .A2_N (n_11593), .B1
+       (n_11139), .B2 (n_11306), .Y (n_13207));
+  sky130_fd_sc_hd__a222oi_1 g323914(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [9]), .A2 (n_11258), .B1
+       (n_11181), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [10]), .C1
+       (n_13504), .C2 (n_11058), .Y (n_13206));
+  sky130_fd_sc_hd__o21a_1 g323915(.A1 (n_11208), .A2 (n_13402), .B1
+       (n_13159), .X (n_13205));
+  sky130_fd_sc_hd__a22oi_1 g323916(.A1 (n_11745), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[16]), .B1 (n_11393), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [17]), .Y (n_13204));
+  sky130_fd_sc_hd__a22oi_1 g323918(.A1 (n_11745), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[15]), .B1 (n_11393), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [16]), .Y (n_13202));
+  sky130_fd_sc_hd__o21a_1 g323919(.A1 (n_11208), .A2 (n_13408), .B1
+       (n_13168), .X (n_13201));
+  sky130_fd_sc_hd__a22oi_1 g323920(.A1 (n_11745), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[14]), .B1 (n_11393), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [15]), .Y (n_13200));
+  sky130_fd_sc_hd__o21a_1 g323921(.A1 (n_11208), .A2 (n_13397), .B1
+       (n_13154), .X (n_13199));
+  sky130_fd_sc_hd__a22oi_1 g323922(.A1 (n_11745), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[12]), .B1 (n_11393), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [13]), .Y (n_13198));
+  sky130_fd_sc_hd__o21a_1 g323923(.A1 (n_11208), .A2 (n_13407), .B1
+       (n_13153), .X (n_13197));
+  sky130_fd_sc_hd__a22oi_1 g323924(.A1 (n_11745), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .B1 (n_11393), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [12]), .Y (n_13196));
+  sky130_fd_sc_hd__nor2_1 g323925(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[14]), .B (n_11593), .Y
+       (n_13195));
+  sky130_fd_sc_hd__nand2_1 g323926(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .Y (n_13194));
+  sky130_fd_sc_hd__nand2_1 g323927(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .Y (n_13193));
+  sky130_fd_sc_hd__nand2_1 g323928(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_13192));
+  sky130_fd_sc_hd__nand2_1 g323929(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[31]), .Y (n_13191));
+  sky130_fd_sc_hd__nor2_1 g323930(.A (n_11366), .B (n_13106), .Y
+       (n_13190));
+  sky130_fd_sc_hd__nor2_1 g323931(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[16]), .B (n_11593), .Y
+       (n_13189));
+  sky130_fd_sc_hd__nand2_1 g323932(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[21]), .Y (n_13188));
+  sky130_fd_sc_hd__nor2_1 g323933(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[19]), .B (n_11593), .Y
+       (n_13187));
+  sky130_fd_sc_hd__nand2_1 g323934(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[27]), .Y (n_13186));
+  sky130_fd_sc_hd__nand2_1 g323935(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[28]), .Y (n_13185));
+  sky130_fd_sc_hd__nand2_1 g323936(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[13]), .Y (n_13184));
+  sky130_fd_sc_hd__nand2_1 g323937(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .Y (n_13183));
+  sky130_fd_sc_hd__nand2_1 g323938(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[9]), .Y (n_13182));
+  sky130_fd_sc_hd__nand2_1 g323939(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[8]), .Y (n_13181));
+  sky130_fd_sc_hd__nand2_1 g323940(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .Y (n_13180));
+  sky130_fd_sc_hd__nand2_1 g323941(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .Y (n_13179));
+  sky130_fd_sc_hd__nand2_1 g323942(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .Y (n_13178));
+  sky130_fd_sc_hd__nor2_1 g323943(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[15]), .B (n_11593), .Y
+       (n_13177));
+  sky130_fd_sc_hd__nor2_1 g323944(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[30]), .B (n_11593), .Y
+       (n_13176));
+  sky130_fd_sc_hd__nand2_1 g323945(.A (n_11745), .B (n_15943), .Y
+       (n_13175));
+  sky130_fd_sc_hd__nor2_1 g323946(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[12]), .B (n_11593), .Y
+       (n_13174));
+  sky130_fd_sc_hd__nor2_1 g323947(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[8]), .B (n_11593), .Y
+       (n_13173));
+  sky130_fd_sc_hd__nand2_1 g323948(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[18]), .Y (n_13172));
+  sky130_fd_sc_hd__nand2_1 g323949(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[24]), .Y (n_13171));
+  sky130_fd_sc_hd__nand2_1 g323950(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[23]), .Y (n_13170));
+  sky130_fd_sc_hd__nand2_1 g323951(.A (n_11745), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[22]), .Y (n_13169));
+  sky130_fd_sc_hd__a21oi_1 g323952(.A1 (n_13093), .A2 (n_11152), .B1
+       (n_11330), .Y (n_13168));
+  sky130_fd_sc_hd__nor3_1 g323953(.A (n_11316), .B (n_11362), .C
+       (n_13108), .Y (n_13167));
+  sky130_fd_sc_hd__a21oi_1 g323954(.A1 (n_13102), .A2 (n_11152), .B1
+       (n_11340), .Y (n_13166));
+  sky130_fd_sc_hd__a21oi_1 g323955(.A1 (n_13101), .A2 (n_11152), .B1
+       (n_11324), .Y (n_13165));
+  sky130_fd_sc_hd__a21oi_1 g323956(.A1 (n_13100), .A2 (n_11152), .B1
+       (n_11335), .Y (n_13164));
+  sky130_fd_sc_hd__a21oi_1 g323957(.A1 (n_13099), .A2 (n_11152), .B1
+       (n_11333), .Y (n_13163));
+  sky130_fd_sc_hd__a21oi_1 g323958(.A1 (n_13103), .A2 (n_11207), .B1
+       (n_11331), .Y (n_13162));
+  sky130_fd_sc_hd__a21oi_1 g323959(.A1 (n_11393), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [5]), .B1 (n_13107), .Y (n_13161));
+  sky130_fd_sc_hd__a21oi_1 g323960(.A1 (n_13098), .A2 (n_11152), .B1
+       (n_11321), .Y (n_13160));
+  sky130_fd_sc_hd__a21oi_1 g323961(.A1 (n_13097), .A2 (n_11152), .B1
+       (n_11328), .Y (n_13159));
+  sky130_fd_sc_hd__a21oi_1 g323962(.A1 (n_13089), .A2 (n_11152), .B1
+       (n_11342), .Y (n_13158));
+  sky130_fd_sc_hd__a21oi_1 g323963(.A1 (n_13094), .A2 (n_11152), .B1
+       (n_11322), .Y (n_13157));
+  sky130_fd_sc_hd__nand2_1 g323964(.A (n_13115), .B (n_12783), .Y
+       (n_13498));
+  sky130_fd_sc_hd__inv_2 g323965(.A (n_619), .Y (n_13515));
+  sky130_fd_sc_hd__inv_2 g323966(.A (n_638), .Y (n_13514));
+  sky130_fd_sc_hd__inv_2 g323967(.A (n_130), .Y (n_13511));
+  sky130_fd_sc_hd__inv_2 g323968(.A (n_625), .Y (n_13510));
+  sky130_fd_sc_hd__inv_2 g323969(.A (n_616), .Y (n_13509));
+  sky130_fd_sc_hd__inv_2 g323970(.A (n_135), .Y (n_13508));
+  sky130_fd_sc_hd__inv_2 g323971(.A (n_113), .Y (n_13507));
+  sky130_fd_sc_hd__inv_2 g323972(.A (n_13134), .Y (n_13506));
+  sky130_fd_sc_hd__inv_2 g323973(.A (n_116), .Y (n_13503));
+  sky130_fd_sc_hd__inv_2 g323974(.A (n_109), .Y (n_13502));
+  sky130_fd_sc_hd__inv_2 g323975(.A (n_112), .Y (n_13500));
+  sky130_fd_sc_hd__inv_2 g323976(.A (n_620), .Y (n_13518));
+  sky130_fd_sc_hd__inv_2 g323977(.A (n_639), .Y (n_13524));
+  sky130_fd_sc_hd__inv_2 g323978(.A (n_141), .Y (n_13526));
+  sky130_fd_sc_hd__a21oi_1 g323979(.A1 (n_13090), .A2 (n_11207), .B1
+       (n_11320), .Y (n_13155));
+  sky130_fd_sc_hd__a21oi_1 g323980(.A1 (n_13092), .A2 (n_11152), .B1
+       (n_11317), .Y (n_13154));
+  sky130_fd_sc_hd__a21oi_1 g323981(.A1 (n_13091), .A2 (n_11152), .B1
+       (n_11336), .Y (n_13153));
+  sky130_fd_sc_hd__a21oi_1 g323982(.A1 (n_11312), .A2 (n_11104), .B1
+       (n_13105), .Y (n_13152));
+  sky130_fd_sc_hd__o22ai_1 g323983(.A1 (n_11151), .A2 (n_13420), .B1
+       (n_11208), .B2 (n_13387), .Y (n_13151));
+  sky130_fd_sc_hd__o21a_1 g323984(.A1 (n_11151), .A2 (n_13428), .B1
+       (n_13120), .X (n_13150));
+  sky130_fd_sc_hd__o21a_1 g323985(.A1 (n_11208), .A2 (n_13412), .B1
+       (n_13124), .X (n_13149));
+  sky130_fd_sc_hd__o21a_1 g323986(.A1 (n_11208), .A2 (n_13401), .B1
+       (n_13113), .X (n_13148));
+  sky130_fd_sc_hd__a21oi_1 g323987(.A1 (n_11393), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [2]), .B1 (n_13127), .Y (n_13147));
+  sky130_fd_sc_hd__o22ai_1 g323988(.A1 (n_11151), .A2 (n_13422), .B1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [5]), .B2 (n_11259), .Y (n_13146));
+  sky130_fd_sc_hd__o21a_1 g323989(.A1 (n_11208), .A2 (n_13390), .B1
+       (n_13117), .X (n_13145));
+  sky130_fd_sc_hd__o22ai_1 g323990(.A1 (n_11151), .A2 (n_13424), .B1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [7]), .B2 (n_11259), .Y (n_13144));
+  sky130_fd_sc_hd__o21a_1 g323991(.A1 (n_11151), .A2 (n_13425), .B1
+       (n_13118), .X (n_13143));
+  sky130_fd_sc_hd__o21a_1 g323992(.A1 (n_11208), .A2 (n_13393), .B1
+       (n_13119), .X (n_13142));
+  sky130_fd_sc_hd__o21ai_1 g323993(.A1 (n_11626), .A2 (n_13100), .B1
+       (n_11900), .Y (n_13516));
+  sky130_fd_sc_hd__a222oi_1 g323994(.A1 (u_soc_u_top_u_core_pc_id[20]),
+       .A2 (n_15862), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[20]), .C1 (n_13437), .C2
+       (n_11625), .Y (n_619));
+  sky130_fd_sc_hd__a222oi_1 g323995(.A1 (u_soc_u_top_u_core_pc_id[19]),
+       .A2 (n_15862), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[19]), .C1 (n_13436), .C2
+       (n_11625), .Y (n_638));
+  sky130_fd_sc_hd__o21ai_1 g323996(.A1 (n_11626), .A2 (n_13097), .B1
+       (n_11899), .Y (n_13513));
+  sky130_fd_sc_hd__a222oi_1 g323997(.A1 (u_soc_u_top_u_core_pc_id[16]),
+       .A2 (n_15862), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[16]), .C1 (n_13433), .C2
+       (n_11625), .Y (n_130));
+  sky130_fd_sc_hd__a222oi_1 g323998(.A1 (u_soc_u_top_u_core_pc_id[15]),
+       .A2 (n_15862), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[15]), .C1 (n_13432), .C2
+       (n_11625), .Y (n_625));
+  sky130_fd_sc_hd__a222oi_1 g323999(.A1 (u_soc_u_top_u_core_pc_id[14]),
+       .A2 (n_15862), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[14]), .C1 (n_13431), .C2
+       (n_11625), .Y (n_616));
+  sky130_fd_sc_hd__a222oi_1 g324000(.A1 (u_soc_u_top_u_core_pc_id[13]),
+       .A2 (n_15862), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[13]), .C1 (n_13430), .C2
+       (n_11625), .Y (n_135));
+  sky130_fd_sc_hd__a222oi_1 g324001(.A1 (u_soc_u_top_u_core_pc_id[12]),
+       .A2 (n_15862), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[12]), .C1 (n_13429), .C2
+       (n_11625), .Y (n_113));
+  sky130_fd_sc_hd__a222oi_1 g324002(.A1 (u_soc_u_top_u_core_pc_id[11]),
+       .A2 (n_15862), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[11]), .C1 (n_13428), .C2
+       (n_11625), .Y (n_13134));
+  sky130_fd_sc_hd__o21ai_1 g324003(.A1 (n_11626), .A2 (n_13101), .B1
+       (n_11896), .Y (n_13505));
+  sky130_fd_sc_hd__a222oi_1 g324004(.A1 (u_soc_u_top_u_core_pc_id[8]),
+       .A2 (n_15862), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[8]), .C1 (n_13425), .C2
+       (n_11625), .Y (n_116));
+  sky130_fd_sc_hd__a222oi_1 g324005(.A1 (u_soc_u_top_u_core_pc_id[7]),
+       .A2 (n_15862), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[7]), .C1 (n_13424), .C2
+       (n_11625), .Y (n_109));
+  sky130_fd_sc_hd__a222oi_1 g324006(.A1 (u_soc_u_top_u_core_pc_id[5]),
+       .A2 (n_15862), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[5]), .C1 (n_13422), .C2
+       (n_11625), .Y (n_112));
+  sky130_fd_sc_hd__o21ai_1 g324007(.A1 (n_11626), .A2 (n_13102), .B1
+       (n_11901), .Y (n_13517));
+  sky130_fd_sc_hd__a222oi_1 g324008(.A1 (u_soc_u_top_u_core_pc_id[23]),
+       .A2 (n_15862), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[23]), .C1 (n_13440), .C2
+       (n_11625), .Y (n_620));
+  sky130_fd_sc_hd__o21ai_1 g324009(.A1 (n_11626), .A2 (n_13093), .B1
+       (n_11902), .Y (n_13519));
+  sky130_fd_sc_hd__o21ai_1 g324010(.A1 (n_11626), .A2 (n_13094), .B1
+       (n_11903), .Y (n_13520));
+  sky130_fd_sc_hd__o21ai_1 g324011(.A1 (n_11626), .A2 (n_13098), .B1
+       (n_11905), .Y (n_13521));
+  sky130_fd_sc_hd__o21ai_1 g324012(.A1 (n_11626), .A2 (n_13099), .B1
+       (n_11906), .Y (n_13522));
+  sky130_fd_sc_hd__a222oi_1 g324013(.A1 (u_soc_u_top_u_core_pc_id[29]),
+       .A2 (n_15862), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[29]), .C1 (n_13446), .C2
+       (n_11625), .Y (n_639));
+  sky130_fd_sc_hd__a221o_1 g324014(.A1 (n_13409), .A2 (n_11443), .B1
+       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[25]), .C1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[25]));
+  sky130_fd_sc_hd__o221ai_1 g324015(.A1 (n_15881), .A2 (n_13103), .B1
+       (n_11022), .B2 (n_15883), .C1 (n_12260), .Y
+       (u_soc_u_top_u_core_alu_operand_b_ex[20]));
+  sky130_fd_sc_hd__a221o_1 g324016(.A1 (n_13401), .A2 (n_11443), .B1
+       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[17]), .C1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[17]));
+  sky130_fd_sc_hd__a221o_1 g324017(.A1 (n_13413), .A2 (n_11443), .B1
+       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[29]), .C1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[29]));
+  sky130_fd_sc_hd__a221o_1 g324018(.A1 (n_13410), .A2 (n_11443), .B1
+       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[26]), .C1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[26]));
+  sky130_fd_sc_hd__a222oi_1 g324019(.A1 (u_soc_u_top_u_core_pc_id[31]),
+       .A2 (n_15862), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[31]), .C1 (n_13448), .C2
+       (n_11625), .Y (n_141));
+  sky130_fd_sc_hd__inv_2 g324020(.A (n_577), .Y
+       (u_soc_u_top_u_core_alu_operand_b_ex[4]));
+  sky130_fd_sc_hd__a21oi_1 g324021(.A1 (n_13086), .A2 (n_11207), .B1
+       (n_11318), .Y (n_13128));
+  sky130_fd_sc_hd__o22ai_1 g324022(.A1 (n_11208), .A2 (n_13416), .B1
+       (n_11110), .B2 (n_11313), .Y (n_13127));
+  sky130_fd_sc_hd__o221a_1 g324023(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [4]), .A2 (n_16005), .B1
+       (n_11208), .B2 (n_13388), .C1 (n_11369), .X (n_13126));
+  sky130_fd_sc_hd__o221a_1 g324024(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [5]), .A2 (n_16005), .B1
+       (n_11208), .B2 (n_13389), .C1 (n_11392), .X (n_13125));
+  sky130_fd_sc_hd__a21oi_1 g324025(.A1 (n_13087), .A2 (n_11152), .B1
+       (n_11347), .Y (n_13124));
+  sky130_fd_sc_hd__a21oi_1 g324026(.A1 (n_13075), .A2 (n_11207), .B1
+       (n_11353), .Y (n_13123));
+  sky130_fd_sc_hd__a21oi_1 g324027(.A1 (n_13076), .A2 (n_11207), .B1
+       (n_11319), .Y (n_13122));
+  sky130_fd_sc_hd__a21oi_1 g324028(.A1 (n_13077), .A2 (n_11207), .B1
+       (n_11329), .Y (n_13121));
+  sky130_fd_sc_hd__a21oi_1 g324029(.A1 (n_13074), .A2 (n_11207), .B1
+       (n_11341), .Y (n_13120));
+  sky130_fd_sc_hd__a21oi_1 g324030(.A1 (n_13083), .A2 (n_11152), .B1
+       (n_11338), .Y (n_13119));
+  sky130_fd_sc_hd__a21oi_1 g324031(.A1 (n_13085), .A2 (n_11207), .B1
+       (n_11334), .Y (n_13118));
+  sky130_fd_sc_hd__a21oi_1 g324032(.A1 (n_13070), .A2 (n_11152), .B1
+       (n_11337), .Y (n_13117));
+  sky130_fd_sc_hd__a21oi_1 g324033(.A1 (n_13082), .A2 (n_11207), .B1
+       (n_11351), .Y (n_13116));
+  sky130_fd_sc_hd__nand3b_1 g324034(.A_N
+       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q
+       [1]), .B (n_13365), .C
+       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+       .Y (n_13453));
+  sky130_fd_sc_hd__nand2_1 g324035(.A (n_13420), .B (n_11625), .Y
+       (n_13115));
+  sky130_fd_sc_hd__a21oi_1 g324036(.A1 (n_669), .A2 (n_11152), .B1
+       (n_11326), .Y (n_13114));
+  sky130_fd_sc_hd__a21oi_1 g324037(.A1 (n_13088), .A2 (n_11152), .B1
+       (n_11325), .Y (n_13113));
+  sky130_fd_sc_hd__a21oi_1 g324038(.A1 (n_15945), .A2 (n_11152), .B1
+       (n_11339), .Y (n_13112));
+  sky130_fd_sc_hd__a21oi_1 g324039(.A1 (n_13078), .A2 (n_11207), .B1
+       (n_11327), .Y (n_13111));
+  sky130_fd_sc_hd__o21ai_1 g324040(.A1 (n_11626), .A2 (n_13073), .B1
+       (n_12784), .Y (n_13499));
+  sky130_fd_sc_hd__o21ai_1 g324041(.A1 (n_11626), .A2 (n_669), .B1
+       (n_12781), .Y (n_13496));
+  sky130_fd_sc_hd__o21ai_1 g324042(.A1 (n_11626), .A2 (n_13069), .B1
+       (n_12782), .Y (n_13497));
+  sky130_fd_sc_hd__a21o_1 g324043(.A1 (n_13386), .A2 (n_11443), .B1
+       (n_12947), .X (u_soc_u_top_u_core_alu_operand_b_ex[2]));
+  sky130_fd_sc_hd__a221o_1 g324044(.A1 (n_13414), .A2 (n_11443), .B1
+       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[30]), .C1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[30]));
+  sky130_fd_sc_hd__a221o_1 g324045(.A1 (n_13411), .A2 (n_11443), .B1
+       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[27]), .C1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[27]));
+  sky130_fd_sc_hd__a21o_1 g324046(.A1 (n_13395), .A2 (n_11443), .B1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[11]));
+  sky130_fd_sc_hd__a222oi_1 g324047(.A1
+       (u_soc_u_top_u_core_instr_rdata_id[11]), .A2 (n_12263), .B1
+       (n_12261), .B2 (u_soc_u_top_u_core_instr_rdata_id[24]), .C1
+       (n_13388), .C2 (n_11443), .Y (n_577));
+  sky130_fd_sc_hd__o21ai_1 g324049(.A1 (n_15881), .A2 (n_13084), .B1
+       (n_12811), .Y (u_soc_u_top_u_core_alu_operand_b_ex[31]));
+  sky130_fd_sc_hd__inv_2 g324050(.A (n_119), .Y (n_13525));
+  sky130_fd_sc_hd__inv_2 g324051(.A (n_142), .Y
+       (u_soc_u_top_u_core_alu_operand_b_ex[3]));
+  sky130_fd_sc_hd__o22ai_1 g324052(.A1 (n_11151), .A2 (n_13419), .B1
+       (n_11208), .B2 (n_13386), .Y (n_13108));
+  sky130_fd_sc_hd__o22ai_1 g324053(.A1 (n_11151), .A2 (n_13421), .B1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [4]), .B2 (n_11259), .Y (n_13107));
+  sky130_fd_sc_hd__o22ai_1 g324054(.A1 (n_11208), .A2 (n_13391), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [7]), .B2 (n_16005), .Y
+       (n_13106));
+  sky130_fd_sc_hd__o21ai_1 g324055(.A1 (n_11208), .A2 (n_15946), .B1
+       (n_11391), .Y (n_13105));
+  sky130_fd_sc_hd__o21ai_1 g324056(.A1 (n_11626), .A2 (n_13070), .B1
+       (n_11904), .Y (n_13501));
+  sky130_fd_sc_hd__o21ai_1 g324057(.A1 (n_11626), .A2 (n_15945), .B1
+       (n_12310), .Y (n_13495));
+  sky130_fd_sc_hd__a222oi_1 g324058(.A1 (u_soc_u_top_u_core_pc_id[30]),
+       .A2 (n_15862), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[30]), .C1 (n_13447), .C2
+       (n_11625), .Y (n_119));
+  sky130_fd_sc_hd__o21ai_1 g324059(.A1 (n_11626), .A2 (n_13087), .B1
+       (n_11907), .Y (n_13523));
+  sky130_fd_sc_hd__o21ai_1 g324060(.A1 (n_11626), .A2 (n_13088), .B1
+       (n_11898), .Y (n_13512));
+  sky130_fd_sc_hd__o21ai_1 g324061(.A1 (n_11626), .A2 (n_13083), .B1
+       (n_11897), .Y (n_13504));
+  sky130_fd_sc_hd__a221o_1 g324062(.A1 (n_13397), .A2 (n_11443), .B1
+       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[13]), .C1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[13]));
+  sky130_fd_sc_hd__a221o_1 g324063(.A1 (n_13396), .A2 (n_11443), .B1
+       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[12]), .C1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[12]));
+  sky130_fd_sc_hd__a22o_1 g324064(.A1 (n_13394), .A2 (n_11443), .B1
+       (n_11769), .B2 (u_soc_u_top_u_core_instr_rdata_id[30]), .X
+       (u_soc_u_top_u_core_alu_operand_b_ex[10]));
+  sky130_fd_sc_hd__a22o_1 g324065(.A1 (n_13393), .A2 (n_11443), .B1
+       (n_11769), .B2 (u_soc_u_top_u_core_instr_rdata_id[29]), .X
+       (u_soc_u_top_u_core_alu_operand_b_ex[9]));
+  sky130_fd_sc_hd__a22o_1 g324066(.A1 (n_13392), .A2 (n_11443), .B1
+       (n_11769), .B2 (u_soc_u_top_u_core_instr_rdata_id[28]), .X
+       (u_soc_u_top_u_core_alu_operand_b_ex[8]));
+  sky130_fd_sc_hd__a22o_1 g324067(.A1 (n_13391), .A2 (n_11443), .B1
+       (n_11769), .B2 (u_soc_u_top_u_core_instr_rdata_id[27]), .X
+       (u_soc_u_top_u_core_alu_operand_b_ex[7]));
+  sky130_fd_sc_hd__a221o_1 g324068(.A1 (n_13406), .A2 (n_11443), .B1
+       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[22]), .C1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[22]));
+  sky130_fd_sc_hd__a22o_1 g324069(.A1 (n_13390), .A2 (n_11443), .B1
+       (n_11769), .B2 (u_soc_u_top_u_core_instr_rdata_id[26]), .X
+       (u_soc_u_top_u_core_alu_operand_b_ex[6]));
+  sky130_fd_sc_hd__a22o_1 g324070(.A1 (n_13389), .A2 (n_11443), .B1
+       (n_11769), .B2 (u_soc_u_top_u_core_instr_rdata_id[25]), .X
+       (u_soc_u_top_u_core_alu_operand_b_ex[5]));
+  sky130_fd_sc_hd__nand2_8 g324071(.A (n_16008), .B (n_13096), .Y
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]));
+  sky130_fd_sc_hd__a221o_1 g324072(.A1 (n_13398), .A2 (n_11443), .B1
+       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[14]), .C1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[14]));
+  sky130_fd_sc_hd__a221o_1 g324073(.A1 (n_13412), .A2 (n_11443), .B1
+       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[28]), .C1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[28]));
+  sky130_fd_sc_hd__a221o_1 g324074(.A1 (n_13405), .A2 (n_11443), .B1
+       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[21]), .C1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[21]));
+  sky130_fd_sc_hd__a221o_1 g324075(.A1 (n_13403), .A2 (n_11443), .B1
+       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[19]), .C1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[19]));
+  sky130_fd_sc_hd__a221o_1 g324076(.A1 (n_13402), .A2 (n_11443), .B1
+       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[18]), .C1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[18]));
+  sky130_fd_sc_hd__o221ai_1 g324077(.A1 (n_15881), .A2 (n_13078), .B1
+       (n_11032), .B2 (n_15883), .C1 (n_12260), .Y
+       (u_soc_u_top_u_core_alu_operand_b_ex[16]));
+  sky130_fd_sc_hd__a221o_1 g324078(.A1 (n_13399), .A2 (n_11443), .B1
+       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[15]), .C1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[15]));
+  sky130_fd_sc_hd__a221o_1 g324079(.A1 (n_13408), .A2 (n_11443), .B1
+       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[24]), .C1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[24]));
+  sky130_fd_sc_hd__a221o_1 g324080(.A1 (n_13407), .A2 (n_11443), .B1
+       (n_12257), .B2 (u_soc_u_top_u_core_instr_rdata_id[23]), .C1
+       (n_12259), .X (u_soc_u_top_u_core_alu_operand_b_ex[23]));
+  sky130_fd_sc_hd__a222oi_1 g324081(.A1
+       (u_soc_u_top_u_core_instr_rdata_id[10]), .A2 (n_12263), .B1
+       (n_12261), .B2 (u_soc_u_top_u_core_instr_rdata_id[23]), .C1
+       (n_13387), .C2 (n_11443), .Y (n_142));
+  sky130_fd_sc_hd__inv_2 g324082(.A (n_13103), .Y (n_13404));
+  sky130_fd_sc_hd__inv_2 g324083(.A (n_13102), .Y (n_13439));
+  sky130_fd_sc_hd__inv_2 g324084(.A (n_13101), .Y (n_13427));
+  sky130_fd_sc_hd__inv_2 g324085(.A (n_13100), .Y (n_13438));
+  sky130_fd_sc_hd__inv_2 g324086(.A (n_13099), .Y (n_13444));
+  sky130_fd_sc_hd__inv_2 g324087(.A (n_13098), .Y (n_13443));
+  sky130_fd_sc_hd__inv_2 g324088(.A (n_13097), .Y (n_13435));
+  sky130_fd_sc_hd__nand2_2 g324089(.A (n_15946), .B (n_11443), .Y
+       (n_13096));
+  sky130_fd_sc_hd__nand2b_1 g324091(.A_N (n_13335), .B
+       (\u_soc_xbar_to_lsu[d_valid] ), .Y (n_13365));
+  sky130_fd_sc_hd__nand4_1 g324092(.A (n_12847), .B (n_12848), .C
+       (n_12892), .D (n_13002), .Y (n_13422));
+  sky130_fd_sc_hd__nand2_1 g324093(.A (n_13079), .B (n_13001), .Y
+       (n_13420));
+  sky130_fd_sc_hd__nor3_1 g324094(.A (n_12993), .B (n_12994), .C
+       (n_13080), .Y (n_13103));
+  sky130_fd_sc_hd__nand4_1 g324095(.A (n_13081), .B (n_12772), .C
+       (n_12771), .D (n_12836), .Y (n_13428));
+  sky130_fd_sc_hd__nor3_1 g324096(.A (n_12942), .B (n_13047), .C
+       (n_13049), .Y (n_13102));
+  sky130_fd_sc_hd__nor3_1 g324097(.A (n_12941), .B (n_13046), .C
+       (n_13048), .Y (n_13101));
+  sky130_fd_sc_hd__nor3_1 g324098(.A (n_12935), .B (n_13042), .C
+       (n_13043), .Y (n_13100));
+  sky130_fd_sc_hd__nor3_1 g324099(.A (n_12932), .B (n_13038), .C
+       (n_13041), .Y (n_13099));
+  sky130_fd_sc_hd__or4_1 g324100(.A (n_12834), .B (n_12931), .C
+       (n_13039), .D (n_12971), .X (n_13437));
+  sky130_fd_sc_hd__or4_1 g324101(.A (n_12830), .B (n_12925), .C
+       (n_13037), .D (n_12966), .X (n_13436));
+  sky130_fd_sc_hd__nor3_1 g324102(.A (n_12996), .B (n_13031), .C
+       (n_13035), .Y (n_13098));
+  sky130_fd_sc_hd__nor3_1 g324103(.A (n_12918), .B (n_13030), .C
+       (n_13014), .Y (n_13097));
+  sky130_fd_sc_hd__inv_2 g324104(.A (n_13094), .Y (n_13442));
+  sky130_fd_sc_hd__inv_2 g324105(.A (n_13093), .Y (n_13441));
+  sky130_fd_sc_hd__inv_2 g324106(.A (n_13092), .Y (n_13430));
+  sky130_fd_sc_hd__inv_2 g324107(.A (n_13091), .Y (n_13440));
+  sky130_fd_sc_hd__inv_2 g324108(.A (n_13090), .Y (n_13413));
+  sky130_fd_sc_hd__inv_2 g324109(.A (n_13089), .Y (n_13448));
+  sky130_fd_sc_hd__or4_1 g324110(.A (n_12711), .B (n_12862), .C
+       (n_12982), .D (n_13025), .X (n_13433));
+  sky130_fd_sc_hd__nor3_1 g324111(.A (n_12913), .B (n_13026), .C
+       (n_13027), .Y (n_13094));
+  sky130_fd_sc_hd__or4_1 g324112(.A (n_12821), .B (n_12704), .C
+       (n_12957), .D (n_13021), .X (n_13446));
+  sky130_fd_sc_hd__nor3_1 g324113(.A (n_12909), .B (n_13019), .C
+       (n_13020), .Y (n_13093));
+  sky130_fd_sc_hd__or4_1 g324114(.A (n_12819), .B (n_12907), .C
+       (n_13018), .D (n_12955), .X (n_13431));
+  sky130_fd_sc_hd__nor4_1 g324115(.A (n_12818), .B (n_12906), .C
+       (n_13032), .D (n_12952), .Y (n_13092));
+  sky130_fd_sc_hd__nor4_1 g324116(.A (n_12817), .B (n_12903), .C
+       (n_13012), .D (n_12950), .Y (n_13091));
+  sky130_fd_sc_hd__or3_1 g324117(.A (n_12902), .B (n_13011), .C
+       (n_13013), .X (n_13429));
+  sky130_fd_sc_hd__nand3_1 g324118(.A (n_13060), .B (n_12852), .C
+       (n_12999), .Y (n_13424));
+  sky130_fd_sc_hd__or4_1 g324119(.A (n_12991), .B (n_12990), .C
+       (n_12989), .D (n_12998), .X (n_13410));
+  sky130_fd_sc_hd__or4_1 g324120(.A (n_12986), .B (n_12984), .C
+       (n_12843), .D (n_12997), .X (n_13409));
+  sky130_fd_sc_hd__or4_1 g324121(.A (n_12826), .B (n_12987), .C
+       (n_12985), .D (n_13007), .X (n_13401));
+  sky130_fd_sc_hd__nor4_1 g324122(.A (n_12822), .B (n_12983), .C
+       (n_12844), .D (n_13006), .Y (n_13090));
+  sky130_fd_sc_hd__nand4_1 g324123(.A (n_12853), .B (n_13000), .C
+       (n_12854), .D (n_12874), .Y (n_13425));
+  sky130_fd_sc_hd__or4_1 g324124(.A (n_12910), .B (n_12861), .C
+       (n_13023), .D (n_12841), .X (n_13432));
+  sky130_fd_sc_hd__nor4_1 g324125(.A (n_12825), .B (n_12908), .C
+       (n_13010), .D (n_12960), .Y (n_13089));
+  sky130_fd_sc_hd__inv_2 g324126(.A (n_13088), .Y (n_13434));
+  sky130_fd_sc_hd__inv_2 g324127(.A (n_13087), .Y (n_13445));
+  sky130_fd_sc_hd__inv_2 g324128(.A (n_13086), .Y (n_13414));
+  sky130_fd_sc_hd__inv_2 g324129(.A (n_13085), .Y (n_13392));
+  sky130_fd_sc_hd__inv_2 g324130(.A (n_13084), .Y (n_13415));
+  sky130_fd_sc_hd__inv_2 g324131(.A (n_13083), .Y (n_13426));
+  sky130_fd_sc_hd__inv_2 g324132(.A (n_13082), .Y (n_13403));
+  sky130_fd_sc_hd__nor2_1 g324133(.A (n_12945), .B (n_13068), .Y
+       (n_13081));
+  sky130_fd_sc_hd__nand4_1 g324134(.A (n_13008), .B (n_12554), .C
+       (n_12225), .D (n_12552), .Y (n_13080));
+  sky130_fd_sc_hd__nor2_1 g324135(.A (n_12837), .B (n_13065), .Y
+       (n_13079));
+  sky130_fd_sc_hd__nand2_1 g324136(.A (n_13036), .B (n_13054), .Y
+       (n_13387));
+  sky130_fd_sc_hd__or4_1 g324137(.A (n_12831), .B (n_12846), .C
+       (n_12871), .D (n_12968), .X (n_13447));
+  sky130_fd_sc_hd__nand2_1 g324138(.A (n_13005), .B (n_13033), .Y
+       (n_13386));
+  sky130_fd_sc_hd__nor3_1 g324139(.A (n_12842), .B (n_12864), .C
+       (n_13029), .Y (n_13088));
+  sky130_fd_sc_hd__nor3_1 g324140(.A (n_12859), .B (n_12876), .C
+       (n_13009), .Y (n_13087));
+  sky130_fd_sc_hd__nor4_1 g324141(.A (n_12739), .B (n_12745), .C
+       (n_12975), .D (n_13045), .Y (n_13086));
+  sky130_fd_sc_hd__or4_1 g324142(.A (n_12761), .B (n_12937), .C
+       (n_12974), .D (n_12939), .X (n_13411));
+  sky130_fd_sc_hd__nor3_1 g324143(.A (n_12936), .B (n_12976), .C
+       (n_13062), .Y (n_13085));
+  sky130_fd_sc_hd__nand4_1 g324144(.A (n_13061), .B (n_12749), .C
+       (n_12748), .D (n_12835), .Y (n_13391));
+  sky130_fd_sc_hd__nor4_1 g324145(.A (n_12743), .B (n_12758), .C
+       (n_12962), .D (n_13034), .Y (n_13084));
+  sky130_fd_sc_hd__nor3_1 g324146(.A (n_12856), .B (n_12875), .C
+       (n_13044), .Y (n_13083));
+  sky130_fd_sc_hd__or4_1 g324147(.A (n_12742), .B (n_12740), .C
+       (n_12972), .D (n_13040), .X (n_13390));
+  sky130_fd_sc_hd__nand4_1 g324148(.A (n_13058), .B (n_12737), .C
+       (n_12832), .D (n_12735), .Y (n_13389));
+  sky130_fd_sc_hd__nor4_1 g324149(.A (n_12736), .B (n_12928), .C
+       (n_12969), .D (n_12929), .Y (n_13082));
+  sky130_fd_sc_hd__nand2_1 g324150(.A (n_13057), .B (n_13056), .Y
+       (n_13388));
+  sky130_fd_sc_hd__or4_1 g324151(.A (n_12728), .B (n_12921), .C
+       (n_12964), .D (n_12923), .X (n_13402));
+  sky130_fd_sc_hd__nand2_1 g324152(.A (n_13067), .B (n_13004), .Y
+       (n_13416));
+  sky130_fd_sc_hd__inv_2 g324153(.A (n_13078), .Y (n_13400));
+  sky130_fd_sc_hd__inv_2 g324154(.A (n_13077), .Y (n_13399));
+  sky130_fd_sc_hd__inv_2 g324155(.A (n_13076), .Y (n_13398));
+  sky130_fd_sc_hd__inv_2 g324156(.A (n_13075), .Y (n_13396));
+  sky130_fd_sc_hd__inv_2 g324157(.A (n_13074), .Y (n_13395));
+  sky130_fd_sc_hd__inv_2 g324158(.A (n_13073), .Y (n_13421));
+  sky130_fd_sc_hd__inv_2 g324159(.A (n_669), .Y (n_13418));
+  sky130_fd_sc_hd__inv_2 g324160(.A (n_15945), .Y (n_13417));
+  sky130_fd_sc_hd__inv_2 g324161(.A (n_13070), .Y (n_13423));
+  sky130_fd_sc_hd__inv_2 g324162(.A (n_13069), .Y (n_13419));
+  sky130_fd_sc_hd__mux4_2 g324163(.A0 (\u_soc_dccm_to_xbar[d_valid] ),
+       .A1 (\u_soc_tcam_to_xbar[d_valid] ), .A2
+       (\u_soc_uart_to_xbar[d_valid] ), .A3
+       (\u_soc_main_swith_host_lsu_tl_u_i[3][d_valid] ), .S0
+       (u_soc_main_swith_host_lsu_dev_select_outstanding[0]), .S1
+       (u_soc_main_swith_host_lsu_dev_select_outstanding[1]), .X
+       (\u_soc_xbar_to_lsu[d_valid] ));
+  sky130_fd_sc_hd__nor3_1 g324164(.A (n_12914), .B (n_12961), .C
+       (n_13051), .Y (n_13078));
+  sky130_fd_sc_hd__nor4_1 g324165(.A (n_12911), .B (n_12709), .C
+       (n_12958), .D (n_12912), .Y (n_13077));
+  sky130_fd_sc_hd__or4_1 g324166(.A (n_12706), .B (n_12705), .C
+       (n_12959), .D (n_13024), .X (n_13408));
+  sky130_fd_sc_hd__nor4_1 g324167(.A (n_12703), .B (n_12701), .C
+       (n_12956), .D (n_13022), .Y (n_13076));
+  sky130_fd_sc_hd__or4_1 g324168(.A (n_12698), .B (n_12697), .C
+       (n_12954), .D (n_13017), .X (n_13397));
+  sky130_fd_sc_hd__or4_1 g324169(.A (n_12694), .B (n_12696), .C
+       (n_12953), .D (n_13016), .X (n_13407));
+  sky130_fd_sc_hd__or4_1 g324170(.A (n_12690), .B (n_12692), .C
+       (n_12951), .D (n_13015), .X (n_13412));
+  sky130_fd_sc_hd__nor4_1 g324171(.A (n_12693), .B (n_12904), .C
+       (n_12949), .D (n_12905), .Y (n_13075));
+  sky130_fd_sc_hd__nor4_1 g324172(.A (n_12687), .B (n_12899), .C
+       (n_12948), .D (n_12901), .Y (n_13074));
+  sky130_fd_sc_hd__or4_1 g324173(.A (n_12686), .B (n_12944), .C
+       (n_12980), .D (n_12900), .X (n_13406));
+  sky130_fd_sc_hd__nor3_1 g324174(.A (n_12988), .B (n_12924), .C
+       (n_13055), .Y (n_13073));
+  sky130_fd_sc_hd__and3_1 g324175(.A (n_13052), .B (n_12887), .C
+       (n_12915), .X (n_669));
+  sky130_fd_sc_hd__nand4_1 g324177(.A (n_13064), .B (n_12860), .C
+       (n_12594), .D (n_12768), .Y (n_13394));
+  sky130_fd_sc_hd__nand4_1 g324178(.A (n_13063), .B (n_12857), .C
+       (n_12583), .D (n_12762), .Y (n_13393));
+  sky130_fd_sc_hd__or4_1 g324179(.A (n_12938), .B (n_12995), .C
+       (n_12858), .D (n_12977), .X (n_13405));
+  sky130_fd_sc_hd__nor3_1 g324180(.A (n_12872), .B (n_12849), .C
+       (n_13059), .Y (n_13070));
+  sky130_fd_sc_hd__nor3_1 g324181(.A (n_13053), .B (n_12916), .C
+       (n_12917), .Y (n_13069));
+  sky130_fd_sc_hd__nand4_1 g324183(.A (n_12898), .B (n_12407), .C
+       (n_11889), .D (n_12113), .Y (n_13068));
+  sky130_fd_sc_hd__nor2_1 g324184(.A (n_12888), .B (n_12867), .Y
+       (n_13067));
+  sky130_fd_sc_hd__nand3_1 g324186(.A (n_15953), .B (n_12724), .C
+       (n_12503), .Y (n_13065));
+  sky130_fd_sc_hd__nor2_1 g324187(.A (n_12943), .B (n_12979), .Y
+       (n_13064));
+  sky130_fd_sc_hd__nor2_1 g324188(.A (n_12940), .B (n_12978), .Y
+       (n_13063));
+  sky130_fd_sc_hd__nand4_1 g324189(.A (n_12755), .B (n_12754), .C
+       (n_12238), .D (n_12571), .Y (n_13062));
+  sky130_fd_sc_hd__nor2_1 g324190(.A (n_12934), .B (n_12973), .Y
+       (n_13061));
+  sky130_fd_sc_hd__nor2_1 g324191(.A (n_12873), .B (n_12933), .Y
+       (n_13060));
+  sky130_fd_sc_hd__nand4_1 g324192(.A (n_12850), .B (n_12833), .C
+       (n_11884), .D (n_12065), .Y (n_13059));
+  sky130_fd_sc_hd__nor2_1 g324193(.A (n_12930), .B (n_12970), .Y
+       (n_13058));
+  sky130_fd_sc_hd__nor2_1 g324194(.A (n_12732), .B (n_12927), .Y
+       (n_13057));
+  sky130_fd_sc_hd__nor2_1 g324195(.A (n_12926), .B (n_12967), .Y
+       (n_13056));
+  sky130_fd_sc_hd__nand4_1 g324196(.A (n_12730), .B (n_12729), .C
+       (n_12201), .D (n_12519), .Y (n_13055));
+  sky130_fd_sc_hd__nor2_1 g324197(.A (n_12922), .B (n_12965), .Y
+       (n_13054));
+  sky130_fd_sc_hd__nand4_1 g324198(.A (n_12869), .B (n_12337), .C
+       (n_11699), .D (n_12024), .Y (n_13053));
+  sky130_fd_sc_hd__nor2_1 g324199(.A (n_12759), .B (n_12866), .Y
+       (n_13052));
+  sky130_fd_sc_hd__nand4_1 g324200(.A (n_12715), .B (n_12714), .C
+       (n_12160), .D (n_12462), .Y (n_13051));
+  sky130_fd_sc_hd__nand4_1 g324202(.A (n_12766), .B (n_12765), .C
+       (n_12251), .D (n_12592), .Y (n_13049));
+  sky130_fd_sc_hd__nand4_1 g324203(.A (n_12764), .B (n_12763), .C
+       (n_12249), .D (n_12590), .Y (n_13048));
+  sky130_fd_sc_hd__nand4_1 g324204(.A (n_12897), .B (n_12399), .C
+       (n_11876), .D (n_12101), .Y (n_13047));
+  sky130_fd_sc_hd__nand4_1 g324205(.A (n_12896), .B (n_12398), .C
+       (n_11874), .D (n_12100), .Y (n_13046));
+  sky130_fd_sc_hd__nand4_1 g324206(.A (n_12816), .B (n_12575), .C
+       (n_12235), .D (n_12566), .Y (n_13045));
+  sky130_fd_sc_hd__nand4_1 g324207(.A (n_12757), .B (n_12756), .C
+       (n_12241), .D (n_12576), .Y (n_13044));
+  sky130_fd_sc_hd__nand4_1 g324208(.A (n_12753), .B (n_12752), .C
+       (n_12237), .D (n_12568), .Y (n_13043));
+  sky130_fd_sc_hd__nand4_1 g324209(.A (n_12895), .B (n_12382), .C
+       (n_11852), .D (n_12081), .Y (n_13042));
+  sky130_fd_sc_hd__nand4_1 g324210(.A (n_12746), .B (n_12744), .C
+       (n_12226), .D (n_12551), .Y (n_13041));
+  sky130_fd_sc_hd__nand4_1 g324211(.A (n_12815), .B (n_12547), .C
+       (n_12218), .D (n_12546), .Y (n_13040));
+  sky130_fd_sc_hd__nand4_1 g324212(.A (n_12893), .B (n_12364), .C
+       (n_11702), .D (n_12061), .Y (n_13039));
+  sky130_fd_sc_hd__nand4_1 g324213(.A (n_12894), .B (n_12367), .C
+       (n_11832), .D (n_12062), .Y (n_13038));
+  sky130_fd_sc_hd__nand4_1 g324214(.A (n_12891), .B (n_12351), .C
+       (n_11893), .D (n_12041), .Y (n_13037));
+  sky130_fd_sc_hd__nor2_1 g324215(.A (n_12799), .B (n_12946), .Y
+       (n_13036));
+  sky130_fd_sc_hd__nand4_1 g324216(.A (n_12725), .B (n_12723), .C
+       (n_12195), .D (n_12507), .Y (n_13035));
+  sky130_fd_sc_hd__nand4_1 g324217(.A (n_12814), .B (n_12582), .C
+       (n_12205), .D (n_12509), .Y (n_13034));
+  sky130_fd_sc_hd__nor2_1 g324218(.A (n_12829), .B (n_12963), .Y
+       (n_13033));
+  sky130_fd_sc_hd__nand4_1 g324219(.A (n_12880), .B (n_12280), .C
+       (n_11692), .D (n_11962), .Y (n_13032));
+  sky130_fd_sc_hd__nand4_1 g324220(.A (n_12890), .B (n_12336), .C
+       (n_11738), .D (n_12022), .Y (n_13031));
+  sky130_fd_sc_hd__nand4_1 g324221(.A (n_12889), .B (n_12334), .C
+       (n_11796), .D (n_12021), .Y (n_13030));
+  sky130_fd_sc_hd__nand4_1 g324222(.A (n_12717), .B (n_12716), .C
+       (n_12167), .D (n_12473), .Y (n_13029));
+  sky130_fd_sc_hd__a211oi_1 g324223(.A1 (n_11762), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [0]), .B1 (n_15951), .C1 (n_12865), .Y (n_13028));
+  sky130_fd_sc_hd__nand4_1 g324224(.A (n_12713), .B (n_12712), .C
+       (n_12158), .D (n_12459), .Y (n_13027));
+  sky130_fd_sc_hd__nand4_1 g324225(.A (n_12886), .B (n_12313), .C
+       (n_11732), .D (n_11993), .Y (n_13026));
+  sky130_fd_sc_hd__nand4_1 g324226(.A (n_12451), .B (n_12885), .C
+       (n_12153), .D (n_12450), .Y (n_13025));
+  sky130_fd_sc_hd__nand4_1 g324227(.A (n_12810), .B (n_12448), .C
+       (n_12151), .D (n_12446), .Y (n_13024));
+  sky130_fd_sc_hd__nand4_1 g324228(.A (n_12884), .B (n_12302), .C
+       (n_11721), .D (n_11983), .Y (n_13023));
+  sky130_fd_sc_hd__nand4_1 g324229(.A (n_12809), .B (n_12441), .C
+       (n_12145), .D (n_12440), .Y (n_13022));
+  sky130_fd_sc_hd__nand4_1 g324230(.A (n_12883), .B (n_12438), .C
+       (n_12142), .D (n_12436), .Y (n_13021));
+  sky130_fd_sc_hd__nand4_1 g324231(.A (n_12702), .B (n_12700), .C
+       (n_12144), .D (n_12439), .Y (n_13020));
+  sky130_fd_sc_hd__nand4_1 g324232(.A (n_12882), .B (n_12294), .C
+       (n_11703), .D (n_11970), .Y (n_13019));
+  sky130_fd_sc_hd__nand4_1 g324233(.A (n_12881), .B (n_12293), .C
+       (n_11704), .D (n_11971), .Y (n_13018));
+  sky130_fd_sc_hd__nand4_1 g324234(.A (n_12808), .B (n_12430), .C
+       (n_12139), .D (n_12429), .Y (n_13017));
+  sky130_fd_sc_hd__nand4_1 g324235(.A (n_12807), .B (n_12428), .C
+       (n_12138), .D (n_12427), .Y (n_13016));
+  sky130_fd_sc_hd__nand4_1 g324236(.A (n_12806), .B (n_12426), .C
+       (n_12137), .D (n_12424), .Y (n_13015));
+  sky130_fd_sc_hd__nand4_1 g324237(.A (n_12722), .B (n_12721), .C
+       (n_12187), .D (n_12497), .Y (n_13014));
+  sky130_fd_sc_hd__nand4_1 g324238(.A (n_12689), .B (n_12688), .C
+       (n_12130), .D (n_12416), .Y (n_13013));
+  sky130_fd_sc_hd__nand4_1 g324239(.A (n_12878), .B (n_12272), .C
+       (n_11681), .D (n_11951), .Y (n_13012));
+  sky130_fd_sc_hd__nand4_1 g324240(.A (n_12877), .B (n_12271), .C
+       (n_11682), .D (n_11952), .Y (n_13011));
+  sky130_fd_sc_hd__nand4_1 g324241(.A (n_12879), .B (n_12404), .C
+       (n_11888), .D (n_12108), .Y (n_13010));
+  sky130_fd_sc_hd__nand4_1 g324242(.A (n_12770), .B (n_12767), .C
+       (n_12254), .D (n_12598), .Y (n_13009));
+  sky130_fd_sc_hd__a21oi_1 g324243(.A1 (n_15860), .A2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[20]), .B1 (n_12992), .Y
+       (n_13008));
+  sky130_fd_sc_hd__nand4_1 g324244(.A (n_12719), .B (n_12477), .C
+       (n_11785), .D (n_12479), .Y (n_13007));
+  sky130_fd_sc_hd__nand4_1 g324245(.A (n_12710), .B (n_12455), .C
+       (n_11735), .D (n_12457), .Y (n_13006));
+  sky130_fd_sc_hd__nor3_1 g324246(.A (n_15948), .B (n_12805), .C
+       (n_12870), .Y (n_13005));
+  sky130_fd_sc_hd__nor2_1 g324247(.A (n_12868), .B (n_12845), .Y
+       (n_13004));
+  sky130_fd_sc_hd__and3_1 g324249(.A (n_12528), .B (n_12684), .C
+       (n_12526), .X (n_13002));
+  sky130_fd_sc_hd__nor2_1 g324250(.A (n_12685), .B (n_12920), .Y
+       (n_13001));
+  sky130_fd_sc_hd__a221oi_1 g324251(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [8]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [8]), .C1 (n_12855), .Y (n_13000));
+  sky130_fd_sc_hd__a221oi_1 g324252(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [7]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [7]), .C1 (n_12851), .Y (n_12999));
+  sky130_fd_sc_hd__nand4_1 g324253(.A (n_12516), .B (n_12513), .C
+       (n_12200), .D (n_12198), .Y (n_12998));
+  sky130_fd_sc_hd__nand4_1 g324254(.A (n_12467), .B (n_12463), .C
+       (n_12163), .D (n_12161), .Y (n_12997));
+  sky130_fd_sc_hd__nand3_1 g324255(.A (n_12495), .B (n_12493), .C
+       (n_12184), .Y (n_12996));
+  sky130_fd_sc_hd__nand4_1 g324257(.A (n_12580), .B (n_12094), .C
+       (n_11868), .D (n_12243), .Y (n_12995));
+  sky130_fd_sc_hd__nand4_1 g324258(.A (n_12559), .B (n_12120), .C
+       (n_11847), .D (n_12230), .Y (n_12994));
+  sky130_fd_sc_hd__nand4_1 g324259(.A (n_12555), .B (n_12124), .C
+       (n_11845), .D (n_12227), .Y (n_12993));
+  sky130_fd_sc_hd__nand4_1 g324260(.A (n_12548), .B (n_12221), .C
+       (n_11839), .D (n_12073), .Y (n_12992));
+  sky130_fd_sc_hd__nand4_1 g324261(.A (n_12535), .B (n_12055), .C
+       (n_11824), .D (n_12211), .Y (n_12991));
+  sky130_fd_sc_hd__nand4_1 g324262(.A (n_12529), .B (n_12208), .C
+       (n_11817), .D (n_12051), .Y (n_12990));
+  sky130_fd_sc_hd__nand4_1 g324263(.A (n_12522), .B (n_12044), .C
+       (n_11853), .D (n_12203), .Y (n_12989));
+  sky130_fd_sc_hd__nand4_1 g324264(.A (n_12515), .B (n_12040), .C
+       (n_11809), .D (n_12675), .Y (n_12988));
+  sky130_fd_sc_hd__nand4_1 g324265(.A (n_12487), .B (n_12179), .C
+       (n_11842), .D (n_12020), .Y (n_12987));
+  sky130_fd_sc_hd__nand4_1 g324266(.A (n_12484), .B (n_12016), .C
+       (n_11786), .D (n_12175), .Y (n_12986));
+  sky130_fd_sc_hd__nand4_1 g324267(.A (n_12483), .B (n_12176), .C
+       (n_11788), .D (n_12018), .Y (n_12985));
+  sky130_fd_sc_hd__nand4_1 g324268(.A (n_12665), .B (n_12008), .C
+       (n_11772), .D (n_12166), .Y (n_12984));
+  sky130_fd_sc_hd__nand4_1 g324269(.A (n_12472), .B (n_12002), .C
+       (n_11875), .D (n_12165), .Y (n_12983));
+  sky130_fd_sc_hd__nand4_1 g324270(.A (n_12453), .B (n_11995), .C
+       (n_11728), .D (n_12155), .Y (n_12982));
+  sky130_fd_sc_hd__nand4_1 g324271(.A (n_11919), .B (n_11918), .C
+       (n_12321), .D (n_11917), .Y (n_12981));
+  sky130_fd_sc_hd__nand4_1 g324272(.A (n_12804), .B (n_12406), .C
+       (n_11887), .D (n_12112), .Y (n_12980));
+  sky130_fd_sc_hd__nand4_1 g324273(.A (n_12803), .B (n_12401), .C
+       (n_11881), .D (n_12106), .Y (n_12979));
+  sky130_fd_sc_hd__nand4_1 g324274(.A (n_12802), .B (n_12392), .C
+       (n_11869), .D (n_12095), .Y (n_12978));
+  sky130_fd_sc_hd__nand4_1 g324275(.A (n_12801), .B (n_12387), .C
+       (n_11865), .D (n_12090), .Y (n_12977));
+  sky130_fd_sc_hd__nand4_1 g324276(.A (n_12800), .B (n_12386), .C
+       (n_11860), .D (n_12085), .Y (n_12976));
+  sky130_fd_sc_hd__nand4_1 g324277(.A (n_12798), .B (n_12381), .C
+       (n_11674), .D (n_12255), .Y (n_12975));
+  sky130_fd_sc_hd__nand4_1 g324278(.A (n_12838), .B (n_12383), .C
+       (n_11851), .D (n_12080), .Y (n_12974));
+  sky130_fd_sc_hd__nand4_1 g324279(.A (n_12797), .B (n_12380), .C
+       (n_11846), .D (n_12123), .Y (n_12973));
+  sky130_fd_sc_hd__nand4_1 g324280(.A (n_12796), .B (n_12372), .C
+       (n_11835), .D (n_12071), .Y (n_12972));
+  sky130_fd_sc_hd__nand4_1 g324281(.A (n_12741), .B (n_12370), .C
+       (n_11834), .D (n_12069), .Y (n_12971));
+  sky130_fd_sc_hd__nand4_1 g324282(.A (n_12795), .B (n_12361), .C
+       (n_11825), .D (n_12056), .Y (n_12970));
+  sky130_fd_sc_hd__nand4_1 g324283(.A (n_12793), .B (n_12357), .C
+       (n_11710), .D (n_12050), .Y (n_12969));
+  sky130_fd_sc_hd__nand4_1 g324284(.A (n_12726), .B (n_12354), .C
+       (n_11816), .D (n_12047), .Y (n_12968));
+  sky130_fd_sc_hd__nand4_1 g324285(.A (n_12792), .B (n_12352), .C
+       (n_11814), .D (n_12046), .Y (n_12967));
+  sky130_fd_sc_hd__nand4_1 g324286(.A (n_12731), .B (n_12353), .C
+       (n_11815), .D (n_12048), .Y (n_12966));
+  sky130_fd_sc_hd__nand4_1 g324287(.A (n_15952), .B (n_12342), .C
+       (n_11805), .D (n_12035), .Y (n_12965));
+  sky130_fd_sc_hd__nand4_1 g324288(.A (n_12790), .B (n_12338), .C
+       (n_11801), .D (n_12030), .Y (n_12964));
+  sky130_fd_sc_hd__nand4_1 g324289(.A (n_15961), .B (n_12629), .C
+       (n_12189), .D (n_12498), .Y (n_12963));
+  sky130_fd_sc_hd__nand4_1 g324290(.A (n_12785), .B (n_12282), .C
+       (n_11677), .D (n_11947), .Y (n_12962));
+  sky130_fd_sc_hd__nand4_1 g324291(.A (n_12786), .B (n_12316), .C
+       (n_11736), .D (n_11998), .Y (n_12961));
+  sky130_fd_sc_hd__nand4_1 g324292(.A (n_12708), .B (n_12315), .C
+       (n_11731), .D (n_11990), .Y (n_12960));
+  sky130_fd_sc_hd__nand4_1 g324293(.A (n_12779), .B (n_12307), .C
+       (n_11726), .D (n_11988), .Y (n_12959));
+  sky130_fd_sc_hd__nand4_1 g324294(.A (n_12308), .B (n_12780), .C
+       (n_11727), .D (n_11989), .Y (n_12958));
+  sky130_fd_sc_hd__nand4_1 g324295(.A (n_12707), .B (n_12306), .C
+       (n_11723), .D (n_11984), .Y (n_12957));
+  sky130_fd_sc_hd__nand4_1 g324296(.A (n_12778), .B (n_12298), .C
+       (n_11716), .D (n_11980), .Y (n_12956));
+  sky130_fd_sc_hd__nand4_1 g324297(.A (n_12699), .B (n_12295), .C
+       (n_11708), .D (n_11973), .Y (n_12955));
+  sky130_fd_sc_hd__nand4_1 g324298(.A (n_12777), .B (n_12288), .C
+       (n_11701), .D (n_11969), .Y (n_12954));
+  sky130_fd_sc_hd__nand4_1 g324299(.A (n_12776), .B (n_12285), .C
+       (n_11697), .D (n_11966), .Y (n_12953));
+  sky130_fd_sc_hd__nand4_1 g324300(.A (n_12695), .B (n_12284), .C
+       (n_11696), .D (n_11965), .Y (n_12952));
+  sky130_fd_sc_hd__nand4_1 g324301(.A (n_12775), .B (n_12279), .C
+       (n_11689), .D (n_11959), .Y (n_12951));
+  sky130_fd_sc_hd__nand4_1 g324302(.A (n_12691), .B (n_12276), .C
+       (n_11688), .D (n_11958), .Y (n_12950));
+  sky130_fd_sc_hd__nand4_1 g324303(.A (n_12774), .B (n_12274), .C
+       (n_11687), .D (n_11957), .Y (n_12949));
+  sky130_fd_sc_hd__nand4_1 g324304(.A (n_12773), .B (n_12266), .C
+       (n_11673), .D (n_11946), .Y (n_12948));
+  sky130_fd_sc_hd__o21ai_1 g324305(.A1 (n_12122), .A2 (n_12261), .B1
+       (n_12683), .Y (n_12947));
+  sky130_fd_sc_hd__nand4_1 g324306(.A (n_12727), .B (n_12347), .C
+       (n_11744), .D (n_12036), .Y (n_12946));
+  sky130_fd_sc_hd__nand3_1 g324307(.A (n_12595), .B (n_12596), .C
+       (n_12252), .Y (n_12945));
+  sky130_fd_sc_hd__nand3_1 g324308(.A (n_12599), .B (n_12597), .C
+       (n_12253), .Y (n_12944));
+  sky130_fd_sc_hd__nand3_1 g324309(.A (n_12591), .B (n_12593), .C
+       (n_12250), .Y (n_12943));
+  sky130_fd_sc_hd__nand3_1 g324310(.A (n_12589), .B (n_12588), .C
+       (n_12247), .Y (n_12942));
+  sky130_fd_sc_hd__nand3_1 g324311(.A (n_12585), .B (n_12584), .C
+       (n_12246), .Y (n_12941));
+  sky130_fd_sc_hd__nand3_1 g324312(.A (n_12581), .B (n_12579), .C
+       (n_12244), .Y (n_12940));
+  sky130_fd_sc_hd__nand4_1 g324313(.A (n_12578), .B (n_12389), .C
+       (n_12242), .D (n_12653), .Y (n_12939));
+  sky130_fd_sc_hd__nand3_1 g324314(.A (n_12574), .B (n_12577), .C
+       (n_12240), .Y (n_12938));
+  sky130_fd_sc_hd__nand3_1 g324315(.A (n_12570), .B (n_12565), .C
+       (n_12234), .Y (n_12937));
+  sky130_fd_sc_hd__nand3_1 g324316(.A (n_12569), .B (n_12567), .C
+       (n_12236), .Y (n_12936));
+  sky130_fd_sc_hd__nand3_1 g324317(.A (n_12563), .B (n_12562), .C
+       (n_12232), .Y (n_12935));
+  sky130_fd_sc_hd__nand3_1 g324318(.A (n_12556), .B (n_12557), .C
+       (n_12228), .Y (n_12934));
+  sky130_fd_sc_hd__nand4_1 g324319(.A (n_12549), .B (n_12375), .C
+       (n_12223), .D (n_12645), .Y (n_12933));
+  sky130_fd_sc_hd__nand3_1 g324320(.A (n_12544), .B (n_12543), .C
+       (n_12216), .Y (n_12932));
+  sky130_fd_sc_hd__nand3_1 g324321(.A (n_12541), .B (n_12542), .C
+       (n_12215), .Y (n_12931));
+  sky130_fd_sc_hd__nand3_1 g324322(.A (n_12536), .B (n_12534), .C
+       (n_12213), .Y (n_12930));
+  sky130_fd_sc_hd__nand4_1 g324323(.A (n_12533), .B (n_12360), .C
+       (n_12212), .D (n_12640), .Y (n_12929));
+  sky130_fd_sc_hd__nand3_1 g324324(.A (n_12532), .B (n_12530), .C
+       (n_12210), .Y (n_12928));
+  sky130_fd_sc_hd__nand4_1 g324325(.A (n_12525), .B (n_12355), .C
+       (n_12207), .D (n_12639), .Y (n_12927));
+  sky130_fd_sc_hd__nand3_1 g324326(.A (n_12523), .B (n_12521), .C
+       (n_12204), .Y (n_12926));
+  sky130_fd_sc_hd__nand3_1 g324327(.A (n_12520), .B (n_12518), .C
+       (n_12202), .Y (n_12925));
+  sky130_fd_sc_hd__nand4_1 g324328(.A (n_12517), .B (n_12350), .C
+       (n_11938), .D (n_12199), .Y (n_12924));
+  sky130_fd_sc_hd__nand4_1 g324329(.A (n_12512), .B (n_12344), .C
+       (n_12197), .D (n_12633), .Y (n_12923));
+  sky130_fd_sc_hd__nand3_1 g324330(.A (n_15960), .B (n_12510), .C
+       (n_12196), .Y (n_12922));
+  sky130_fd_sc_hd__nand3_1 g324331(.A (n_12508), .B (n_12505), .C
+       (n_12193), .Y (n_12921));
+  sky130_fd_sc_hd__nand4_1 g324332(.A (n_12506), .B (n_12341), .C
+       (n_12192), .D (n_12632), .Y (n_12920));
+  sky130_fd_sc_hd__nand4_1 g324333(.A (n_15959), .B (n_12602), .C
+       (n_15977), .D (n_15958), .Y (n_12919));
+  sky130_fd_sc_hd__nand3_1 g324334(.A (n_12492), .B (n_12491), .C
+       (n_12183), .Y (n_12918));
+  sky130_fd_sc_hd__nand4_1 g324335(.A (n_12335), .B (n_15963), .C
+       (n_12168), .D (n_11931), .Y (n_12917));
+  sky130_fd_sc_hd__nand4_1 g324336(.A (n_15964), .B (n_12333), .C
+       (n_12182), .D (n_12624), .Y (n_12916));
+  sky130_fd_sc_hd__nor2_1 g324337(.A (n_15950), .B (n_12718), .Y
+       (n_12915));
+  sky130_fd_sc_hd__nand3_1 g324338(.A (n_12461), .B (n_12458), .C
+       (n_12157), .Y (n_12914));
+  sky130_fd_sc_hd__nand3_1 g324339(.A (n_12454), .B (n_12452), .C
+       (n_12154), .Y (n_12913));
+  sky130_fd_sc_hd__nand4_1 g324340(.A (n_12449), .B (n_12309), .C
+       (n_12152), .D (n_12616), .Y (n_12912));
+  sky130_fd_sc_hd__nand3_1 g324341(.A (n_12447), .B (n_12538), .C
+       (n_12150), .Y (n_12911));
+  sky130_fd_sc_hd__nand3_1 g324342(.A (n_12443), .B (n_12442), .C
+       (n_12146), .Y (n_12910));
+  sky130_fd_sc_hd__nand3_1 g324343(.A (n_12435), .B (n_12434), .C
+       (n_12141), .Y (n_12909));
+  sky130_fd_sc_hd__nand3_1 g324344(.A (n_12431), .B (n_12425), .C
+       (n_12136), .Y (n_12908));
+  sky130_fd_sc_hd__nand3_1 g324345(.A (n_12433), .B (n_12432), .C
+       (n_12140), .Y (n_12907));
+  sky130_fd_sc_hd__nand3_1 g324346(.A (n_12422), .B (n_12421), .C
+       (n_12134), .Y (n_12906));
+  sky130_fd_sc_hd__nand4_1 g324347(.A (n_12420), .B (n_12277), .C
+       (n_12133), .D (n_12609), .Y (n_12905));
+  sky130_fd_sc_hd__nand3_1 g324348(.A (n_12419), .B (n_12417), .C
+       (n_12131), .Y (n_12904));
+  sky130_fd_sc_hd__nand3_1 g324349(.A (n_12415), .B (n_12414), .C
+       (n_12129), .Y (n_12903));
+  sky130_fd_sc_hd__nand3_1 g324350(.A (n_12413), .B (n_12412), .C
+       (n_12128), .Y (n_12902));
+  sky130_fd_sc_hd__nand4_1 g324351(.A (n_12411), .B (n_12268), .C
+       (n_12127), .D (n_12605), .Y (n_12901));
+  sky130_fd_sc_hd__nand4_1 g324352(.A (n_12410), .B (n_12267), .C
+       (n_12126), .D (n_12604), .Y (n_12900));
+  sky130_fd_sc_hd__nand3_1 g324353(.A (n_12408), .B (n_12409), .C
+       (n_12125), .Y (n_12899));
+  sky130_fd_sc_hd__a222oi_1 g324354(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[11]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [11]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [11]), .Y (n_12898));
+  sky130_fd_sc_hd__a222oi_1 g324355(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[22]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [22]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [22]), .Y (n_12897));
+  sky130_fd_sc_hd__a222oi_1 g324356(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[10]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [10]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [10]), .Y (n_12896));
+  sky130_fd_sc_hd__a222oi_1 g324357(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[21]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [21]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [21]), .Y (n_12895));
+  sky130_fd_sc_hd__a222oi_1 g324358(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[27]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [27]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [27]), .Y (n_12894));
+  sky130_fd_sc_hd__a222oi_1 g324359(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[20]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [20]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [20]), .Y (n_12893));
+  sky130_fd_sc_hd__and3_1 g324360(.A (n_12794), .B (n_12531), .C
+       (n_11939), .X (n_12892));
+  sky130_fd_sc_hd__a222oi_1 g324361(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[19]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [19]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [19]), .Y (n_12891));
+  sky130_fd_sc_hd__a222oi_1 g324362(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[26]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [26]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [26]), .Y (n_12890));
+  sky130_fd_sc_hd__a222oi_1 g324363(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[18]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [18]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [18]), .Y (n_12889));
+  sky130_fd_sc_hd__nand4_1 g324364(.A (n_12603), .B (n_12379), .C
+       (n_11787), .D (n_12017), .Y (n_12888));
+  sky130_fd_sc_hd__and3_1 g324365(.A (n_15954), .B (n_12325), .C
+       (n_15970), .X (n_12887));
+  sky130_fd_sc_hd__a222oi_1 g324366(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[25]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [25]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [25]), .Y (n_12886));
+  sky130_fd_sc_hd__a222oi_1 g324367(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[16]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [16]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [16]), .Y (n_12885));
+  sky130_fd_sc_hd__a222oi_1 g324368(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[15]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [15]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [15]), .Y (n_12884));
+  sky130_fd_sc_hd__a222oi_1 g324369(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[29]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [29]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [29]), .Y (n_12883));
+  sky130_fd_sc_hd__a222oi_1 g324370(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[24]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [24]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [24]), .Y (n_12882));
+  sky130_fd_sc_hd__a222oi_1 g324371(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[14]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [14]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [14]), .Y (n_12881));
+  sky130_fd_sc_hd__a222oi_1 g324372(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[13]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [13]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [13]), .Y (n_12880));
+  sky130_fd_sc_hd__a222oi_1 g324373(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[31]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [31]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [31]), .Y (n_12879));
+  sky130_fd_sc_hd__a222oi_1 g324374(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[23]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [23]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [23]), .Y (n_12878));
+  sky130_fd_sc_hd__a222oi_1 g324375(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[12]), .A2 (n_12256), .B1
+       (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [12]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [12]), .Y (n_12877));
+  sky130_fd_sc_hd__nand4_1 g324376(.A (n_12586), .B (n_12587), .C
+       (n_12681), .D (n_12248), .Y (n_12876));
+  sky130_fd_sc_hd__nand4_1 g324377(.A (n_12573), .B (n_12572), .C
+       (n_12680), .D (n_12239), .Y (n_12875));
+  sky130_fd_sc_hd__and4_1 g324378(.A (n_12561), .B (n_12560), .C
+       (n_12231), .D (n_12679), .X (n_12874));
+  sky130_fd_sc_hd__nand3_1 g324379(.A (n_12377), .B (n_12550), .C
+       (n_12678), .Y (n_12873));
+  sky130_fd_sc_hd__nand4_1 g324380(.A (n_12540), .B (n_12539), .C
+       (n_12676), .D (n_12214), .Y (n_12872));
+  sky130_fd_sc_hd__nand4_1 g324381(.A (n_12502), .B (n_12501), .C
+       (n_12674), .D (n_12191), .Y (n_12871));
+  sky130_fd_sc_hd__nand3_1 g324382(.A (n_15962), .B (n_12186), .C
+       (n_12188), .Y (n_12870));
+  sky130_fd_sc_hd__nor2_1 g324383(.A (n_15957), .B (n_15949), .Y
+       (n_12869));
+  sky130_fd_sc_hd__nand3_1 g324384(.A (n_15965), .B (n_12180), .C
+       (n_12181), .Y (n_12868));
+  sky130_fd_sc_hd__nand4_1 g324385(.A (n_15967), .B (n_15968), .C
+       (n_12177), .D (n_12178), .Y (n_12867));
+  sky130_fd_sc_hd__nand4_1 g324386(.A (n_15969), .B (n_15983), .C
+       (n_11675), .D (n_12671), .Y (n_12866));
+  sky130_fd_sc_hd__nand4_1 g324387(.A (n_15971), .B (n_15985), .C
+       (n_11781), .D (n_12677), .Y (n_12865));
+  sky130_fd_sc_hd__nand4_1 g324388(.A (n_12469), .B (n_12464), .C
+       (n_12669), .D (n_12164), .Y (n_12864));
+  sky130_fd_sc_hd__nand4_1 g324389(.A (n_15972), .B (n_15973), .C
+       (n_12245), .D (n_12219), .Y (n_12863));
+  sky130_fd_sc_hd__nand4_1 g324390(.A (n_12456), .B (n_11996), .C
+       (n_11798), .D (n_12156), .Y (n_12862));
+  sky130_fd_sc_hd__nand4_1 g324391(.A (n_12444), .B (n_11987), .C
+       (n_11725), .D (n_12147), .Y (n_12861));
+  sky130_fd_sc_hd__a221oi_1 g324392(.A1 (n_11761), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [10]), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [10]), .C1 (n_12769), .Y (n_12860));
+  sky130_fd_sc_hd__nand4_1 g324393(.A (n_12403), .B (n_12402), .C
+       (n_11880), .D (n_12104), .Y (n_12859));
+  sky130_fd_sc_hd__nand4_1 g324394(.A (n_12397), .B (n_12396), .C
+       (n_11872), .D (n_12097), .Y (n_12858));
+  sky130_fd_sc_hd__a221oi_1 g324395(.A1 (n_15995), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [9]), .B1 (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [9]), .C1 (n_12720), .Y (n_12857));
+  sky130_fd_sc_hd__nand4_1 g324396(.A (n_12390), .B (n_12388), .C
+       (n_11866), .D (n_12091), .Y (n_12856));
+  sky130_fd_sc_hd__nand3_1 g324397(.A (n_12564), .B (n_11941), .C
+       (n_12233), .Y (n_12855));
+  sky130_fd_sc_hd__a221oi_1 g324398(.A1 (n_11757), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [8]), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [8]), .C1 (n_12751), .Y (n_12854));
+  sky130_fd_sc_hd__a221oi_1 g324399(.A1 (n_11756), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [8]), .B1 (n_11516), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [8]), .C1 (n_12750), .Y (n_12853));
+  sky130_fd_sc_hd__a221oi_1 g324400(.A1 (n_11762), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [7]), .B1 (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [7]), .C1 (n_12747), .Y (n_12852));
+  sky130_fd_sc_hd__nand3_1 g324401(.A (n_12553), .B (n_11895), .C
+       (n_12224), .Y (n_12851));
+  sky130_fd_sc_hd__a221oi_1 g324402(.A1 (n_11757), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [6]), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [6]), .C1 (n_12738), .Y (n_12850));
+  sky130_fd_sc_hd__nand4_1 g324403(.A (n_12365), .B (n_12363), .C
+       (n_11705), .D (n_12063), .Y (n_12849));
+  sky130_fd_sc_hd__a221oi_1 g324404(.A1 (n_11757), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [5]), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [5]), .C1 (n_12734), .Y (n_12848));
+  sky130_fd_sc_hd__a221oi_1 g324405(.A1 (n_11756), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [5]), .B1 (n_11516), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [5]), .C1 (n_12733), .Y (n_12847));
+  sky130_fd_sc_hd__nand4_1 g324406(.A (n_12346), .B (n_12345), .C
+       (n_11804), .D (n_12034), .Y (n_12846));
+  sky130_fd_sc_hd__nand4_1 g324407(.A (n_12332), .B (n_15966), .C
+       (n_11791), .D (n_12019), .Y (n_12845));
+  sky130_fd_sc_hd__nand4_1 g324408(.A (n_12331), .B (n_12329), .C
+       (n_11818), .D (n_12011), .Y (n_12844));
+  sky130_fd_sc_hd__nand4_1 g324409(.A (n_12328), .B (n_12327), .C
+       (n_11783), .D (n_12010), .Y (n_12843));
+  sky130_fd_sc_hd__nand4_1 g324410(.A (n_12317), .B (n_12318), .C
+       (n_11743), .D (n_12005), .Y (n_12842));
+  sky130_fd_sc_hd__nand4_1 g324411(.A (n_12304), .B (n_12305), .C
+       (n_11724), .D (n_11986), .Y (n_12841));
+  sky130_fd_sc_hd__nand4_1 g324413(.A (n_15974), .B (n_12600), .C
+       (n_12162), .D (n_15986), .Y (n_12839));
+  sky130_fd_sc_hd__a222oi_1 g324414(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[27]), .A2 (n_15860), .B1
+       (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [27]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [27]), .Y (n_12838));
+  sky130_fd_sc_hd__nand2_1 g324415(.A (n_12504), .B (n_12340), .Y
+       (n_12837));
+  sky130_fd_sc_hd__a221oi_1 g324416(.A1 (n_11757), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [11]), .B1 (n_11756), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [11]), .C1 (n_11944), .Y (n_12836));
+  sky130_fd_sc_hd__a21boi_1 g324417(.A1 (n_11765), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [7]), .B1_N (n_12558), .Y (n_12835));
+  sky130_fd_sc_hd__nand2_1 g324418(.A (n_12545), .B (n_12217), .Y
+       (n_12834));
+  sky130_fd_sc_hd__a221oi_1 g324419(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [6]), .B1 (n_11762), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [6]), .C1 (n_11940), .Y (n_12833));
+  sky130_fd_sc_hd__a21boi_1 g324420(.A1 (n_15995), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [5]), .B1_N (n_12537), .Y (n_12832));
+  sky130_fd_sc_hd__nand2_1 g324421(.A (n_12527), .B (n_12209), .Y
+       (n_12831));
+  sky130_fd_sc_hd__nand2_1 g324422(.A (n_12524), .B (n_12206), .Y
+       (n_12830));
+  sky130_fd_sc_hd__nand2_1 g324423(.A (n_12500), .B (n_12190), .Y
+       (n_12829));
+  sky130_fd_sc_hd__nand2_1 g324426(.A (n_12480), .B (n_12174), .Y
+       (n_12826));
+  sky130_fd_sc_hd__nand2_1 g324427(.A (n_12474), .B (n_12172), .Y
+       (n_12825));
+  sky130_fd_sc_hd__nand2_1 g324430(.A (n_12460), .B (n_12159), .Y
+       (n_12822));
+  sky130_fd_sc_hd__nand2_1 g324431(.A (n_12445), .B (n_12148), .Y
+       (n_12821));
+  sky130_fd_sc_hd__nand2_1 g324433(.A (n_12437), .B (n_12143), .Y
+       (n_12819));
+  sky130_fd_sc_hd__nand2_1 g324434(.A (n_12423), .B (n_12135), .Y
+       (n_12818));
+  sky130_fd_sc_hd__nand2_1 g324435(.A (n_12418), .B (n_12132), .Y
+       (n_12817));
+  sky130_fd_sc_hd__a221oi_1 g324436(.A1 (n_15995), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [30]), .B1 (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [30]), .C1 (n_12391), .Y (n_12816));
+  sky130_fd_sc_hd__a221oi_1 g324437(.A1 (n_15995), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [6]), .B1 (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [6]), .C1 (n_15976), .Y (n_12815));
+  sky130_fd_sc_hd__a221oi_1 g324438(.A1 (n_11761), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [31]), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [31]), .C1 (n_12292), .Y (n_12814));
+  sky130_fd_sc_hd__o21ai_0 g324441(.A1 (n_11769), .A2 (n_12257), .B1
+       (u_soc_u_top_u_core_instr_rdata_id[31]), .Y (n_12811));
+  sky130_fd_sc_hd__a221oi_1 g324442(.A1 (n_11761), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [24]), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [24]), .C1 (n_12400), .Y (n_12810));
+  sky130_fd_sc_hd__a221oi_1 g324443(.A1 (n_11765), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [14]), .B1 (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [14]), .C1 (n_12300), .Y (n_12809));
+  sky130_fd_sc_hd__a221oi_1 g324444(.A1 (n_15995), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [13]), .B1 (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [13]), .C1 (n_12291), .Y (n_12808));
+  sky130_fd_sc_hd__a221oi_1 g324445(.A1 (n_15995), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [23]), .B1 (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [23]), .C1 (n_12290), .Y (n_12807));
+  sky130_fd_sc_hd__a221oi_1 g324446(.A1 (n_15995), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [28]), .B1 (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [28]), .C1 (n_12289), .Y (n_12806));
+  sky130_fd_sc_hd__nand4_1 g324447(.A (n_15981), .B (n_11933), .C
+       (n_11773), .D (n_11932), .Y (n_12805));
+  sky130_fd_sc_hd__a222oi_1 g324448(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[22]), .A2 (n_15860), .B1
+       (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [22]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [22]), .Y (n_12804));
+  sky130_fd_sc_hd__a222oi_1 g324449(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[10]), .A2 (n_15860), .B1
+       (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [10]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [10]), .Y (n_12803));
+  sky130_fd_sc_hd__a222oi_1 g324450(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[9]), .A2 (n_15860), .B1
+       (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [9]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [9]), .Y (n_12802));
+  sky130_fd_sc_hd__a222oi_1 g324451(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[21]), .A2 (n_15860), .B1
+       (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [21]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [21]), .Y (n_12801));
+  sky130_fd_sc_hd__a222oi_1 g324452(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[8]), .A2 (n_15860), .B1
+       (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [8]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [8]), .Y (n_12800));
+  sky130_fd_sc_hd__nand2_1 g324453(.A (n_12514), .B (n_12349), .Y
+       (n_12799));
+  sky130_fd_sc_hd__a222oi_1 g324454(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[30]), .A2 (n_15860), .B1
+       (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [30]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [30]), .Y (n_12798));
+  sky130_fd_sc_hd__a222oi_1 g324455(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[7]), .A2 (n_15860), .B1
+       (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [7]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [7]), .Y (n_12797));
+  sky130_fd_sc_hd__a222oi_1 g324456(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[6]), .A2 (n_15860), .B1
+       (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [6]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [6]), .Y (n_12796));
+  sky130_fd_sc_hd__a222oi_1 g324457(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[5]), .A2 (n_15860), .B1
+       (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [5]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [5]), .Y (n_12795));
+  sky130_fd_sc_hd__a222oi_1 g324458(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [5]), .A2 (n_15861), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [5]), .C1 (n_11762), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [5]), .Y (n_12794));
+  sky130_fd_sc_hd__a222oi_1 g324459(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[19]), .A2 (n_15860), .B1
+       (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [19]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [19]), .Y (n_12793));
+  sky130_fd_sc_hd__a222oi_1 g324460(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[4]), .A2 (n_15860), .B1
+       (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [4]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [4]), .Y (n_12792));
+  sky130_fd_sc_hd__a222oi_1 g324462(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[18]), .A2 (n_15860), .B1
+       (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [18]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [18]), .Y (n_12790));
+  sky130_fd_sc_hd__o41ai_1 g324464(.A1 (n_11140), .A2 (n_11447), .A3
+       (n_11446), .A4 (n_11443), .B1 (n_12672), .Y (n_12788));
+  sky130_fd_sc_hd__a222oi_1 g324466(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[16]), .A2 (n_15860), .B1
+       (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [16]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [16]), .Y (n_12786));
+  sky130_fd_sc_hd__a222oi_1 g324467(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[31]), .A2 (n_15860), .B1
+       (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [31]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [31]), .Y (n_12785));
+  sky130_fd_sc_hd__a222oi_1 g324468(.A1
+       (u_soc_u_top_u_core_instr_rdata_id[19]), .A2 (n_11771), .B1
+       (n_15862), .B2 (u_soc_u_top_u_core_pc_id[4]), .C1 (n_16003), .C2
+       (u_soc_u_top_u_core_lsu_addr_last[4]), .Y (n_12784));
+  sky130_fd_sc_hd__a222oi_1 g324469(.A1
+       (u_soc_u_top_u_core_instr_rdata_id[18]), .A2 (n_11771), .B1
+       (n_15862), .B2 (u_soc_u_top_u_core_pc_id[3]), .C1 (n_16003), .C2
+       (u_soc_u_top_u_core_lsu_addr_last[3]), .Y (n_12783));
+  sky130_fd_sc_hd__a222oi_1 g324470(.A1
+       (u_soc_u_top_u_core_instr_rdata_id[17]), .A2 (n_11771), .B1
+       (n_15862), .B2 (u_soc_u_top_u_core_pc_id[2]), .C1 (n_16003), .C2
+       (u_soc_u_top_u_core_lsu_addr_last[2]), .Y (n_12782));
+  sky130_fd_sc_hd__a21oi_1 g324471(.A1 (n_16003), .A2
+       (u_soc_u_top_u_core_lsu_addr_last[1]), .B1 (n_12311), .Y
+       (n_12781));
+  sky130_fd_sc_hd__a222oi_1 g324472(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[15]), .A2 (n_15860), .B1
+       (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [15]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [15]), .Y (n_12780));
+  sky130_fd_sc_hd__a222oi_1 g324473(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[24]), .A2 (n_15860), .B1
+       (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [24]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [24]), .Y (n_12779));
+  sky130_fd_sc_hd__a222oi_1 g324474(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[14]), .A2 (n_15860), .B1
+       (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [14]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [14]), .Y (n_12778));
+  sky130_fd_sc_hd__a222oi_1 g324475(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[13]), .A2 (n_15860), .B1
+       (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [13]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [13]), .Y (n_12777));
+  sky130_fd_sc_hd__a222oi_1 g324476(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[23]), .A2 (n_15860), .B1
+       (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [23]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [23]), .Y (n_12776));
+  sky130_fd_sc_hd__a222oi_1 g324477(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[28]), .A2 (n_15860), .B1
+       (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [28]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [28]), .Y (n_12775));
+  sky130_fd_sc_hd__a222oi_1 g324478(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[12]), .A2 (n_15860), .B1
+       (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [12]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [12]), .Y (n_12774));
+  sky130_fd_sc_hd__a222oi_1 g324479(.A1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[11]), .A2 (n_15860), .B1
+       (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [11]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [11]), .Y (n_12773));
+  sky130_fd_sc_hd__a221oi_1 g324480(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [11]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [11]), .C1 (n_12663), .Y (n_12772));
+  sky130_fd_sc_hd__a221oi_1 g324481(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [11]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [11]), .C1 (n_12662), .Y (n_12771));
+  sky130_fd_sc_hd__a221oi_1 g324482(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [28]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [28]), .C1 (n_12661), .Y (n_12770));
+  sky130_fd_sc_hd__nand3_1 g324483(.A (n_12405), .B (n_12111), .C
+       (n_11886), .Y (n_12769));
+  sky130_fd_sc_hd__a221oi_1 g324484(.A1 (n_16000), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [10]), .B1 (n_11754), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [10]), .C1 (n_12265), .Y (n_12768));
+  sky130_fd_sc_hd__a221oi_1 g324485(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [28]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [28]), .C1 (n_12660), .Y (n_12767));
+  sky130_fd_sc_hd__a221oi_1 g324486(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [22]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [22]), .C1 (n_12659), .Y (n_12766));
+  sky130_fd_sc_hd__a221oi_1 g324487(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [22]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [22]), .C1 (n_12658), .Y (n_12765));
+  sky130_fd_sc_hd__a221oi_1 g324488(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [10]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [10]), .C1 (n_12657), .Y (n_12764));
+  sky130_fd_sc_hd__a221oi_1 g324489(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [10]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [10]), .C1 (n_12656), .Y (n_12763));
+  sky130_fd_sc_hd__a221oi_1 g324490(.A1 (n_11563), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [9]), .B1 (n_11753), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [9]), .C1 (n_12264), .Y (n_12762));
+  sky130_fd_sc_hd__nand3_1 g324491(.A (n_12395), .B (n_12096), .C
+       (n_11871), .Y (n_12761));
+  sky130_fd_sc_hd__nand3_1 g324493(.A (n_12330), .B (n_15982), .C
+       (n_11843), .Y (n_12759));
+  sky130_fd_sc_hd__nand3_1 g324494(.A (n_12393), .B (n_12087), .C
+       (n_11854), .Y (n_12758));
+  sky130_fd_sc_hd__a221oi_1 g324495(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [9]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [9]), .C1 (n_12655), .Y (n_12757));
+  sky130_fd_sc_hd__a221oi_1 g324496(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [9]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [9]), .C1 (n_12654), .Y (n_12756));
+  sky130_fd_sc_hd__a221oi_1 g324497(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [8]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [8]), .C1 (n_12652), .Y (n_12755));
+  sky130_fd_sc_hd__a221oi_1 g324498(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [8]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [8]), .C1 (n_12651), .Y (n_12754));
+  sky130_fd_sc_hd__a221oi_1 g324499(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [21]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [21]), .C1 (n_12650), .Y (n_12753));
+  sky130_fd_sc_hd__a221oi_1 g324500(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [21]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [21]), .C1 (n_12649), .Y (n_12752));
+  sky130_fd_sc_hd__nand3_1 g324501(.A (n_12385), .B (n_12083), .C
+       (n_11857), .Y (n_12751));
+  sky130_fd_sc_hd__nand3_1 g324502(.A (n_12384), .B (n_12082), .C
+       (n_11855), .Y (n_12750));
+  sky130_fd_sc_hd__a221oi_1 g324503(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [7]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [7]), .C1 (n_12648), .Y (n_12749));
+  sky130_fd_sc_hd__a221oi_1 g324504(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [7]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [7]), .C1 (n_12647), .Y (n_12748));
+  sky130_fd_sc_hd__nand3_1 g324505(.A (n_12378), .B (n_11985), .C
+       (n_11844), .Y (n_12747));
+  sky130_fd_sc_hd__a221oi_1 g324506(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [27]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [27]), .C1 (n_12646), .Y (n_12746));
+  sky130_fd_sc_hd__nand3_1 g324507(.A (n_12374), .B (n_12070), .C
+       (n_11836), .Y (n_12745));
+  sky130_fd_sc_hd__a221oi_1 g324508(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [27]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [27]), .C1 (n_12644), .Y (n_12744));
+  sky130_fd_sc_hd__nand3_1 g324509(.A (n_12376), .B (n_12052), .C
+       (n_11826), .Y (n_12743));
+  sky130_fd_sc_hd__nand3_1 g324510(.A (n_12371), .B (n_12068), .C
+       (n_11838), .Y (n_12742));
+  sky130_fd_sc_hd__a221oi_1 g324511(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [20]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [20]), .C1 (n_12643), .Y (n_12741));
+  sky130_fd_sc_hd__nand3_1 g324512(.A (n_12369), .B (n_12067), .C
+       (n_11833), .Y (n_12740));
+  sky130_fd_sc_hd__nand3_1 g324513(.A (n_12368), .B (n_12059), .C
+       (n_11830), .Y (n_12739));
+  sky130_fd_sc_hd__nand3_1 g324514(.A (n_12366), .B (n_12064), .C
+       (n_11676), .Y (n_12738));
+  sky130_fd_sc_hd__a221oi_1 g324515(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [5]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [5]), .C1 (n_12642), .Y (n_12737));
+  sky130_fd_sc_hd__nand3_1 g324516(.A (n_12362), .B (n_12057), .C
+       (n_11827), .Y (n_12736));
+  sky130_fd_sc_hd__a221oi_1 g324517(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [5]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [5]), .C1 (n_12641), .Y (n_12735));
+  sky130_fd_sc_hd__nand3_1 g324518(.A (n_12359), .B (n_15978), .C
+       (n_11822), .Y (n_12734));
+  sky130_fd_sc_hd__nand3_1 g324519(.A (n_12358), .B (n_15979), .C
+       (n_11819), .Y (n_12733));
+  sky130_fd_sc_hd__nand3_1 g324520(.A (n_12356), .B (n_12049), .C
+       (n_11714), .Y (n_12732));
+  sky130_fd_sc_hd__a221oi_1 g324521(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [19]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [19]), .C1 (n_12638), .Y (n_12731));
+  sky130_fd_sc_hd__a221oi_1 g324522(.A1 (n_11762), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [4]), .B1 (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [4]), .C1 (n_15955), .Y (n_12730));
+  sky130_fd_sc_hd__a221oi_1 g324523(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [4]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [4]), .C1 (n_12635), .Y (n_12729));
+  sky130_fd_sc_hd__nand3_1 g324524(.A (n_12348), .B (n_12037), .C
+       (n_11807), .Y (n_12728));
+  sky130_fd_sc_hd__a21oi_1 g324525(.A1 (n_11761), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [3]), .B1 (n_15956), .Y (n_12727));
+  sky130_fd_sc_hd__a221oi_1 g324526(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [30]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [30]), .C1 (n_12636), .Y (n_12726));
+  sky130_fd_sc_hd__a221oi_1 g324527(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [26]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [26]), .C1 (n_12631), .Y (n_12725));
+  sky130_fd_sc_hd__a21oi_1 g324528(.A1 (n_12256), .A2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[3]), .B1 (n_12630), .Y
+       (n_12724));
+  sky130_fd_sc_hd__a221oi_1 g324529(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [26]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [26]), .C1 (n_12628), .Y (n_12723));
+  sky130_fd_sc_hd__a221oi_1 g324530(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [18]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [18]), .C1 (n_12627), .Y (n_12722));
+  sky130_fd_sc_hd__a221oi_1 g324531(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [18]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [18]), .C1 (n_12626), .Y (n_12721));
+  sky130_fd_sc_hd__nand3_1 g324532(.A (n_12394), .B (n_12098), .C
+       (n_11873), .Y (n_12720));
+  sky130_fd_sc_hd__a221oi_1 g324533(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [17]), .B1 (n_11518), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [17]), .C1 (n_12079), .Y (n_12719));
+  sky130_fd_sc_hd__nand3_1 g324534(.A (n_12324), .B (n_15984), .C
+       (n_11856), .Y (n_12718));
+  sky130_fd_sc_hd__a221oi_1 g324535(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [17]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [17]), .C1 (n_12623), .Y (n_12717));
+  sky130_fd_sc_hd__a221oi_1 g324536(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [17]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [17]), .C1 (n_12621), .Y (n_12716));
+  sky130_fd_sc_hd__a221oi_1 g324537(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [16]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [16]), .C1 (n_12620), .Y (n_12715));
+  sky130_fd_sc_hd__a221oi_1 g324538(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [16]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [16]), .C1 (n_12619), .Y (n_12714));
+  sky130_fd_sc_hd__a221oi_1 g324539(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [25]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [25]), .C1 (n_12618), .Y (n_12713));
+  sky130_fd_sc_hd__a221oi_1 g324540(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [25]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [25]), .C1 (n_12617), .Y (n_12712));
+  sky130_fd_sc_hd__nand3_1 g324541(.A (n_12314), .B (n_11994), .C
+       (n_11733), .Y (n_12711));
+  sky130_fd_sc_hd__a221oi_1 g324542(.A1 (n_11518), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [29]), .B1 (n_11763), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [29]), .C1 (n_12077), .Y (n_12710));
+  sky130_fd_sc_hd__nand3_1 g324543(.A (n_12312), .B (n_11991), .C
+       (n_11831), .Y (n_12709));
+  sky130_fd_sc_hd__a221oi_1 g324544(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [31]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [31]), .C1 (n_12614), .Y (n_12708));
+  sky130_fd_sc_hd__a221oi_1 g324545(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [29]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [29]), .C1 (n_12615), .Y (n_12707));
+  sky130_fd_sc_hd__nand3_1 g324546(.A (n_12303), .B (n_12076), .C
+       (n_11722), .Y (n_12706));
+  sky130_fd_sc_hd__nand3_1 g324547(.A (n_12301), .B (n_11981), .C
+       (n_11719), .Y (n_12705));
+  sky130_fd_sc_hd__nand3_1 g324548(.A (n_12299), .B (n_11979), .C
+       (n_11717), .Y (n_12704));
+  sky130_fd_sc_hd__nand3_1 g324549(.A (n_12297), .B (n_11978), .C
+       (n_11713), .Y (n_12703));
+  sky130_fd_sc_hd__a221oi_1 g324550(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [24]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [24]), .C1 (n_12613), .Y (n_12702));
+  sky130_fd_sc_hd__nand3_1 g324551(.A (n_12296), .B (n_11975), .C
+       (n_11711), .Y (n_12701));
+  sky130_fd_sc_hd__a221oi_1 g324552(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [24]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [24]), .C1 (n_12612), .Y (n_12700));
+  sky130_fd_sc_hd__a221oi_1 g324553(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [14]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [14]), .C1 (n_12611), .Y (n_12699));
+  sky130_fd_sc_hd__nand3_1 g324554(.A (n_12287), .B (n_11968), .C
+       (n_11700), .Y (n_12698));
+  sky130_fd_sc_hd__nand3_1 g324555(.A (n_12286), .B (n_11967), .C
+       (n_11698), .Y (n_12697));
+  sky130_fd_sc_hd__nand3_1 g324556(.A (n_12283), .B (n_11963), .C
+       (n_11694), .Y (n_12696));
+  sky130_fd_sc_hd__a221oi_1 g324557(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [13]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [13]), .C1 (n_12610), .Y (n_12695));
+  sky130_fd_sc_hd__nand3_1 g324558(.A (n_12281), .B (n_11961), .C
+       (n_11691), .Y (n_12694));
+  sky130_fd_sc_hd__nand3_1 g324559(.A (n_12278), .B (n_11960), .C
+       (n_11690), .Y (n_12693));
+  sky130_fd_sc_hd__nand3_1 g324560(.A (n_12275), .B (n_11955), .C
+       (n_11685), .Y (n_12692));
+  sky130_fd_sc_hd__a221oi_1 g324561(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [23]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [23]), .C1 (n_12608), .Y (n_12691));
+  sky130_fd_sc_hd__nand3_1 g324562(.A (n_12273), .B (n_11950), .C
+       (n_11680), .Y (n_12690));
+  sky130_fd_sc_hd__a221oi_1 g324563(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [12]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [12]), .C1 (n_12607), .Y (n_12689));
+  sky130_fd_sc_hd__a221oi_1 g324564(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [12]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [12]), .C1 (n_12606), .Y (n_12688));
+  sky130_fd_sc_hd__nand3_1 g324565(.A (n_12270), .B (n_11949), .C
+       (n_11679), .Y (n_12687));
+  sky130_fd_sc_hd__nand3_1 g324566(.A (n_12269), .B (n_11948), .C
+       (n_11678), .Y (n_12686));
+  sky130_fd_sc_hd__nand3_1 g324567(.A (n_12033), .B (n_11936), .C
+       (n_12194), .Y (n_12685));
+  sky130_fd_sc_hd__a22oi_1 g324568(.A1 (n_12256), .A2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[5]), .B1 (n_15857), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [5]), .Y (n_12684));
+  sky130_fd_sc_hd__a21oi_1 g324569(.A1 (n_12263), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[9]), .B1 (n_12666), .Y
+       (n_12683));
+  sky130_fd_sc_hd__nand2_1 g324571(.A (n_12256), .B
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[28]), .Y (n_12681));
+  sky130_fd_sc_hd__nand2_1 g324572(.A (n_12256), .B
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[9]), .Y (n_12680));
+  sky130_fd_sc_hd__nand2_1 g324573(.A (n_12256), .B
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[8]), .Y (n_12679));
+  sky130_fd_sc_hd__nand2_1 g324574(.A (n_12256), .B
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[7]), .Y (n_12678));
+  sky130_fd_sc_hd__nand2_1 g324575(.A (n_12256), .B
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[0]), .Y (n_12677));
+  sky130_fd_sc_hd__nand2_1 g324576(.A (n_12256), .B
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[6]), .Y (n_12676));
+  sky130_fd_sc_hd__nand2_1 g324577(.A (n_12256), .B
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[4]), .Y (n_12675));
+  sky130_fd_sc_hd__nand2_1 g324578(.A (n_12256), .B
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[30]), .Y (n_12674));
+  sky130_fd_sc_hd__nand2_1 g324579(.A (n_12256), .B
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[2]), .Y (n_12673));
+  sky130_fd_sc_hd__nand2_1 g324580(.A (n_12263), .B
+       (u_soc_u_top_u_core_instr_rdata_id[8]), .Y (n_12672));
+  sky130_fd_sc_hd__nand2_1 g324581(.A (n_12256), .B
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[1]), .Y (n_12671));
+  sky130_fd_sc_hd__nand2_1 g324583(.A (n_12256), .B
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[17]), .Y (n_12669));
+  sky130_fd_sc_hd__nand2_1 g324584(.A (n_11945), .B
+       (u_soc_u_top_u_core_id_stage_i_rf_ren_a), .Y (n_12668));
+  sky130_fd_sc_hd__nor2_1 g324586(.A (n_11020), .B (n_12262), .Y
+       (n_12666));
+  sky130_fd_sc_hd__a222oi_1 g324587(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [25]), .A2 (n_11556), .B1 (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [25]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [25]), .Y (n_12665));
+  sky130_fd_sc_hd__nor2_1 g324588(.A (n_11018), .B (n_12262), .Y
+       (n_12664));
+  sky130_fd_sc_hd__nand2_1 g324589(.A (n_12116), .B (n_11742), .Y
+       (n_12663));
+  sky130_fd_sc_hd__nand2_1 g324590(.A (n_12115), .B (n_11892), .Y
+       (n_12662));
+  sky130_fd_sc_hd__nand2_1 g324591(.A (n_12114), .B (n_11890), .Y
+       (n_12661));
+  sky130_fd_sc_hd__nand2_1 g324592(.A (n_12110), .B (n_11885), .Y
+       (n_12660));
+  sky130_fd_sc_hd__nand2_1 g324593(.A (n_12107), .B (n_11882), .Y
+       (n_12659));
+  sky130_fd_sc_hd__nand2_1 g324594(.A (n_12105), .B (n_11879), .Y
+       (n_12658));
+  sky130_fd_sc_hd__nand2_1 g324595(.A (n_12103), .B (n_11878), .Y
+       (n_12657));
+  sky130_fd_sc_hd__nand2_1 g324596(.A (n_12102), .B (n_11877), .Y
+       (n_12656));
+  sky130_fd_sc_hd__nand2_1 g324597(.A (n_12093), .B (n_11795), .Y
+       (n_12655));
+  sky130_fd_sc_hd__nand2_1 g324598(.A (n_12092), .B (n_11867), .Y
+       (n_12654));
+  sky130_fd_sc_hd__a221oi_1 g324599(.A1 (n_11480), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [27]), .B1 (n_11518), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [27]), .C1 (n_11646), .Y (n_12653));
+  sky130_fd_sc_hd__nand2_1 g324600(.A (n_12089), .B (n_11864), .Y
+       (n_12652));
+  sky130_fd_sc_hd__nand2_1 g324601(.A (n_12088), .B (n_11862), .Y
+       (n_12651));
+  sky130_fd_sc_hd__nand2_1 g324602(.A (n_12086), .B (n_11861), .Y
+       (n_12650));
+  sky130_fd_sc_hd__nand2_1 g324603(.A (n_12084), .B (n_11858), .Y
+       (n_12649));
+  sky130_fd_sc_hd__nand2_1 g324604(.A (n_12117), .B (n_11850), .Y
+       (n_12648));
+  sky130_fd_sc_hd__nand2_1 g324605(.A (n_12118), .B (n_11848), .Y
+       (n_12647));
+  sky130_fd_sc_hd__nand2_1 g324606(.A (n_12074), .B (n_11841), .Y
+       (n_12646));
+  sky130_fd_sc_hd__a21boi_1 g324607(.A1 (n_11554), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [7]), .B1_N (n_12075), .Y (n_12645));
+  sky130_fd_sc_hd__nand2_1 g324608(.A (n_12072), .B (n_11837), .Y
+       (n_12644));
+  sky130_fd_sc_hd__nand2_1 g324609(.A (n_12066), .B (n_11863), .Y
+       (n_12643));
+  sky130_fd_sc_hd__nand2_1 g324610(.A (n_12060), .B (n_11829), .Y
+       (n_12642));
+  sky130_fd_sc_hd__nand2_1 g324611(.A (n_12058), .B (n_11828), .Y
+       (n_12641));
+  sky130_fd_sc_hd__a221oi_1 g324612(.A1 (n_11476), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [19]), .B1 (n_11563), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [19]), .C1 (n_11648), .Y (n_12640));
+  sky130_fd_sc_hd__a221oi_1 g324613(.A1 (n_11563), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [4]), .B1 (n_11476), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [4]), .C1 (n_11651), .Y (n_12639));
+  sky130_fd_sc_hd__nand2_1 g324614(.A (n_12045), .B (n_11813), .Y
+       (n_12638));
+  sky130_fd_sc_hd__nand2_1 g324616(.A (n_12039), .B (n_11810), .Y
+       (n_12636));
+  sky130_fd_sc_hd__nand2_1 g324617(.A (n_12042), .B (n_11811), .Y
+       (n_12635));
+  sky130_fd_sc_hd__a221oi_1 g324619(.A1 (n_16000), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [18]), .B1 (n_11561), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [18]), .C1 (n_11654), .Y (n_12633));
+  sky130_fd_sc_hd__a221oi_1 g324620(.A1 (n_11553), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [3]), .B1 (n_11471), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [3]), .C1 (n_11655), .Y (n_12632));
+  sky130_fd_sc_hd__nand2_1 g324621(.A (n_12031), .B (n_11820), .Y
+       (n_12631));
+  sky130_fd_sc_hd__nand2_1 g324622(.A (n_12032), .B (n_11883), .Y
+       (n_12630));
+  sky130_fd_sc_hd__a21boi_1 g324623(.A1 (n_11557), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [2]), .B1_N (n_15980), .Y (n_12629));
+  sky130_fd_sc_hd__nand2_1 g324624(.A (n_12029), .B (n_11800), .Y
+       (n_12628));
+  sky130_fd_sc_hd__nand2_1 g324625(.A (n_12027), .B (n_11859), .Y
+       (n_12627));
+  sky130_fd_sc_hd__nand2_1 g324626(.A (n_12025), .B (n_11799), .Y
+       (n_12626));
+  sky130_fd_sc_hd__a221oi_1 g324628(.A1 (n_11553), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [2]), .B1 (n_11471), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [2]), .C1 (n_15993), .Y (n_12624));
+  sky130_fd_sc_hd__nand2_1 g324629(.A (n_12009), .B (n_11777), .Y
+       (n_12623));
+  sky130_fd_sc_hd__nand2_1 g324631(.A (n_12007), .B (n_11780), .Y
+       (n_12621));
+  sky130_fd_sc_hd__nand2_1 g324632(.A (n_12001), .B (n_11740), .Y
+       (n_12620));
+  sky130_fd_sc_hd__nand2_1 g324633(.A (n_12000), .B (n_11739), .Y
+       (n_12619));
+  sky130_fd_sc_hd__nand2_1 g324634(.A (n_11999), .B (n_11737), .Y
+       (n_12618));
+  sky130_fd_sc_hd__nand2_1 g324635(.A (n_11997), .B (n_11734), .Y
+       (n_12617));
+  sky130_fd_sc_hd__a221oi_1 g324636(.A1 (n_11563), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [15]), .B1 (n_11476), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [15]), .C1 (n_11662), .Y (n_12616));
+  sky130_fd_sc_hd__nand2_1 g324637(.A (n_11982), .B (n_11720), .Y
+       (n_12615));
+  sky130_fd_sc_hd__nand2_1 g324638(.A (n_11977), .B (n_11715), .Y
+       (n_12614));
+  sky130_fd_sc_hd__nand2_1 g324639(.A (n_11976), .B (n_11712), .Y
+       (n_12613));
+  sky130_fd_sc_hd__nand2_1 g324640(.A (n_11974), .B (n_11709), .Y
+       (n_12612));
+  sky130_fd_sc_hd__nand2_1 g324641(.A (n_11972), .B (n_11706), .Y
+       (n_12611));
+  sky130_fd_sc_hd__nand2_1 g324642(.A (n_11964), .B (n_11695), .Y
+       (n_12610));
+  sky130_fd_sc_hd__a221oi_1 g324643(.A1 (n_11563), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [12]), .B1 (n_11476), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [12]), .C1 (n_11667), .Y (n_12609));
+  sky130_fd_sc_hd__nand2_1 g324644(.A (n_11956), .B (n_11686), .Y
+       (n_12608));
+  sky130_fd_sc_hd__nand2_1 g324645(.A (n_11954), .B (n_11684), .Y
+       (n_12607));
+  sky130_fd_sc_hd__nand2_1 g324646(.A (n_11953), .B (n_11802), .Y
+       (n_12606));
+  sky130_fd_sc_hd__a221oi_1 g324647(.A1 (n_11480), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [11]), .B1 (n_11518), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [11]), .C1 (n_11668), .Y (n_12605));
+  sky130_fd_sc_hd__a221oi_1 g324648(.A1 (n_16000), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [22]), .B1 (n_11561), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [22]), .C1 (n_11671), .Y (n_12604));
+  sky130_fd_sc_hd__nor2_1 g324649(.A (n_11924), .B (n_11925), .Y
+       (n_12603));
+  sky130_fd_sc_hd__nor2_1 g324650(.A (n_15988), .B (n_17398), .Y
+       (n_12602));
+  sky130_fd_sc_hd__nor2_1 g324652(.A (n_11909), .B (n_11908), .Y
+       (n_12600));
+  sky130_fd_sc_hd__a222oi_1 g324653(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [22]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [22]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [22]), .Y (n_12599));
+  sky130_fd_sc_hd__a222oi_1 g324654(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [28]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [28]), .C1 (n_11756), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [28]), .Y (n_12598));
+  sky130_fd_sc_hd__a222oi_1 g324655(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [22]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [22]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [22]), .Y (n_12597));
+  sky130_fd_sc_hd__a222oi_1 g324656(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [11]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [11]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [11]), .Y (n_12596));
+  sky130_fd_sc_hd__a222oi_1 g324657(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [11]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [11]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [11]), .Y (n_12595));
+  sky130_fd_sc_hd__a222oi_1 g324658(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [10]), .A2 (n_11556), .B1 (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [10]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [10]), .Y (n_12594));
+  sky130_fd_sc_hd__a222oi_1 g324659(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [10]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [10]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [10]), .Y (n_12593));
+  sky130_fd_sc_hd__a222oi_1 g324660(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [22]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [22]), .C1 (n_11757), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [22]), .Y (n_12592));
+  sky130_fd_sc_hd__a222oi_1 g324661(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [10]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [10]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [10]), .Y (n_12591));
+  sky130_fd_sc_hd__a222oi_1 g324662(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [10]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [10]), .C1 (n_11757), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [10]), .Y (n_12590));
+  sky130_fd_sc_hd__a222oi_1 g324663(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [22]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [22]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [22]), .Y (n_12589));
+  sky130_fd_sc_hd__a222oi_1 g324664(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [22]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [22]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [22]), .Y (n_12588));
+  sky130_fd_sc_hd__a222oi_1 g324665(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [28]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [28]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [28]), .Y (n_12587));
+  sky130_fd_sc_hd__a222oi_1 g324666(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [28]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [28]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [28]), .Y (n_12586));
+  sky130_fd_sc_hd__a222oi_1 g324667(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [10]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [10]), .C1 (n_15856), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [10]), .Y (n_12585));
+  sky130_fd_sc_hd__a222oi_1 g324668(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [10]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [10]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [10]), .Y (n_12584));
+  sky130_fd_sc_hd__a222oi_1 g324669(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [9]), .A2 (n_11513), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [9]), .C1 (n_11761), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [9]), .Y (n_12583));
+  sky130_fd_sc_hd__a222oi_1 g324670(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [31]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [31]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [31]), .Y (n_12582));
+  sky130_fd_sc_hd__a222oi_1 g324671(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [9]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [9]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [9]), .Y (n_12581));
+  sky130_fd_sc_hd__a222oi_1 g324672(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [21]), .A2 (n_11556), .B1 (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [21]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [21]), .Y (n_12580));
+  sky130_fd_sc_hd__a222oi_1 g324673(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [9]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [9]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [9]), .Y (n_12579));
+  sky130_fd_sc_hd__a222oi_1 g324674(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [27]), .A2 (n_15999), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [27]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [27]), .Y (n_12578));
+  sky130_fd_sc_hd__a222oi_1 g324675(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [21]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [21]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [21]), .Y (n_12577));
+  sky130_fd_sc_hd__a222oi_1 g324676(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [9]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [9]), .C1 (n_11756), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [9]), .Y (n_12576));
+  sky130_fd_sc_hd__a222oi_1 g324677(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [30]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [30]), .C1 (n_11749), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [30]), .Y (n_12575));
+  sky130_fd_sc_hd__a222oi_1 g324678(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [21]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [21]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [21]), .Y (n_12574));
+  sky130_fd_sc_hd__a222oi_1 g324679(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [9]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [9]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [9]), .Y (n_12573));
+  sky130_fd_sc_hd__a222oi_1 g324680(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [9]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [9]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [9]), .Y (n_12572));
+  sky130_fd_sc_hd__a222oi_1 g324681(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [8]), .A2 (n_11515), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [8]), .C1 (n_11761), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [8]), .Y (n_12571));
+  sky130_fd_sc_hd__a222oi_1 g324682(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [27]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [27]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [27]), .Y (n_12570));
+  sky130_fd_sc_hd__a222oi_1 g324683(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [8]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [8]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [8]), .Y (n_12569));
+  sky130_fd_sc_hd__a222oi_1 g324684(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [21]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [21]), .C1 (n_11757), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [21]), .Y (n_12568));
+  sky130_fd_sc_hd__a222oi_1 g324685(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [8]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [8]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [8]), .Y (n_12567));
+  sky130_fd_sc_hd__a222oi_1 g324686(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [30]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [30]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [30]), .Y (n_12566));
+  sky130_fd_sc_hd__a222oi_1 g324687(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [27]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [27]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [27]), .Y (n_12565));
+  sky130_fd_sc_hd__a222oi_1 g324688(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [8]), .A2 (n_15872), .B1 (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [8]), .C1 (n_16001), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [8]), .Y (n_12564));
+  sky130_fd_sc_hd__a222oi_1 g324689(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [21]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [21]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [21]), .Y (n_12563));
+  sky130_fd_sc_hd__a222oi_1 g324690(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [21]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [21]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [21]), .Y (n_12562));
+  sky130_fd_sc_hd__a222oi_1 g324691(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [8]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [8]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [8]), .Y (n_12561));
+  sky130_fd_sc_hd__a222oi_1 g324692(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [8]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [8]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [8]), .Y (n_12560));
+  sky130_fd_sc_hd__a222oi_1 g324693(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [20]), .A2 (n_11513), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [20]), .C1 (n_11761), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [20]), .Y (n_12559));
+  sky130_fd_sc_hd__a222oi_1 g324694(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [7]), .A2 (n_11515), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [7]), .C1 (n_11761), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [7]), .Y (n_12558));
+  sky130_fd_sc_hd__a222oi_1 g324695(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [7]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [7]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [7]), .Y (n_12557));
+  sky130_fd_sc_hd__a222oi_1 g324696(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [7]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [7]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [7]), .Y (n_12556));
+  sky130_fd_sc_hd__a222oi_1 g324697(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [20]), .A2 (n_11556), .B1 (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [20]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [20]), .Y (n_12555));
+  sky130_fd_sc_hd__a222oi_1 g324698(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [20]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [20]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [20]), .Y (n_12554));
+  sky130_fd_sc_hd__a222oi_1 g324699(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [7]), .A2 (n_15869), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [7]), .C1 (n_11553), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [7]), .Y (n_12553));
+  sky130_fd_sc_hd__a222oi_1 g324700(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [20]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [20]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [20]), .Y (n_12552));
+  sky130_fd_sc_hd__a222oi_1 g324701(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [27]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [27]), .C1 (n_11756), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [27]), .Y (n_12551));
+  sky130_fd_sc_hd__a222oi_1 g324702(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [7]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [7]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [7]), .Y (n_12550));
+  sky130_fd_sc_hd__a222oi_1 g324703(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [7]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [7]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [7]), .Y (n_12549));
+  sky130_fd_sc_hd__a222oi_1 g324704(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [20]), .A2 (n_11558), .B1 (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [20]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [20]), .Y (n_12548));
+  sky130_fd_sc_hd__a222oi_1 g324705(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [6]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [6]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [6]), .Y (n_12547));
+  sky130_fd_sc_hd__a222oi_1 g324706(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [6]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [6]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [6]), .Y (n_12546));
+  sky130_fd_sc_hd__a222oi_1 g324707(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [20]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [20]), .C1 (n_11756), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [20]), .Y (n_12545));
+  sky130_fd_sc_hd__a222oi_1 g324708(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [27]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [27]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [27]), .Y (n_12544));
+  sky130_fd_sc_hd__a222oi_1 g324709(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [27]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [27]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [27]), .Y (n_12543));
+  sky130_fd_sc_hd__a222oi_1 g324710(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [20]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [20]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [20]), .Y (n_12542));
+  sky130_fd_sc_hd__a222oi_1 g324711(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [20]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [20]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [20]), .Y (n_12541));
+  sky130_fd_sc_hd__a222oi_1 g324712(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [6]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [6]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [6]), .Y (n_12540));
+  sky130_fd_sc_hd__a222oi_1 g324713(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [6]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [6]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [6]), .Y (n_12539));
+  sky130_fd_sc_hd__a222oi_1 g324714(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [15]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [15]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [15]), .Y (n_12538));
+  sky130_fd_sc_hd__a222oi_1 g324715(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [5]), .A2 (n_15999), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [5]), .C1 (n_11761), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [5]), .Y (n_12537));
+  sky130_fd_sc_hd__a222oi_1 g324716(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [5]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [5]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [5]), .Y (n_12536));
+  sky130_fd_sc_hd__a222oi_1 g324717(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [26]), .A2 (n_11513), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [26]), .C1 (n_11761), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [26]), .Y (n_12535));
+  sky130_fd_sc_hd__a222oi_1 g324718(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [5]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [5]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [5]), .Y (n_12534));
+  sky130_fd_sc_hd__a222oi_1 g324719(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [19]), .A2 (n_11515), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [19]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [19]), .Y (n_12533));
+  sky130_fd_sc_hd__a222oi_1 g324720(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [19]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [19]), .C1 (n_11749), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [19]), .Y (n_12532));
+  sky130_fd_sc_hd__a222oi_1 g324721(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [5]), .A2 (n_15872), .B1 (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [5]), .C1 (n_16001), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [5]), .Y (n_12531));
+  sky130_fd_sc_hd__a222oi_1 g324722(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [19]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [19]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [19]), .Y (n_12530));
+  sky130_fd_sc_hd__a222oi_1 g324723(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [26]), .A2 (n_11558), .B1 (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [26]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [26]), .Y (n_12529));
+  sky130_fd_sc_hd__a222oi_1 g324724(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [5]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [5]), .C1 (n_15856), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [5]), .Y (n_12528));
+  sky130_fd_sc_hd__a222oi_1 g324725(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [30]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [30]), .C1 (n_11757), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [30]), .Y (n_12527));
+  sky130_fd_sc_hd__a222oi_1 g324726(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [5]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [5]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [5]), .Y (n_12526));
+  sky130_fd_sc_hd__a222oi_1 g324727(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [4]), .A2 (n_15999), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [4]), .C1 (n_11761), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [4]), .Y (n_12525));
+  sky130_fd_sc_hd__a222oi_1 g324728(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [19]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [19]), .C1 (n_11756), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [19]), .Y (n_12524));
+  sky130_fd_sc_hd__a222oi_1 g324729(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [4]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [4]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [4]), .Y (n_12523));
+  sky130_fd_sc_hd__a222oi_1 g324730(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [26]), .A2 (n_11556), .B1 (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [26]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [26]), .Y (n_12522));
+  sky130_fd_sc_hd__a222oi_1 g324731(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [4]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [4]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [4]), .Y (n_12521));
+  sky130_fd_sc_hd__a222oi_1 g324732(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [19]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [19]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [19]), .Y (n_12520));
+  sky130_fd_sc_hd__a222oi_1 g324733(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [4]), .A2 (n_11479), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [4]), .C1 (n_15858), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [4]), .Y (n_12519));
+  sky130_fd_sc_hd__a222oi_1 g324734(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [19]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [19]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [19]), .Y (n_12518));
+  sky130_fd_sc_hd__a222oi_1 g324735(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [4]), .A2 (n_15887), .B1 (n_11516), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [4]), .C1 (n_15856), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [4]), .Y (n_12517));
+  sky130_fd_sc_hd__a222oi_1 g324736(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [26]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [26]), .C1 (n_11749), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [26]), .Y (n_12516));
+  sky130_fd_sc_hd__a222oi_1 g324737(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [4]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [4]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [4]), .Y (n_12515));
+  sky130_fd_sc_hd__a222oi_1 g324738(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [3]), .A2 (n_15999), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [3]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [3]), .Y (n_12514));
+  sky130_fd_sc_hd__a222oi_1 g324739(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [26]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [26]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [26]), .Y (n_12513));
+  sky130_fd_sc_hd__a222oi_1 g324740(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [18]), .A2 (n_11515), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [18]), .C1 (n_11761), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [18]), .Y (n_12512));
+  sky130_fd_sc_hd__a222oi_1 g324742(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [3]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [3]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [3]), .Y (n_12510));
+  sky130_fd_sc_hd__a222oi_1 g324743(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [31]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [31]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [31]), .Y (n_12509));
+  sky130_fd_sc_hd__a222oi_1 g324744(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [18]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [18]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [18]), .Y (n_12508));
+  sky130_fd_sc_hd__a222oi_1 g324745(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [26]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [26]), .C1 (n_11757), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [26]), .Y (n_12507));
+  sky130_fd_sc_hd__a222oi_1 g324746(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [3]), .A2 (n_11479), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [3]), .C1 (n_15858), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [3]), .Y (n_12506));
+  sky130_fd_sc_hd__a222oi_1 g324747(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [18]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [18]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [18]), .Y (n_12505));
+  sky130_fd_sc_hd__a222oi_1 g324748(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [3]), .A2 (n_15887), .B1 (n_11516), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [3]), .C1 (n_15856), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [3]), .Y (n_12504));
+  sky130_fd_sc_hd__a222oi_1 g324749(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [3]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [3]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [3]), .Y (n_12503));
+  sky130_fd_sc_hd__a222oi_1 g324750(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [30]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [30]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [30]), .Y (n_12502));
+  sky130_fd_sc_hd__a222oi_1 g324751(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [30]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [30]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [30]), .Y (n_12501));
+  sky130_fd_sc_hd__a222oi_1 g324752(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [2]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [2]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [2]), .Y (n_12500));
+  sky130_fd_sc_hd__a222oi_1 g324754(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [2]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [2]), .C1 (n_11518), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [2]), .Y (n_12498));
+  sky130_fd_sc_hd__a222oi_1 g324755(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [18]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [18]), .C1 (n_11756), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [18]), .Y (n_12497));
+  sky130_fd_sc_hd__a222oi_1 g324757(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [26]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [26]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [26]), .Y (n_12495));
+  sky130_fd_sc_hd__a222oi_1 g324759(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [26]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [26]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [26]), .Y (n_12493));
+  sky130_fd_sc_hd__a222oi_1 g324760(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [18]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [18]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [18]), .Y (n_12492));
+  sky130_fd_sc_hd__a222oi_1 g324761(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [18]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [18]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [18]), .Y (n_12491));
+  sky130_fd_sc_hd__a222oi_1 g324765(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [17]), .A2 (n_11513), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [17]), .C1 (n_11761), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [17]), .Y (n_12487));
+  sky130_fd_sc_hd__a222oi_1 g324768(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [25]), .A2 (n_11513), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [25]), .C1 (n_11761), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [25]), .Y (n_12484));
+  sky130_fd_sc_hd__a222oi_1 g324769(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [17]), .A2 (n_11556), .B1 (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [17]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [17]), .Y (n_12483));
+  sky130_fd_sc_hd__a222oi_1 g324772(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [17]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [17]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [17]), .Y (n_12480));
+  sky130_fd_sc_hd__a222oi_1 g324773(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [17]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [17]), .C1 (n_15860), .C2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[17]), .Y (n_12479));
+  sky130_fd_sc_hd__a222oi_1 g324775(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [17]), .A2 (n_11558), .B1 (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [17]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [17]), .Y (n_12477));
+  sky130_fd_sc_hd__a222oi_1 g324778(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [31]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [31]), .C1 (n_11757), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [31]), .Y (n_12474));
+  sky130_fd_sc_hd__a21oi_1 g324779(.A1 (n_11672), .A2 (n_11661), .B1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst
+       ), .Y (\u_soc_dccm_to_xbar[d_valid] ));
+  sky130_fd_sc_hd__a222oi_1 g324780(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [17]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [17]), .C1 (n_11757), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [17]), .Y (n_12473));
+  sky130_fd_sc_hd__a222oi_1 g324781(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [29]), .A2 (n_11556), .B1 (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [29]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [29]), .Y (n_12472));
+  sky130_fd_sc_hd__a222oi_1 g324784(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [17]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [17]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [17]), .Y (n_12469));
+  sky130_fd_sc_hd__a222oi_1 g324786(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [25]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [25]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [25]), .Y (n_12467));
+  sky130_fd_sc_hd__a222oi_1 g324789(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [17]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [17]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [17]), .Y (n_12464));
+  sky130_fd_sc_hd__a222oi_1 g324790(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [25]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [25]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [25]), .Y (n_12463));
+  sky130_fd_sc_hd__a222oi_1 g324791(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [16]), .A2 (n_15999), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [16]), .C1 (n_11761), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [16]), .Y (n_12462));
+  sky130_fd_sc_hd__a222oi_1 g324792(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [16]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [16]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [16]), .Y (n_12461));
+  sky130_fd_sc_hd__a222oi_1 g324793(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [29]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [29]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [29]), .Y (n_12460));
+  sky130_fd_sc_hd__a222oi_1 g324794(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [25]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [25]), .C1 (n_11757), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [25]), .Y (n_12459));
+  sky130_fd_sc_hd__a222oi_1 g324795(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [16]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [16]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [16]), .Y (n_12458));
+  sky130_fd_sc_hd__a222oi_1 g324796(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [29]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [29]), .C1 (n_15860), .C2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[29]), .Y (n_12457));
+  sky130_fd_sc_hd__a222oi_1 g324797(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [16]), .A2 (n_11479), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [16]), .C1 (n_15858), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [16]), .Y (n_12456));
+  sky130_fd_sc_hd__a222oi_1 g324798(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [29]), .A2 (n_11558), .B1 (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [29]), .C1 (n_15995), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [29]), .Y (n_12455));
+  sky130_fd_sc_hd__a222oi_1 g324799(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [25]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [25]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [25]), .Y (n_12454));
+  sky130_fd_sc_hd__a222oi_1 g324800(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [16]), .A2 (n_11555), .B1 (n_11516), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [16]), .C1 (n_15859), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [16]), .Y (n_12453));
+  sky130_fd_sc_hd__a222oi_1 g324801(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [25]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [25]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [25]), .Y (n_12452));
+  sky130_fd_sc_hd__a222oi_1 g324802(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [16]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [16]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [16]), .Y (n_12451));
+  sky130_fd_sc_hd__a222oi_1 g324803(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [16]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [16]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [16]), .Y (n_12450));
+  sky130_fd_sc_hd__a222oi_1 g324804(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [15]), .A2 (n_11515), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [15]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [15]), .Y (n_12449));
+  sky130_fd_sc_hd__a222oi_1 g324805(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [24]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [24]), .C1 (n_11749), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [24]), .Y (n_12448));
+  sky130_fd_sc_hd__a222oi_1 g324806(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [15]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [15]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [15]), .Y (n_12447));
+  sky130_fd_sc_hd__a222oi_1 g324807(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [24]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [24]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [24]), .Y (n_12446));
+  sky130_fd_sc_hd__a222oi_1 g324808(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [29]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [29]), .C1 (n_11757), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [29]), .Y (n_12445));
+  sky130_fd_sc_hd__a222oi_1 g324809(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [15]), .A2 (n_11479), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [15]), .C1 (n_15858), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [15]), .Y (n_12444));
+  sky130_fd_sc_hd__a222oi_1 g324810(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [15]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [15]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [15]), .Y (n_12443));
+  sky130_fd_sc_hd__a222oi_1 g324811(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [15]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [15]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [15]), .Y (n_12442));
+  sky130_fd_sc_hd__a222oi_1 g324812(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [14]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [14]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [14]), .Y (n_12441));
+  sky130_fd_sc_hd__a222oi_1 g324813(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [14]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [14]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [14]), .Y (n_12440));
+  sky130_fd_sc_hd__a222oi_1 g324814(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [24]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [24]), .C1 (n_11756), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [24]), .Y (n_12439));
+  sky130_fd_sc_hd__a222oi_1 g324815(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [29]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [29]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [29]), .Y (n_12438));
+  sky130_fd_sc_hd__a222oi_1 g324816(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [14]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [14]), .C1 (n_11757), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [14]), .Y (n_12437));
+  sky130_fd_sc_hd__a222oi_1 g324817(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [29]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [29]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [29]), .Y (n_12436));
+  sky130_fd_sc_hd__a222oi_1 g324818(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [24]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [24]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [24]), .Y (n_12435));
+  sky130_fd_sc_hd__a222oi_1 g324819(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [24]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [24]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [24]), .Y (n_12434));
+  sky130_fd_sc_hd__a222oi_1 g324820(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [14]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [14]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [14]), .Y (n_12433));
+  sky130_fd_sc_hd__a222oi_1 g324821(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [14]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [14]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [14]), .Y (n_12432));
+  sky130_fd_sc_hd__a222oi_1 g324822(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [31]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [31]), .C1 (n_15856), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [31]), .Y (n_12431));
+  sky130_fd_sc_hd__a222oi_1 g324823(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [13]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [13]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [13]), .Y (n_12430));
+  sky130_fd_sc_hd__a222oi_1 g324824(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [13]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [13]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [13]), .Y (n_12429));
+  sky130_fd_sc_hd__a222oi_1 g324825(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [23]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [23]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [23]), .Y (n_12428));
+  sky130_fd_sc_hd__a222oi_1 g324826(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [23]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [23]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [23]), .Y (n_12427));
+  sky130_fd_sc_hd__a222oi_1 g324827(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [28]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [28]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [28]), .Y (n_12426));
+  sky130_fd_sc_hd__a222oi_1 g324828(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [31]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [31]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [31]), .Y (n_12425));
+  sky130_fd_sc_hd__a222oi_1 g324829(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [28]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [28]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [28]), .Y (n_12424));
+  sky130_fd_sc_hd__a222oi_1 g324830(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [13]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [13]), .C1 (n_11757), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [13]), .Y (n_12423));
+  sky130_fd_sc_hd__a222oi_1 g324831(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [13]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [13]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [13]), .Y (n_12422));
+  sky130_fd_sc_hd__a222oi_1 g324832(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [13]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [13]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [13]), .Y (n_12421));
+  sky130_fd_sc_hd__a222oi_1 g324833(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [12]), .A2 (n_11515), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [12]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [12]), .Y (n_12420));
+  sky130_fd_sc_hd__a222oi_1 g324834(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [12]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [12]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [12]), .Y (n_12419));
+  sky130_fd_sc_hd__a222oi_1 g324835(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [23]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [23]), .C1 (n_11756), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [23]), .Y (n_12418));
+  sky130_fd_sc_hd__a222oi_1 g324836(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [12]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [12]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [12]), .Y (n_12417));
+  sky130_fd_sc_hd__a222oi_1 g324837(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [12]), .A2 (n_11516), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [12]), .C1 (n_11757), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [12]), .Y (n_12416));
+  sky130_fd_sc_hd__a222oi_1 g324838(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [23]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [23]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [23]), .Y (n_12415));
+  sky130_fd_sc_hd__a222oi_1 g324839(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [23]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [23]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [23]), .Y (n_12414));
+  sky130_fd_sc_hd__a222oi_1 g324840(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [12]), .A2 (n_11475), .B1 (n_15887), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [12]), .C1 (n_15857), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [12]), .Y (n_12413));
+  sky130_fd_sc_hd__a222oi_1 g324841(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [12]), .A2 (n_15868), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [12]), .C1 (n_11550), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [12]), .Y (n_12412));
+  sky130_fd_sc_hd__a222oi_1 g324842(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [11]), .A2 (n_15999), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [11]), .C1 (n_11761), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [11]), .Y (n_12411));
+  sky130_fd_sc_hd__a222oi_1 g324843(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [22]), .A2 (n_11515), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [22]), .C1 (n_11765), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [22]), .Y (n_12410));
+  sky130_fd_sc_hd__a222oi_1 g324844(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [11]), .A2 (n_11425), .B1 (n_11474), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [11]), .C1 (n_11557), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [11]), .Y (n_12409));
+  sky130_fd_sc_hd__a222oi_1 g324845(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [11]), .A2 (n_11478), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [11]), .C1 (n_11751), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [11]), .Y (n_12408));
+  sky130_fd_sc_hd__a22oi_1 g324847(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [11]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [11]), .Y (n_12407));
+  sky130_fd_sc_hd__a22oi_1 g324848(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [22]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [22]), .Y (n_12406));
+  sky130_fd_sc_hd__a22oi_1 g324849(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [10]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [10]), .Y (n_12405));
+  sky130_fd_sc_hd__a22oi_1 g324850(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [31]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [31]), .Y (n_12404));
+  sky130_fd_sc_hd__a22oi_1 g324851(.A1 (n_11762), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [28]), .B1 (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [28]), .Y (n_12403));
+  sky130_fd_sc_hd__a22oi_1 g324852(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [28]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [28]), .Y (n_12402));
+  sky130_fd_sc_hd__a22oi_1 g324853(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [10]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [10]), .Y (n_12401));
+  sky130_fd_sc_hd__a22o_1 g324854(.A1 (n_11765), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [24]), .B1 (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [24]), .X (n_12400));
+  sky130_fd_sc_hd__a22oi_1 g324855(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [22]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [22]), .Y (n_12399));
+  sky130_fd_sc_hd__a22oi_1 g324856(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [10]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [10]), .Y (n_12398));
+  sky130_fd_sc_hd__a22oi_1 g324857(.A1 (n_11761), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [21]), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [21]), .Y (n_12397));
+  sky130_fd_sc_hd__a22oi_1 g324858(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [21]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [21]), .Y (n_12396));
+  sky130_fd_sc_hd__a22oi_1 g324859(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [27]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [27]), .Y (n_12395));
+  sky130_fd_sc_hd__a22oi_1 g324860(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [9]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [9]), .Y (n_12394));
+  sky130_fd_sc_hd__a22oi_1 g324861(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [31]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [31]), .Y (n_12393));
+  sky130_fd_sc_hd__a22oi_1 g324862(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [9]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [9]), .Y (n_12392));
+  sky130_fd_sc_hd__a22o_1 g324863(.A1 (n_11761), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [30]), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [30]), .X (n_12391));
+  sky130_fd_sc_hd__a22oi_1 g324864(.A1 (n_11762), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [9]), .B1 (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [9]), .Y (n_12390));
+  sky130_fd_sc_hd__a22oi_1 g324865(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [27]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [27]), .Y (n_12389));
+  sky130_fd_sc_hd__a22oi_1 g324866(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [9]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [9]), .Y (n_12388));
+  sky130_fd_sc_hd__a22oi_1 g324867(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [21]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [21]), .Y (n_12387));
+  sky130_fd_sc_hd__a22oi_1 g324868(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [8]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [8]), .Y (n_12386));
+  sky130_fd_sc_hd__a22oi_1 g324869(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [8]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [8]), .Y (n_12385));
+  sky130_fd_sc_hd__a22oi_1 g324870(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [8]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [8]), .Y (n_12384));
+  sky130_fd_sc_hd__a22oi_1 g324871(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [27]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [27]), .Y (n_12383));
+  sky130_fd_sc_hd__a22oi_1 g324872(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [21]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [21]), .Y (n_12382));
+  sky130_fd_sc_hd__a22oi_1 g324873(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [30]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [30]), .Y (n_12381));
+  sky130_fd_sc_hd__a22oi_1 g324874(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [7]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [7]), .Y (n_12380));
+  sky130_fd_sc_hd__a22oi_1 g324875(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [1]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [1]), .Y (n_12379));
+  sky130_fd_sc_hd__a22oi_1 g324876(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [7]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [7]), .Y (n_12378));
+  sky130_fd_sc_hd__a22oi_1 g324877(.A1 (n_11756), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [7]), .B1 (n_11516), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [7]), .Y (n_12377));
+  sky130_fd_sc_hd__a22oi_1 g324878(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [31]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [31]), .Y (n_12376));
+  sky130_fd_sc_hd__a22oi_1 g324879(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [7]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [7]), .Y (n_12375));
+  sky130_fd_sc_hd__a22oi_1 g324880(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [30]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [30]), .Y (n_12374));
+  sky130_fd_sc_hd__a22oi_1 g324882(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [6]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [6]), .Y (n_12372));
+  sky130_fd_sc_hd__a22oi_1 g324883(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [6]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [6]), .Y (n_12371));
+  sky130_fd_sc_hd__a22oi_1 g324884(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [20]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [20]), .Y (n_12370));
+  sky130_fd_sc_hd__a22oi_1 g324885(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [6]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [6]), .Y (n_12369));
+  sky130_fd_sc_hd__a22oi_1 g324886(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [30]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [30]), .Y (n_12368));
+  sky130_fd_sc_hd__a22oi_1 g324887(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [27]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [27]), .Y (n_12367));
+  sky130_fd_sc_hd__a22oi_1 g324888(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [6]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [6]), .Y (n_12366));
+  sky130_fd_sc_hd__a22oi_1 g324889(.A1 (n_11756), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [6]), .B1 (n_11516), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [6]), .Y (n_12365));
+  sky130_fd_sc_hd__a22oi_1 g324890(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [20]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [20]), .Y (n_12364));
+  sky130_fd_sc_hd__a22oi_1 g324891(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [6]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [6]), .Y (n_12363));
+  sky130_fd_sc_hd__a22oi_1 g324892(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [19]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [19]), .Y (n_12362));
+  sky130_fd_sc_hd__a22oi_1 g324893(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [5]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [5]), .Y (n_12361));
+  sky130_fd_sc_hd__a22oi_1 g324894(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [19]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [19]), .Y (n_12360));
+  sky130_fd_sc_hd__a22oi_1 g324895(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [5]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [5]), .Y (n_12359));
+  sky130_fd_sc_hd__a22oi_1 g324896(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [5]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [5]), .Y (n_12358));
+  sky130_fd_sc_hd__a22oi_1 g324897(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [19]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [19]), .Y (n_12357));
+  sky130_fd_sc_hd__a22oi_1 g324898(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [4]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [4]), .Y (n_12356));
+  sky130_fd_sc_hd__a22oi_1 g324899(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [4]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [4]), .Y (n_12355));
+  sky130_fd_sc_hd__a22oi_1 g324900(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [30]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [30]), .Y (n_12354));
+  sky130_fd_sc_hd__a22oi_1 g324901(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [19]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [19]), .Y (n_12353));
+  sky130_fd_sc_hd__a22oi_1 g324902(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [4]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [4]), .Y (n_12352));
+  sky130_fd_sc_hd__a22oi_1 g324903(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [19]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [19]), .Y (n_12351));
+  sky130_fd_sc_hd__a22oi_1 g324904(.A1 (n_15857), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [4]), .B1 (n_15859), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [4]), .Y (n_12350));
+  sky130_fd_sc_hd__a22oi_1 g324905(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [3]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [3]), .Y (n_12349));
+  sky130_fd_sc_hd__a22oi_1 g324906(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [18]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [18]), .Y (n_12348));
+  sky130_fd_sc_hd__a22oi_1 g324907(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [3]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [3]), .Y (n_12347));
+  sky130_fd_sc_hd__a22oi_1 g324908(.A1 (n_11762), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [30]), .B1 (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [30]), .Y (n_12346));
+  sky130_fd_sc_hd__a22oi_1 g324909(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [30]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [30]), .Y (n_12345));
+  sky130_fd_sc_hd__a22oi_1 g324910(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [18]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [18]), .Y (n_12344));
+  sky130_fd_sc_hd__a22oi_1 g324912(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [3]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [3]), .Y (n_12342));
+  sky130_fd_sc_hd__a22oi_1 g324913(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [3]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [3]), .Y (n_12341));
+  sky130_fd_sc_hd__a22oi_1 g324914(.A1 (n_15857), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [3]), .B1 (n_11475), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [3]), .Y (n_12340));
+  sky130_fd_sc_hd__a22oi_1 g324916(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [18]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [18]), .Y (n_12338));
+  sky130_fd_sc_hd__a22oi_1 g324917(.A1 (n_11762), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [2]), .B1 (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [2]), .Y (n_12337));
+  sky130_fd_sc_hd__a22oi_1 g324918(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [26]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [26]), .Y (n_12336));
+  sky130_fd_sc_hd__a22oi_1 g324919(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [2]), .B1 (n_15856), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [2]), .Y (n_12335));
+  sky130_fd_sc_hd__a22oi_1 g324920(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [18]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [18]), .Y (n_12334));
+  sky130_fd_sc_hd__a22oi_1 g324921(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [2]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [2]), .Y (n_12333));
+  sky130_fd_sc_hd__a22oi_1 g324922(.A1 (n_11765), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [1]), .B1 (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [1]), .Y (n_12332));
+  sky130_fd_sc_hd__a22oi_1 g324923(.A1 (n_11761), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [29]), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [29]), .Y (n_12331));
+  sky130_fd_sc_hd__a22oi_1 g324924(.A1 (n_11762), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [1]), .B1 (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [1]), .Y (n_12330));
+  sky130_fd_sc_hd__a22oi_1 g324925(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [29]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [29]), .Y (n_12329));
+  sky130_fd_sc_hd__a22oi_1 g324926(.A1 (n_15995), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [25]), .B1 (n_15999), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [25]), .Y (n_12328));
+  sky130_fd_sc_hd__a22oi_1 g324927(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [25]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [25]), .Y (n_12327));
+  sky130_fd_sc_hd__a22oi_1 g324929(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [1]), .B1 (n_15856), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [1]), .Y (n_12325));
+  sky130_fd_sc_hd__a22oi_1 g324930(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [1]), .B1 (n_15882), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [1]), .Y (n_12324));
+  sky130_fd_sc_hd__a21oi_1 g324931(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [0]), .B1 (n_12170), .Y (n_12323));
+  sky130_fd_sc_hd__a21oi_1 g324932(.A1 (n_15995), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [0]), .B1 (n_12169), .Y (n_12322));
+  sky130_fd_sc_hd__a22oi_1 g324933(.A1 (n_15860), .A2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[0]), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [0]), .Y (n_12321));
+  sky130_fd_sc_hd__a21oi_1 g324934(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [0]), .B1 (n_12222), .Y (n_12320));
+  sky130_fd_sc_hd__a21oi_1 g324935(.A1 (n_11751), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [0]), .B1 (n_12229), .Y (n_12319));
+  sky130_fd_sc_hd__a22oi_1 g324936(.A1 (n_11762), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [17]), .B1 (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [17]), .Y (n_12318));
+  sky130_fd_sc_hd__a22oi_1 g324937(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [17]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [17]), .Y (n_12317));
+  sky130_fd_sc_hd__a22oi_1 g324938(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [16]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [16]), .Y (n_12316));
+  sky130_fd_sc_hd__a22oi_1 g324939(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [31]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [31]), .Y (n_12315));
+  sky130_fd_sc_hd__a22oi_1 g324940(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [16]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [16]), .Y (n_12314));
+  sky130_fd_sc_hd__a22oi_1 g324941(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [25]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [25]), .Y (n_12313));
+  sky130_fd_sc_hd__a22oi_1 g324942(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [15]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [15]), .Y (n_12312));
+  sky130_fd_sc_hd__o2bb2ai_1 g324943(.A1_N
+       (u_soc_u_top_u_core_pc_id[1]), .A2_N (n_15862), .B1 (n_11032),
+       .B2 (n_11770), .Y (n_12311));
+  sky130_fd_sc_hd__a22oi_1 g324944(.A1 (n_11771), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[15]), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[0]), .Y (n_12310));
+  sky130_fd_sc_hd__a22oi_1 g324945(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [15]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [15]), .Y (n_12309));
+  sky130_fd_sc_hd__a22oi_1 g324946(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [15]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [15]), .Y (n_12308));
+  sky130_fd_sc_hd__a22oi_1 g324947(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [24]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [24]), .Y (n_12307));
+  sky130_fd_sc_hd__a22oi_1 g324948(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [29]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [29]), .Y (n_12306));
+  sky130_fd_sc_hd__a22oi_1 g324949(.A1 (n_11756), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [15]), .B1 (n_11516), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [15]), .Y (n_12305));
+  sky130_fd_sc_hd__a22oi_1 g324950(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [15]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [15]), .Y (n_12304));
+  sky130_fd_sc_hd__a22oi_1 g324951(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [24]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [24]), .Y (n_12303));
+  sky130_fd_sc_hd__a22oi_1 g324952(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [15]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [15]), .Y (n_12302));
+  sky130_fd_sc_hd__a22oi_1 g324953(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [24]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [24]), .Y (n_12301));
+  sky130_fd_sc_hd__a22o_1 g324954(.A1 (n_11761), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [14]), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [14]), .X (n_12300));
+  sky130_fd_sc_hd__a22oi_1 g324955(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [29]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [29]), .Y (n_12299));
+  sky130_fd_sc_hd__a22oi_1 g324956(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [14]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [14]), .Y (n_12298));
+  sky130_fd_sc_hd__a22oi_1 g324957(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [14]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [14]), .Y (n_12297));
+  sky130_fd_sc_hd__a22oi_1 g324958(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [14]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [14]), .Y (n_12296));
+  sky130_fd_sc_hd__a22oi_1 g324959(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [14]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [14]), .Y (n_12295));
+  sky130_fd_sc_hd__a22oi_1 g324960(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [24]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [24]), .Y (n_12294));
+  sky130_fd_sc_hd__a22oi_1 g324961(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [14]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [14]), .Y (n_12293));
+  sky130_fd_sc_hd__a22o_1 g324962(.A1 (n_11765), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [31]), .B1 (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [31]), .X (n_12292));
+  sky130_fd_sc_hd__a22o_1 g324963(.A1 (n_11761), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [13]), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [13]), .X (n_12291));
+  sky130_fd_sc_hd__a22o_1 g324964(.A1 (n_11761), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [23]), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [23]), .X (n_12290));
+  sky130_fd_sc_hd__a22o_1 g324965(.A1 (n_11761), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [28]), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [28]), .X (n_12289));
+  sky130_fd_sc_hd__a22oi_1 g324966(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [13]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [13]), .Y (n_12288));
+  sky130_fd_sc_hd__a22oi_1 g324967(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [13]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [13]), .Y (n_12287));
+  sky130_fd_sc_hd__a22oi_1 g324968(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [13]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [13]), .Y (n_12286));
+  sky130_fd_sc_hd__a22oi_1 g324969(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [23]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [23]), .Y (n_12285));
+  sky130_fd_sc_hd__a22oi_1 g324970(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [13]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [13]), .Y (n_12284));
+  sky130_fd_sc_hd__a22oi_1 g324971(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [23]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [23]), .Y (n_12283));
+  sky130_fd_sc_hd__a22oi_1 g324972(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [31]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [31]), .Y (n_12282));
+  sky130_fd_sc_hd__a22oi_1 g324973(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [23]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [23]), .Y (n_12281));
+  sky130_fd_sc_hd__a22oi_1 g324974(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [13]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [13]), .Y (n_12280));
+  sky130_fd_sc_hd__a22oi_1 g324975(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [28]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [28]), .Y (n_12279));
+  sky130_fd_sc_hd__a22oi_1 g324976(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [12]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [12]), .Y (n_12278));
+  sky130_fd_sc_hd__a22oi_1 g324977(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [12]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [12]), .Y (n_12277));
+  sky130_fd_sc_hd__a22oi_1 g324978(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [23]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [23]), .Y (n_12276));
+  sky130_fd_sc_hd__a22oi_1 g324979(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [28]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [28]), .Y (n_12275));
+  sky130_fd_sc_hd__a22oi_1 g324980(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [12]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [12]), .Y (n_12274));
+  sky130_fd_sc_hd__a22oi_1 g324981(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [28]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [28]), .Y (n_12273));
+  sky130_fd_sc_hd__a22oi_1 g324982(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [23]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [23]), .Y (n_12272));
+  sky130_fd_sc_hd__a22oi_1 g324983(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [12]), .B1 (n_11483), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [12]), .Y (n_12271));
+  sky130_fd_sc_hd__a22oi_1 g324984(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [11]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [11]), .Y (n_12270));
+  sky130_fd_sc_hd__a22oi_1 g324985(.A1 (n_11753), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [22]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [22]), .Y (n_12269));
+  sky130_fd_sc_hd__a22oi_1 g324986(.A1 (n_11763), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [11]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [11]), .Y (n_12268));
+  sky130_fd_sc_hd__a22oi_1 g324987(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [22]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [22]), .Y (n_12267));
+  sky130_fd_sc_hd__a22oi_1 g324988(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [11]), .B1 (n_11556), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [11]), .Y (n_12266));
+  sky130_fd_sc_hd__inv_1 g324989(.A (n_12109), .Y (n_12265));
+  sky130_fd_sc_hd__inv_1 g324990(.A (n_12099), .Y (n_12264));
+  sky130_fd_sc_hd__inv_2 g324991(.A (n_12262), .Y (n_12261));
+  sky130_fd_sc_hd__inv_2 g324992(.A (n_12260), .Y (n_12259));
+  sky130_fd_sc_hd__inv_2 g324993(.A (n_15883), .Y (n_12257));
+  sky130_fd_sc_hd__a222oi_1 g324994(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [30]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [30]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [30]), .Y (n_12255));
+  sky130_fd_sc_hd__nand2_1 g324995(.A (n_11757), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [28]), .Y (n_12254));
+  sky130_fd_sc_hd__nand2_1 g324996(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [22]), .Y (n_12253));
+  sky130_fd_sc_hd__nand2_1 g324997(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [11]), .Y (n_12252));
+  sky130_fd_sc_hd__nand2_1 g324998(.A (n_11756), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [22]), .Y (n_12251));
+  sky130_fd_sc_hd__nand2_1 g324999(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [10]), .Y (n_12250));
+  sky130_fd_sc_hd__nand2_1 g325000(.A (n_11756), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [10]), .Y (n_12249));
+  sky130_fd_sc_hd__nand2_1 g325001(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [28]), .Y (n_12248));
+  sky130_fd_sc_hd__nand2_1 g325002(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [22]), .Y (n_12247));
+  sky130_fd_sc_hd__nand2_1 g325003(.A (n_15857), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [10]), .Y (n_12246));
+  sky130_fd_sc_hd__nand2_1 g325004(.A (n_15857), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [0]), .Y (n_12245));
+  sky130_fd_sc_hd__nand2_1 g325005(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [9]), .Y (n_12244));
+  sky130_fd_sc_hd__nand2_1 g325006(.A (n_11754), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [21]), .Y (n_12243));
+  sky130_fd_sc_hd__nand2_1 g325007(.A (n_11761), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [27]), .Y (n_12242));
+  sky130_fd_sc_hd__nand2_1 g325008(.A (n_11757), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [9]), .Y (n_12241));
+  sky130_fd_sc_hd__nand2_1 g325009(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [21]), .Y (n_12240));
+  sky130_fd_sc_hd__nand2_1 g325010(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [9]), .Y (n_12239));
+  sky130_fd_sc_hd__nand2_1 g325011(.A (n_11765), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [8]), .Y (n_12238));
+  sky130_fd_sc_hd__nand2_1 g325012(.A (n_11756), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [21]), .Y (n_12237));
+  sky130_fd_sc_hd__nand2_1 g325013(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [8]), .Y (n_12236));
+  sky130_fd_sc_hd__nand2_1 g325014(.A (n_11751), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [30]), .Y (n_12235));
+  sky130_fd_sc_hd__nand2_1 g325015(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [27]), .Y (n_12234));
+  sky130_fd_sc_hd__nand2_1 g325016(.A (n_11762), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [8]), .Y (n_12233));
+  sky130_fd_sc_hd__nand2_1 g325017(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [21]), .Y (n_12232));
+  sky130_fd_sc_hd__nand2_1 g325018(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [8]), .Y (n_12231));
+  sky130_fd_sc_hd__nand2_1 g325019(.A (n_11753), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [20]), .Y (n_12230));
+  sky130_fd_sc_hd__nor2b_1 g325020(.A (n_15996), .B_N
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [0]), .Y (n_12229));
+  sky130_fd_sc_hd__nand2_1 g325021(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [7]), .Y (n_12228));
+  sky130_fd_sc_hd__nand2_1 g325022(.A (n_11754), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [20]), .Y (n_12227));
+  sky130_fd_sc_hd__nand2_1 g325023(.A (n_11757), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [27]), .Y (n_12226));
+  sky130_fd_sc_hd__nand2_1 g325024(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [20]), .Y (n_12225));
+  sky130_fd_sc_hd__nand2_1 g325025(.A (n_11757), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [7]), .Y (n_12224));
+  sky130_fd_sc_hd__nand2_1 g325026(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [7]), .Y (n_12223));
+  sky130_fd_sc_hd__nor2b_1 g325027(.A (n_15998), .B_N
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [0]), .Y (n_12222));
+  sky130_fd_sc_hd__nand2_1 g325028(.A (n_11763), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [20]), .Y (n_12221));
+  sky130_fd_sc_hd__nand2_1 g325030(.A (n_11756), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [0]), .Y (n_12219));
+  sky130_fd_sc_hd__nand2_1 g325031(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [6]), .Y (n_12218));
+  sky130_fd_sc_hd__nand2_1 g325032(.A (n_11757), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [20]), .Y (n_12217));
+  sky130_fd_sc_hd__nand2_1 g325033(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [27]), .Y (n_12216));
+  sky130_fd_sc_hd__nand2_1 g325034(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [20]), .Y (n_12215));
+  sky130_fd_sc_hd__nand2_1 g325035(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [6]), .Y (n_12214));
+  sky130_fd_sc_hd__nand2_1 g325036(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [5]), .Y (n_12213));
+  sky130_fd_sc_hd__nand2_1 g325037(.A (n_11761), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [19]), .Y (n_12212));
+  sky130_fd_sc_hd__nand2_1 g325038(.A (n_11753), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [26]), .Y (n_12211));
+  sky130_fd_sc_hd__nand2_1 g325039(.A (n_11751), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [19]), .Y (n_12210));
+  sky130_fd_sc_hd__nand2_1 g325040(.A (n_11756), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [30]), .Y (n_12209));
+  sky130_fd_sc_hd__nand2_1 g325041(.A (n_11763), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [26]), .Y (n_12208));
+  sky130_fd_sc_hd__nand2_1 g325042(.A (n_15995), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [4]), .Y (n_12207));
+  sky130_fd_sc_hd__nand2_1 g325043(.A (n_11757), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [19]), .Y (n_12206));
+  sky130_fd_sc_hd__nand2_1 g325044(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [31]), .Y (n_12205));
+  sky130_fd_sc_hd__nand2_1 g325045(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [4]), .Y (n_12204));
+  sky130_fd_sc_hd__nand2_1 g325046(.A (n_11754), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [26]), .Y (n_12203));
+  sky130_fd_sc_hd__nand2_1 g325047(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [19]), .Y (n_12202));
+  sky130_fd_sc_hd__nand2_1 g325048(.A (n_11757), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [4]), .Y (n_12201));
+  sky130_fd_sc_hd__nand2_1 g325049(.A (n_15860), .B
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[26]), .Y (n_12200));
+  sky130_fd_sc_hd__nand2_1 g325050(.A (n_11756), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [4]), .Y (n_12199));
+  sky130_fd_sc_hd__nand2_1 g325051(.A (n_11751), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [26]), .Y (n_12198));
+  sky130_fd_sc_hd__nand2_1 g325052(.A (n_11765), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [18]), .Y (n_12197));
+  sky130_fd_sc_hd__nand2_1 g325053(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [3]), .Y (n_12196));
+  sky130_fd_sc_hd__nand2_1 g325054(.A (n_11756), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [26]), .Y (n_12195));
+  sky130_fd_sc_hd__nand2_1 g325055(.A (n_11762), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [3]), .Y (n_12194));
+  sky130_fd_sc_hd__nand2_1 g325056(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [18]), .Y (n_12193));
+  sky130_fd_sc_hd__nand2_1 g325057(.A (n_11757), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [3]), .Y (n_12192));
+  sky130_fd_sc_hd__nand2_1 g325058(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [30]), .Y (n_12191));
+  sky130_fd_sc_hd__nand2_1 g325059(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [2]), .Y (n_12190));
+  sky130_fd_sc_hd__nand2_1 g325060(.A (n_11763), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [2]), .Y (n_12189));
+  sky130_fd_sc_hd__nand2_1 g325061(.A (n_11753), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [2]), .Y (n_12188));
+  sky130_fd_sc_hd__nand2_1 g325062(.A (n_11757), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [18]), .Y (n_12187));
+  sky130_fd_sc_hd__nand2_1 g325063(.A (n_11761), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [2]), .Y (n_12186));
+  sky130_fd_sc_hd__nand2_1 g325065(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [26]), .Y (n_12184));
+  sky130_fd_sc_hd__nand2_1 g325066(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [18]), .Y (n_12183));
+  sky130_fd_sc_hd__nand2_1 g325067(.A (n_11757), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [2]), .Y (n_12182));
+  sky130_fd_sc_hd__nand2_1 g325068(.A (n_11753), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [1]), .Y (n_12181));
+  sky130_fd_sc_hd__nand2_1 g325069(.A (n_11761), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [1]), .Y (n_12180));
+  sky130_fd_sc_hd__nand2_1 g325070(.A (n_11753), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [17]), .Y (n_12179));
+  sky130_fd_sc_hd__nand2_1 g325071(.A (n_11763), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [1]), .Y (n_12178));
+  sky130_fd_sc_hd__nand2_1 g325072(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [1]), .Y (n_12177));
+  sky130_fd_sc_hd__nand2_1 g325073(.A (n_11754), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [17]), .Y (n_12176));
+  sky130_fd_sc_hd__nand2_1 g325074(.A (n_11753), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [25]), .Y (n_12175));
+  sky130_fd_sc_hd__nand2_1 g325075(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [17]), .Y (n_12174));
+  sky130_fd_sc_hd__nand2_1 g325077(.A (n_11756), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [31]), .Y (n_12172));
+  sky130_fd_sc_hd__nor2b_1 g325079(.A (n_15997), .B_N
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [0]), .Y (n_12170));
+  sky130_fd_sc_hd__nor2b_1 g325080(.A (n_11748), .B_N
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [0]), .Y (n_12169));
+  sky130_fd_sc_hd__nand2_1 g325081(.A (n_11756), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [2]), .Y (n_12168));
+  sky130_fd_sc_hd__nand2_1 g325082(.A (n_11756), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [17]), .Y (n_12167));
+  sky130_fd_sc_hd__nand2_1 g325083(.A (n_11754), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [25]), .Y (n_12166));
+  sky130_fd_sc_hd__nand2_1 g325084(.A (n_11754), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [29]), .Y (n_12165));
+  sky130_fd_sc_hd__nand2_1 g325085(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [17]), .Y (n_12164));
+  sky130_fd_sc_hd__nand2_1 g325086(.A (n_15860), .B
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[25]), .Y (n_12163));
+  sky130_fd_sc_hd__nand2_1 g325087(.A (n_11757), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [0]), .Y (n_12162));
+  sky130_fd_sc_hd__nand2_1 g325088(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [25]), .Y (n_12161));
+  sky130_fd_sc_hd__nand2_1 g325089(.A (n_15995), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [16]), .Y (n_12160));
+  sky130_fd_sc_hd__nand2_1 g325090(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [29]), .Y (n_12159));
+  sky130_fd_sc_hd__nand2_1 g325091(.A (n_11756), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [25]), .Y (n_12158));
+  sky130_fd_sc_hd__nand2_1 g325092(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [16]), .Y (n_12157));
+  sky130_fd_sc_hd__nand2_1 g325093(.A (n_11757), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [16]), .Y (n_12156));
+  sky130_fd_sc_hd__nand2_1 g325094(.A (n_11756), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [16]), .Y (n_12155));
+  sky130_fd_sc_hd__nand2_1 g325095(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [25]), .Y (n_12154));
+  sky130_fd_sc_hd__nand2_1 g325096(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [16]), .Y (n_12153));
+  sky130_fd_sc_hd__nand2_1 g325097(.A (n_11761), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [15]), .Y (n_12152));
+  sky130_fd_sc_hd__nand2_1 g325098(.A (n_11751), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [24]), .Y (n_12151));
+  sky130_fd_sc_hd__nand2_1 g325099(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [15]), .Y (n_12150));
+  sky130_fd_sc_hd__nand2_1 g325101(.A (n_11756), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [29]), .Y (n_12148));
+  sky130_fd_sc_hd__nand2_1 g325102(.A (n_11757), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [15]), .Y (n_12147));
+  sky130_fd_sc_hd__nand2_1 g325103(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [15]), .Y (n_12146));
+  sky130_fd_sc_hd__nand2_1 g325104(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [14]), .Y (n_12145));
+  sky130_fd_sc_hd__nand2_1 g325105(.A (n_11757), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [24]), .Y (n_12144));
+  sky130_fd_sc_hd__nand2_1 g325106(.A (n_11756), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [14]), .Y (n_12143));
+  sky130_fd_sc_hd__nand2_1 g325107(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [29]), .Y (n_12142));
+  sky130_fd_sc_hd__nand2_1 g325108(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [24]), .Y (n_12141));
+  sky130_fd_sc_hd__nand2_1 g325109(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [14]), .Y (n_12140));
+  sky130_fd_sc_hd__nand2_1 g325110(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [13]), .Y (n_12139));
+  sky130_fd_sc_hd__nand2_1 g325111(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [23]), .Y (n_12138));
+  sky130_fd_sc_hd__nand2_1 g325112(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [28]), .Y (n_12137));
+  sky130_fd_sc_hd__nand2_1 g325113(.A (n_15857), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [31]), .Y (n_12136));
+  sky130_fd_sc_hd__nand2_1 g325114(.A (n_11756), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [13]), .Y (n_12135));
+  sky130_fd_sc_hd__nand2_1 g325115(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [13]), .Y (n_12134));
+  sky130_fd_sc_hd__nand2_1 g325116(.A (n_11761), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [12]), .Y (n_12133));
+  sky130_fd_sc_hd__nand2_1 g325117(.A (n_11757), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [23]), .Y (n_12132));
+  sky130_fd_sc_hd__nand2_1 g325118(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [12]), .Y (n_12131));
+  sky130_fd_sc_hd__nand2_1 g325119(.A (n_11756), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [12]), .Y (n_12130));
+  sky130_fd_sc_hd__nand2_1 g325120(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [23]), .Y (n_12129));
+  sky130_fd_sc_hd__nand2_1 g325121(.A (n_15856), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [12]), .Y (n_12128));
+  sky130_fd_sc_hd__nand2_1 g325122(.A (n_15995), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [11]), .Y (n_12127));
+  sky130_fd_sc_hd__nand2_1 g325123(.A (n_11761), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [22]), .Y (n_12126));
+  sky130_fd_sc_hd__nand2_1 g325124(.A (n_11749), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [11]), .Y (n_12125));
+  sky130_fd_sc_hd__a222oi_1 g325125(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [20]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [20]), .C1 (n_11561), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [20]), .Y (n_12124));
+  sky130_fd_sc_hd__a222oi_1 g325126(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [7]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [7]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [7]), .Y (n_12123));
+  sky130_fd_sc_hd__o21ai_0 g325127(.A1 (n_11446), .A2 (n_11574), .B1
+       (n_15881), .Y (n_12122));
+  sky130_fd_sc_hd__a222oi_1 g325129(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [20]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [20]), .C1 (n_11563), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [20]), .Y (n_12120));
+  sky130_fd_sc_hd__a222oi_1 g325131(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [7]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [7]), .C1 (n_11563), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [7]), .Y (n_12118));
+  sky130_fd_sc_hd__a222oi_1 g325132(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [7]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [7]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [7]), .Y (n_12117));
+  sky130_fd_sc_hd__a222oi_1 g325133(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [11]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [11]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [11]), .Y (n_12116));
+  sky130_fd_sc_hd__a222oi_1 g325134(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [11]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [11]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [11]), .Y (n_12115));
+  sky130_fd_sc_hd__a222oi_1 g325135(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [28]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [28]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [28]), .Y (n_12114));
+  sky130_fd_sc_hd__a222oi_1 g325136(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [11]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [11]), .C1 (n_16001), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [11]), .Y (n_12113));
+  sky130_fd_sc_hd__a222oi_1 g325137(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [22]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [22]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [22]), .Y (n_12112));
+  sky130_fd_sc_hd__a222oi_1 g325138(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [10]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [10]), .C1 (n_11563), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [10]), .Y (n_12111));
+  sky130_fd_sc_hd__a222oi_1 g325139(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [28]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [28]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [28]), .Y (n_12110));
+  sky130_fd_sc_hd__a222oi_1 g325140(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [10]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [10]), .C1 (n_11561), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [10]), .Y (n_12109));
+  sky130_fd_sc_hd__a222oi_1 g325141(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [31]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [31]), .C1 (n_11472), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [31]), .Y (n_12108));
+  sky130_fd_sc_hd__a222oi_1 g325142(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [22]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [22]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [22]), .Y (n_12107));
+  sky130_fd_sc_hd__a222oi_1 g325143(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [10]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [10]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [10]), .Y (n_12106));
+  sky130_fd_sc_hd__a222oi_1 g325144(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [22]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [22]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [22]), .Y (n_12105));
+  sky130_fd_sc_hd__a222oi_1 g325145(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [28]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [28]), .C1 (n_11472), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [28]), .Y (n_12104));
+  sky130_fd_sc_hd__a222oi_1 g325146(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [10]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [10]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [10]), .Y (n_12103));
+  sky130_fd_sc_hd__a222oi_1 g325147(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [10]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [10]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [10]), .Y (n_12102));
+  sky130_fd_sc_hd__a222oi_1 g325148(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [22]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [22]), .C1 (n_16001), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [22]), .Y (n_12101));
+  sky130_fd_sc_hd__a222oi_1 g325149(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [10]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [10]), .C1 (n_11472), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [10]), .Y (n_12100));
+  sky130_fd_sc_hd__a222oi_1 g325150(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [9]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [9]), .C1 (n_11476), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [9]), .Y (n_12099));
+  sky130_fd_sc_hd__a222oi_1 g325151(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [9]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [9]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [9]), .Y (n_12098));
+  sky130_fd_sc_hd__a222oi_1 g325152(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [21]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [21]), .C1 (n_11476), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [21]), .Y (n_12097));
+  sky130_fd_sc_hd__a222oi_1 g325153(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [27]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [27]), .C1 (n_11476), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [27]), .Y (n_12096));
+  sky130_fd_sc_hd__a222oi_1 g325154(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [9]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [9]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [9]), .Y (n_12095));
+  sky130_fd_sc_hd__a222oi_1 g325155(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [21]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [21]), .C1 (n_11561), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [21]), .Y (n_12094));
+  sky130_fd_sc_hd__a222oi_1 g325156(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [9]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [9]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [9]), .Y (n_12093));
+  sky130_fd_sc_hd__a222oi_1 g325157(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [9]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [9]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [9]), .Y (n_12092));
+  sky130_fd_sc_hd__a222oi_1 g325158(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [9]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [9]), .C1 (n_11472), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [9]), .Y (n_12091));
+  sky130_fd_sc_hd__a222oi_1 g325159(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [21]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [21]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [21]), .Y (n_12090));
+  sky130_fd_sc_hd__a222oi_1 g325160(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [8]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [8]), .C1 (n_11563), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [8]), .Y (n_12089));
+  sky130_fd_sc_hd__a222oi_1 g325161(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [8]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [8]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [8]), .Y (n_12088));
+  sky130_fd_sc_hd__a222oi_1 g325162(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [31]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [31]), .C1 (n_11476), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [31]), .Y (n_12087));
+  sky130_fd_sc_hd__a222oi_1 g325163(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [21]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [21]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [21]), .Y (n_12086));
+  sky130_fd_sc_hd__a222oi_1 g325164(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [8]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [8]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [8]), .Y (n_12085));
+  sky130_fd_sc_hd__a222oi_1 g325165(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [21]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [21]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [21]), .Y (n_12084));
+  sky130_fd_sc_hd__a222oi_1 g325166(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [8]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [8]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [8]), .Y (n_12083));
+  sky130_fd_sc_hd__a222oi_1 g325167(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [8]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [8]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [8]), .Y (n_12082));
+  sky130_fd_sc_hd__a222oi_1 g325168(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [21]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [21]), .C1 (n_16001), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [21]), .Y (n_12081));
+  sky130_fd_sc_hd__a222oi_1 g325169(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [27]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [27]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [27]), .Y (n_12080));
+  sky130_fd_sc_hd__nand2_1 g325170(.A (n_13878), .B (n_11669), .Y
+       (\u_soc_tcam_to_xbar[d_valid] ));
+  sky130_fd_sc_hd__nor2_1 g325171(.A (n_11446), .B (n_15994), .Y
+       (n_12263));
+  sky130_fd_sc_hd__nand2_1 g325172(.A (n_11769), .B (n_11446), .Y
+       (n_12262));
+  sky130_fd_sc_hd__nand2_1 g325173(.A (n_11769), .B
+       (u_soc_u_top_u_core_instr_rdata_id[31]), .Y (n_12260));
+  sky130_fd_sc_hd__and2_1 g325175(.A (n_11670), .B (n_11349), .X
+       (n_12256));
+  sky130_fd_sc_hd__inv_1 g325176(.A (n_12012), .Y (n_12079));
+  sky130_fd_sc_hd__inv_1 g325178(.A (n_11992), .Y (n_12077));
+  sky130_fd_sc_hd__a222oi_1 g325179(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [24]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [24]), .C1 (n_11563), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [24]), .Y (n_12076));
+  sky130_fd_sc_hd__a222oi_1 g325180(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [7]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [7]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [7]), .Y (n_12075));
+  sky130_fd_sc_hd__a222oi_1 g325181(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [27]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [27]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [27]), .Y (n_12074));
+  sky130_fd_sc_hd__a222oi_1 g325182(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [20]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [20]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [20]), .Y (n_12073));
+  sky130_fd_sc_hd__a222oi_1 g325183(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [27]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [27]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [27]), .Y (n_12072));
+  sky130_fd_sc_hd__a222oi_1 g325184(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [6]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [6]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [6]), .Y (n_12071));
+  sky130_fd_sc_hd__a222oi_1 g325185(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [30]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [30]), .C1 (n_11476), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [30]), .Y (n_12070));
+  sky130_fd_sc_hd__a222oi_1 g325186(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [20]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [20]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [20]), .Y (n_12069));
+  sky130_fd_sc_hd__a222oi_1 g325187(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [6]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [6]), .C1 (n_11476), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [6]), .Y (n_12068));
+  sky130_fd_sc_hd__a222oi_1 g325188(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [6]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [6]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [6]), .Y (n_12067));
+  sky130_fd_sc_hd__a222oi_1 g325189(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [20]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [20]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [20]), .Y (n_12066));
+  sky130_fd_sc_hd__a222oi_1 g325190(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [6]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [6]), .C1 (n_16001), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [6]), .Y (n_12065));
+  sky130_fd_sc_hd__a222oi_1 g325191(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [6]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [6]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [6]), .Y (n_12064));
+  sky130_fd_sc_hd__a222oi_1 g325192(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [6]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [6]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [6]), .Y (n_12063));
+  sky130_fd_sc_hd__a222oi_1 g325193(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [27]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [27]), .C1 (n_11472), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [27]), .Y (n_12062));
+  sky130_fd_sc_hd__a222oi_1 g325194(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [20]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [20]), .C1 (n_16001), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [20]), .Y (n_12061));
+  sky130_fd_sc_hd__a222oi_1 g325195(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [5]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [5]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [5]), .Y (n_12060));
+  sky130_fd_sc_hd__a222oi_1 g325196(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [30]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [30]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [30]), .Y (n_12059));
+  sky130_fd_sc_hd__a222oi_1 g325197(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [5]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [5]), .C1 (n_11563), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [5]), .Y (n_12058));
+  sky130_fd_sc_hd__a222oi_1 g325198(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [19]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [19]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [19]), .Y (n_12057));
+  sky130_fd_sc_hd__a222oi_1 g325199(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [5]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [5]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [5]), .Y (n_12056));
+  sky130_fd_sc_hd__a222oi_1 g325200(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [26]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [26]), .C1 (n_11563), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [26]), .Y (n_12055));
+  sky130_fd_sc_hd__a222oi_1 g325203(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [31]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [31]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [31]), .Y (n_12052));
+  sky130_fd_sc_hd__a222oi_1 g325204(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [26]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [26]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [26]), .Y (n_12051));
+  sky130_fd_sc_hd__a222oi_1 g325205(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [19]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [19]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [19]), .Y (n_12050));
+  sky130_fd_sc_hd__a222oi_1 g325206(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [4]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [4]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [4]), .Y (n_12049));
+  sky130_fd_sc_hd__a222oi_1 g325207(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [19]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [19]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [19]), .Y (n_12048));
+  sky130_fd_sc_hd__a222oi_1 g325208(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [30]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [30]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [30]), .Y (n_12047));
+  sky130_fd_sc_hd__a222oi_1 g325209(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [4]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [4]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [4]), .Y (n_12046));
+  sky130_fd_sc_hd__a222oi_1 g325210(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [19]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [19]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [19]), .Y (n_12045));
+  sky130_fd_sc_hd__a222oi_1 g325211(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [26]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [26]), .C1 (n_11561), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [26]), .Y (n_12044));
+  sky130_fd_sc_hd__a222oi_1 g325213(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [4]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [4]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [4]), .Y (n_12042));
+  sky130_fd_sc_hd__a222oi_1 g325214(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [19]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [19]), .C1 (n_11472), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [19]), .Y (n_12041));
+  sky130_fd_sc_hd__a222oi_1 g325215(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [4]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [4]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [4]), .Y (n_12040));
+  sky130_fd_sc_hd__a222oi_1 g325216(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [30]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [30]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [30]), .Y (n_12039));
+  sky130_fd_sc_hd__a222oi_1 g325218(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [18]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [18]), .C1 (n_11476), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [18]), .Y (n_12037));
+  sky130_fd_sc_hd__a222oi_1 g325219(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [3]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [3]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [3]), .Y (n_12036));
+  sky130_fd_sc_hd__a222oi_1 g325220(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [3]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [3]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [3]), .Y (n_12035));
+  sky130_fd_sc_hd__a222oi_1 g325221(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [30]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [30]), .C1 (n_16001), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [30]), .Y (n_12034));
+  sky130_fd_sc_hd__a222oi_1 g325222(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [3]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [3]), .C1 (n_11472), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [3]), .Y (n_12033));
+  sky130_fd_sc_hd__a222oi_1 g325223(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [3]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [3]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [3]), .Y (n_12032));
+  sky130_fd_sc_hd__a222oi_1 g325224(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [26]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [26]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [26]), .Y (n_12031));
+  sky130_fd_sc_hd__a222oi_1 g325225(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [18]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [18]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [18]), .Y (n_12030));
+  sky130_fd_sc_hd__a222oi_1 g325226(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [26]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [26]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [26]), .Y (n_12029));
+  sky130_fd_sc_hd__a222oi_1 g325228(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [18]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [18]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [18]), .Y (n_12027));
+  sky130_fd_sc_hd__a222oi_1 g325230(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [18]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [18]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [18]), .Y (n_12025));
+  sky130_fd_sc_hd__a222oi_1 g325231(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [2]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [2]), .C1 (n_11472), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [2]), .Y (n_12024));
+  sky130_fd_sc_hd__a222oi_1 g325233(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [26]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [26]), .C1 (n_16001), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [26]), .Y (n_12022));
+  sky130_fd_sc_hd__a222oi_1 g325234(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [18]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [18]), .C1 (n_16001), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [18]), .Y (n_12021));
+  sky130_fd_sc_hd__a222oi_1 g325235(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [17]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [17]), .C1 (n_11563), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [17]), .Y (n_12020));
+  sky130_fd_sc_hd__a222oi_1 g325236(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [1]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [1]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [1]), .Y (n_12019));
+  sky130_fd_sc_hd__a222oi_1 g325237(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [17]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [17]), .C1 (n_11561), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [17]), .Y (n_12018));
+  sky130_fd_sc_hd__a222oi_1 g325238(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [1]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [1]), .C1 (n_11476), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [1]), .Y (n_12017));
+  sky130_fd_sc_hd__a222oi_1 g325239(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [25]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [25]), .C1 (n_11563), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [25]), .Y (n_12016));
+  sky130_fd_sc_hd__a222oi_1 g325243(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [17]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [17]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [17]), .Y (n_12012));
+  sky130_fd_sc_hd__a222oi_1 g325244(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [29]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [29]), .C1 (n_11563), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [29]), .Y (n_12011));
+  sky130_fd_sc_hd__a222oi_1 g325245(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [25]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [25]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [25]), .Y (n_12010));
+  sky130_fd_sc_hd__a222oi_1 g325246(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [17]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [17]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [17]), .Y (n_12009));
+  sky130_fd_sc_hd__a222oi_1 g325247(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [25]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [25]), .C1 (n_11561), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [25]), .Y (n_12008));
+  sky130_fd_sc_hd__a222oi_1 g325248(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [17]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [17]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [17]), .Y (n_12007));
+  sky130_fd_sc_hd__a222oi_1 g325250(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [17]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [17]), .C1 (n_16001), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [17]), .Y (n_12005));
+  sky130_fd_sc_hd__a222oi_1 g325253(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [29]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [29]), .C1 (n_11561), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [29]), .Y (n_12002));
+  sky130_fd_sc_hd__a222oi_1 g325254(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [16]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [16]), .C1 (n_11476), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [16]), .Y (n_12001));
+  sky130_fd_sc_hd__a222oi_1 g325255(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [16]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [16]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [16]), .Y (n_12000));
+  sky130_fd_sc_hd__a222oi_1 g325256(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [25]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [25]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [25]), .Y (n_11999));
+  sky130_fd_sc_hd__a222oi_1 g325257(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [16]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [16]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [16]), .Y (n_11998));
+  sky130_fd_sc_hd__a222oi_1 g325258(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [25]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [25]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [25]), .Y (n_11997));
+  sky130_fd_sc_hd__a222oi_1 g325259(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [16]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [16]), .C1 (n_11553), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [16]), .Y (n_11996));
+  sky130_fd_sc_hd__a222oi_1 g325260(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [16]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [16]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [16]), .Y (n_11995));
+  sky130_fd_sc_hd__a222oi_1 g325261(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [16]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [16]), .C1 (n_11472), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [16]), .Y (n_11994));
+  sky130_fd_sc_hd__a222oi_1 g325262(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [25]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [25]), .C1 (n_11472), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [25]), .Y (n_11993));
+  sky130_fd_sc_hd__a222oi_1 g325263(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [29]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [29]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [29]), .Y (n_11992));
+  sky130_fd_sc_hd__a222oi_1 g325264(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [15]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [15]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [15]), .Y (n_11991));
+  sky130_fd_sc_hd__a222oi_1 g325265(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [31]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [31]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [31]), .Y (n_11990));
+  sky130_fd_sc_hd__a222oi_1 g325266(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [15]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [15]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [15]), .Y (n_11989));
+  sky130_fd_sc_hd__a222oi_1 g325267(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [24]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [24]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [24]), .Y (n_11988));
+  sky130_fd_sc_hd__a222oi_1 g325268(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [15]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [15]), .C1 (n_11553), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [15]), .Y (n_11987));
+  sky130_fd_sc_hd__a222oi_1 g325269(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [15]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [15]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [15]), .Y (n_11986));
+  sky130_fd_sc_hd__a222oi_1 g325270(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [7]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [7]), .C1 (n_16001), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [7]), .Y (n_11985));
+  sky130_fd_sc_hd__a222oi_1 g325271(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [29]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [29]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [29]), .Y (n_11984));
+  sky130_fd_sc_hd__a222oi_1 g325272(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [15]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [15]), .C1 (n_16001), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [15]), .Y (n_11983));
+  sky130_fd_sc_hd__a222oi_1 g325273(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [29]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [29]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [29]), .Y (n_11982));
+  sky130_fd_sc_hd__a222oi_1 g325274(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [24]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [24]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [24]), .Y (n_11981));
+  sky130_fd_sc_hd__a222oi_1 g325275(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [14]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [14]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [14]), .Y (n_11980));
+  sky130_fd_sc_hd__a222oi_1 g325276(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [29]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [29]), .C1 (n_11472), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [29]), .Y (n_11979));
+  sky130_fd_sc_hd__a222oi_1 g325277(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [14]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [14]), .C1 (n_11476), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [14]), .Y (n_11978));
+  sky130_fd_sc_hd__a222oi_1 g325278(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [31]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [31]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [31]), .Y (n_11977));
+  sky130_fd_sc_hd__a222oi_1 g325279(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [24]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [24]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [24]), .Y (n_11976));
+  sky130_fd_sc_hd__a222oi_1 g325280(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [14]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [14]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [14]), .Y (n_11975));
+  sky130_fd_sc_hd__a222oi_1 g325281(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [24]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [24]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [24]), .Y (n_11974));
+  sky130_fd_sc_hd__a222oi_1 g325282(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [14]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [14]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [14]), .Y (n_11973));
+  sky130_fd_sc_hd__a222oi_1 g325283(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [14]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [14]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [14]), .Y (n_11972));
+  sky130_fd_sc_hd__a222oi_1 g325284(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [14]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [14]), .C1 (n_11472), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [14]), .Y (n_11971));
+  sky130_fd_sc_hd__a222oi_1 g325285(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [24]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [24]), .C1 (n_16001), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [24]), .Y (n_11970));
+  sky130_fd_sc_hd__a222oi_1 g325286(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [13]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [13]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [13]), .Y (n_11969));
+  sky130_fd_sc_hd__a222oi_1 g325287(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [13]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [13]), .C1 (n_11563), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [13]), .Y (n_11968));
+  sky130_fd_sc_hd__a222oi_1 g325288(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [13]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [13]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [13]), .Y (n_11967));
+  sky130_fd_sc_hd__a222oi_1 g325289(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [23]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [23]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [23]), .Y (n_11966));
+  sky130_fd_sc_hd__a222oi_1 g325290(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [13]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [13]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [13]), .Y (n_11965));
+  sky130_fd_sc_hd__a222oi_1 g325291(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [13]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [13]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [13]), .Y (n_11964));
+  sky130_fd_sc_hd__a222oi_1 g325292(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [23]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [23]), .C1 (n_11476), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [23]), .Y (n_11963));
+  sky130_fd_sc_hd__a222oi_1 g325293(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [13]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [13]), .C1 (n_11472), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [13]), .Y (n_11962));
+  sky130_fd_sc_hd__a222oi_1 g325294(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [23]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [23]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [23]), .Y (n_11961));
+  sky130_fd_sc_hd__a222oi_1 g325295(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [12]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [12]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [12]), .Y (n_11960));
+  sky130_fd_sc_hd__a222oi_1 g325296(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [28]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [28]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [28]), .Y (n_11959));
+  sky130_fd_sc_hd__a222oi_1 g325297(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [23]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [23]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [23]), .Y (n_11958));
+  sky130_fd_sc_hd__a222oi_1 g325298(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [12]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [12]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [12]), .Y (n_11957));
+  sky130_fd_sc_hd__a222oi_1 g325299(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [23]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [23]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [23]), .Y (n_11956));
+  sky130_fd_sc_hd__a222oi_1 g325300(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [28]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [28]), .C1 (n_11476), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [28]), .Y (n_11955));
+  sky130_fd_sc_hd__a222oi_1 g325301(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [12]), .A2 (n_15865), .B1 (n_15871), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [12]), .C1 (n_11514), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [12]), .Y (n_11954));
+  sky130_fd_sc_hd__a222oi_1 g325302(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [12]), .A2 (n_15869), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [12]), .C1 (n_11471), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [12]), .Y (n_11953));
+  sky130_fd_sc_hd__a222oi_1 g325303(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [12]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [12]), .C1 (n_11472), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [12]), .Y (n_11952));
+  sky130_fd_sc_hd__a222oi_1 g325304(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [23]), .A2 (n_15872), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [23]), .C1 (n_11472), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [23]), .Y (n_11951));
+  sky130_fd_sc_hd__a222oi_1 g325305(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [28]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [28]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [28]), .Y (n_11950));
+  sky130_fd_sc_hd__a222oi_1 g325306(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [11]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [11]), .C1 (n_11563), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [11]), .Y (n_11949));
+  sky130_fd_sc_hd__a222oi_1 g325307(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [22]), .A2 (n_11423), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [22]), .C1 (n_11476), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [22]), .Y (n_11948));
+  sky130_fd_sc_hd__a222oi_1 g325308(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [31]), .A2 (n_15870), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [31]), .C1 (n_11480), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [31]), .Y (n_11947));
+  sky130_fd_sc_hd__a222oi_1 g325309(.A1
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [11]), .A2 (n_11418), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [11]), .C1 (n_16000), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [11]), .Y (n_11946));
+  sky130_fd_sc_hd__o32ai_1 g325310(.A1 (n_11161), .A2 (n_11345), .A3
+       (n_11432), .B1 (n_11432), .B2 (n_11470), .Y (n_11945));
+  sky130_fd_sc_hd__a22o_1 g325311(.A1 (n_11516), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [11]), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [11]), .X (n_11944));
+  sky130_fd_sc_hd__a22oi_1 g325314(.A1 (n_15867), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [8]), .B1 (n_11472), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [8]), .Y (n_11941));
+  sky130_fd_sc_hd__a22o_1 g325315(.A1 (n_11483), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [6]), .B1 (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [6]), .X (n_11940));
+  sky130_fd_sc_hd__a22oi_1 g325316(.A1 (n_15867), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [5]), .B1 (n_11472), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [5]), .Y (n_11939));
+  sky130_fd_sc_hd__a22oi_1 g325317(.A1 (n_11475), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [4]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [4]), .Y (n_11938));
+  sky130_fd_sc_hd__a22oi_1 g325319(.A1 (n_16001), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [3]), .B1 (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [3]), .Y (n_11936));
+  sky130_fd_sc_hd__a22o_1 g325321(.A1 (n_11556), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [2]), .B1 (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [2]), .X (n_11934));
+  sky130_fd_sc_hd__a22oi_1 g325322(.A1 (n_11422), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [2]), .B1 (n_11561), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [2]), .Y (n_11933));
+  sky130_fd_sc_hd__a22oi_1 g325323(.A1 (n_11423), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [2]), .B1 (n_11476), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [2]), .Y (n_11932));
+  sky130_fd_sc_hd__a22oi_1 g325324(.A1 (n_15887), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [2]), .B1 (n_11555), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [2]), .Y (n_11931));
+  sky130_fd_sc_hd__a22o_1 g325330(.A1 (n_11422), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [1]), .B1 (n_11561), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [1]), .X (n_11925));
+  sky130_fd_sc_hd__a22o_1 g325331(.A1 (n_11418), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [1]), .B1 (n_16000), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [1]), .X (n_11924));
+  sky130_fd_sc_hd__a22oi_1 g325336(.A1 (n_11476), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [0]), .B1 (n_11561), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [0]), .Y (n_11919));
+  sky130_fd_sc_hd__a22oi_1 g325337(.A1 (n_11557), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [0]), .B1 (n_11478), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [0]), .Y (n_11918));
+  sky130_fd_sc_hd__a22oi_1 g325338(.A1 (n_11513), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [0]), .B1 (n_11515), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [0]), .Y (n_11917));
+  sky130_fd_sc_hd__a22o_1 g325346(.A1 (n_15867), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [0]), .B1 (n_11552), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [0]), .X (n_11909));
+  sky130_fd_sc_hd__a22o_1 g325347(.A1 (n_11471), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [0]), .B1 (n_11472), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [0]), .X (n_11908));
+  sky130_fd_sc_hd__a22oi_1 g325348(.A1 (n_15862), .A2
+       (u_soc_u_top_u_core_pc_id[28]), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[28]), .Y (n_11907));
+  sky130_fd_sc_hd__a22oi_1 g325349(.A1 (n_15862), .A2
+       (u_soc_u_top_u_core_pc_id[27]), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[27]), .Y (n_11906));
+  sky130_fd_sc_hd__a22oi_1 g325350(.A1 (n_15862), .A2
+       (u_soc_u_top_u_core_pc_id[26]), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[26]), .Y (n_11905));
+  sky130_fd_sc_hd__a22oi_1 g325351(.A1 (n_15862), .A2
+       (u_soc_u_top_u_core_pc_id[6]), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[6]), .Y (n_11904));
+  sky130_fd_sc_hd__a22oi_1 g325352(.A1 (n_15862), .A2
+       (u_soc_u_top_u_core_pc_id[25]), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[25]), .Y (n_11903));
+  sky130_fd_sc_hd__a22oi_1 g325353(.A1 (n_15862), .A2
+       (u_soc_u_top_u_core_pc_id[24]), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[24]), .Y (n_11902));
+  sky130_fd_sc_hd__a22oi_1 g325354(.A1 (n_15862), .A2
+       (u_soc_u_top_u_core_pc_id[22]), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[22]), .Y (n_11901));
+  sky130_fd_sc_hd__a22oi_1 g325355(.A1 (n_15862), .A2
+       (u_soc_u_top_u_core_pc_id[21]), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[21]), .Y (n_11900));
+  sky130_fd_sc_hd__a22oi_1 g325356(.A1 (n_15862), .A2
+       (u_soc_u_top_u_core_pc_id[18]), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[18]), .Y (n_11899));
+  sky130_fd_sc_hd__a22oi_1 g325357(.A1 (n_15862), .A2
+       (u_soc_u_top_u_core_pc_id[17]), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[17]), .Y (n_11898));
+  sky130_fd_sc_hd__a22oi_1 g325358(.A1 (n_15862), .A2
+       (u_soc_u_top_u_core_pc_id[9]), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[9]), .Y (n_11897));
+  sky130_fd_sc_hd__a22oi_1 g325359(.A1 (n_15862), .A2
+       (u_soc_u_top_u_core_pc_id[10]), .B1 (n_16003), .B2
+       (u_soc_u_top_u_core_lsu_addr_last[10]), .Y (n_11896));
+  sky130_fd_sc_hd__a22oi_1 g325360(.A1 (n_15866), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [7]), .B1 (n_11471), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [7]), .Y (n_11895));
+  sky130_fd_sc_hd__nand2_1 g325362(.A (n_16001), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [19]), .Y (n_11893));
+  sky130_fd_sc_hd__nand2_1 g325363(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [11]), .Y (n_11892));
+  sky130_fd_sc_hd__nand2_1 g325365(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [28]), .Y (n_11890));
+  sky130_fd_sc_hd__nand2_1 g325366(.A (n_11472), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [11]), .Y (n_11889));
+  sky130_fd_sc_hd__nand2_1 g325367(.A (n_16001), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [31]), .Y (n_11888));
+  sky130_fd_sc_hd__nand2_1 g325368(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [22]), .Y (n_11887));
+  sky130_fd_sc_hd__nand2_1 g325369(.A (n_11476), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [10]), .Y (n_11886));
+  sky130_fd_sc_hd__nand2_1 g325370(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [28]), .Y (n_11885));
+  sky130_fd_sc_hd__nand2_1 g325371(.A (n_11472), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [6]), .Y (n_11884));
+  sky130_fd_sc_hd__nand2_1 g325372(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [3]), .Y (n_11883));
+  sky130_fd_sc_hd__nand2_1 g325373(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [22]), .Y (n_11882));
+  sky130_fd_sc_hd__nand2_1 g325374(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [10]), .Y (n_11881));
+  sky130_fd_sc_hd__nand2_1 g325375(.A (n_16001), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [28]), .Y (n_11880));
+  sky130_fd_sc_hd__nand2_1 g325376(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [22]), .Y (n_11879));
+  sky130_fd_sc_hd__nand2_1 g325377(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [10]), .Y (n_11878));
+  sky130_fd_sc_hd__nand2_1 g325378(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [10]), .Y (n_11877));
+  sky130_fd_sc_hd__nand2_1 g325379(.A (n_11472), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [22]), .Y (n_11876));
+  sky130_fd_sc_hd__nand2_1 g325380(.A (n_16000), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [29]), .Y (n_11875));
+  sky130_fd_sc_hd__nand2_1 g325381(.A (n_16001), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [10]), .Y (n_11874));
+  sky130_fd_sc_hd__nand2_1 g325382(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [9]), .Y (n_11873));
+  sky130_fd_sc_hd__nand2_1 g325383(.A (n_11563), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [21]), .Y (n_11872));
+  sky130_fd_sc_hd__nand2_1 g325384(.A (n_11563), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [27]), .Y (n_11871));
+  sky130_fd_sc_hd__nand2_1 g325386(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [9]), .Y (n_11869));
+  sky130_fd_sc_hd__nand2_1 g325387(.A (n_16000), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [21]), .Y (n_11868));
+  sky130_fd_sc_hd__nand2_1 g325388(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [9]), .Y (n_11867));
+  sky130_fd_sc_hd__nand2_1 g325389(.A (n_16001), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [9]), .Y (n_11866));
+  sky130_fd_sc_hd__nand2_1 g325390(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [21]), .Y (n_11865));
+  sky130_fd_sc_hd__nand2_1 g325391(.A (n_11476), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [8]), .Y (n_11864));
+  sky130_fd_sc_hd__nand2_1 g325392(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [20]), .Y (n_11863));
+  sky130_fd_sc_hd__nand2_1 g325393(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [8]), .Y (n_11862));
+  sky130_fd_sc_hd__nand2_1 g325394(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [21]), .Y (n_11861));
+  sky130_fd_sc_hd__nand2_1 g325395(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [8]), .Y (n_11860));
+  sky130_fd_sc_hd__nand2_1 g325396(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [18]), .Y (n_11859));
+  sky130_fd_sc_hd__nand2_1 g325397(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [21]), .Y (n_11858));
+  sky130_fd_sc_hd__nand2_1 g325398(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [8]), .Y (n_11857));
+  sky130_fd_sc_hd__nand2_1 g325399(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [1]), .Y (n_11856));
+  sky130_fd_sc_hd__nand2_1 g325400(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [8]), .Y (n_11855));
+  sky130_fd_sc_hd__nand2_1 g325401(.A (n_11563), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [31]), .Y (n_11854));
+  sky130_fd_sc_hd__nand2_1 g325402(.A (n_16000), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [26]), .Y (n_11853));
+  sky130_fd_sc_hd__nand2_1 g325403(.A (n_11472), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [21]), .Y (n_11852));
+  sky130_fd_sc_hd__nand2_1 g325404(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [27]), .Y (n_11851));
+  sky130_fd_sc_hd__nand2_1 g325405(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [7]), .Y (n_11850));
+  sky130_fd_sc_hd__nand2_1 g325407(.A (n_11476), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [7]), .Y (n_11848));
+  sky130_fd_sc_hd__nand2_1 g325408(.A (n_11476), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [20]), .Y (n_11847));
+  sky130_fd_sc_hd__nand2_1 g325409(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [7]), .Y (n_11846));
+  sky130_fd_sc_hd__nand2_1 g325410(.A (n_16000), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [20]), .Y (n_11845));
+  sky130_fd_sc_hd__nand2_1 g325411(.A (n_11472), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [7]), .Y (n_11844));
+  sky130_fd_sc_hd__nand2_1 g325412(.A (n_11472), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [1]), .Y (n_11843));
+  sky130_fd_sc_hd__nand2_1 g325413(.A (n_11476), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [17]), .Y (n_11842));
+  sky130_fd_sc_hd__nand2_1 g325414(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [27]), .Y (n_11841));
+  sky130_fd_sc_hd__nand2_1 g325416(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [20]), .Y (n_11839));
+  sky130_fd_sc_hd__nand2_1 g325417(.A (n_11563), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [6]), .Y (n_11838));
+  sky130_fd_sc_hd__nand2_1 g325418(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [27]), .Y (n_11837));
+  sky130_fd_sc_hd__nand2_1 g325419(.A (n_11563), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [30]), .Y (n_11836));
+  sky130_fd_sc_hd__nand2_1 g325420(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [6]), .Y (n_11835));
+  sky130_fd_sc_hd__nand2_1 g325421(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [20]), .Y (n_11834));
+  sky130_fd_sc_hd__nand2_1 g325422(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [6]), .Y (n_11833));
+  sky130_fd_sc_hd__nand2_1 g325423(.A (n_16001), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [27]), .Y (n_11832));
+  sky130_fd_sc_hd__nand2_1 g325424(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [15]), .Y (n_11831));
+  sky130_fd_sc_hd__nand2_1 g325425(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [30]), .Y (n_11830));
+  sky130_fd_sc_hd__nand2_1 g325426(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [5]), .Y (n_11829));
+  sky130_fd_sc_hd__nand2_1 g325427(.A (n_11476), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [5]), .Y (n_11828));
+  sky130_fd_sc_hd__nand2_1 g325428(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [19]), .Y (n_11827));
+  sky130_fd_sc_hd__nand2_1 g325429(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [31]), .Y (n_11826));
+  sky130_fd_sc_hd__nand2_1 g325430(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [5]), .Y (n_11825));
+  sky130_fd_sc_hd__nand2_1 g325431(.A (n_11476), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [26]), .Y (n_11824));
+  sky130_fd_sc_hd__nand2_1 g325433(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [5]), .Y (n_11822));
+  sky130_fd_sc_hd__nand2_1 g325435(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [26]), .Y (n_11820));
+  sky130_fd_sc_hd__nand2_1 g325436(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [5]), .Y (n_11819));
+  sky130_fd_sc_hd__nand2_1 g325437(.A (n_11476), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [29]), .Y (n_11818));
+  sky130_fd_sc_hd__nand2_1 g325438(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [26]), .Y (n_11817));
+  sky130_fd_sc_hd__nand2_1 g325439(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [30]), .Y (n_11816));
+  sky130_fd_sc_hd__nand2_1 g325440(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [19]), .Y (n_11815));
+  sky130_fd_sc_hd__nand2_1 g325441(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [4]), .Y (n_11814));
+  sky130_fd_sc_hd__nand2_1 g325442(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [19]), .Y (n_11813));
+  sky130_fd_sc_hd__nand2_1 g325444(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [4]), .Y (n_11811));
+  sky130_fd_sc_hd__nand2_1 g325445(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [30]), .Y (n_11810));
+  sky130_fd_sc_hd__nand2_1 g325446(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [4]), .Y (n_11809));
+  sky130_fd_sc_hd__nand2_1 g325448(.A (n_11563), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [18]), .Y (n_11807));
+  sky130_fd_sc_hd__nand2_1 g325450(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [3]), .Y (n_11805));
+  sky130_fd_sc_hd__nand2_1 g325451(.A (n_11472), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [30]), .Y (n_11804));
+  sky130_fd_sc_hd__nand2_1 g325453(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [12]), .Y (n_11802));
+  sky130_fd_sc_hd__nand2_1 g325454(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [18]), .Y (n_11801));
+  sky130_fd_sc_hd__nand2_1 g325455(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [26]), .Y (n_11800));
+  sky130_fd_sc_hd__nand2_1 g325456(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [18]), .Y (n_11799));
+  sky130_fd_sc_hd__nand2_1 g325457(.A (n_11471), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [16]), .Y (n_11798));
+  sky130_fd_sc_hd__nand2_1 g325458(.A (n_11473), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [2]), .Y (n_11797));
+  sky130_fd_sc_hd__nand2_1 g325459(.A (n_11472), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [18]), .Y (n_11796));
+  sky130_fd_sc_hd__nand2_1 g325460(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [9]), .Y (n_11795));
+  sky130_fd_sc_hd__nand2_1 g325464(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [1]), .Y (n_11791));
+  sky130_fd_sc_hd__nand2_1 g325467(.A (n_16000), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [17]), .Y (n_11788));
+  sky130_fd_sc_hd__nand2_1 g325468(.A (n_11563), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [1]), .Y (n_11787));
+  sky130_fd_sc_hd__nand2_1 g325469(.A (n_11476), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [25]), .Y (n_11786));
+  sky130_fd_sc_hd__nand2_1 g325470(.A (n_11557), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [17]), .Y (n_11785));
+  sky130_fd_sc_hd__nand2_1 g325472(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [25]), .Y (n_11783));
+  sky130_fd_sc_hd__nand2_1 g325474(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [0]), .Y (n_11781));
+  sky130_fd_sc_hd__nand2_1 g325475(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [17]), .Y (n_11780));
+  sky130_fd_sc_hd__nand2_1 g325478(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [17]), .Y (n_11777));
+  sky130_fd_sc_hd__nand2_1 g325482(.A (n_11563), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [2]), .Y (n_11773));
+  sky130_fd_sc_hd__nand2_1 g325483(.A (n_16000), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [25]), .Y (n_11772));
+  sky130_fd_sc_hd__inv_2 g325484(.A (n_11770), .Y (n_11771));
+  sky130_fd_sc_hd__inv_2 g325485(.A (n_15994), .Y (n_11769));
+  sky130_fd_sc_hd__inv_2 g325487(.A (n_15996), .Y (n_11765));
+  sky130_fd_sc_hd__inv_2 g325488(.A (n_15997), .Y (n_11761));
+  sky130_fd_sc_hd__inv_2 g325492(.A (n_15998), .Y (n_11753));
+  sky130_fd_sc_hd__inv_2 g325494(.A (n_11748), .Y (n_11749));
+  sky130_fd_sc_hd__inv_6 g325496(.A (n_11746), .Y (n_11745));
+  sky130_fd_sc_hd__nand2_1 g325497(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [3]), .Y (n_11744));
+  sky130_fd_sc_hd__nand2_1 g325498(.A (n_11472), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [17]), .Y (n_11743));
+  sky130_fd_sc_hd__nand2_1 g325499(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [11]), .Y (n_11742));
+  sky130_fd_sc_hd__nand2_1 g325501(.A (n_11563), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [16]), .Y (n_11740));
+  sky130_fd_sc_hd__nand2_1 g325502(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [16]), .Y (n_11739));
+  sky130_fd_sc_hd__nand2_1 g325503(.A (n_11472), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [26]), .Y (n_11738));
+  sky130_fd_sc_hd__nand2_1 g325504(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [25]), .Y (n_11737));
+  sky130_fd_sc_hd__nand2_1 g325505(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [16]), .Y (n_11736));
+  sky130_fd_sc_hd__nand2_1 g325506(.A (n_11557), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [29]), .Y (n_11735));
+  sky130_fd_sc_hd__nand2_1 g325507(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [25]), .Y (n_11734));
+  sky130_fd_sc_hd__nand2_1 g325508(.A (n_16001), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [16]), .Y (n_11733));
+  sky130_fd_sc_hd__nand2_1 g325509(.A (n_16001), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [25]), .Y (n_11732));
+  sky130_fd_sc_hd__nand2_1 g325510(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [31]), .Y (n_11731));
+  sky130_fd_sc_hd__nand2_1 g325511(.A (n_11550), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [2]), .Y (n_11730));
+  sky130_fd_sc_hd__nand2_1 g325513(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [16]), .Y (n_11728));
+  sky130_fd_sc_hd__nand2_1 g325514(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [15]), .Y (n_11727));
+  sky130_fd_sc_hd__nand2_1 g325515(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [24]), .Y (n_11726));
+  sky130_fd_sc_hd__nand2_1 g325516(.A (n_11471), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [15]), .Y (n_11725));
+  sky130_fd_sc_hd__nand2_1 g325517(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [15]), .Y (n_11724));
+  sky130_fd_sc_hd__nand2_1 g325518(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [29]), .Y (n_11723));
+  sky130_fd_sc_hd__nand2_1 g325519(.A (n_11476), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [24]), .Y (n_11722));
+  sky130_fd_sc_hd__nand2_1 g325520(.A (n_11472), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [15]), .Y (n_11721));
+  sky130_fd_sc_hd__nand2_1 g325521(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [29]), .Y (n_11720));
+  sky130_fd_sc_hd__nand2_1 g325522(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [24]), .Y (n_11719));
+  sky130_fd_sc_hd__nand2_1 g325524(.A (n_16001), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [29]), .Y (n_11717));
+  sky130_fd_sc_hd__nand2_1 g325525(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [14]), .Y (n_11716));
+  sky130_fd_sc_hd__nand2_1 g325526(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [31]), .Y (n_11715));
+  sky130_fd_sc_hd__nand2_1 g325527(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [4]), .Y (n_11714));
+  sky130_fd_sc_hd__nand2_1 g325528(.A (n_11563), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [14]), .Y (n_11713));
+  sky130_fd_sc_hd__nand2_1 g325529(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [24]), .Y (n_11712));
+  sky130_fd_sc_hd__nand2_1 g325530(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [14]), .Y (n_11711));
+  sky130_fd_sc_hd__nand2_1 g325531(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [19]), .Y (n_11710));
+  sky130_fd_sc_hd__nand2_1 g325532(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [24]), .Y (n_11709));
+  sky130_fd_sc_hd__nand2_1 g325533(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [14]), .Y (n_11708));
+  sky130_fd_sc_hd__nand2_1 g325535(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [14]), .Y (n_11706));
+  sky130_fd_sc_hd__nand2_1 g325536(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [6]), .Y (n_11705));
+  sky130_fd_sc_hd__nand2_1 g325537(.A (n_16001), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [14]), .Y (n_11704));
+  sky130_fd_sc_hd__nand2_1 g325538(.A (n_11472), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [24]), .Y (n_11703));
+  sky130_fd_sc_hd__nand2_1 g325539(.A (n_11472), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [20]), .Y (n_11702));
+  sky130_fd_sc_hd__nand2_1 g325540(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [13]), .Y (n_11701));
+  sky130_fd_sc_hd__nand2_1 g325541(.A (n_11476), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [13]), .Y (n_11700));
+  sky130_fd_sc_hd__nand2_1 g325542(.A (n_16001), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [2]), .Y (n_11699));
+  sky130_fd_sc_hd__nand2_1 g325543(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [13]), .Y (n_11698));
+  sky130_fd_sc_hd__nand2_1 g325544(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [23]), .Y (n_11697));
+  sky130_fd_sc_hd__nand2_1 g325545(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [13]), .Y (n_11696));
+  sky130_fd_sc_hd__nand2_1 g325546(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [13]), .Y (n_11695));
+  sky130_fd_sc_hd__nand2_1 g325547(.A (n_11563), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [23]), .Y (n_11694));
+  sky130_fd_sc_hd__nand2_1 g325549(.A (n_16001), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [13]), .Y (n_11692));
+  sky130_fd_sc_hd__nand2_1 g325550(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [23]), .Y (n_11691));
+  sky130_fd_sc_hd__nand2_1 g325551(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [12]), .Y (n_11690));
+  sky130_fd_sc_hd__nand2_1 g325552(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [28]), .Y (n_11689));
+  sky130_fd_sc_hd__nand2_1 g325553(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [23]), .Y (n_11688));
+  sky130_fd_sc_hd__nand2_1 g325554(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [12]), .Y (n_11687));
+  sky130_fd_sc_hd__nand2_1 g325555(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [23]), .Y (n_11686));
+  sky130_fd_sc_hd__nand2_1 g325556(.A (n_11563), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [28]), .Y (n_11685));
+  sky130_fd_sc_hd__nand2_1 g325557(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [12]), .Y (n_11684));
+  sky130_fd_sc_hd__nand2_1 g325559(.A (n_16001), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [12]), .Y (n_11682));
+  sky130_fd_sc_hd__nand2_1 g325560(.A (n_16001), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [23]), .Y (n_11681));
+  sky130_fd_sc_hd__nand2_1 g325561(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [28]), .Y (n_11680));
+  sky130_fd_sc_hd__nand2_1 g325562(.A (n_11476), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [11]), .Y (n_11679));
+  sky130_fd_sc_hd__nand2_1 g325563(.A (n_11563), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [22]), .Y (n_11678));
+  sky130_fd_sc_hd__nand2_1 g325564(.A (n_11518), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [31]), .Y (n_11677));
+  sky130_fd_sc_hd__nand2_1 g325565(.A (n_11553), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [6]), .Y (n_11676));
+  sky130_fd_sc_hd__nand2_1 g325566(.A (n_11554), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [1]), .Y (n_11675));
+  sky130_fd_sc_hd__nand2_1 g325567(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [30]), .Y (n_11674));
+  sky130_fd_sc_hd__nand2_1 g325568(.A (n_11561), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [11]), .Y (n_11673));
+  sky130_fd_sc_hd__o31ai_1 g325569(.A1 (u_soc_dccm_adapter_rvalid_o),
+       .A2 (n_11145), .A3 (n_11233), .B1 (n_13454), .Y (n_11672));
+  sky130_fd_sc_hd__a22o_1 g325570(.A1 (n_11418), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [22]), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [22]), .X (n_11671));
+  sky130_fd_sc_hd__nand3_1 g325571(.A (n_11414), .B (n_11439), .C
+       (n_11332), .Y (n_11670));
+  sky130_fd_sc_hd__nand2_1 g325572(.A (n_13451), .B (n_11412), .Y
+       (n_11669));
+  sky130_fd_sc_hd__a22o_1 g325573(.A1 (n_15870), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [11]), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [11]), .X (n_11668));
+  sky130_fd_sc_hd__a22o_1 g325574(.A1 (n_11423), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [12]), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [12]), .X (n_11667));
+  sky130_fd_sc_hd__a22o_1 g325579(.A1 (n_11423), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [15]), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [15]), .X (n_11662));
+  sky130_fd_sc_hd__o21bai_1 g325580(.A1 (n_13336), .A2 (n_11356), .B1_N
+       (n_13454), .Y (n_11661));
+  sky130_fd_sc_hd__nand3b_1 g325581(.A_N (n_13487), .B (n_13460), .C
+       (n_15890), .Y (n_82523_BAR));
+  sky130_fd_sc_hd__a22o_1 g325587(.A1 (n_15869), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [3]), .B1 (n_15866), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [3]), .X (n_11655));
+  sky130_fd_sc_hd__a22o_1 g325588(.A1 (n_11418), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [18]), .B1 (n_11422), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [18]), .X (n_11654));
+  sky130_fd_sc_hd__a22o_1 g325589(.A1 (n_11423), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [3]), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [3]), .X (n_11653));
+  sky130_fd_sc_hd__a22o_1 g325590(.A1 (n_15872), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [4]), .B1 (n_15867), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [4]), .X (n_11652));
+  sky130_fd_sc_hd__a22o_1 g325591(.A1 (n_11423), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [4]), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [4]), .X (n_11651));
+  sky130_fd_sc_hd__a22o_1 g325594(.A1 (n_11423), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [19]), .B1 (n_11428), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [19]), .X (n_11648));
+  sky130_fd_sc_hd__a22o_1 g325595(.A1 (n_15870), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [0]), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [0]), .X (n_11647));
+  sky130_fd_sc_hd__a22o_1 g325596(.A1 (n_15870), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [27]), .B1 (n_11415), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [27]), .X (n_11646));
+  sky130_fd_sc_hd__or3_1 g325599(.A
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst
+       ), .B (n_11438), .C (n_13451), .X (n_13878));
+  sky130_fd_sc_hd__nand3_1 g325600(.A
+       (u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]), .B
+       (u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]), .C
+       (n_11440), .Y (n_11770));
+  sky130_fd_sc_hd__and2_1 g325605(.A (n_11632), .B (n_11276), .X
+       (n_11763));
+  sky130_fd_sc_hd__and2_1 g325606(.A (n_11629), .B (n_11284), .X
+       (n_11762));
+  sky130_fd_sc_hd__and2_1 g325610(.A (n_11637), .B (n_11232), .X
+       (n_11757));
+  sky130_fd_sc_hd__and2_1 g325611(.A (n_11636), .B (n_11291), .X
+       (n_11756));
+  sky130_fd_sc_hd__and2_1 g325613(.A (n_11628), .B (n_11271), .X
+       (n_11754));
+  sky130_fd_sc_hd__and2_1 g325615(.A (n_11635), .B (n_11272), .X
+       (n_11751));
+  sky130_fd_sc_hd__nand3_1 g325617(.A (n_11631), .B (n_11154), .C
+       (n_11113), .Y (n_11748));
+  sky130_fd_sc_hd__nand2_2 g325619(.A (n_11593), .B (n_11054), .Y
+       (n_11746));
+  sky130_fd_sc_hd__inv_2 g325623(.A (n_11626), .Y (n_11625));
+  sky130_fd_sc_hd__a21oi_1 g325666(.A1 (n_11393), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [11]), .B1 (n_11375), .Y (n_11581));
+  sky130_fd_sc_hd__nand2_1 g325667(.A (n_15868), .B
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [2]), .Y (n_11580));
+  sky130_fd_sc_hd__nor2b_1 g325668(.A (n_11421), .B_N
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [0]), .Y (n_11579));
+  sky130_fd_sc_hd__nor2b_1 g325673(.A (n_11447), .B_N (n_11140), .Y
+       (n_11574));
+  sky130_fd_sc_hd__a21oi_1 g325674(.A1 (n_11393), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [19]), .B1 (n_11372), .Y (n_11573));
+  sky130_fd_sc_hd__a21oi_1 g325675(.A1 (n_11393), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [23]), .B1 (n_11377), .Y (n_11572));
+  sky130_fd_sc_hd__a21oi_1 g325676(.A1 (n_11393), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [29]), .B1 (n_11378), .Y (n_11571));
+  sky130_fd_sc_hd__a21oi_1 g325677(.A1 (n_11393), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [10]), .B1 (n_11374), .Y (n_11570));
+  sky130_fd_sc_hd__a21oi_1 g325678(.A1 (n_11393), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [7]), .B1 (n_11363), .Y (n_11569));
+  sky130_fd_sc_hd__a21oi_1 g325679(.A1 (n_11393), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [22]), .B1 (n_11364), .Y (n_11568));
+  sky130_fd_sc_hd__a21oi_1 g325680(.A1 (n_11393), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [28]), .B1 (n_11376), .Y (n_11567));
+  sky130_fd_sc_hd__a21oi_1 g325681(.A1 (n_11393), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [25]), .B1 (n_11384), .Y (n_11566));
+  sky130_fd_sc_hd__nand2_1 g325682(.A (n_15863), .B (n_11087), .Y
+       (n_11637));
+  sky130_fd_sc_hd__nand2_1 g325683(.A (n_15863), .B (n_11086), .Y
+       (n_11636));
+  sky130_fd_sc_hd__nand2_1 g325684(.A (n_15864), .B (n_11121), .Y
+       (n_11635));
+  sky130_fd_sc_hd__nand2_1 g325685(.A (n_15864), .B (n_15877), .Y
+       (n_11633));
+  sky130_fd_sc_hd__nand2_1 g325686(.A (n_15864), .B (n_11086), .Y
+       (n_11632));
+  sky130_fd_sc_hd__nand2_1 g325687(.A (n_15863), .B (n_11121), .Y
+       (n_11631));
+  sky130_fd_sc_hd__nand2_1 g325688(.A (n_15863), .B (n_15877), .Y
+       (n_11629));
+  sky130_fd_sc_hd__o211ai_1 g325689(.A1 (n_621), .A2 (n_13873), .B1
+       (n_11229), .C1 (n_11431), .Y (n_13487));
+  sky130_fd_sc_hd__nand2_1 g325690(.A (n_15864), .B (n_11087), .Y
+       (n_11628));
+  sky130_fd_sc_hd__nand2b_1 g325692(.A_N
+       (u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]), .B
+       (n_11458), .Y (n_11626));
+  sky130_fd_sc_hd__nand2_4 g325693(.A (n_11431), .B (n_11411), .Y
+       (n_11593));
+  sky130_fd_sc_hd__inv_1 g325754(.A (n_11482), .Y (n_11483));
+  sky130_fd_sc_hd__inv_1 g325755(.A (n_15882), .Y (n_11482));
+  sky130_fd_sc_hd__a211o_1 g325756(.A1 (n_11357), .A2 (n_11032), .B1
+       (n_11161), .C1 (n_11167), .X (n_11470));
+  sky130_fd_sc_hd__a21oi_1 g325757(.A1 (n_11393), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [24]), .B1 (n_11389), .Y (n_11469));
+  sky130_fd_sc_hd__a21oi_1 g325758(.A1 (n_11393), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [18]), .B1 (n_11385), .Y (n_11468));
+  sky130_fd_sc_hd__o211ai_1 g325759(.A1 (n_11314), .A2 (n_11225), .B1
+       (n_11386), .C1 (n_11382), .Y (n_11467));
+  sky130_fd_sc_hd__a21oi_1 g325760(.A1 (n_11393), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [14]), .B1 (n_11388), .Y (n_11466));
+  sky130_fd_sc_hd__a211o_1 g325761(.A1 (n_11393), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [4]), .B1 (n_11346), .C1 (n_11390), .X (n_11465));
+  sky130_fd_sc_hd__mux2i_1 g325762(.A0 (n_11344), .A1 (n_11350), .S
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Y (n_13451));
+  sky130_fd_sc_hd__mux2i_1 g325763(.A0 (n_11343), .A1 (n_11348), .S
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Y (n_13454));
+  sky130_fd_sc_hd__and3_1 g325764(.A (n_11463), .B (n_11217), .C
+       (n_11113), .X (n_11564));
+  sky130_fd_sc_hd__and2_1 g325765(.A (n_11462), .B (n_11283), .X
+       (n_11563));
+  sky130_fd_sc_hd__and2_1 g325767(.A (n_11452), .B (n_11253), .X
+       (n_11561));
+  sky130_fd_sc_hd__and2_1 g325769(.A (n_11461), .B (n_11247), .X
+       (n_11559));
+  sky130_fd_sc_hd__and2_1 g325770(.A (n_11459), .B (n_11251), .X
+       (n_11558));
+  sky130_fd_sc_hd__and3_2 g325771(.A (n_11460), .B (n_11221), .C
+       (n_11113), .X (n_11557));
+  sky130_fd_sc_hd__and2_2 g325772(.A (n_11433), .B (n_11275), .X
+       (n_11556));
+  sky130_fd_sc_hd__and2_1 g325773(.A (n_11459), .B (n_11244), .X
+       (n_11555));
+  sky130_fd_sc_hd__and2_1 g325774(.A (n_11435), .B (n_11256), .X
+       (n_11554));
+  sky130_fd_sc_hd__and2_1 g325775(.A (n_11450), .B (n_11290), .X
+       (n_11553));
+  sky130_fd_sc_hd__and2_1 g325776(.A (n_11461), .B (n_11248), .X
+       (n_11552));
+  sky130_fd_sc_hd__and3_2 g325778(.A (n_11460), .B (n_11155), .C
+       (n_11111), .X (n_11550));
+  sky130_fd_sc_hd__and3_1 g325779(.A (n_11435), .B (n_11221), .C
+       (n_11092), .X (n_11518));
+  sky130_fd_sc_hd__and2_1 g325780(.A (n_11457), .B (n_11241), .X
+       (n_11517));
+  sky130_fd_sc_hd__and2_1 g325781(.A (n_11434), .B (n_11274), .X
+       (n_11516));
+  sky130_fd_sc_hd__and2_1 g325782(.A (n_11457), .B (n_11237), .X
+       (n_11515));
+  sky130_fd_sc_hd__and2_1 g325783(.A (n_11456), .B (n_11289), .X
+       (n_11514));
+  sky130_fd_sc_hd__and2_1 g325784(.A (n_16002), .B (n_11273), .X
+       (n_11513));
+  sky130_fd_sc_hd__and2_1 g325786(.A (n_11456), .B (n_11254), .X
+       (n_11480));
+  sky130_fd_sc_hd__and2_1 g325787(.A (n_11433), .B (n_11280), .X
+       (n_11479));
+  sky130_fd_sc_hd__and2_1 g325788(.A (n_11455), .B (n_11252), .X
+       (n_11478));
+  sky130_fd_sc_hd__and2_2 g325790(.A (n_11464), .B (n_11262), .X
+       (n_11476));
+  sky130_fd_sc_hd__and2_0 g325791(.A (n_11455), .B (n_11246), .X
+       (n_11475));
+  sky130_fd_sc_hd__and2_1 g325792(.A (n_11451), .B (n_11236), .X
+       (n_11474));
+  sky130_fd_sc_hd__and2_2 g325793(.A (n_11451), .B (n_11238), .X
+       (n_11473));
+  sky130_fd_sc_hd__and2_0 g325794(.A (n_11462), .B (n_11282), .X
+       (n_11472));
+  sky130_fd_sc_hd__and2_1 g325795(.A (n_11452), .B (n_11242), .X
+       (n_11471));
+  sky130_fd_sc_hd__inv_2 g325800(.A (n_15881), .Y (n_11443));
+  sky130_fd_sc_hd__nand2_1 g325801(.A (n_11393), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [3]), .Y (n_11442));
+  sky130_fd_sc_hd__nand2_1 g325802(.A (n_11393), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [9]), .Y (n_11441));
+  sky130_fd_sc_hd__nor2_1 g325803(.A
+       (u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel), .B (n_11355), .Y
+       (n_11440));
+  sky130_fd_sc_hd__nand3_1 g325804(.A (n_11315), .B (n_11096), .C
+       (u_soc_u_top_u_core_rf_waddr_wb[2]), .Y (n_11439));
+  sky130_fd_sc_hd__nor2b_1 g325805(.A (n_13337), .B_N (n_13462), .Y
+       (n_11438));
+  sky130_fd_sc_hd__nand2_1 g325806(.A (n_15874), .B (n_15877), .Y
+       (n_11464));
+  sky130_fd_sc_hd__nand4_1 g325807(.A (n_11294), .B (n_11121), .C
+       (n_11094), .D (n_652), .Y (n_11463));
+  sky130_fd_sc_hd__nand4_1 g325808(.A (n_11294), .B (n_15877), .C
+       (u_soc_u_top_u_core_rf_waddr_wb[1]), .D (n_11098), .Y (n_11462));
+  sky130_fd_sc_hd__nand4_1 g325809(.A (n_11294), .B (n_15877), .C
+       (n_11094), .D (u_soc_u_top_u_core_rf_waddr_wb[1]), .Y (n_11461));
+  sky130_fd_sc_hd__nand2_1 g325810(.A (n_15874), .B (n_11121), .Y
+       (n_11460));
+  sky130_fd_sc_hd__nand4_1 g325811(.A (n_11294), .B (n_11086), .C
+       (u_soc_u_top_u_core_rf_waddr_wb[1]), .D (n_11098), .Y (n_11459));
+  sky130_fd_sc_hd__nor2_1 g325812(.A (n_11355), .B
+       (u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]), .Y
+       (n_11458));
+  sky130_fd_sc_hd__nand4_1 g325813(.A (n_11294), .B (n_11087), .C
+       (n_11094), .D (u_soc_u_top_u_core_rf_waddr_wb[1]), .Y (n_11457));
+  sky130_fd_sc_hd__nand4_1 g325814(.A (n_11294), .B (n_11121), .C
+       (n_11094), .D (u_soc_u_top_u_core_rf_waddr_wb[1]), .Y (n_11456));
+  sky130_fd_sc_hd__nand4_1 g325815(.A (n_11294), .B (n_11121), .C
+       (u_soc_u_top_u_core_rf_waddr_wb[1]), .D (n_11098), .Y (n_11455));
+  sky130_fd_sc_hd__nand4_1 g325817(.A (n_11294), .B (n_11087), .C
+       (u_soc_u_top_u_core_rf_waddr_wb[1]), .D (n_11098), .Y (n_11452));
+  sky130_fd_sc_hd__nand4_1 g325818(.A (n_11294), .B (n_11086), .C
+       (n_11094), .D (u_soc_u_top_u_core_rf_waddr_wb[1]), .Y (n_11451));
+  sky130_fd_sc_hd__nand2_1 g325819(.A (n_15874), .B (n_11087), .Y
+       (n_11450));
+  sky130_fd_sc_hd__nor2_1 g325822(.A
+       (u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]), .B
+       (n_11355), .Y (n_11447));
+  sky130_fd_sc_hd__nand2_1 g325823(.A (n_11354), .B
+       (u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[0]), .Y
+       (n_11446));
+  sky130_fd_sc_hd__inv_2 g325829(.A (n_11421), .Y (n_11422));
+  sky130_fd_sc_hd__a21oi_1 g325834(.A1 (n_15886), .A2 (n_15879), .B1
+       (n_15873), .Y (n_11414));
+  sky130_fd_sc_hd__nand4_1 g325835(.A (n_11307), .B (n_11303), .C
+       (n_11261), .D (u_soc_u_top_u_core_id_stage_i_rf_ren_b), .Y
+       (n_11413));
+  sky130_fd_sc_hd__a31oi_1 g325836(.A1 (n_11226), .A2 (n_13457), .A3
+       (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125), .B1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst
+       ), .Y (n_11412));
+  sky130_fd_sc_hd__a21oi_1 g325837(.A1 (n_11304), .A2 (n_11105), .B1
+       (n_11173), .Y (n_11411));
+  sky130_fd_sc_hd__nand2_1 g325838(.A (n_15874), .B (n_11086), .Y
+       (n_11435));
+  sky130_fd_sc_hd__nand4_1 g325839(.A (n_11294), .B (n_11086), .C
+       (n_11094), .D (n_652), .Y (n_11434));
+  sky130_fd_sc_hd__nand4_1 g325840(.A (n_11294), .B (n_11087), .C
+       (n_11094), .D (n_652), .Y (n_11433));
+  sky130_fd_sc_hd__a21boi_1 g325841(.A1 (n_11125), .A2 (n_11124), .B1_N
+       (n_11357), .Y (n_11432));
+  sky130_fd_sc_hd__a21oi_1 g325842(.A1 (n_11302), .A2
+       (u_soc_u_top_u_core_alu_operator_ex[2]), .B1 (n_11169), .Y
+       (n_11431));
+  sky130_fd_sc_hd__and2_2 g325845(.A (n_11401), .B (n_11286), .X
+       (n_11428));
+  sky130_fd_sc_hd__and2_2 g325848(.A (n_11395), .B (n_11277), .X
+       (n_11425));
+  sky130_fd_sc_hd__and2_1 g325850(.A (n_11396), .B (n_11250), .X
+       (n_11423));
+  sky130_fd_sc_hd__nand2_1 g325851(.A (n_11397), .B (n_11265), .Y
+       (n_11421));
+  sky130_fd_sc_hd__and2_1 g325854(.A (n_11400), .B (n_11234), .X
+       (n_11418));
+  sky130_fd_sc_hd__and2_2 g325857(.A (n_11398), .B (n_11269), .X
+       (n_11415));
+  sky130_fd_sc_hd__a21o_1 g325860(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [6]), .B1 (n_11313), .X (n_11392));
+  sky130_fd_sc_hd__nand2b_1 g325861(.A_N (n_11104), .B (n_11306), .Y
+       (n_11391));
+  sky130_fd_sc_hd__a21oi_1 g325862(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [4]), .B1 (n_11313), .Y (n_11390));
+  sky130_fd_sc_hd__a21oi_1 g325863(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [24]), .B1 (n_11313), .Y (n_11389));
+  sky130_fd_sc_hd__a21oi_1 g325864(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [14]), .B1 (n_11313), .Y (n_11388));
+  sky130_fd_sc_hd__nand3_1 g325866(.A (n_11294), .B (n_15876), .C
+       (n_11094), .Y (n_11386));
+  sky130_fd_sc_hd__a21oi_1 g325867(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [18]), .B1 (n_11313), .Y (n_11385));
+  sky130_fd_sc_hd__a21oi_1 g325868(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [25]), .B1 (n_11313), .Y (n_11384));
+  sky130_fd_sc_hd__a21oi_1 g325869(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [16]), .B1 (n_11313), .Y (n_11383));
+  sky130_fd_sc_hd__nand3_1 g325870(.A (n_11294), .B (n_15880), .C
+       (n_11098), .Y (n_11382));
+  sky130_fd_sc_hd__a21oi_1 g325871(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [17]), .B1 (n_11313), .Y (n_11381));
+  sky130_fd_sc_hd__a21oi_1 g325872(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [20]), .B1 (n_11313), .Y (n_11380));
+  sky130_fd_sc_hd__a21oi_1 g325873(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [26]), .B1 (n_11313), .Y (n_11379));
+  sky130_fd_sc_hd__a21oi_1 g325874(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [29]), .B1 (n_11313), .Y (n_11378));
+  sky130_fd_sc_hd__a21oi_1 g325875(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [23]), .B1 (n_11313), .Y (n_11377));
+  sky130_fd_sc_hd__a21oi_1 g325876(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [28]), .B1 (n_11313), .Y (n_11376));
+  sky130_fd_sc_hd__a21oi_1 g325877(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [11]), .B1 (n_11313), .Y (n_11375));
+  sky130_fd_sc_hd__a21oi_1 g325878(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [10]), .B1 (n_11313), .Y (n_11374));
+  sky130_fd_sc_hd__a21oi_1 g325879(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [31]), .B1 (n_11313), .Y (n_11373));
+  sky130_fd_sc_hd__a21oi_1 g325880(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [19]), .B1 (n_11313), .Y (n_11372));
+  sky130_fd_sc_hd__a21oi_1 g325881(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [30]), .B1 (n_11313), .Y (n_11371));
+  sky130_fd_sc_hd__a21oi_1 g325882(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [9]), .B1 (n_11313), .Y (n_11370));
+  sky130_fd_sc_hd__a21o_1 g325883(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [5]), .B1 (n_11313), .X (n_11369));
+  sky130_fd_sc_hd__a21oi_1 g325884(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [15]), .B1 (n_11313), .Y (n_11368));
+  sky130_fd_sc_hd__a21oi_1 g325885(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [27]), .B1 (n_11313), .Y (n_11367));
+  sky130_fd_sc_hd__a21oi_1 g325886(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [8]), .B1 (n_11313), .Y (n_11366));
+  sky130_fd_sc_hd__a21oi_1 g325887(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [21]), .B1 (n_11313), .Y (n_11365));
+  sky130_fd_sc_hd__a21oi_1 g325888(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [22]), .B1 (n_11313), .Y (n_11364));
+  sky130_fd_sc_hd__a21oi_1 g325889(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [7]), .B1 (n_11313), .Y (n_11363));
+  sky130_fd_sc_hd__a21oi_1 g325890(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [3]), .B1 (n_11313), .Y (n_11362));
+  sky130_fd_sc_hd__a21oi_1 g325891(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [13]), .B1 (n_11313), .Y (n_11361));
+  sky130_fd_sc_hd__a21oi_1 g325892(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [0]), .B1 (n_11313), .Y (n_11360));
+  sky130_fd_sc_hd__nand2_1 g325893(.A (n_15875), .B (n_15877), .Y
+       (n_11401));
+  sky130_fd_sc_hd__nand3_1 g325894(.A (n_11294), .B (n_11224), .C
+       (n_11087), .Y (n_11400));
+  sky130_fd_sc_hd__nand3_1 g325895(.A (n_11294), .B (n_11224), .C
+       (n_11086), .Y (n_11399));
+  sky130_fd_sc_hd__nand2_1 g325896(.A (n_15875), .B (n_11086), .Y
+       (n_11398));
+  sky130_fd_sc_hd__nand2_1 g325897(.A (n_15875), .B (n_11087), .Y
+       (n_11397));
+  sky130_fd_sc_hd__nand3_1 g325898(.A (n_11294), .B (n_11224), .C
+       (n_15877), .Y (n_11396));
+  sky130_fd_sc_hd__nand2_1 g325899(.A (n_15875), .B (n_11121), .Y
+       (n_11395));
+  sky130_fd_sc_hd__and2_2 g325902(.A (n_11306), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .X (n_11393));
+  sky130_fd_sc_hd__inv_2 g325904(.A (n_11355), .Y (n_11354));
+  sky130_fd_sc_hd__o22ai_1 g325905(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [12]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [12]), .B2 (n_16005), .Y
+       (n_11353));
+  sky130_fd_sc_hd__a21o_1 g325906(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [12]), .B1 (n_11313), .X (n_11352));
+  sky130_fd_sc_hd__o22ai_1 g325907(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [19]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [19]), .B2 (n_16005), .Y
+       (n_11351));
+  sky130_fd_sc_hd__a222oi_1 g325908(.A1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2]
+       [11]), .A2 (n_11128), .B1 (n_11132), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3]
+       [11]), .C1 (n_11300), .C2 (n_11144), .Y (n_11350));
+  sky130_fd_sc_hd__nor3_1 g325909(.A (n_11147), .B (n_11164), .C
+       (n_11161), .Y (n_11349));
+  sky130_fd_sc_hd__a222oi_1 g325910(.A1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2]
+       [11]), .A2 (n_11134), .B1 (n_11129), .B2
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3]
+       [11]), .C1 (n_11298), .C2 (n_11142), .Y (n_11348));
+  sky130_fd_sc_hd__o22ai_1 g325911(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [28]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [28]), .B2 (n_16005), .Y
+       (n_11347));
+  sky130_fd_sc_hd__o22ai_1 g325912(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [3]), .A2 (n_11259), .B1 (\u_soc_u_top_u_core_imd_val_q_ex[0]
+       [3]), .B2 (n_16005), .Y (n_11346));
+  sky130_fd_sc_hd__a32oi_1 g325913(.A1 (n_11165), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[19]), .A3
+       (u_soc_u_top_u_core_rf_waddr_wb[4]), .B1 (n_11088), .B2
+       (n_11086), .Y (n_11345));
+  sky130_fd_sc_hd__a221oi_1 g325914(.A1 (n_11128), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0]
+       [11]), .B1 (n_11132), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1]
+       [11]), .C1 (n_15885), .Y (n_11344));
+  sky130_fd_sc_hd__a221oi_1 g325915(.A1 (n_11134), .A2
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0]
+       [11]), .B1 (n_11129), .B2
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1]
+       [11]), .C1 (n_11311), .Y (n_11343));
+  sky130_fd_sc_hd__o22ai_1 g325916(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [31]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [31]), .B2 (n_16005), .Y
+       (n_11342));
+  sky130_fd_sc_hd__o22ai_1 g325917(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [11]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [11]), .B2 (n_16005), .Y
+       (n_11341));
+  sky130_fd_sc_hd__o22ai_1 g325918(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [22]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [22]), .B2 (n_16005), .Y
+       (n_11340));
+  sky130_fd_sc_hd__o22ai_1 g325919(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .A2 (n_11259), .B1 (\u_soc_u_top_u_core_imd_val_q_ex[0]
+       [0]), .B2 (n_16005), .Y (n_11339));
+  sky130_fd_sc_hd__o22ai_1 g325920(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [9]), .A2 (n_11259), .B1 (\u_soc_u_top_u_core_imd_val_q_ex[0]
+       [9]), .B2 (n_16005), .Y (n_11338));
+  sky130_fd_sc_hd__o22ai_1 g325921(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [6]), .A2 (n_11259), .B1 (\u_soc_u_top_u_core_imd_val_q_ex[0]
+       [6]), .B2 (n_16005), .Y (n_11337));
+  sky130_fd_sc_hd__o22ai_1 g325922(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [23]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [23]), .B2 (n_16005), .Y
+       (n_11336));
+  sky130_fd_sc_hd__o22ai_1 g325923(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [21]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [21]), .B2 (n_16005), .Y
+       (n_11335));
+  sky130_fd_sc_hd__o22ai_1 g325924(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [8]), .A2 (n_11259), .B1 (\u_soc_u_top_u_core_imd_val_q_ex[0]
+       [8]), .B2 (n_16005), .Y (n_11334));
+  sky130_fd_sc_hd__o22ai_1 g325925(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [27]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [27]), .B2 (n_16005), .Y
+       (n_11333));
+  sky130_fd_sc_hd__o2111ai_1 g325926(.A1
+       (u_soc_u_top_u_core_instr_rdata_id[16]), .A2 (n_11112), .B1
+       (n_11035), .C1 (n_11125), .D1 (n_11315), .Y (n_11332));
+  sky130_fd_sc_hd__o22ai_1 g325927(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [20]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [20]), .B2 (n_16005), .Y
+       (n_11331));
+  sky130_fd_sc_hd__o22ai_1 g325928(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [24]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [24]), .B2 (n_16005), .Y
+       (n_11330));
+  sky130_fd_sc_hd__o22ai_1 g325929(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [15]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [15]), .B2 (n_16005), .Y
+       (n_11329));
+  sky130_fd_sc_hd__o22ai_1 g325930(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [18]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [18]), .B2 (n_16005), .Y
+       (n_11328));
+  sky130_fd_sc_hd__o22ai_1 g325931(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [16]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [16]), .B2 (n_16005), .Y
+       (n_11327));
+  sky130_fd_sc_hd__o22ai_1 g325932(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [1]), .A2 (n_11259), .B1 (\u_soc_u_top_u_core_imd_val_q_ex[0]
+       [1]), .B2 (n_16005), .Y (n_11326));
+  sky130_fd_sc_hd__o22ai_1 g325933(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [17]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [17]), .B2 (n_16005), .Y
+       (n_11325));
+  sky130_fd_sc_hd__o22ai_1 g325934(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [10]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [10]), .B2 (n_16005), .Y
+       (n_11324));
+  sky130_fd_sc_hd__a22oi_1 g325935(.A1 (n_11258), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [31]), .B1 (n_11181), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [32]), .Y (n_11323));
+  sky130_fd_sc_hd__o22ai_1 g325936(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [25]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [25]), .B2 (n_16005), .Y
+       (n_11322));
+  sky130_fd_sc_hd__o22ai_1 g325937(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [26]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [26]), .B2 (n_16005), .Y
+       (n_11321));
+  sky130_fd_sc_hd__o22ai_1 g325938(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [29]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [29]), .B2 (n_16005), .Y
+       (n_11320));
+  sky130_fd_sc_hd__o22ai_1 g325939(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [14]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [14]), .B2 (n_16005), .Y
+       (n_11319));
+  sky130_fd_sc_hd__o22ai_1 g325940(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [30]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [30]), .B2 (n_16005), .Y
+       (n_11318));
+  sky130_fd_sc_hd__o22ai_1 g325941(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [13]), .A2 (n_11259), .B1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [13]), .B2 (n_16005), .Y
+       (n_11317));
+  sky130_fd_sc_hd__o22ai_1 g325942(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [2]), .A2 (n_11259), .B1 (\u_soc_u_top_u_core_imd_val_q_ex[0]
+       [2]), .B2 (n_16005), .Y (n_11316));
+  sky130_fd_sc_hd__mux2i_1 g325943(.A0 (n_11296), .A1 (n_11300), .S
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Y (n_13462));
+  sky130_fd_sc_hd__o2111ai_1 g325944(.A1 (n_621), .A2 (n_11223), .B1
+       (n_13341), .C1 (n_11122), .D1 (n_11227), .Y (n_13460));
+  sky130_fd_sc_hd__a21oi_1 g325945(.A1 (n_11257), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[15]), .B1 (n_11141), .Y
+       (n_11357));
+  sky130_fd_sc_hd__mux2i_1 g325946(.A0 (n_11295), .A1 (n_11299), .S
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Y (n_11356));
+  sky130_fd_sc_hd__o21ai_1 g325947(.A1 (n_11031), .A2 (n_13880), .B1
+       (n_11310), .Y (n_11355));
+  sky130_fd_sc_hd__clkinv_1 g325948(.A (n_11314), .Y (n_11315));
+  sky130_fd_sc_hd__inv_1 g325949(.A (n_11313), .Y (n_11312));
+  sky130_fd_sc_hd__nor2_1 g325950(.A (n_11149), .B (n_11295), .Y
+       (n_11311));
+  sky130_fd_sc_hd__nand2_1 g325951(.A (n_13880), .B (n_11230), .Y
+       (n_11310));
+  sky130_fd_sc_hd__nand2_1 g325953(.A (n_11294), .B (n_11043), .Y
+       (n_11314));
+  sky130_fd_sc_hd__or2_2 g325955(.A (n_11260), .B (n_11175), .X
+       (n_11313));
+  sky130_fd_sc_hd__nand3_1 g325957(.A (n_11172), .B (n_11166), .C
+       (u_soc_u_top_u_core_alu_operator_ex[0]), .Y (n_11304));
+  sky130_fd_sc_hd__a221o_1 g325958(.A1 (n_15880), .A2 (n_11098), .B1
+       (n_15876), .B2 (n_11094), .C1 (n_11231), .X (n_11303));
+  sky130_fd_sc_hd__o2111ai_1 g325959(.A1
+       (u_soc_u_top_u_core_alu_operator_ex[4]), .A2 (n_13459), .B1
+       (n_13872), .C1 (n_13477), .D1 (n_11223), .Y (n_11302));
+  sky130_fd_sc_hd__a21oi_1 g325960(.A1 (n_11214), .A2 (n_11113), .B1
+       (n_11228), .Y (n_11307));
+  sky130_fd_sc_hd__and2_1 g325961(.A (n_11176), .B (n_11260), .X
+       (n_11306));
+  sky130_fd_sc_hd__inv_1 g325963(.A (n_11298), .Y (n_11299));
+  sky130_fd_sc_hd__nor2_1 g325967(.A (n_11089), .B (n_11157), .Y
+       (n_11291));
+  sky130_fd_sc_hd__nand2b_1 g325968(.A_N
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [0]), .B (n_11176), .Y
+       (n_13839));
+  sky130_fd_sc_hd__nor2_1 g325969(.A (n_11090), .B (n_11156), .Y
+       (n_11290));
+  sky130_fd_sc_hd__nor2_1 g325970(.A (n_11112), .B (n_11215), .Y
+       (n_11289));
+  sky130_fd_sc_hd__nor2_1 g325972(.A (n_11091), .B (n_11209), .Y
+       (n_11287));
+  sky130_fd_sc_hd__nor2_1 g325973(.A (n_11114), .B (n_11216), .Y
+       (n_11286));
+  sky130_fd_sc_hd__nor2_1 g325975(.A (n_11091), .B (n_11157), .Y
+       (n_11284));
+  sky130_fd_sc_hd__nor2_1 g325976(.A (n_11114), .B (n_11158), .Y
+       (n_11283));
+  sky130_fd_sc_hd__nor2_1 g325977(.A (n_11091), .B (n_11159), .Y
+       (n_11282));
+  sky130_fd_sc_hd__nor2_1 g325978(.A (n_11112), .B (n_11160), .Y
+       (n_11281));
+  sky130_fd_sc_hd__nor2_1 g325979(.A (n_11090), .B (n_11212), .Y
+       (n_11280));
+  sky130_fd_sc_hd__nor2_1 g325980(.A (n_11089), .B (n_11209), .Y
+       (n_11279));
+  sky130_fd_sc_hd__nor2_1 g325981(.A (n_11091), .B (n_11222), .Y
+       (n_11278));
+  sky130_fd_sc_hd__nor2_1 g325982(.A (n_13339), .B (n_11216), .Y
+       (n_11277));
+  sky130_fd_sc_hd__nor2_1 g325983(.A (n_11093), .B (n_11219), .Y
+       (n_11276));
+  sky130_fd_sc_hd__nor2_1 g325984(.A (n_13338), .B (n_11218), .Y
+       (n_11275));
+  sky130_fd_sc_hd__nor2_1 g325985(.A (n_11089), .B (n_11212), .Y
+       (n_11274));
+  sky130_fd_sc_hd__nor2_1 g325986(.A (n_11114), .B (n_11218), .Y
+       (n_11273));
+  sky130_fd_sc_hd__nor2_1 g325987(.A (n_13339), .B (n_11219), .Y
+       (n_11272));
+  sky130_fd_sc_hd__nor2_1 g325988(.A (n_13338), .B (n_11219), .Y
+       (n_11271));
+  sky130_fd_sc_hd__nor2_1 g325990(.A (n_11093), .B (n_11216), .Y
+       (n_11269));
+  sky130_fd_sc_hd__nor2_1 g325991(.A (n_11090), .B (n_11209), .Y
+       (n_11268));
+  sky130_fd_sc_hd__nor2_1 g325993(.A (n_11112), .B (n_11209), .Y
+       (n_11266));
+  sky130_fd_sc_hd__nor2_1 g325994(.A (n_13338), .B (n_11216), .Y
+       (n_11265));
+  sky130_fd_sc_hd__nor2_1 g325997(.A (n_11114), .B (n_11220), .Y
+       (n_11262));
+  sky130_fd_sc_hd__nand2_1 g325998(.A
+       (u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Y (n_11300));
+  sky130_fd_sc_hd__nand2_1 g325999(.A
+       (u_soc_dccm_adapter_data_mem_u_reqfifo_n_85), .B
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Y (n_11298));
+  sky130_fd_sc_hd__nand2b_1 g326000(.A_N
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B (u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85), .Y
+       (n_11296));
+  sky130_fd_sc_hd__nor2b_1 g326001(.A
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B_N (u_soc_dccm_adapter_data_mem_u_reqfifo_n_85), .Y
+       (n_11295));
+  sky130_fd_sc_hd__nand2_4 g326002(.A (n_16004), .B (n_11130), .Y
+       (n_11294));
+  sky130_fd_sc_hd__inv_2 g326003(.A (n_11259), .Y (n_11258));
+  sky130_fd_sc_hd__o22ai_1 g326004(.A1 (n_13468), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[17]), .B1 (n_13352), .B2
+       (n_11036), .Y (n_11257));
+  sky130_fd_sc_hd__nor2_1 g326005(.A (n_11089), .B (n_11156), .Y
+       (n_11256));
+  sky130_fd_sc_hd__nor2_1 g326006(.A (n_11112), .B (n_11157), .Y
+       (n_11255));
+  sky130_fd_sc_hd__nor2_1 g326007(.A (n_13339), .B (n_11210), .Y
+       (n_11254));
+  sky130_fd_sc_hd__nor2_1 g326008(.A (n_13338), .B (n_11158), .Y
+       (n_11253));
+  sky130_fd_sc_hd__nor2_1 g326009(.A (n_13339), .B (n_11158), .Y
+       (n_11252));
+  sky130_fd_sc_hd__nor2_1 g326010(.A (n_11093), .B (n_11158), .Y
+       (n_11251));
+  sky130_fd_sc_hd__nor2_1 g326011(.A (n_11114), .B (n_11213), .Y
+       (n_11250));
+  sky130_fd_sc_hd__nor2_1 g326012(.A (n_11091), .B (n_11160), .Y
+       (n_11249));
+  sky130_fd_sc_hd__nor2_1 g326013(.A (n_11091), .B (n_11215), .Y
+       (n_11248));
+  sky130_fd_sc_hd__nor2_1 g326014(.A (n_11114), .B (n_11210), .Y
+       (n_11247));
+  sky130_fd_sc_hd__nor2_1 g326015(.A (n_11112), .B (n_11159), .Y
+       (n_11246));
+  sky130_fd_sc_hd__nor2_1 g326016(.A (n_11090), .B (n_11160), .Y
+       (n_11245));
+  sky130_fd_sc_hd__nor2_1 g326017(.A (n_11089), .B (n_11159), .Y
+       (n_11244));
+  sky130_fd_sc_hd__nor2_1 g326018(.A (n_11089), .B (n_11160), .Y
+       (n_11243));
+  sky130_fd_sc_hd__nor2_1 g326019(.A (n_11090), .B (n_11159), .Y
+       (n_11242));
+  sky130_fd_sc_hd__nor2_1 g326020(.A (n_11090), .B (n_11215), .Y
+       (n_11241));
+  sky130_fd_sc_hd__nor2_1 g326021(.A (n_11089), .B (n_11222), .Y
+       (n_11240));
+  sky130_fd_sc_hd__nor2_1 g326022(.A (n_11090), .B (n_11222), .Y
+       (n_11239));
+  sky130_fd_sc_hd__nor2_1 g326023(.A (n_11089), .B (n_11215), .Y
+       (n_11238));
+  sky130_fd_sc_hd__nor2_1 g326024(.A (n_13338), .B (n_11210), .Y
+       (n_11237));
+  sky130_fd_sc_hd__nor2_1 g326025(.A (n_11093), .B (n_11210), .Y
+       (n_11236));
+  sky130_fd_sc_hd__nor2_1 g326026(.A (n_11093), .B (n_11213), .Y
+       (n_11235));
+  sky130_fd_sc_hd__nor2_1 g326027(.A (n_13338), .B (n_11213), .Y
+       (n_11234));
+  sky130_fd_sc_hd__nand2_1 g326028(.A
+       (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125), .B (n_13456), .Y
+       (n_11233));
+  sky130_fd_sc_hd__nor2_1 g326029(.A (n_11090), .B (n_11157), .Y
+       (n_11232));
+  sky130_fd_sc_hd__o2bb2ai_1 g326030(.A1_N (n_11124), .A2_N (n_11101),
+       .B1 (n_13469), .B2 (n_11100), .Y (n_11231));
+  sky130_fd_sc_hd__nand2_1 g326031(.A (n_11174), .B (n_13342), .Y
+       (n_11230));
+  sky130_fd_sc_hd__o21ai_1 g326032(.A1 (n_13873), .A2 (n_11123), .B1
+       (n_11105), .Y (n_11229));
+  sky130_fd_sc_hd__o21ai_1 g326033(.A1 (n_11033), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[24]), .B1 (n_11148), .Y
+       (n_11228));
+  sky130_fd_sc_hd__nand2_1 g326034(.A (n_11168), .B (n_13343), .Y
+       (n_11227));
+  sky130_fd_sc_hd__a221oi_1 g326035(.A1 (n_568), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B1 (n_104), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .C1 (u_soc_u_tcam_rvalid_o), .Y (n_11226));
+  sky130_fd_sc_hd__a22oi_1 g326036(.A1 (n_11101), .A2 (n_11035), .B1
+       (n_11099), .B2 (u_soc_u_top_u_core_rf_waddr_wb[2]), .Y
+       (n_11225));
+  sky130_fd_sc_hd__a21oi_1 g326038(.A1
+       (u_soc_u_top_u_core_instr_rdata_id[24]), .A2 (n_11033), .B1
+       (n_11146), .Y (n_11261));
+  sky130_fd_sc_hd__nand2_1 g326039(.A
+       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .B (n_11171), .Y
+       (n_11260));
+  sky130_fd_sc_hd__a21o_1 g326040(.A1 (n_13345), .A2 (n_13366), .B1
+       (n_556), .X (n_11259));
+  sky130_fd_sc_hd__inv_2 g326041(.A (n_11220), .Y (n_11221));
+  sky130_fd_sc_hd__inv_2 g326042(.A (n_11218), .Y (n_11217));
+  sky130_fd_sc_hd__inv_1 g326043(.A (n_11213), .Y (n_11214));
+  sky130_fd_sc_hd__inv_2 g326045(.A (n_11208), .Y (n_11207));
+  sky130_fd_sc_hd__inv_1 g326071(.A (n_11179), .Y (n_11181));
+  sky130_fd_sc_hd__inv_1 g326073(.A (n_11176), .Y (n_11179));
+  sky130_fd_sc_hd__nand2_1 g326076(.A (n_11138), .B
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .Y
+       (n_11174));
+  sky130_fd_sc_hd__nor2_1 g326077(.A
+       (u_soc_u_top_u_core_alu_operator_ex[4]), .B (n_13873), .Y
+       (n_11173));
+  sky130_fd_sc_hd__nand2b_1 g326078(.A_N (n_11130), .B (n_11094), .Y
+       (n_13371));
+  sky130_fd_sc_hd__nand2_1 g326079(.A (n_13477), .B (n_11041), .Y
+       (n_11172));
+  sky130_fd_sc_hd__nor2_1 g326080(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [1]), .B (n_13366), .Y (n_11171));
+  sky130_fd_sc_hd__nor2_1 g326081(.A (n_13467), .B (n_652), .Y
+       (n_11170));
+  sky130_fd_sc_hd__nor2_1 g326082(.A (n_720), .B (n_11122), .Y
+       (n_11169));
+  sky130_fd_sc_hd__nor2_1 g326083(.A
+       (u_soc_u_top_u_core_alu_operator_ex[3]), .B (n_13455), .Y
+       (n_11168));
+  sky130_fd_sc_hd__nor2_1 g326084(.A
+       (u_soc_u_top_u_core_rf_waddr_wb[1]), .B (n_13467), .Y (n_11224));
+  sky130_fd_sc_hd__nand2_1 g326085(.A (n_11111), .B (n_11121), .Y
+       (n_11167));
+  sky130_fd_sc_hd__nand2_1 g326086(.A (n_11123), .B
+       (u_soc_u_top_u_core_alu_operator_ex[1]), .Y (n_11166));
+  sky130_fd_sc_hd__nand2_1 g326087(.A (n_13873), .B (n_621), .Y
+       (n_13341));
+  sky130_fd_sc_hd__or2_1 g326088(.A
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .B
+       (n_13465), .X (n_13335));
+  sky130_fd_sc_hd__nand2_1 g326089(.A (n_13459), .B
+       (u_soc_u_top_u_core_alu_operator_ex[3]), .Y (n_11223));
+  sky130_fd_sc_hd__nand2_1 g326090(.A (n_11137), .B
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .Y
+       (n_13880));
+  sky130_fd_sc_hd__nand2_1 g326091(.A (n_11125), .B (n_11032), .Y
+       (n_11222));
+  sky130_fd_sc_hd__nand2_1 g326092(.A (n_15880), .B (n_11018), .Y
+       (n_11220));
+  sky130_fd_sc_hd__nand2_1 g326093(.A (n_11135), .B
+       (u_soc_u_top_u_core_instr_rdata_id[22]), .Y (n_11219));
+  sky130_fd_sc_hd__nand2_1 g326094(.A (n_15876), .B (n_11018), .Y
+       (n_11218));
+  sky130_fd_sc_hd__nand2_1 g326095(.A (n_11101), .B
+       (u_soc_u_top_u_core_instr_rdata_id[21]), .Y (n_11216));
+  sky130_fd_sc_hd__nand2_1 g326097(.A (n_11133), .B
+       (u_soc_u_top_u_core_instr_rdata_id[16]), .Y (n_11215));
+  sky130_fd_sc_hd__nand2_1 g326098(.A (n_11135), .B (n_11020), .Y
+       (n_11213));
+  sky130_fd_sc_hd__nand2_1 g326099(.A (n_11133), .B (n_11032), .Y
+       (n_11212));
+  sky130_fd_sc_hd__nand2_1 g326100(.A (n_15876), .B
+       (u_soc_u_top_u_core_instr_rdata_id[21]), .Y (n_11210));
+  sky130_fd_sc_hd__nand2_1 g326101(.A (n_11125), .B
+       (u_soc_u_top_u_core_instr_rdata_id[16]), .Y (n_11209));
+  sky130_fd_sc_hd__or2_2 g326102(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [2]), .B (n_13334), .X (n_11208));
+  sky130_fd_sc_hd__nor2_1 g326103(.A
+       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .B (n_11054), .Y
+       (n_11176));
+  sky130_fd_sc_hd__nand2_1 g326104(.A (n_13452), .B (n_556), .Y
+       (n_11175));
+  sky130_fd_sc_hd__inv_1 g326105(.A (n_11164), .Y (n_11165));
+  sky130_fd_sc_hd__inv_2 g326107(.A (n_11156), .Y (n_11155));
+  sky130_fd_sc_hd__inv_1 g326108(.A (n_11154), .Y (n_11153));
+  sky130_fd_sc_hd__inv_2 g326109(.A (n_11152), .Y (n_11151));
+  sky130_fd_sc_hd__a22oi_1 g326110(.A1 (n_71), .A2
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0]
+       [11]), .B1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B2
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1]
+       [11]), .Y (n_11149));
+  sky130_fd_sc_hd__xnor2_1 g326111(.A
+       (u_soc_u_top_u_core_rf_waddr_wb[3]), .B
+       (u_soc_u_top_u_core_instr_rdata_id[23]), .Y (n_11148));
+  sky130_fd_sc_hd__o22ai_1 g326112(.A1 (n_11033), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[19]), .B1
+       (u_soc_u_top_u_core_rf_waddr_wb[4]), .B2 (n_11034), .Y
+       (n_11147));
+  sky130_fd_sc_hd__o22ai_1 g326113(.A1 (n_11018), .A2
+       (u_soc_u_top_u_core_rf_waddr_wb[1]), .B1
+       (u_soc_u_top_u_core_instr_rdata_id[21]), .B2 (n_652), .Y
+       (n_11146));
+  sky130_fd_sc_hd__a2bb2oi_1 g326114(.A1_N
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .A2_N
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .B1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Y (n_11145));
+  sky130_fd_sc_hd__a22o_1 g326115(.A1 (n_599), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2]
+       [11]), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3]
+       [11]), .X (n_11144));
+  sky130_fd_sc_hd__a22oi_1 g326116(.A1 (n_599), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0]
+       [11]), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1]
+       [11]), .Y (n_11143));
+  sky130_fd_sc_hd__a22o_1 g326117(.A1 (n_71), .A2
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2]
+       [11]), .B1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B2
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3]
+       [11]), .X (n_11142));
+  sky130_fd_sc_hd__nor2b_1 g326118(.A (n_13469), .B_N (n_11096), .Y
+       (n_11141));
+  sky130_fd_sc_hd__nand3b_1 g326119(.A_N
+       (u_soc_u_top_u_core_id_stage_i_id_fsm_q), .B
+       (u_soc_u_top_u_core_id_stage_i_jump_set_dec), .C
+       (u_soc_u_top_u_core_id_stage_i_jump_in_dec), .Y (n_13331));
+  sky130_fd_sc_hd__o2bb2ai_1 g326120(.A1_N
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [2]), .A2_N
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [2]), .B1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [2]), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [2]), .Y (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125));
+  sky130_fd_sc_hd__o22ai_1 g326121(.A1
+       (u_soc_u_top_u_core_rf_waddr_wb[3]), .A2 (n_11038), .B1
+       (n_11019), .B2 (u_soc_u_top_u_core_instr_rdata_id[18]), .Y
+       (n_11164));
+  sky130_fd_sc_hd__o2bb2ai_1 g326123(.A1_N
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .A2_N
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_13456));
+  sky130_fd_sc_hd__or2_1 g326124(.A (n_11129), .B (n_11134), .X
+       (n_13336));
+  sky130_fd_sc_hd__or2_1 g326125(.A (n_11132), .B (n_11128), .X
+       (n_13337));
+  sky130_fd_sc_hd__o2bb2ai_1 g326126(.A1_N
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .A2_N
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_13457));
+  sky130_fd_sc_hd__o2bb2ai_1 g326127(.A1_N
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [2]), .A2_N
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [2]), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [2]), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [2]), .Y (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125));
+  sky130_fd_sc_hd__o2bb2ai_1 g326128(.A1_N
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [2]), .A2_N
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [2]), .B1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [2]), .B2
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [2]), .Y (u_soc_dccm_adapter_data_mem_u_reqfifo_n_85));
+  sky130_fd_sc_hd__o2bb2ai_1 g326129(.A1_N
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [2]), .A2_N
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [2]), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [2]), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [2]), .Y (u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85));
+  sky130_fd_sc_hd__a22oi_1 g326130(.A1 (n_652), .A2 (n_11032), .B1
+       (u_soc_u_top_u_core_rf_waddr_wb[1]), .B2
+       (u_soc_u_top_u_core_instr_rdata_id[16]), .Y (n_11161));
+  sky130_fd_sc_hd__nand2_1 g326131(.A (n_11096), .B (n_11032), .Y
+       (n_11160));
+  sky130_fd_sc_hd__nand2_1 g326132(.A (n_15879), .B
+       (u_soc_u_top_u_core_instr_rdata_id[16]), .Y (n_11159));
+  sky130_fd_sc_hd__nand2_1 g326133(.A (n_15880), .B
+       (u_soc_u_top_u_core_instr_rdata_id[21]), .Y (n_11158));
+  sky130_fd_sc_hd__nand2_1 g326134(.A (n_11096), .B
+       (u_soc_u_top_u_core_instr_rdata_id[16]), .Y (n_11157));
+  sky130_fd_sc_hd__nand2_1 g326135(.A (n_15879), .B (n_11032), .Y
+       (n_11156));
+  sky130_fd_sc_hd__nor2_1 g326136(.A (n_11018), .B (n_11100), .Y
+       (n_11154));
+  sky130_fd_sc_hd__and3b_1 g326137(.A_N
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [2]), .B (n_11102), .C
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [0]), .X (n_11152));
+  sky130_fd_sc_hd__clkinv_1 g326139(.A (n_11138), .Y (n_13465));
+  sky130_fd_sc_hd__clkinv_1 g326140(.A (n_11137), .Y (n_13342));
+  sky130_fd_sc_hd__inv_1 g326147(.A (n_13467), .Y (n_11124));
+  sky130_fd_sc_hd__clkinv_1 g326148(.A (n_11123), .Y (n_11122));
+  sky130_fd_sc_hd__inv_2 g326155(.A (n_13339), .Y (n_11113));
+  sky130_fd_sc_hd__clkinv_1 g326156(.A (n_11112), .Y (n_11111));
+  sky130_fd_sc_hd__and2_1 g326157(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [2]), .X (n_11110));
+  sky130_fd_sc_hd__nand2b_1 g326159(.A_N
+       (u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]), .B
+       (u_soc_u_top_u_core_instr_is_compressed_id), .Y (n_11140));
+  sky130_fd_sc_hd__nand2_1 g326161(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [32]), .Y (n_11139));
+  sky130_fd_sc_hd__nand2_1 g326162(.A
+       (u_soc_u_top_u_core_alu_operator_ex[5]), .B
+       (u_soc_u_top_u_core_alu_operator_ex[4]), .Y (n_13872));
+  sky130_fd_sc_hd__nand2_1 g326163(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [1]), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [0]), .Y (n_13345));
+  sky130_fd_sc_hd__nor2_1 g326164(.A
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]), .B
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .Y
+       (n_11138));
+  sky130_fd_sc_hd__nor2_1 g326165(.A
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .B
+       (n_11046), .Y (n_11137));
+  sky130_fd_sc_hd__nor2_1 g326166(.A
+       (u_soc_u_top_u_core_instr_rdata_id[21]), .B
+       (u_soc_u_top_u_core_instr_rdata_id[20]), .Y (n_11135));
+  sky130_fd_sc_hd__nor2b_1 g326167(.A
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B_N
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_11134));
+  sky130_fd_sc_hd__nor2_1 g326168(.A (n_11040), .B (n_11036), .Y
+       (n_11133));
+  sky130_fd_sc_hd__nor2_1 g326169(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B (n_599), .Y (n_11132));
+  sky130_fd_sc_hd__nand2_1 g326170(.A (n_11045), .B (n_11044), .Y
+       (n_13477));
+  sky130_fd_sc_hd__nand2_1 g326171(.A
+       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+       .B (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q
+       ), .Y (n_11130));
+  sky130_fd_sc_hd__nor2_1 g326172(.A
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B (n_71), .Y (n_11129));
+  sky130_fd_sc_hd__nor2b_1 g326173(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B_N
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_11128));
+  sky130_fd_sc_hd__nor2_1 g326175(.A
+       (u_soc_u_top_u_core_instr_rdata_id[15]), .B
+       (u_soc_u_top_u_core_instr_rdata_id[17]), .Y (n_11125));
+  sky130_fd_sc_hd__nand2_1 g326176(.A (n_11035), .B (n_11043), .Y
+       (n_13467));
+  sky130_fd_sc_hd__nand2_1 g326177(.A
+       (u_soc_u_top_u_core_alu_operator_ex[0]), .B
+       (u_soc_u_top_u_core_alu_operator_ex[1]), .Y (n_13873));
+  sky130_fd_sc_hd__nand2_1 g326178(.A (n_11045), .B
+       (u_soc_u_top_u_core_alu_operator_ex[4]), .Y (n_11123));
+  sky130_fd_sc_hd__and2_0 g326179(.A (n_11019), .B (n_11033), .X
+       (n_11121));
+  sky130_fd_sc_hd__nand2_1 g326181(.A
+       (u_soc_u_top_u_core_instr_rdata_id[23]), .B
+       (u_soc_u_top_u_core_instr_rdata_id[24]), .Y (n_11114));
+  sky130_fd_sc_hd__nand2_1 g326182(.A (n_11037), .B (n_11021), .Y
+       (n_13339));
+  sky130_fd_sc_hd__nand2_1 g326183(.A (n_11034), .B (n_11038), .Y
+       (n_11112));
+  sky130_fd_sc_hd__inv_2 g326186(.A (n_11102), .Y (n_13879));
+  sky130_fd_sc_hd__inv_1 g326187(.A (n_11099), .Y (n_11100));
+  sky130_fd_sc_hd__inv_2 g326188(.A (n_11098), .Y (n_13468));
+  sky130_fd_sc_hd__inv_1 g326191(.A (n_11094), .Y (n_13352));
+  sky130_fd_sc_hd__inv_1 g326192(.A (n_11093), .Y (n_11092));
+  sky130_fd_sc_hd__inv_1 g326193(.A (n_11089), .Y (n_11088));
+  sky130_fd_sc_hd__inv_2 g326194(.A (n_11087), .Y (n_13370));
+  sky130_fd_sc_hd__inv_2 g326195(.A (n_11086), .Y (n_13351));
+  sky130_fd_sc_hd__inv_1 g326224(.A (n_13452), .Y (n_11058));
+  sky130_fd_sc_hd__inv_1 g326227(.A (n_11054), .Y (n_13452));
+  sky130_fd_sc_hd__nand2b_1 g326228(.A_N
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .B
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .Y
+       (n_13464));
+  sky130_fd_sc_hd__nand2b_1 g326231(.A_N
+       (u_soc_u_top_u_core_id_stage_i_id_fsm_q), .B
+       (u_soc_u_top_u_core_id_stage_i_branch_in_dec), .Y (n_13332));
+  sky130_fd_sc_hd__nor2_1 g326232(.A
+       (u_soc_u_top_u_core_alu_operator_ex[3]), .B
+       (u_soc_u_top_u_core_alu_operator_ex[2]), .Y (n_11105));
+  sky130_fd_sc_hd__nor2_1 g326233(.A
+       (u_soc_u_top_u_core_alu_operator_ex[0]), .B (n_11041), .Y
+       (n_13455));
+  sky130_fd_sc_hd__nand2_1 g326234(.A
+       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .B (n_132), .Y
+       (n_13334));
+  sky130_fd_sc_hd__nand2_1 g326235(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [1]), .Y (n_11104));
+  sky130_fd_sc_hd__nand2_1 g326236(.A (n_11041), .B
+       (u_soc_u_top_u_core_alu_operator_ex[0]), .Y (n_13343));
+  sky130_fd_sc_hd__nand2_1 g326237(.A (n_11043), .B
+       (u_soc_u_top_u_core_rf_waddr_wb[2]), .Y (n_13469));
+  sky130_fd_sc_hd__nor2_1 g326239(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [1]), .B (n_556), .Y (n_11102));
+  sky130_fd_sc_hd__nand2_1 g326240(.A (n_132), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [2]), .Y (n_13366));
+  sky130_fd_sc_hd__nor2_1 g326241(.A
+       (u_soc_u_top_u_core_instr_rdata_id[20]), .B
+       (u_soc_u_top_u_core_instr_rdata_id[22]), .Y (n_11101));
+  sky130_fd_sc_hd__nor2_1 g326242(.A
+       (u_soc_u_top_u_core_instr_rdata_id[20]), .B (n_11020), .Y
+       (n_11099));
+  sky130_fd_sc_hd__and2_0 g326243(.A (n_11035), .B
+       (u_soc_u_top_u_core_rf_waddr_wb[0]), .X (n_11098));
+  sky130_fd_sc_hd__nand2_1 g326244(.A (n_11039), .B (n_11041), .Y
+       (n_13459));
+  sky130_fd_sc_hd__nor2_1 g326245(.A
+       (u_soc_u_top_u_core_instr_rdata_id[15]), .B (n_11036), .Y
+       (n_11096));
+  sky130_fd_sc_hd__and2_0 g326247(.A
+       (u_soc_u_top_u_core_rf_waddr_wb[0]), .B
+       (u_soc_u_top_u_core_rf_waddr_wb[2]), .X (n_11094));
+  sky130_fd_sc_hd__nand2_1 g326248(.A
+       (u_soc_u_top_u_core_instr_rdata_id[23]), .B (n_11021), .Y
+       (n_11093));
+  sky130_fd_sc_hd__nand2_1 g326249(.A
+       (u_soc_u_top_u_core_instr_rdata_id[19]), .B
+       (u_soc_u_top_u_core_instr_rdata_id[18]), .Y (n_11091));
+  sky130_fd_sc_hd__nand2_1 g326250(.A
+       (u_soc_u_top_u_core_instr_rdata_id[19]), .B (n_11038), .Y
+       (n_11090));
+  sky130_fd_sc_hd__nand2_1 g326251(.A
+       (u_soc_u_top_u_core_instr_rdata_id[18]), .B (n_11034), .Y
+       (n_11089));
+  sky130_fd_sc_hd__and2_0 g326252(.A (n_11019), .B
+       (u_soc_u_top_u_core_rf_waddr_wb[4]), .X (n_11087));
+  sky130_fd_sc_hd__nand2_1 g326253(.A
+       (u_soc_u_top_u_core_instr_rdata_id[24]), .B (n_11037), .Y
+       (n_13338));
+  sky130_fd_sc_hd__and2_0 g326254(.A (n_11033), .B
+       (u_soc_u_top_u_core_rf_waddr_wb[3]), .X (n_11086));
+  sky130_fd_sc_hd__nor2_1 g326255(.A (u_soc_u_top_u_core_mult_sel_ex),
+       .B (u_soc_u_top_u_core_multdiv_operator_ex[1]), .Y (n_11054));
+  sky130_fd_sc_hd__inv_2 g326260(.A
+       (u_soc_u_top_u_core_alu_operator_ex[5]), .Y (n_11045));
+  sky130_fd_sc_hd__inv_2 g326261(.A
+       (u_soc_u_top_u_core_alu_operator_ex[4]), .Y (n_11044));
+  sky130_fd_sc_hd__inv_2 g326262(.A
+       (u_soc_u_top_u_core_rf_waddr_wb[0]), .Y (n_11043));
+  sky130_fd_sc_hd__inv_2 g326264(.A
+       (u_soc_u_top_u_core_alu_operator_ex[1]), .Y (n_11041));
+  sky130_fd_sc_hd__inv_2 g326266(.A
+       (u_soc_u_top_u_core_alu_operator_ex[0]), .Y (n_11039));
+  sky130_fd_sc_hd__inv_2 g326270(.A
+       (u_soc_u_top_u_core_rf_waddr_wb[2]), .Y (n_11035));
+  sky130_fd_sc_hd__inv_2 g326272(.A
+       (u_soc_u_top_u_core_rf_waddr_wb[4]), .Y (n_11033));
+  sky130_fd_sc_hd__inv_1 g326277(.A
+       (u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]), .Y
+       (n_11028));
+  sky130_fd_sc_hd__inv_1 g326279(.A
+       (u_soc_u_top_u_core_alu_operator_ex[3]), .Y (n_720));
+  sky130_fd_sc_hd__inv_2 g326280(.A
+       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .Y (n_556));
+  sky130_fd_sc_hd__clkinv_1 g326281(.A
+       (u_soc_u_top_u_core_alu_operator_ex[2]), .Y (n_621));
+  sky130_fd_sc_hd__inv_2 g326286(.A
+       (u_soc_u_top_u_core_rf_waddr_wb[3]), .Y (n_11019));
+  sky130_fd_sc_hd__inv_2 g326298(.A
+       (u_soc_u_top_u_core_rf_waddr_wb[1]), .Y (n_652));
+  sky130_fd_sc_hd__nor4_1 g277656(.A (n_10997), .B (n_11005), .C
+       (n_11003), .D (n_11006), .Y (u_soc_u_top_u_core_trigger_match));
+  sky130_fd_sc_hd__nand4_1 g277657(.A (n_11004), .B (n_11000), .C
+       (n_10999), .D (n_11002), .Y (n_11006));
+  sky130_fd_sc_hd__nand3_1 g277658(.A (n_10998), .B (n_10974), .C
+       (u_soc_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]), .Y
+       (n_11005));
+  sky130_fd_sc_hd__nor4_1 g277659(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [0]), .B (n_10990), .C (n_10975), .D (n_10995), .Y (n_11004));
+  sky130_fd_sc_hd__nand4_1 g277660(.A (n_11001), .B (n_10986), .C
+       (n_10983), .D (n_10984), .Y (n_11003));
+  sky130_fd_sc_hd__nor4_1 g277661(.A (n_10978), .B (n_10985), .C
+       (n_10996), .D (n_10966), .Y (n_11002));
+  sky130_fd_sc_hd__nor4_1 g277662(.A (n_10976), .B (n_10979), .C
+       (n_10980), .D (n_10977), .Y (n_11001));
+  sky130_fd_sc_hd__nor4_1 g277663(.A (n_10982), .B (n_10981), .C
+       (n_10973), .D (n_10987), .Y (n_11000));
+  sky130_fd_sc_hd__nor4_1 g277664(.A (n_10993), .B (n_10972), .C
+       (n_10968), .D (n_10994), .Y (n_10999));
+  sky130_fd_sc_hd__nor4_1 g277665(.A (n_10967), .B (n_10970), .C
+       (n_10971), .D (n_10969), .Y (n_10998));
+  sky130_fd_sc_hd__nand4_1 g277666(.A (n_10992), .B (n_10991), .C
+       (n_10989), .D (n_10988), .Y (n_10997));
+  sky130_fd_sc_hd__xor2_1 g277667(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [18]), .B (u_soc_u_top_u_core_pc_if[18]), .X (n_10996));
+  sky130_fd_sc_hd__xor2_1 g277668(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [2]), .B (u_soc_u_top_u_core_pc_if[2]), .X (n_10995));
+  sky130_fd_sc_hd__xor2_1 g277669(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [5]), .B (u_soc_u_top_u_core_pc_if[5]), .X (n_10994));
+  sky130_fd_sc_hd__xor2_1 g277670(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [4]), .B (u_soc_u_top_u_core_pc_if[4]), .X (n_10993));
+  sky130_fd_sc_hd__xnor2_1 g277671(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [31]), .B (u_soc_u_top_u_core_pc_if[31]), .Y (n_10992));
+  sky130_fd_sc_hd__xnor2_1 g277672(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [29]), .B (u_soc_u_top_u_core_pc_if[29]), .Y (n_10991));
+  sky130_fd_sc_hd__xor2_1 g277673(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [3]), .B (u_soc_u_top_u_core_pc_if[3]), .X (n_10990));
+  sky130_fd_sc_hd__o2bb2ai_1 g277674(.A1_N
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [30]), .A2_N (u_soc_u_top_u_core_pc_if[30]), .B1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [30]), .B2 (u_soc_u_top_u_core_pc_if[30]), .Y (n_10989));
+  sky130_fd_sc_hd__xnor2_1 g277675(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [28]), .B (u_soc_u_top_u_core_pc_if[28]), .Y (n_10988));
+  sky130_fd_sc_hd__xor2_1 g277676(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [25]), .B (u_soc_u_top_u_core_pc_if[25]), .X (n_10987));
+  sky130_fd_sc_hd__xnor2_1 g277677(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [15]), .B (u_soc_u_top_u_core_pc_if[15]), .Y (n_10986));
+  sky130_fd_sc_hd__xor2_1 g277678(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [17]), .B (u_soc_u_top_u_core_pc_if[17]), .X (n_10985));
+  sky130_fd_sc_hd__xnor2_1 g277679(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [14]), .B (u_soc_u_top_u_core_pc_if[14]), .Y (n_10984));
+  sky130_fd_sc_hd__xnor2_1 g277680(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [12]), .B (u_soc_u_top_u_core_pc_if[12]), .Y (n_10983));
+  sky130_fd_sc_hd__xor2_1 g277681(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [24]), .B (u_soc_u_top_u_core_pc_if[24]), .X (n_10982));
+  sky130_fd_sc_hd__xor2_1 g277682(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [26]), .B (u_soc_u_top_u_core_pc_if[26]), .X (n_10981));
+  sky130_fd_sc_hd__xor2_1 g277683(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [10]), .B (u_soc_u_top_u_core_pc_if[10]), .X (n_10980));
+  sky130_fd_sc_hd__xor2_1 g277684(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [9]), .B (u_soc_u_top_u_core_pc_if[9]), .X (n_10979));
+  sky130_fd_sc_hd__xor2_1 g277685(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [16]), .B (u_soc_u_top_u_core_pc_if[16]), .X (n_10978));
+  sky130_fd_sc_hd__xor2_1 g277686(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [11]), .B (u_soc_u_top_u_core_pc_if[11]), .X (n_10977));
+  sky130_fd_sc_hd__xor2_1 g277687(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [8]), .B (u_soc_u_top_u_core_pc_if[8]), .X (n_10976));
+  sky130_fd_sc_hd__xor2_1 g277688(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [1]), .B (u_soc_u_top_u_core_pc_if[1]), .X (n_10975));
+  sky130_fd_sc_hd__xnor2_1 g277689(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [13]), .B (u_soc_u_top_u_core_pc_if[13]), .Y (n_10974));
+  sky130_fd_sc_hd__xor2_1 g277690(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [27]), .B (u_soc_u_top_u_core_pc_if[27]), .X (n_10973));
+  sky130_fd_sc_hd__xor2_1 g277691(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [6]), .B (u_soc_u_top_u_core_pc_if[6]), .X (n_10972));
+  sky130_fd_sc_hd__xor2_1 g277692(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [22]), .B (u_soc_u_top_u_core_pc_if[22]), .X (n_10971));
+  sky130_fd_sc_hd__xor2_1 g277693(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [21]), .B (u_soc_u_top_u_core_pc_if[21]), .X (n_10970));
+  sky130_fd_sc_hd__xor2_1 g277694(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [23]), .B (u_soc_u_top_u_core_pc_if[23]), .X (n_10969));
+  sky130_fd_sc_hd__xor2_1 g277695(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [7]), .B (u_soc_u_top_u_core_pc_if[7]), .X (n_10968));
+  sky130_fd_sc_hd__xor2_1 g277696(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [20]), .B (u_soc_u_top_u_core_pc_if[20]), .X (n_10967));
+  sky130_fd_sc_hd__xor2_1 g277697(.A
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [19]), .B (u_soc_u_top_u_core_pc_if[19]), .X (n_10966));
+  sky130_fd_sc_hd__and2_1 g326299(.A (u_soc_n_289), .B
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]), .X
+       (\u_soc_u_top_u_core_irqs[irq_external] ));
+  sky130_fd_sc_hd__or2_0 g326300(.A (u_soc_intr_u_rx), .B
+       (u_soc_intr_u_tx), .X (u_soc_n_289));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[0] (.RESET_B (n_470),
+       .CLK (wb_clk_i), .D (n_10828), .Q (u_soc_baud_reg[0]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[1] (.RESET_B (n_470),
+       .CLK (wb_clk_i), .D (n_10573), .Q (u_soc_baud_reg[1]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[2] (.RESET_B (n_470),
+       .CLK (wb_clk_i), .D (n_10557), .Q (u_soc_baud_reg[2]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[3] (.RESET_B (n_470),
+       .CLK (wb_clk_i), .D (n_10548), .Q (u_soc_baud_reg[3]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[4] (.RESET_B (n_470),
+       .CLK (wb_clk_i), .D (n_10574), .Q (u_soc_baud_reg[4]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[5] (.RESET_B (n_470),
+       .CLK (wb_clk_i), .D (n_10549), .Q (u_soc_baud_reg[5]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[6] (.RESET_B (n_470),
+       .CLK (wb_clk_i), .D (n_10687), .Q (u_soc_baud_reg[6]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[7] (.RESET_B (n_470),
+       .CLK (wb_clk_i), .D (n_10518), .Q (u_soc_baud_reg[7]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[8] (.RESET_B (n_470),
+       .CLK (wb_clk_i), .D (n_10550), .Q (u_soc_baud_reg[8]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[9] (.RESET_B (n_470),
+       .CLK (wb_clk_i), .D (n_10352), .Q (u_soc_baud_reg[9]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[10] (.RESET_B (n_470),
+       .CLK (wb_clk_i), .D (n_10556), .Q (u_soc_baud_reg[10]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[11] (.RESET_B (n_470),
+       .CLK (wb_clk_i), .D (n_10521), .Q (u_soc_baud_reg[11]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[12] (.RESET_B (n_470),
+       .CLK (wb_clk_i), .D (n_10520), .Q (u_soc_baud_reg[12]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[13] (.RESET_B (n_470),
+       .CLK (wb_clk_i), .D (n_10349), .Q (u_soc_baud_reg[13]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[14] (.RESET_B (n_470),
+       .CLK (wb_clk_i), .D (n_10348), .Q (u_soc_baud_reg[14]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[15] (.RESET_B (n_470),
+       .CLK (wb_clk_i), .D (n_10347), .Q (u_soc_baud_reg[15]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[0] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10533), .Q
+       (u_soc_data_addr[0]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[1] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10539), .Q
+       (u_soc_data_addr[1]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[2] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10538), .Q
+       (u_soc_data_addr[2]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[3] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10536), .Q
+       (u_soc_data_addr[3]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[4] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10537), .Q
+       (u_soc_data_addr[4]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[5] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10535), .Q
+       (u_soc_data_addr[5]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[6] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10534), .Q
+       (u_soc_data_addr[6]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[7] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10531), .Q
+       (u_soc_data_addr[7]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[8] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10532), .Q
+       (u_soc_data_addr[8]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[9] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10530), .Q
+       (u_soc_data_addr[9]));
+  sky130_fd_sc_hd__dfbbn_1 u_soc_dccm_adapter_csb_reg(.RESET_B
+       (n_17933), .SET_B (io_out[37]), .CLK_N (wb_clk_i), .D
+       (u_soc_dccm_adapter_data_csbD), .Q_N (u_soc_data_csb));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[0] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10682), .Q
+       (u_soc_data_wdata[0]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[1] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10681), .Q
+       (u_soc_data_wdata[1]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[2] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10680), .Q
+       (u_soc_data_wdata[2]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[3] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10679), .Q
+       (u_soc_data_wdata[3]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[4] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10683), .Q
+       (u_soc_data_wdata[4]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[5] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10686), .Q
+       (u_soc_data_wdata[5]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[6] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10685), .Q
+       (u_soc_data_wdata[6]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[7] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10684), .Q
+       (u_soc_data_wdata[7]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[8] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10662), .Q
+       (u_soc_data_wdata[8]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[9] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10661), .Q
+       (u_soc_data_wdata[9]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[10]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10660), .Q
+       (u_soc_data_wdata[10]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[11]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10655), .Q
+       (u_soc_data_wdata[11]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[12]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10659), .Q
+       (u_soc_data_wdata[12]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[13]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10658), .Q
+       (u_soc_data_wdata[13]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[14]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10657), .Q
+       (u_soc_data_wdata[14]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[15]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10656), .Q
+       (u_soc_data_wdata[15]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[16]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10678), .Q
+       (u_soc_data_wdata[16]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[17]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10677), .Q
+       (u_soc_data_wdata[17]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[18]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10676), .Q
+       (u_soc_data_wdata[18]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[19]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10675), .Q
+       (u_soc_data_wdata[19]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[20]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10674), .Q
+       (u_soc_data_wdata[20]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[21]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10673), .Q
+       (u_soc_data_wdata[21]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[22]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10672), .Q
+       (u_soc_data_wdata[22]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[23]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10671), .Q
+       (u_soc_data_wdata[23]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[24]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10670), .Q
+       (u_soc_data_wdata[24]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[25]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10669), .Q
+       (u_soc_data_wdata[25]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[26]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10668), .Q
+       (u_soc_data_wdata[26]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[27]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10667), .Q
+       (u_soc_data_wdata[27]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[28]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10666), .Q
+       (u_soc_data_wdata[28]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[29]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10665), .Q
+       (u_soc_data_wdata[29]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[30]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10664), .Q
+       (u_soc_data_wdata[30]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[31]
+       (.RESET_B (io_out[37]), .CLK_N (wb_clk_i), .D (n_10663), .Q
+       (u_soc_data_wdata[31]));
+  sky130_fd_sc_hd__dfrtn_1 u_soc_dccm_adapter_we_o_reg(.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D
+       (u_soc_dccm_adapter_data_weD), .Q (u_soc_data_we));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wmask_o_reg[0] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10555), .Q
+       (u_soc_data_wmask[0]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wmask_o_reg[1] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10547), .Q
+       (u_soc_data_wmask[1]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wmask_o_reg[2] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10554), .Q
+       (u_soc_data_wmask[2]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wmask_o_reg[3] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (n_10523), .Q
+       (u_soc_data_wmask[3]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[0]
+       (.RESET_B (n_17934), .CLK_N (wb_clk_i), .D (n_10400), .Q
+       (u_soc_instr_addr[0]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[1]
+       (.RESET_B (n_17935), .CLK_N (wb_clk_i), .D (n_10399), .Q
+       (u_soc_instr_addr[1]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[2]
+       (.RESET_B (n_17936), .CLK_N (wb_clk_i), .D (n_10398), .Q
+       (u_soc_instr_addr[2]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[3]
+       (.RESET_B (n_17937), .CLK_N (wb_clk_i), .D (n_10397), .Q
+       (u_soc_instr_addr[3]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[4]
+       (.RESET_B (n_17938), .CLK_N (wb_clk_i), .D (n_10396), .Q
+       (u_soc_instr_addr[4]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[5]
+       (.RESET_B (n_17939), .CLK_N (wb_clk_i), .D (n_10395), .Q
+       (u_soc_instr_addr[5]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[6]
+       (.RESET_B (n_17940), .CLK_N (wb_clk_i), .D (n_10551), .Q
+       (u_soc_instr_addr[6]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[7]
+       (.RESET_B (n_17941), .CLK_N (wb_clk_i), .D (n_10558), .Q
+       (u_soc_instr_addr[7]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[8]
+       (.RESET_B (n_17942), .CLK_N (wb_clk_i), .D (n_10559), .Q
+       (u_soc_instr_addr[8]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[9]
+       (.RESET_B (n_17943), .CLK_N (wb_clk_i), .D (n_10561), .Q
+       (u_soc_instr_addr[9]));
+  sky130_fd_sc_hd__dfrtn_1 u_soc_iccm_adapter_instr_csbD_reg(.RESET_B
+       (n_17944), .CLK_N (wb_clk_i), .D (n_10340), .Q
+       (u_soc_iccm_adapter_instr_csbD));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[0]
+       (.RESET_B (n_17945), .CLK_N (wb_clk_i), .D (n_8906), .Q
+       (u_soc_instr_wdata[0]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[1]
+       (.RESET_B (n_17956), .CLK_N (wb_clk_i), .D (n_8889), .Q
+       (u_soc_instr_wdata[1]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[2]
+       (.RESET_B (n_17967), .CLK_N (wb_clk_i), .D (n_8909), .Q
+       (u_soc_instr_wdata[2]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[3]
+       (.RESET_B (n_17970), .CLK_N (wb_clk_i), .D (n_8888), .Q
+       (u_soc_instr_wdata[3]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[4]
+       (.RESET_B (n_17971), .CLK_N (wb_clk_i), .D (n_8894), .Q
+       (u_soc_instr_wdata[4]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[5]
+       (.RESET_B (n_17972), .CLK_N (wb_clk_i), .D (n_8886), .Q
+       (u_soc_instr_wdata[5]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[6]
+       (.RESET_B (n_17973), .CLK_N (wb_clk_i), .D (n_8905), .Q
+       (u_soc_instr_wdata[6]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[7]
+       (.RESET_B (n_17974), .CLK_N (wb_clk_i), .D (n_8899), .Q
+       (u_soc_instr_wdata[7]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[8]
+       (.RESET_B (n_17975), .CLK_N (wb_clk_i), .D (n_8904), .Q
+       (u_soc_instr_wdata[8]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[9]
+       (.RESET_B (n_17976), .CLK_N (wb_clk_i), .D (n_8910), .Q
+       (u_soc_instr_wdata[9]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[10]
+       (.RESET_B (n_17946), .CLK_N (wb_clk_i), .D (n_8831), .Q
+       (u_soc_instr_wdata[10]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[11]
+       (.RESET_B (n_17947), .CLK_N (wb_clk_i), .D (n_8834), .Q
+       (u_soc_instr_wdata[11]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[12]
+       (.RESET_B (n_17948), .CLK_N (wb_clk_i), .D (n_8903), .Q
+       (u_soc_instr_wdata[12]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[13]
+       (.RESET_B (n_17949), .CLK_N (wb_clk_i), .D (n_8828), .Q
+       (u_soc_instr_wdata[13]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[14]
+       (.RESET_B (n_17950), .CLK_N (wb_clk_i), .D (n_8825), .Q
+       (u_soc_instr_wdata[14]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[15]
+       (.RESET_B (n_17951), .CLK_N (wb_clk_i), .D (n_8826), .Q
+       (u_soc_instr_wdata[15]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[16]
+       (.RESET_B (n_17952), .CLK_N (wb_clk_i), .D (n_8902), .Q
+       (u_soc_instr_wdata[16]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[17]
+       (.RESET_B (n_17953), .CLK_N (wb_clk_i), .D (n_8829), .Q
+       (u_soc_instr_wdata[17]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[18]
+       (.RESET_B (n_17954), .CLK_N (wb_clk_i), .D (n_8901), .Q
+       (u_soc_instr_wdata[18]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[19]
+       (.RESET_B (n_17955), .CLK_N (wb_clk_i), .D (n_8891), .Q
+       (u_soc_instr_wdata[19]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[20]
+       (.RESET_B (n_17957), .CLK_N (wb_clk_i), .D (n_8900), .Q
+       (u_soc_instr_wdata[20]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[21]
+       (.RESET_B (n_17958), .CLK_N (wb_clk_i), .D (n_8887), .Q
+       (u_soc_instr_wdata[21]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[22]
+       (.RESET_B (n_17959), .CLK_N (wb_clk_i), .D (n_8890), .Q
+       (u_soc_instr_wdata[22]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[23]
+       (.RESET_B (n_17960), .CLK_N (wb_clk_i), .D (n_8907), .Q
+       (u_soc_instr_wdata[23]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[24]
+       (.RESET_B (n_17961), .CLK_N (wb_clk_i), .D (n_8898), .Q
+       (u_soc_instr_wdata[24]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[25]
+       (.RESET_B (n_17962), .CLK_N (wb_clk_i), .D (n_8908), .Q
+       (u_soc_instr_wdata[25]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[26]
+       (.RESET_B (n_17963), .CLK_N (wb_clk_i), .D (n_8833), .Q
+       (u_soc_instr_wdata[26]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[27]
+       (.RESET_B (n_17964), .CLK_N (wb_clk_i), .D (n_8897), .Q
+       (u_soc_instr_wdata[27]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[28]
+       (.RESET_B (n_17965), .CLK_N (wb_clk_i), .D (n_8892), .Q
+       (u_soc_instr_wdata[28]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[29]
+       (.RESET_B (n_17966), .CLK_N (wb_clk_i), .D (n_8896), .Q
+       (u_soc_instr_wdata[29]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[30]
+       (.RESET_B (n_17968), .CLK_N (wb_clk_i), .D (n_8893), .Q
+       (u_soc_instr_wdata[30]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[31]
+       (.RESET_B (n_17969), .CLK_N (wb_clk_i), .D (n_8895), .Q
+       (u_soc_instr_wdata[31]));
+  sky130_fd_sc_hd__dfrtn_1 u_soc_iccm_adapter_instr_weD_reg(.RESET_B
+       (n_17977), .CLK_N (wb_clk_i), .D (n_8944), .Q
+       (u_soc_iccm_adapter_instr_weD));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wmaskD_reg[0]
+       (.RESET_B (n_17978), .CLK_N (wb_clk_i), .D (n_10150), .Q
+       (u_soc_instr_wmask[0]));
+  sky130_fd_sc_hd__dfrtp_1 u_soc_u_top_u_core_core_busy_q_reg(.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_10143), .Q
+       (u_soc_u_top_u_core_core_busy_q));
+  sky130_fd_sc_hd__dfrtp_1
+       u_soc_u_top_u_core_fetch_enable_q_reg(.RESET_B (io_out[37]),
+       .CLK (wb_clk_i), .D (n_17987), .Q
+       (u_soc_u_top_u_core_fetch_enable_q));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[0] (.GATE_N
+       (n_10950), .D (n_10946), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[0]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[1] (.GATE_N
+       (n_10950), .D (n_10927), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[1]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[2] (.GATE_N
+       (n_10950), .D (n_10926), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[2]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[3] (.GATE_N
+       (n_10950), .D (n_10943), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[3]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[4] (.GATE_N
+       (n_10950), .D (n_10944), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[4]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[5] (.GATE_N
+       (n_10950), .D (n_10925), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[5]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[6] (.GATE_N
+       (n_10950), .D (n_10924), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[6]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[7] (.GATE_N
+       (n_10950), .D (n_10942), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[7]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[8] (.GATE_N
+       (n_10950), .D (n_10941), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[8]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[9] (.GATE_N
+       (n_10950), .D (n_10940), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[9]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[10] (.GATE_N
+       (n_10950), .D (n_10939), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[10]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[11] (.GATE_N
+       (n_10950), .D (n_10923), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[11]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[12] (.GATE_N
+       (n_10950), .D (n_10938), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[12]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[13] (.GATE_N
+       (n_10950), .D (n_10937), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[13]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[14] (.GATE_N
+       (n_10950), .D (n_10922), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[14]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[15] (.GATE_N
+       (n_10950), .D (n_10936), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[15]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[16] (.GATE_N
+       (n_10950), .D (n_10935), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[16]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[17] (.GATE_N
+       (n_10950), .D (n_10921), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[17]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[18] (.GATE_N
+       (n_10950), .D (n_10920), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[18]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[19] (.GATE_N
+       (n_10950), .D (n_10934), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[19]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[20] (.GATE_N
+       (n_10950), .D (n_10919), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[20]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[21] (.GATE_N
+       (n_10950), .D (n_10933), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[21]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[22] (.GATE_N
+       (n_10950), .D (n_10932), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[22]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[23] (.GATE_N
+       (n_10950), .D (n_10918), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[23]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[24] (.GATE_N
+       (n_10950), .D (n_10931), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[24]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[25] (.GATE_N
+       (n_10950), .D (n_10917), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[25]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[26] (.GATE_N
+       (n_10950), .D (n_10930), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[26]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[27] (.GATE_N
+       (n_10950), .D (n_10916), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[27]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[28] (.GATE_N
+       (n_10950), .D (n_10929), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[28]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[29] (.GATE_N
+       (n_10950), .D (n_10928), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[29]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[30] (.GATE_N
+       (n_10950), .D (n_10945), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[30]));
+  sky130_fd_sc_hd__dlxtn_1
+       \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[31] (.GATE_N
+       (n_10950), .D (n_10949), .Q
+       (u_soc_u_uart_u_uart_core_rx_val[31]));
+  sky130_fd_sc_hd__dlxtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[1] (.GATE
+       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_10915), .Q
+       (u_soc_u_uart_u_uart_core_tx_fifo_data[0]));
+  sky130_fd_sc_hd__dlxtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[2] (.GATE
+       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_10914), .Q
+       (u_soc_u_uart_u_uart_core_tx_fifo_data[1]));
+  sky130_fd_sc_hd__dlxtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[3] (.GATE
+       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_10913), .Q
+       (u_soc_u_uart_u_uart_core_tx_fifo_data[2]));
+  sky130_fd_sc_hd__dlxtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[4] (.GATE
+       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_10912), .Q
+       (u_soc_u_uart_u_uart_core_tx_fifo_data[3]));
+  sky130_fd_sc_hd__dlxtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[5] (.GATE
+       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_10911), .Q
+       (u_soc_u_uart_u_uart_core_tx_fifo_data[4]));
+  sky130_fd_sc_hd__dlxtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[6] (.GATE
+       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_10910), .Q
+       (u_soc_u_uart_u_uart_core_tx_fifo_data[5]));
+  sky130_fd_sc_hd__dlxtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[7] (.GATE
+       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_10952), .Q
+       (u_soc_u_uart_u_uart_core_tx_fifo_data[6]));
+  sky130_fd_sc_hd__dlxtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[8] (.GATE
+       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_10909), .Q
+       (u_soc_u_uart_u_uart_core_tx_fifo_data[8]));
+  sky130_fd_sc_hd__o21ai_1 g339741(.A1 (n_13379), .A2 (n_10965), .B1
+       (n_10962), .Y (u_soc_u_top_u_core_id_stage_i_csr_pipe_flush));
+  sky130_fd_sc_hd__o21a_1 g339742(.A1
+       (u_soc_u_top_u_core_id_stage_i_illegal_insn_dec), .A2
+       (u_soc_u_top_u_core_illegal_csr_insn_id), .B1
+       (u_soc_u_top_u_core_instr_valid_id), .X
+       (u_soc_u_top_u_core_id_stage_i_n_932));
+  sky130_fd_sc_hd__nand4_1 g339743(.A (n_10964), .B
+       (u_soc_u_top_u_core_instr_rdata_id[30]), .C
+       (u_soc_u_top_u_core_instr_rdata_id[27]), .D
+       (u_soc_u_top_u_core_instr_rdata_id[25]), .Y (n_10965));
+  sky130_fd_sc_hd__a21o_1 g339744(.A1 (n_10963), .A2
+       (u_soc_u_top_u_core_csr_access), .B1 (n_8956), .X
+       (u_soc_u_top_u_core_illegal_csr_insn_id));
+  sky130_fd_sc_hd__nor4_1 g339745(.A
+       (u_soc_u_top_u_core_instr_rdata_id[22]), .B (n_8991), .C
+       (n_13338), .D (n_10960), .Y (n_10964));
+  sky130_fd_sc_hd__o211ai_1 g339746(.A1
+       (u_soc_u_top_u_core_debug_mode), .A2 (n_13485), .B1 (n_10901),
+       .C1 (n_10961), .Y (n_10963));
+  sky130_fd_sc_hd__nand4bb_1 g339747(.A_N (n_8991), .B_N
+       (u_soc_u_top_u_core_instr_rdata_id[30]), .C (n_10960), .D
+       (n_15889), .Y (n_10962));
+  sky130_fd_sc_hd__nand3b_1 g339748(.A_N (n_13379), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .C
+       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .Y (n_10961));
+  sky130_fd_sc_hd__a21oi_1 g339749(.A1 (u_soc_u_top_u_core_csr_op[1]),
+       .A2 (u_soc_u_top_u_core_csr_op[0]), .B1 (n_13379), .Y (n_10960));
+  sky130_fd_sc_hd__o2111ai_1 g339750(.A1
+       (u_soc_u_top_u_core_csr_op[0]), .A2
+       (u_soc_u_top_u_core_csr_op[1]), .B1
+       (u_soc_u_top_u_core_csr_access), .C1
+       (u_soc_u_top_u_core_ready_wb), .D1 (n_475), .Y (n_13379));
+  sky130_fd_sc_hd__nor2_1 g339751(.A (u_soc_u_top_u_core_ready_wb), .B
+       (n_13380), .Y (u_soc_u_top_u_core_id_stage_i_stall_wb));
+  sky130_fd_sc_hd__or3_1 g339753(.A
+       (u_soc_u_top_u_core_id_stage_i_flush_id), .B (n_13885), .C
+       (u_soc_u_top_u_core_id_stage_i_stall_id), .X (n_13380));
+  sky130_fd_sc_hd__o2111ai_1 g339754(.A1
+       (u_soc_u_top_u_core_id_stage_i_id_fsm_q), .A2 (n_13874), .B1
+       (n_16009), .C1 (n_10955), .D1 (n_10958), .Y
+       (u_soc_u_top_u_core_id_stage_i_stall_id));
+  sky130_fd_sc_hd__nand3b_1 g339755(.A_N (n_13885), .B (n_10957), .C
+       (u_soc_u_top_u_core_id_stage_i_id_fsm_q), .Y (n_10958));
+  sky130_fd_sc_hd__o32a_1 g339756(.A1
+       (u_soc_u_top_u_core_id_stage_i_branch_in_dec), .A2
+       (u_soc_u_top_u_core_id_stage_i_jump_in_dec), .A3 (n_13381), .B1
+       (n_13488), .B2 (n_10956), .X (n_10957));
+  sky130_fd_sc_hd__a2bb2oi_1 g339758(.A1_N
+       (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .A2_N (n_13486),
+       .B1 (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .B2 (n_10955),
+       .Y (n_10956));
+  sky130_fd_sc_hd__nand2_1 g339759(.A (n_10954), .B
+       (u_soc_u_top_u_core_instr_valid_id), .Y (n_10955));
+  sky130_fd_sc_hd__nand2_1 g339760(.A (n_10953), .B (n_13453), .Y
+       (n_10954));
+  sky130_fd_sc_hd__o41ai_1 g339761(.A1 (n_8996), .A2
+       (u_soc_u_top_u_core_load_store_unit_i_n_939), .A3
+       (u_soc_u_top_u_core_load_store_unit_i_n_937), .A4
+       (u_soc_u_top_u_core_load_store_unit_i_n_938), .B1
+       (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .Y (n_10953));
+  sky130_fd_sc_hd__nand2_1 g339763(.A
+       (u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]), .B
+       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .Y
+       (u_soc_u_top_u_core_if_stage_i_instr_is_compressed));
+  sky130_fd_sc_hd__nand2_1 g339764(.A (n_10951), .B (n_13375), .Y
+       (u_soc_u_top_u_core_load_store_unit_i_n_938));
+  sky130_fd_sc_hd__o221ai_1 g339765(.A1 (n_8871), .A2 (n_10517), .B1
+       (n_13346), .B2 (n_10782), .C1 (n_10908), .Y (n_10952));
+  sky130_fd_sc_hd__o211ai_1 g339766(.A1 (n_13466), .A2 (n_13382), .B1
+       (n_13369), .C1 (n_10947), .Y
+       (u_soc_u_top_u_core_load_store_unit_i_n_939));
+  sky130_fd_sc_hd__o31ai_1 g339767(.A1
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .A2
+       (n_8993), .A3 (n_10907), .B1 (n_10948), .Y
+       (u_soc_u_top_u_core_load_store_unit_i_n_937));
+  sky130_fd_sc_hd__a221oi_1 g339768(.A1 (n_13377), .A2 (n_9964), .B1
+       (n_10907), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]), .C1
+       (n_10903), .Y (n_10951));
+  sky130_fd_sc_hd__mux2_2 g339769(.A0
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata
+       [1]), .A1 (n_13609), .S (u_soc_u_top_u_core_pc_if[1]), .X
+       (u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]));
+  sky130_fd_sc_hd__mux2_2 g339770(.A0
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata
+       [0]), .A1 (n_13608), .S (u_soc_u_top_u_core_pc_if[1]), .X
+       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]));
+  sky130_fd_sc_hd__a221o_1 g339810(.A1 (n_10811), .A2 (n_9002), .B1
+       (n_10813), .B2 (n_8999), .C1 (n_10899), .X (n_10949));
+  sky130_fd_sc_hd__nand2_1 g339811(.A (n_10907), .B
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .Y
+       (n_10948));
+  sky130_fd_sc_hd__nand2_1 g339812(.A (n_10907), .B
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .Y
+       (n_10947));
+  sky130_fd_sc_hd__o221ai_1 g339813(.A1 (n_9003), .A2 (n_10816), .B1
+       (n_9001), .B2 (n_10819), .C1 (n_10884), .Y (n_10946));
+  sky130_fd_sc_hd__o221ai_1 g339814(.A1 (n_9001), .A2 (n_10817), .B1
+       (n_9003), .B2 (n_10818), .C1 (n_10885), .Y (n_10945));
+  sky130_fd_sc_hd__o221ai_1 g339815(.A1 (n_9001), .A2 (n_10775), .B1
+       (n_9000), .B2 (n_10777), .C1 (n_10882), .Y (n_10944));
+  sky130_fd_sc_hd__o221ai_1 g339816(.A1 (n_9001), .A2 (n_10783), .B1
+       (n_9000), .B2 (n_10785), .C1 (n_10883), .Y (n_10943));
+  sky130_fd_sc_hd__o221ai_1 g339817(.A1 (n_9001), .A2 (n_10764), .B1
+       (n_9003), .B2 (n_10765), .C1 (n_10881), .Y (n_10942));
+  sky130_fd_sc_hd__o221ai_1 g339818(.A1 (n_9001), .A2 (n_10761), .B1
+       (n_9003), .B2 (n_10762), .C1 (n_10880), .Y (n_10941));
+  sky130_fd_sc_hd__o221ai_1 g339819(.A1 (n_9001), .A2 (n_10758), .B1
+       (n_9000), .B2 (n_10759), .C1 (n_10879), .Y (n_10940));
+  sky130_fd_sc_hd__o221ai_1 g339820(.A1 (n_9001), .A2 (n_10755), .B1
+       (n_9003), .B2 (n_10756), .C1 (n_10878), .Y (n_10939));
+  sky130_fd_sc_hd__o221ai_1 g339821(.A1 (n_9000), .A2 (n_10748), .B1
+       (n_9001), .B2 (n_10749), .C1 (n_10877), .Y (n_10938));
+  sky130_fd_sc_hd__o221ai_1 g339822(.A1 (n_9001), .A2 (n_10745), .B1
+       (n_9003), .B2 (n_10746), .C1 (n_10876), .Y (n_10937));
+  sky130_fd_sc_hd__o221ai_1 g339823(.A1 (n_9001), .A2 (n_10738), .B1
+       (n_9000), .B2 (n_10739), .C1 (n_10875), .Y (n_10936));
+  sky130_fd_sc_hd__o221ai_1 g339824(.A1 (n_9001), .A2 (n_10735), .B1
+       (n_9003), .B2 (n_10736), .C1 (n_10874), .Y (n_10935));
+  sky130_fd_sc_hd__o221ai_1 g339825(.A1 (n_9001), .A2 (n_10724), .B1
+       (n_9000), .B2 (n_10725), .C1 (n_10873), .Y (n_10934));
+  sky130_fd_sc_hd__o221ai_1 g339826(.A1 (n_9001), .A2 (n_10717), .B1
+       (n_9003), .B2 (n_10718), .C1 (n_10872), .Y (n_10933));
+  sky130_fd_sc_hd__o221ai_1 g339827(.A1 (n_9001), .A2 (n_10714), .B1
+       (n_9000), .B2 (n_10715), .C1 (n_10871), .Y (n_10932));
+  sky130_fd_sc_hd__o221ai_1 g339828(.A1 (n_9001), .A2 (n_10707), .B1
+       (n_9000), .B2 (n_10708), .C1 (n_10870), .Y (n_10931));
+  sky130_fd_sc_hd__o221ai_1 g339829(.A1 (n_9001), .A2 (n_10700), .B1
+       (n_9003), .B2 (n_10701), .C1 (n_10869), .Y (n_10930));
+  sky130_fd_sc_hd__o221ai_1 g339830(.A1 (n_9001), .A2 (n_10825), .B1
+       (n_9000), .B2 (n_10826), .C1 (n_10868), .Y (n_10929));
+  sky130_fd_sc_hd__a221o_1 g339831(.A1 (n_10821), .A2 (n_9002), .B1
+       (n_10822), .B2 (n_8999), .C1 (n_10900), .X (n_10928));
+  sky130_fd_sc_hd__a21o_1 g339832(.A1 (n_15903), .A2
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[8]), .B1 (n_13324), .X
+       (n_10950));
+  sky130_fd_sc_hd__a221o_1 g339833(.A1 (n_10834), .A2 (n_9002), .B1
+       (n_10831), .B2 (n_8999), .C1 (n_10898), .X (n_10927));
+  sky130_fd_sc_hd__a221o_1 g339834(.A1 (n_10792), .A2 (n_9002), .B1
+       (n_10795), .B2 (n_8999), .C1 (n_10897), .X (n_10926));
+  sky130_fd_sc_hd__a221o_1 g339835(.A1 (n_10771), .A2 (n_9002), .B1
+       (n_10772), .B2 (n_8999), .C1 (n_10896), .X (n_10925));
+  sky130_fd_sc_hd__a221o_1 g339836(.A1 (n_10767), .A2 (n_9002), .B1
+       (n_10768), .B2 (n_8999), .C1 (n_10906), .X (n_10924));
+  sky130_fd_sc_hd__a221o_1 g339837(.A1 (n_10751), .A2 (n_9002), .B1
+       (n_10752), .B2 (n_8999), .C1 (n_10894), .X (n_10923));
+  sky130_fd_sc_hd__a221o_1 g339838(.A1 (n_10741), .A2 (n_9002), .B1
+       (n_10742), .B2 (n_8999), .C1 (n_10893), .X (n_10922));
+  sky130_fd_sc_hd__a221o_1 g339839(.A1 (n_10731), .A2 (n_9002), .B1
+       (n_10732), .B2 (n_8999), .C1 (n_10892), .X (n_10921));
+  sky130_fd_sc_hd__a221o_1 g339840(.A1 (n_10727), .A2 (n_9002), .B1
+       (n_10728), .B2 (n_8999), .C1 (n_10891), .X (n_10920));
+  sky130_fd_sc_hd__a221o_1 g339841(.A1 (n_10720), .A2 (n_9002), .B1
+       (n_10721), .B2 (n_8999), .C1 (n_10890), .X (n_10919));
+  sky130_fd_sc_hd__a221o_1 g339842(.A1 (n_10710), .A2 (n_9002), .B1
+       (n_10711), .B2 (n_8999), .C1 (n_10889), .X (n_10918));
+  sky130_fd_sc_hd__a221o_1 g339843(.A1 (n_10703), .A2 (n_9002), .B1
+       (n_10704), .B2 (n_8999), .C1 (n_10888), .X (n_10917));
+  sky130_fd_sc_hd__a221o_1 g339844(.A1 (n_10696), .A2 (n_9002), .B1
+       (n_10697), .B2 (n_8999), .C1 (n_10895), .X (n_10916));
+  sky130_fd_sc_hd__a221o_1 g339845(.A1 (n_10806), .A2 (n_8870), .B1
+       (n_10808), .B2 (n_8935), .C1 (n_10902), .X (n_10915));
+  sky130_fd_sc_hd__a221o_1 g339846(.A1 (n_10832), .A2 (n_8870), .B1
+       (n_10829), .B2 (n_8935), .C1 (n_10904), .X (n_10914));
+  sky130_fd_sc_hd__a221o_1 g339847(.A1 (n_10799), .A2 (n_8870), .B1
+       (n_10801), .B2 (n_8935), .C1 (n_10865), .X (n_10913));
+  sky130_fd_sc_hd__o221ai_1 g339848(.A1 (n_8871), .A2 (n_10796), .B1
+       (n_8936), .B2 (n_10797), .C1 (n_10867), .Y (n_10912));
+  sky130_fd_sc_hd__a221o_1 g339849(.A1 (n_10789), .A2 (n_8870), .B1
+       (n_10790), .B2 (n_8935), .C1 (n_10886), .X (n_10911));
+  sky130_fd_sc_hd__o221ai_1 g339850(.A1 (n_8936), .A2 (n_10786), .B1
+       (n_8871), .B2 (n_10788), .C1 (n_10866), .Y (n_10910));
+  sky130_fd_sc_hd__o221ai_1 g339851(.A1 (n_8871), .A2 (n_10778), .B1
+       (n_8936), .B2 (n_10780), .C1 (n_10887), .Y (n_10909));
+  sky130_fd_sc_hd__a21oi_1 g339852(.A1 (n_10781), .A2 (n_8870), .B1
+       (n_10905), .Y (n_10908));
+  sky130_fd_sc_hd__o2bb2ai_1 g339853(.A1_N
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [17]), .A2_N
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
+       [0]), .B1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
+       [0]), .B2 (n_10861), .Y (n_13609));
+  sky130_fd_sc_hd__o2bb2ai_1 g339854(.A1_N
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [0]), .A2_N
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
+       [0]), .B1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
+       [0]), .B2 (n_10862), .Y
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata
+       [0]));
+  sky130_fd_sc_hd__o2bb2ai_1 g339855(.A1_N
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [16]), .A2_N
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
+       [0]), .B1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
+       [0]), .B2 (n_10863), .Y (n_13608));
+  sky130_fd_sc_hd__o2bb2ai_1 g339856(.A1_N
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [1]), .A2_N
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
+       [0]), .B1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
+       [0]), .B2 (n_10864), .Y
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata
+       [1]));
+  sky130_fd_sc_hd__o22ai_1 g339857(.A1 (n_9000), .A2 (n_10769), .B1
+       (n_9003), .B2 (n_10770), .Y (n_10906));
+  sky130_fd_sc_hd__a21oi_1 g339858(.A1 (n_10695), .A2 (n_9409), .B1
+       (n_8936), .Y (n_10905));
+  sky130_fd_sc_hd__o22ai_1 g339859(.A1 (n_8871), .A2 (n_10803), .B1
+       (n_13346), .B2 (n_10805), .Y (n_10904));
+  sky130_fd_sc_hd__nor2_1 g339860(.A (n_13367), .B (n_13377), .Y
+       (n_10903));
+  sky130_fd_sc_hd__o22ai_1 g339861(.A1 (n_8871), .A2 (n_10809), .B1
+       (n_13346), .B2 (n_10810), .Y (n_10902));
+  sky130_fd_sc_hd__o2111ai_1 g339862(.A1 (n_13473), .A2 (n_9351), .B1
+       (n_10151), .C1 (n_13483), .D1 (n_10830), .Y (n_10901));
+  sky130_fd_sc_hd__o22ai_1 g339863(.A1 (n_9000), .A2 (n_10823), .B1
+       (n_9003), .B2 (n_10824), .Y (n_10900));
+  sky130_fd_sc_hd__o22ai_1 g339864(.A1 (n_9000), .A2 (n_10814), .B1
+       (n_9003), .B2 (n_10815), .Y (n_10899));
+  sky130_fd_sc_hd__o22ai_1 g339865(.A1 (n_9000), .A2 (n_10804), .B1
+       (n_9003), .B2 (n_10807), .Y (n_10898));
+  sky130_fd_sc_hd__o22ai_1 g339866(.A1 (n_9000), .A2 (n_10798), .B1
+       (n_9003), .B2 (n_10800), .Y (n_10897));
+  sky130_fd_sc_hd__o22ai_1 g339867(.A1 (n_9000), .A2 (n_10773), .B1
+       (n_9003), .B2 (n_10774), .Y (n_10896));
+  sky130_fd_sc_hd__o22ai_1 g339868(.A1 (n_9000), .A2 (n_10698), .B1
+       (n_9003), .B2 (n_10699), .Y (n_10895));
+  sky130_fd_sc_hd__o22ai_1 g339869(.A1 (n_9000), .A2 (n_10753), .B1
+       (n_9003), .B2 (n_10754), .Y (n_10894));
+  sky130_fd_sc_hd__o22ai_1 g339870(.A1 (n_9000), .A2 (n_10743), .B1
+       (n_9003), .B2 (n_10744), .Y (n_10893));
+  sky130_fd_sc_hd__o22ai_1 g339871(.A1 (n_9000), .A2 (n_10733), .B1
+       (n_9003), .B2 (n_10734), .Y (n_10892));
+  sky130_fd_sc_hd__o22ai_1 g339872(.A1 (n_9000), .A2 (n_10729), .B1
+       (n_9003), .B2 (n_10730), .Y (n_10891));
+  sky130_fd_sc_hd__o22ai_1 g339873(.A1 (n_9000), .A2 (n_10722), .B1
+       (n_9003), .B2 (n_10723), .Y (n_10890));
+  sky130_fd_sc_hd__o22ai_1 g339874(.A1 (n_9000), .A2 (n_10712), .B1
+       (n_9003), .B2 (n_10713), .Y (n_10889));
+  sky130_fd_sc_hd__o22ai_1 g339875(.A1 (n_9000), .A2 (n_10705), .B1
+       (n_9003), .B2 (n_10706), .Y (n_10888));
+  sky130_fd_sc_hd__or2_1 g339876(.A (u_soc_u_top_data_we), .B
+       (n_13490), .X (n_13324));
+  sky130_fd_sc_hd__nand2_1 g339877(.A (n_13377), .B (n_13491), .Y
+       (n_13369));
+  sky130_fd_sc_hd__a21oi_1 g339878(.A1 (n_13382), .A2 (n_13465), .B1
+       (n_8993), .Y (n_10907));
+  sky130_fd_sc_hd__a21oi_1 g339879(.A1 (n_10776), .A2 (n_8870), .B1
+       (n_10851), .Y (n_10887));
+  sky130_fd_sc_hd__o22ai_1 g339880(.A1 (n_8871), .A2 (n_10791), .B1
+       (n_13346), .B2 (n_10793), .Y (n_10886));
+  sky130_fd_sc_hd__a21oi_1 g339881(.A1 (n_10820), .A2 (n_8999), .B1
+       (n_10857), .Y (n_10885));
+  sky130_fd_sc_hd__a21oi_1 g339882(.A1 (n_10812), .A2 (n_8999), .B1
+       (n_10856), .Y (n_10884));
+  sky130_fd_sc_hd__a21oi_1 g339883(.A1 (n_10787), .A2 (n_8999), .B1
+       (n_10854), .Y (n_10883));
+  sky130_fd_sc_hd__a21oi_1 g339884(.A1 (n_10779), .A2 (n_8999), .B1
+       (n_10852), .Y (n_10882));
+  sky130_fd_sc_hd__a21oi_1 g339885(.A1 (n_10766), .A2 (n_8999), .B1
+       (n_10850), .Y (n_10881));
+  sky130_fd_sc_hd__a21oi_1 g339886(.A1 (n_10763), .A2 (n_8999), .B1
+       (n_10849), .Y (n_10880));
+  sky130_fd_sc_hd__a21oi_1 g339887(.A1 (n_10760), .A2 (n_8999), .B1
+       (n_10860), .Y (n_10879));
+  sky130_fd_sc_hd__a21oi_1 g339888(.A1 (n_10757), .A2 (n_8999), .B1
+       (n_10848), .Y (n_10878));
+  sky130_fd_sc_hd__a21oi_1 g339889(.A1 (n_10750), .A2 (n_8999), .B1
+       (n_10847), .Y (n_10877));
+  sky130_fd_sc_hd__a21oi_1 g339890(.A1 (n_10747), .A2 (n_8999), .B1
+       (n_10846), .Y (n_10876));
+  sky130_fd_sc_hd__a21oi_1 g339891(.A1 (n_10740), .A2 (n_8999), .B1
+       (n_10845), .Y (n_10875));
+  sky130_fd_sc_hd__a21oi_1 g339892(.A1 (n_10737), .A2 (n_8999), .B1
+       (n_10844), .Y (n_10874));
+  sky130_fd_sc_hd__a21oi_1 g339893(.A1 (n_10726), .A2 (n_8999), .B1
+       (n_10843), .Y (n_10873));
+  sky130_fd_sc_hd__a21oi_1 g339894(.A1 (n_10719), .A2 (n_8999), .B1
+       (n_10842), .Y (n_10872));
+  sky130_fd_sc_hd__a21oi_1 g339895(.A1 (n_10716), .A2 (n_8999), .B1
+       (n_10841), .Y (n_10871));
+  sky130_fd_sc_hd__a21oi_1 g339896(.A1 (n_10709), .A2 (n_8999), .B1
+       (n_10840), .Y (n_10870));
+  sky130_fd_sc_hd__a21oi_1 g339897(.A1 (n_10702), .A2 (n_8999), .B1
+       (n_10858), .Y (n_10869));
+  sky130_fd_sc_hd__a21oi_1 g339898(.A1 (n_10827), .A2 (n_8999), .B1
+       (n_10859), .Y (n_10868));
+  sky130_fd_sc_hd__a21oi_1 g339899(.A1 (n_10794), .A2 (n_8870), .B1
+       (n_10855), .Y (n_10867));
+  sky130_fd_sc_hd__a21oi_1 g339900(.A1 (n_10784), .A2 (n_8870), .B1
+       (n_10853), .Y (n_10866));
+  sky130_fd_sc_hd__o22ai_1 g339901(.A1 (n_8871), .A2 (n_10802), .B1
+       (n_13346), .B2 (n_10833), .Y (n_10865));
+  sky130_fd_sc_hd__nor2b_1 g339902(.A (n_10837), .B_N
+       (u_soc_instr_addr[4]), .Y (u_soc_u_iccm_addr4[4]));
+  sky130_fd_sc_hd__nand2_1 g339903(.A (n_17394), .B
+       (u_soc_iccm_adapter_instr_csbD), .Y (u_soc_u_iccm_csb3));
+  sky130_fd_sc_hd__nand2_1 g339904(.A (n_10835), .B
+       (u_soc_iccm_adapter_instr_csbD), .Y (u_soc_u_iccm_csb1));
+  sky130_fd_sc_hd__nand2_1 g339905(.A (n_10836), .B
+       (u_soc_iccm_adapter_instr_csbD), .Y (u_soc_u_iccm_csb4));
+  sky130_fd_sc_hd__nand2_1 g339906(.A (n_17393), .B
+       (u_soc_iccm_adapter_instr_csbD), .Y (u_soc_u_iccm_csb2));
+  sky130_fd_sc_hd__and2_1 g339907(.A (n_17393), .B
+       (u_soc_instr_addr[7]), .X (u_soc_u_iccm_addr2[7]));
+  sky130_fd_sc_hd__and2_1 g339908(.A (n_17393), .B
+       (u_soc_instr_addr[6]), .X (u_soc_u_iccm_addr2[6]));
+  sky130_fd_sc_hd__and2_1 g339909(.A (n_17393), .B
+       (u_soc_instr_addr[5]), .X (u_soc_u_iccm_addr2[5]));
+  sky130_fd_sc_hd__and2_1 g339910(.A (n_17393), .B
+       (u_soc_instr_addr[4]), .X (u_soc_u_iccm_addr2[4]));
+  sky130_fd_sc_hd__and2_1 g339911(.A (n_17393), .B
+       (u_soc_instr_addr[3]), .X (u_soc_u_iccm_addr2[3]));
+  sky130_fd_sc_hd__and2_1 g339912(.A (n_17393), .B
+       (u_soc_instr_addr[2]), .X (u_soc_u_iccm_addr2[2]));
+  sky130_fd_sc_hd__and2_1 g339913(.A (n_17393), .B
+       (u_soc_instr_addr[1]), .X (u_soc_u_iccm_addr2[1]));
+  sky130_fd_sc_hd__and2_1 g339914(.A (n_17393), .B
+       (u_soc_instr_addr[0]), .X (u_soc_u_iccm_addr2[0]));
+  sky130_fd_sc_hd__nor2b_1 g339915(.A (n_10837), .B_N
+       (u_soc_instr_addr[7]), .Y (u_soc_u_iccm_addr4[7]));
+  sky130_fd_sc_hd__nor2b_1 g339916(.A (n_10837), .B_N
+       (u_soc_instr_addr[5]), .Y (u_soc_u_iccm_addr4[5]));
+  sky130_fd_sc_hd__nor2b_1 g339917(.A (n_10837), .B_N
+       (u_soc_instr_addr[6]), .Y (u_soc_u_iccm_addr4[6]));
+  sky130_fd_sc_hd__nor2b_1 g339919(.A (n_10837), .B_N
+       (u_soc_instr_addr[3]), .Y (u_soc_u_iccm_addr4[3]));
+  sky130_fd_sc_hd__nor2b_1 g339920(.A (n_10837), .B_N
+       (u_soc_instr_addr[2]), .Y (u_soc_u_iccm_addr4[2]));
+  sky130_fd_sc_hd__nor2b_1 g339921(.A (n_10837), .B_N
+       (u_soc_instr_addr[1]), .Y (u_soc_u_iccm_addr4[1]));
+  sky130_fd_sc_hd__nor2b_1 g339922(.A (n_10837), .B_N
+       (u_soc_instr_addr[0]), .Y (u_soc_u_iccm_addr4[0]));
+  sky130_fd_sc_hd__and2_1 g339923(.A (n_10835), .B
+       (u_soc_instr_addr[7]), .X (u_soc_u_iccm_addr1[7]));
+  sky130_fd_sc_hd__and2_1 g339924(.A (n_10835), .B
+       (u_soc_instr_addr[6]), .X (u_soc_u_iccm_addr1[6]));
+  sky130_fd_sc_hd__and2_1 g339925(.A (n_10835), .B
+       (u_soc_instr_addr[5]), .X (u_soc_u_iccm_addr1[5]));
+  sky130_fd_sc_hd__and2_1 g339926(.A (n_10835), .B
+       (u_soc_instr_addr[4]), .X (u_soc_u_iccm_addr1[4]));
+  sky130_fd_sc_hd__and2_1 g339927(.A (n_10835), .B
+       (u_soc_instr_addr[3]), .X (u_soc_u_iccm_addr1[3]));
+  sky130_fd_sc_hd__and2_1 g339928(.A (n_10835), .B
+       (u_soc_instr_addr[2]), .X (u_soc_u_iccm_addr1[2]));
+  sky130_fd_sc_hd__and2_1 g339929(.A (n_10835), .B
+       (u_soc_instr_addr[1]), .X (u_soc_u_iccm_addr1[1]));
+  sky130_fd_sc_hd__and2_1 g339930(.A (n_10835), .B
+       (u_soc_instr_addr[0]), .X (u_soc_u_iccm_addr1[0]));
+  sky130_fd_sc_hd__and2_1 g339931(.A (n_17394), .B
+       (u_soc_instr_addr[7]), .X (u_soc_u_iccm_addr3[7]));
+  sky130_fd_sc_hd__and2_1 g339932(.A (n_17394), .B
+       (u_soc_instr_addr[6]), .X (u_soc_u_iccm_addr3[6]));
+  sky130_fd_sc_hd__and2_1 g339933(.A (n_17394), .B
+       (u_soc_instr_addr[5]), .X (u_soc_u_iccm_addr3[5]));
+  sky130_fd_sc_hd__clkinv_1 g339934(.A (n_10864), .Y
+       (\u_soc_iccm_to_xbar[d_data] [1]));
+  sky130_fd_sc_hd__inv_1 g339935(.A (n_10863), .Y
+       (\u_soc_iccm_to_xbar[d_data] [16]));
+  sky130_fd_sc_hd__clkinv_1 g339936(.A (n_10862), .Y
+       (\u_soc_iccm_to_xbar[d_data] [0]));
+  sky130_fd_sc_hd__inv_1 g339937(.A (n_10861), .Y
+       (\u_soc_iccm_to_xbar[d_data] [17]));
+  sky130_fd_sc_hd__a41oi_1 g339938(.A1 (n_10543), .A2 (n_9551), .A3
+       (n_9552), .A4 (n_9553), .B1 (n_9003), .Y (n_10860));
+  sky130_fd_sc_hd__and2_1 g339939(.A (n_17394), .B
+       (u_soc_instr_addr[3]), .X (u_soc_u_iccm_addr3[3]));
+  sky130_fd_sc_hd__and2_1 g339940(.A (n_17394), .B
+       (u_soc_instr_addr[1]), .X (u_soc_u_iccm_addr3[1]));
+  sky130_fd_sc_hd__and2_1 g339941(.A (n_17394), .B
+       (u_soc_instr_addr[2]), .X (u_soc_u_iccm_addr3[2]));
+  sky130_fd_sc_hd__and2_1 g339942(.A (n_17394), .B
+       (u_soc_instr_addr[0]), .X (u_soc_u_iccm_addr3[0]));
+  sky130_fd_sc_hd__a41oi_1 g339943(.A1 (n_10401), .A2 (n_9356), .A3
+       (n_9355), .A4 (n_9357), .B1 (n_9003), .Y (n_10859));
+  sky130_fd_sc_hd__a41oi_1 g339944(.A1 (n_10409), .A2 (n_9377), .A3
+       (n_9376), .A4 (n_9375), .B1 (n_9000), .Y (n_10858));
+  sky130_fd_sc_hd__a41oi_1 g339945(.A1 (n_10474), .A2 (n_9727), .A3
+       (n_9725), .A4 (n_9726), .B1 (n_9000), .Y (n_10857));
+  sky130_fd_sc_hd__a41oi_1 g339946(.A1 (n_10390), .A2 (n_9701), .A3
+       (n_9473), .A4 (n_9453), .B1 (n_9000), .Y (n_10856));
+  sky130_fd_sc_hd__a41oi_1 g339947(.A1 (n_10499), .A2 (n_9657), .A3
+       (n_9659), .A4 (n_9658), .B1 (n_13346), .Y (n_10855));
+  sky130_fd_sc_hd__a41oi_1 g339948(.A1 (n_10381), .A2 (n_9642), .A3
+       (n_9641), .A4 (n_9637), .B1 (n_9003), .Y (n_10854));
+  sky130_fd_sc_hd__a41oi_1 g339949(.A1 (n_10491), .A2 (n_9628), .A3
+       (n_9630), .A4 (n_9629), .B1 (n_13346), .Y (n_10853));
+  sky130_fd_sc_hd__a41oi_1 g339950(.A1 (n_10377), .A2 (n_9613), .A3
+       (n_9617), .A4 (n_9615), .B1 (n_9003), .Y (n_10852));
+  sky130_fd_sc_hd__a41oi_1 g339951(.A1 (n_10484), .A2 (n_9606), .A3
+       (n_9607), .A4 (n_9605), .B1 (n_13346), .Y (n_10851));
+  sky130_fd_sc_hd__a41oi_1 g339952(.A1 (n_10365), .A2 (n_9573), .A3
+       (n_9574), .A4 (n_9575), .B1 (n_9000), .Y (n_10850));
+  sky130_fd_sc_hd__a41oi_1 g339953(.A1 (n_10525), .A2 (n_9564), .A3
+       (n_9562), .A4 (n_9565), .B1 (n_9000), .Y (n_10849));
+  sky130_fd_sc_hd__and2_1 g339954(.A (n_17394), .B
+       (u_soc_instr_addr[4]), .X (u_soc_u_iccm_addr3[4]));
+  sky130_fd_sc_hd__a41oi_1 g339955(.A1 (n_10468), .A2 (n_9542), .A3
+       (n_9540), .A4 (n_9541), .B1 (n_9000), .Y (n_10848));
+  sky130_fd_sc_hd__a41oi_1 g339956(.A1 (n_10460), .A2 (n_9522), .A3
+       (n_9520), .A4 (n_9521), .B1 (n_9003), .Y (n_10847));
+  sky130_fd_sc_hd__a41oi_1 g339957(.A1 (n_10457), .A2 (n_9512), .A3
+       (n_9510), .A4 (n_9511), .B1 (n_9000), .Y (n_10846));
+  sky130_fd_sc_hd__a41oi_1 g339958(.A1 (n_10449), .A2 (n_9489), .A3
+       (n_9490), .A4 (n_9491), .B1 (n_9003), .Y (n_10845));
+  sky130_fd_sc_hd__a41oi_1 g339959(.A1 (n_10444), .A2 (n_9478), .A3
+       (n_9476), .A4 (n_9477), .B1 (n_9000), .Y (n_10844));
+  sky130_fd_sc_hd__a41oi_1 g339960(.A1 (n_10433), .A2 (n_9449), .A3
+       (n_9448), .A4 (n_9447), .B1 (n_9003), .Y (n_10843));
+  sky130_fd_sc_hd__a41oi_1 g339961(.A1 (n_10424), .A2 (n_9425), .A3
+       (n_9424), .A4 (n_9426), .B1 (n_9000), .Y (n_10842));
+  sky130_fd_sc_hd__a41oi_1 g339962(.A1 (n_10421), .A2 (n_9417), .A3
+       (n_9418), .A4 (n_9419), .B1 (n_9003), .Y (n_10841));
+  sky130_fd_sc_hd__a41oi_1 g339963(.A1 (n_10360), .A2 (n_9397), .A3
+       (n_9398), .A4 (n_9396), .B1 (n_9003), .Y (n_10840));
+  sky130_fd_sc_hd__nand2_1 g339964(.A (n_13382), .B (n_13383), .Y
+       (n_13375));
+  sky130_fd_sc_hd__or4b_1 g339965(.A (\u_soc_xbar_to_dccm[a_address]
+       [2]), .B (n_13378), .C (n_13558), .D_N
+       (\u_soc_xbar_to_dccm[a_address] [3]), .X (n_13490));
+  sky130_fd_sc_hd__a222oi_1 g339966(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [2]), .A2 (n_13494), .B1 (n_13493), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [2]), .C1 (n_13492), .C2 (n_10334), .Y (n_10864));
+  sky130_fd_sc_hd__a222oi_1 g339967(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [17]), .A2 (n_13494), .B1 (n_13493), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [17]), .C1 (n_13492), .C2 (n_10335), .Y (n_10863));
+  sky130_fd_sc_hd__a222oi_1 g339968(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [1]), .A2 (n_13494), .B1 (n_13493), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [1]), .C1 (n_13492), .C2 (n_10336), .Y (n_10862));
+  sky130_fd_sc_hd__a222oi_1 g339969(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [18]), .A2 (n_13494), .B1 (n_13493), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [18]), .C1 (n_13492), .C2 (n_10337), .Y (n_10861));
+  sky130_fd_sc_hd__nand2_1 g339970(.A (n_13382), .B (n_9349), .Y
+       (n_13377));
+  sky130_fd_sc_hd__inv_1 g339971(.A (n_10837), .Y (n_10836));
+  sky130_fd_sc_hd__and2_1 g340007(.A (n_17395), .B
+       (u_soc_data_addr[1]), .X (u_soc_u_dccm_addr2[1]));
+  sky130_fd_sc_hd__and2_1 g340008(.A (n_17395), .B
+       (u_soc_data_addr[2]), .X (u_soc_u_dccm_addr2[2]));
+  sky130_fd_sc_hd__and2_1 g340009(.A (n_17395), .B
+       (u_soc_data_addr[0]), .X (u_soc_u_dccm_addr2[0]));
+  sky130_fd_sc_hd__and2_1 g340010(.A (n_17396), .B
+       (u_soc_data_addr[7]), .X (u_soc_u_dccm_addr3[7]));
+  sky130_fd_sc_hd__and2_1 g340011(.A (n_17396), .B
+       (u_soc_data_addr[6]), .X (u_soc_u_dccm_addr3[6]));
+  sky130_fd_sc_hd__and2_1 g340012(.A (n_17396), .B
+       (u_soc_data_addr[5]), .X (u_soc_u_dccm_addr3[5]));
+  sky130_fd_sc_hd__and2_1 g340013(.A (n_17396), .B
+       (u_soc_data_addr[3]), .X (u_soc_u_dccm_addr3[3]));
+  sky130_fd_sc_hd__and2_1 g340014(.A (n_17396), .B
+       (u_soc_data_addr[4]), .X (u_soc_u_dccm_addr3[4]));
+  sky130_fd_sc_hd__and2_1 g340015(.A (n_17396), .B
+       (u_soc_data_addr[2]), .X (u_soc_u_dccm_addr3[2]));
+  sky130_fd_sc_hd__and2_1 g340016(.A (n_17396), .B
+       (u_soc_data_addr[1]), .X (u_soc_u_dccm_addr3[1]));
+  sky130_fd_sc_hd__and2_1 g340017(.A (n_17396), .B
+       (u_soc_data_addr[0]), .X (u_soc_u_dccm_addr3[0]));
+  sky130_fd_sc_hd__nand4_1 g340018(.A (n_10386), .B (n_9945), .C
+       (n_9948), .D (n_9946), .Y (n_10834));
+  sky130_fd_sc_hd__a221oi_1 g340019(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [3]), .B1
+       (n_8978), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [3]), .C1
+       (n_10637), .Y (n_10833));
+  sky130_fd_sc_hd__and2_1 g340020(.A (n_10692), .B
+       (u_soc_data_addr[7]), .X (u_soc_u_dccm_addr1[7]));
+  sky130_fd_sc_hd__and2_1 g340021(.A (n_10692), .B
+       (u_soc_data_addr[6]), .X (u_soc_u_dccm_addr1[6]));
+  sky130_fd_sc_hd__and2_1 g340022(.A (n_10692), .B
+       (u_soc_data_addr[5]), .X (u_soc_u_dccm_addr1[5]));
+  sky130_fd_sc_hd__and2_1 g340023(.A (n_10692), .B
+       (u_soc_data_addr[4]), .X (u_soc_u_dccm_addr1[4]));
+  sky130_fd_sc_hd__and2_1 g340024(.A (n_10692), .B
+       (u_soc_data_addr[3]), .X (u_soc_u_dccm_addr1[3]));
+  sky130_fd_sc_hd__and2_1 g340025(.A (n_10692), .B
+       (u_soc_data_addr[2]), .X (u_soc_u_dccm_addr1[2]));
+  sky130_fd_sc_hd__and2_1 g340026(.A (n_10692), .B
+       (u_soc_data_addr[1]), .X (u_soc_u_dccm_addr1[1]));
+  sky130_fd_sc_hd__and2_1 g340027(.A (n_10692), .B
+       (u_soc_data_addr[0]), .X (u_soc_u_dccm_addr1[0]));
+  sky130_fd_sc_hd__nor2b_1 g340028(.A (n_10691), .B_N
+       (u_soc_data_addr[7]), .Y (u_soc_u_dccm_addr4[7]));
+  sky130_fd_sc_hd__nor2b_1 g340029(.A (n_10691), .B_N
+       (u_soc_data_addr[6]), .Y (u_soc_u_dccm_addr4[6]));
+  sky130_fd_sc_hd__nor2b_1 g340030(.A (n_10691), .B_N
+       (u_soc_data_addr[5]), .Y (u_soc_u_dccm_addr4[5]));
+  sky130_fd_sc_hd__nor2b_1 g340031(.A (n_10691), .B_N
+       (u_soc_data_addr[4]), .Y (u_soc_u_dccm_addr4[4]));
+  sky130_fd_sc_hd__nor2b_1 g340032(.A (n_10691), .B_N
+       (u_soc_data_addr[3]), .Y (u_soc_u_dccm_addr4[3]));
+  sky130_fd_sc_hd__nor2b_1 g340033(.A (n_10691), .B_N
+       (u_soc_data_addr[1]), .Y (u_soc_u_dccm_addr4[1]));
+  sky130_fd_sc_hd__nor2b_1 g340034(.A (n_10691), .B_N
+       (u_soc_data_addr[2]), .Y (u_soc_u_dccm_addr4[2]));
+  sky130_fd_sc_hd__nor2b_1 g340035(.A (n_10691), .B_N
+       (u_soc_data_addr[0]), .Y (u_soc_u_dccm_addr4[0]));
+  sky130_fd_sc_hd__and2_1 g340036(.A (n_17395), .B
+       (u_soc_data_addr[7]), .X (u_soc_u_dccm_addr2[7]));
+  sky130_fd_sc_hd__and2_1 g340037(.A (n_17395), .B
+       (u_soc_data_addr[6]), .X (u_soc_u_dccm_addr2[6]));
+  sky130_fd_sc_hd__and2_1 g340038(.A (n_17395), .B
+       (u_soc_data_addr[5]), .X (u_soc_u_dccm_addr2[5]));
+  sky130_fd_sc_hd__and2_1 g340039(.A (n_17395), .B
+       (u_soc_data_addr[4]), .X (u_soc_u_dccm_addr2[4]));
+  sky130_fd_sc_hd__and2_1 g340040(.A (n_17395), .B
+       (u_soc_data_addr[3]), .X (u_soc_u_dccm_addr2[3]));
+  sky130_fd_sc_hd__nand4_1 g340041(.A (n_10506), .B (n_9676), .C
+       (n_9807), .D (n_9678), .Y (n_10832));
+  sky130_fd_sc_hd__nand4_1 g340042(.A (n_10387), .B (n_9749), .C
+       (n_9677), .D (n_9679), .Y (n_10831));
+  sky130_fd_sc_hd__nand2_1 g340043(.A (n_10690), .B (u_soc_data_csb),
+       .Y (u_soc_u_dccm_csb4));
+  sky130_fd_sc_hd__nand2_1 g340044(.A (n_10692), .B (u_soc_data_csb),
+       .Y (u_soc_u_dccm_csb1));
+  sky130_fd_sc_hd__o221a_1 g340045(.A1 (n_13484), .A2 (n_10519), .B1
+       (n_15943), .B2 (n_13478), .C1 (n_10552), .X (n_10830));
+  sky130_fd_sc_hd__nand2_1 g340046(.A (n_17396), .B (u_soc_data_csb),
+       .Y (u_soc_u_dccm_csb3));
+  sky130_fd_sc_hd__nand2_1 g340047(.A (n_17395), .B (u_soc_data_csb),
+       .Y (u_soc_u_dccm_csb2));
+  sky130_fd_sc_hd__nand4_1 g340048(.A (n_10507), .B (n_9682), .C
+       (n_9681), .D (n_9683), .Y (n_10829));
+  sky130_fd_sc_hd__a22o_1 g340049(.A1 (n_10560), .A2 (n_10154), .B1
+       (la_data_in[0]), .B2 (n_10248), .X (n_10828));
+  sky130_fd_sc_hd__nand4_1 g340050(.A (n_10482), .B (n_9748), .C
+       (n_9747), .D (n_9746), .Y (n_10827));
+  sky130_fd_sc_hd__a221oi_1 g340051(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [5]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [5]), .C1
+       (n_10612), .Y (n_10826));
+  sky130_fd_sc_hd__a221oi_1 g340052(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [5]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [5]), .C1
+       (n_10652), .Y (n_10825));
+  sky130_fd_sc_hd__a221oi_1 g340053(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [6]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [6]), .C1
+       (n_10651), .Y (n_10824));
+  sky130_fd_sc_hd__a221oi_1 g340054(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [6]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [6]), .C1
+       (n_10650), .Y (n_10823));
+  sky130_fd_sc_hd__nand4_1 g340055(.A (n_10477), .B (n_9735), .C
+       (n_9734), .D (n_9736), .Y (n_10822));
+  sky130_fd_sc_hd__nand4_1 g340056(.A (n_10476), .B (n_9732), .C
+       (n_9731), .D (n_9733), .Y (n_10821));
+  sky130_fd_sc_hd__nand4_1 g340057(.A (n_10475), .B (n_9730), .C
+       (n_9729), .D (n_9728), .Y (n_10820));
+  sky130_fd_sc_hd__a221oi_1 g340058(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [1]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64]
+       [1]), .C1 (n_10649), .Y (n_10819));
+  sky130_fd_sc_hd__a221oi_1 g340059(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [7]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [7]), .C1
+       (n_10648), .Y (n_10818));
+  sky130_fd_sc_hd__a221oi_1 g340060(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [7]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [7]), .C1
+       (n_10647), .Y (n_10817));
+  sky130_fd_sc_hd__a221oi_1 g340061(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [1]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [1]), .C1
+       (n_10645), .Y (n_10816));
+  sky130_fd_sc_hd__a221oi_1 g340062(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [8]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [8]), .C1
+       (n_10646), .Y (n_10815));
+  sky130_fd_sc_hd__a221oi_1 g340063(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [8]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [8]), .C1
+       (n_10644), .Y (n_10814));
+  sky130_fd_sc_hd__nand4_1 g340064(.A (n_10522), .B (n_9711), .C
+       (n_9712), .D (n_9710), .Y (n_10813));
+  sky130_fd_sc_hd__nand4_1 g340065(.A (n_10391), .B (n_9709), .C
+       (n_9707), .D (n_9704), .Y (n_10812));
+  sky130_fd_sc_hd__nand4_1 g340066(.A (n_10524), .B (n_9706), .C
+       (n_9708), .D (n_9705), .Y (n_10811));
+  sky130_fd_sc_hd__a221oi_1 g340067(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [1]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [1]), .C1
+       (n_10643), .Y (n_10810));
+  sky130_fd_sc_hd__a221oi_1 g340068(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [1]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [1]), .C1
+       (n_10642), .Y (n_10809));
+  sky130_fd_sc_hd__nand4_1 g340069(.A (n_10511), .B (n_9698), .C
+       (n_9697), .D (n_9696), .Y (n_10808));
+  sky130_fd_sc_hd__a221oi_1 g340070(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [2]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [2]), .C1
+       (n_10641), .Y (n_10807));
+  sky130_fd_sc_hd__nand4_1 g340071(.A (n_10510), .B (n_9693), .C
+       (n_9691), .D (n_9694), .Y (n_10806));
+  sky130_fd_sc_hd__a221oi_1 g340072(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [2]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [2]), .C1
+       (n_10640), .Y (n_10805));
+  sky130_fd_sc_hd__a221oi_1 g340073(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [2]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [2]), .C1
+       (n_10639), .Y (n_10804));
+  sky130_fd_sc_hd__a221oi_1 g340074(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [2]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [2]), .C1
+       (n_10638), .Y (n_10803));
+  sky130_fd_sc_hd__a21o_1 g340075(.A1 (n_10330), .A2 (n_10562), .B1
+       (n_10342), .X (n_13382));
+  sky130_fd_sc_hd__nand2_1 g340078(.A (u_soc_instr_addr[9]), .B
+       (u_soc_instr_addr[8]), .Y (n_10837));
+  sky130_fd_sc_hd__nor2_1 g340079(.A (u_soc_instr_addr[8]), .B
+       (u_soc_instr_addr[9]), .Y (n_10835));
+  sky130_fd_sc_hd__a221oi_1 g340080(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [3]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [3]), .C1
+       (n_10636), .Y (n_10802));
+  sky130_fd_sc_hd__nand4_1 g340081(.A (n_10503), .B (n_9673), .C
+       (n_9674), .D (n_9672), .Y (n_10801));
+  sky130_fd_sc_hd__a221oi_1 g340082(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [3]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [3]), .C1
+       (n_10635), .Y (n_10800));
+  sky130_fd_sc_hd__nand4_1 g340083(.A (n_10502), .B (n_9669), .C
+       (n_9671), .D (n_9668), .Y (n_10799));
+  sky130_fd_sc_hd__a221oi_1 g340084(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [3]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [3]), .C1
+       (n_10633), .Y (n_10798));
+  sky130_fd_sc_hd__a221oi_1 g340085(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [4]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [4]), .C1
+       (n_10634), .Y (n_10797));
+  sky130_fd_sc_hd__a221oi_1 g340086(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [4]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [4]), .C1
+       (n_10632), .Y (n_10796));
+  sky130_fd_sc_hd__nand4_1 g340087(.A (n_10383), .B (n_9654), .C
+       (n_9656), .D (n_9546), .Y (n_10795));
+  sky130_fd_sc_hd__nand4_1 g340088(.A (n_10498), .B (n_9653), .C
+       (n_9655), .D (n_9652), .Y (n_10794));
+  sky130_fd_sc_hd__a221oi_1 g340089(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [5]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [5]), .C1
+       (n_10631), .Y (n_10793));
+  sky130_fd_sc_hd__nand4_1 g340090(.A (n_10382), .B (n_9647), .C
+       (n_9648), .D (n_9649), .Y (n_10792));
+  sky130_fd_sc_hd__a221oi_1 g340091(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [5]), .B1
+       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7]
+       [5]), .C1 (n_10630), .Y (n_10791));
+  sky130_fd_sc_hd__nand4_1 g340092(.A (n_10495), .B (n_9644), .C
+       (n_9645), .D (n_9643), .Y (n_10790));
+  sky130_fd_sc_hd__nand4_1 g340093(.A (n_10494), .B (n_9639), .C
+       (n_9638), .D (n_9640), .Y (n_10789));
+  sky130_fd_sc_hd__a221oi_1 g340094(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [6]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [6]), .C1
+       (n_10629), .Y (n_10788));
+  sky130_fd_sc_hd__nand4_1 g340095(.A (n_10380), .B (n_9563), .C
+       (n_9632), .D (n_9593), .Y (n_10787));
+  sky130_fd_sc_hd__a221oi_1 g340096(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [6]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [6]), .C1
+       (n_10628), .Y (n_10786));
+  sky130_fd_sc_hd__a221oi_1 g340097(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [4]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [4]), .C1
+       (n_10627), .Y (n_10785));
+  sky130_fd_sc_hd__nand4_1 g340098(.A (n_10490), .B (n_9625), .C
+       (n_9558), .D (n_9626), .Y (n_10784));
+  sky130_fd_sc_hd__a221oi_1 g340099(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [4]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [4]), .C1
+       (n_10626), .Y (n_10783));
+  sky130_fd_sc_hd__a221oi_1 g340100(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [7]), .B1
+       (n_8978), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [7]), .C1
+       (n_10625), .Y (n_10782));
+  sky130_fd_sc_hd__nand4_1 g340101(.A (n_10487), .B (n_9680), .C
+       (n_9616), .D (n_9614), .Y (n_10781));
+  sky130_fd_sc_hd__a221oi_1 g340102(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [8]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [8]), .C1
+       (n_10624), .Y (n_10780));
+  sky130_fd_sc_hd__nand4_1 g340103(.A (n_10376), .B (n_9608), .C
+       (n_9611), .D (n_9610), .Y (n_10779));
+  sky130_fd_sc_hd__a221oi_1 g340104(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [8]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [8]), .C1
+       (n_10623), .Y (n_10778));
+  sky130_fd_sc_hd__a221oi_1 g340105(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [5]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [5]), .C1
+       (n_10622), .Y (n_10777));
+  sky130_fd_sc_hd__nand4_1 g340106(.A (n_10483), .B (n_9604), .C
+       (n_9602), .D (n_9601), .Y (n_10776));
+  sky130_fd_sc_hd__a221oi_1 g340107(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [5]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [5]), .C1
+       (n_10621), .Y (n_10775));
+  sky130_fd_sc_hd__a221oi_1 g340108(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [6]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [6]), .C1
+       (n_10620), .Y (n_10774));
+  sky130_fd_sc_hd__a221oi_1 g340109(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [6]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [6]), .C1
+       (n_10619), .Y (n_10773));
+  sky130_fd_sc_hd__nand4_1 g340110(.A (n_10371), .B (n_9592), .C
+       (n_9590), .D (n_9591), .Y (n_10772));
+  sky130_fd_sc_hd__nand4_1 g340111(.A (n_10370), .B (n_9589), .C
+       (n_9587), .D (n_9588), .Y (n_10771));
+  sky130_fd_sc_hd__a221oi_1 g340112(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [7]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [7]), .C1
+       (n_10618), .Y (n_10770));
+  sky130_fd_sc_hd__a221oi_1 g340113(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [7]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72]
+       [7]), .C1 (n_10617), .Y (n_10769));
+  sky130_fd_sc_hd__nand4_1 g340114(.A (n_10367), .B (n_9580), .C
+       (n_9581), .D (n_9579), .Y (n_10768));
+  sky130_fd_sc_hd__nand4_1 g340115(.A (n_10366), .B (n_9576), .C
+       (n_9578), .D (n_9577), .Y (n_10767));
+  sky130_fd_sc_hd__nand4_1 g340116(.A (n_10364), .B (n_9572), .C
+       (n_9570), .D (n_9571), .Y (n_10766));
+  sky130_fd_sc_hd__a221oi_1 g340117(.A1 (n_8968), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [8]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [8]), .C1
+       (n_10616), .Y (n_10765));
+  sky130_fd_sc_hd__a221oi_1 g340118(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [8]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [8]), .C1
+       (n_10615), .Y (n_10764));
+  sky130_fd_sc_hd__nand4_1 g340119(.A (n_10526), .B (n_9561), .C
+       (n_9559), .D (n_9560), .Y (n_10763));
+  sky130_fd_sc_hd__a221oi_1 g340120(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [1]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [1]), .C1
+       (n_10614), .Y (n_10762));
+  sky130_fd_sc_hd__a221oi_1 g340121(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [1]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [1]), .C1
+       (n_10613), .Y (n_10761));
+  sky130_fd_sc_hd__nand4_1 g340122(.A (n_10413), .B (n_9550), .C
+       (n_9549), .D (n_9548), .Y (n_10760));
+  sky130_fd_sc_hd__a221oi_1 g340123(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [2]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [2]), .C1
+       (n_10653), .Y (n_10759));
+  sky130_fd_sc_hd__a221oi_1 g340124(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [2]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [2]), .C1
+       (n_10611), .Y (n_10758));
+  sky130_fd_sc_hd__nand4_1 g340125(.A (n_10467), .B (n_9539), .C
+       (n_9538), .D (n_9537), .Y (n_10757));
+  sky130_fd_sc_hd__a221oi_1 g340126(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [3]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [3]), .C1
+       (n_10610), .Y (n_10756));
+  sky130_fd_sc_hd__a221oi_1 g340127(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [3]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [3]), .C1
+       (n_10609), .Y (n_10755));
+  sky130_fd_sc_hd__a221oi_1 g340128(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [4]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [4]), .C1
+       (n_10608), .Y (n_10754));
+  sky130_fd_sc_hd__a221oi_1 g340129(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [4]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [4]), .C1
+       (n_10607), .Y (n_10753));
+  sky130_fd_sc_hd__nand4_1 g340130(.A (n_10462), .B (n_9528), .C
+       (n_9527), .D (n_9526), .Y (n_10752));
+  sky130_fd_sc_hd__nand4_1 g340131(.A (n_10461), .B (n_9525), .C
+       (n_9523), .D (n_9524), .Y (n_10751));
+  sky130_fd_sc_hd__nand4_1 g340132(.A (n_10459), .B (n_9518), .C
+       (n_9519), .D (n_9517), .Y (n_10750));
+  sky130_fd_sc_hd__a221oi_1 g340133(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [5]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [5]), .C1
+       (n_10606), .Y (n_10749));
+  sky130_fd_sc_hd__a221oi_1 g340134(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [5]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [5]), .C1
+       (n_10605), .Y (n_10748));
+  sky130_fd_sc_hd__nand4_1 g340135(.A (n_10456), .B (n_9508), .C
+       (n_9509), .D (n_9507), .Y (n_10747));
+  sky130_fd_sc_hd__a221oi_1 g340136(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [6]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [6]), .C1
+       (n_10604), .Y (n_10746));
+  sky130_fd_sc_hd__a221oi_1 g340137(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [6]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [6]), .C1
+       (n_10603), .Y (n_10745));
+  sky130_fd_sc_hd__a221oi_1 g340138(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [7]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [7]), .C1
+       (n_10602), .Y (n_10744));
+  sky130_fd_sc_hd__a221oi_1 g340139(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [7]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [7]), .C1
+       (n_10601), .Y (n_10743));
+  sky130_fd_sc_hd__nand4_1 g340140(.A (n_10451), .B (n_9497), .C
+       (n_9495), .D (n_9496), .Y (n_10742));
+  sky130_fd_sc_hd__nand4_1 g340141(.A (n_10450), .B (n_9494), .C
+       (n_9492), .D (n_9493), .Y (n_10741));
+  sky130_fd_sc_hd__nand4_1 g340142(.A (n_10448), .B (n_9488), .C
+       (n_9487), .D (n_9486), .Y (n_10740));
+  sky130_fd_sc_hd__a221oi_1 g340143(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [8]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [8]), .C1
+       (n_10600), .Y (n_10739));
+  sky130_fd_sc_hd__a221oi_1 g340144(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [8]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [8]), .C1
+       (n_10599), .Y (n_10738));
+  sky130_fd_sc_hd__nand4_1 g340145(.A (n_10445), .B (n_9480), .C
+       (n_9479), .D (n_9481), .Y (n_10737));
+  sky130_fd_sc_hd__a221oi_1 g340146(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [1]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [1]), .C1
+       (n_10598), .Y (n_10736));
+  sky130_fd_sc_hd__a221oi_1 g340147(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [1]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [1]), .C1
+       (n_10597), .Y (n_10735));
+  sky130_fd_sc_hd__a221oi_1 g340148(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [2]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [2]), .C1
+       (n_10596), .Y (n_10734));
+  sky130_fd_sc_hd__a221oi_1 g340149(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [2]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [2]), .C1
+       (n_10595), .Y (n_10733));
+  sky130_fd_sc_hd__nand4_1 g340150(.A (n_10439), .B (n_9466), .C
+       (n_9465), .D (n_9464), .Y (n_10732));
+  sky130_fd_sc_hd__nand4_1 g340151(.A (n_10438), .B (n_9462), .C
+       (n_9463), .D (n_9461), .Y (n_10731));
+  sky130_fd_sc_hd__a221oi_1 g340152(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [3]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [3]), .C1
+       (n_10594), .Y (n_10730));
+  sky130_fd_sc_hd__a221oi_1 g340153(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [3]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [3]), .C1
+       (n_10593), .Y (n_10729));
+  sky130_fd_sc_hd__nand4_1 g340154(.A (n_10435), .B (n_9456), .C
+       (n_9455), .D (n_9454), .Y (n_10728));
+  sky130_fd_sc_hd__nand4_1 g340155(.A (n_10434), .B (n_9451), .C
+       (n_9452), .D (n_9450), .Y (n_10727));
+  sky130_fd_sc_hd__nand4_1 g340156(.A (n_10432), .B (n_9446), .C
+       (n_9445), .D (n_9444), .Y (n_10726));
+  sky130_fd_sc_hd__a221oi_1 g340157(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [4]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [4]), .C1
+       (n_10592), .Y (n_10725));
+  sky130_fd_sc_hd__a221oi_1 g340158(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [4]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [4]), .C1
+       (n_10591), .Y (n_10724));
+  sky130_fd_sc_hd__a221oi_1 g340159(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [5]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [5]), .C1
+       (n_10590), .Y (n_10723));
+  sky130_fd_sc_hd__a221oi_1 g340160(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [5]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [5]), .C1
+       (n_10589), .Y (n_10722));
+  sky130_fd_sc_hd__nand4_1 g340161(.A (n_10427), .B (n_9434), .C
+       (n_9435), .D (n_9433), .Y (n_10721));
+  sky130_fd_sc_hd__nand4_1 g340162(.A (n_10426), .B (n_9431), .C
+       (n_9432), .D (n_9430), .Y (n_10720));
+  sky130_fd_sc_hd__nand4_1 g340163(.A (n_10425), .B (n_9428), .C
+       (n_9429), .D (n_9427), .Y (n_10719));
+  sky130_fd_sc_hd__a221oi_1 g340164(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [6]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [6]), .C1
+       (n_10588), .Y (n_10718));
+  sky130_fd_sc_hd__a221oi_1 g340165(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [6]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [6]), .C1
+       (n_10587), .Y (n_10717));
+  sky130_fd_sc_hd__nand4_1 g340166(.A (n_10420), .B (n_9415), .C
+       (n_9414), .D (n_9416), .Y (n_10716));
+  sky130_fd_sc_hd__a221oi_1 g340167(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [7]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [7]), .C1
+       (n_10586), .Y (n_10715));
+  sky130_fd_sc_hd__a221oi_1 g340168(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [7]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [7]), .C1
+       (n_10585), .Y (n_10714));
+  sky130_fd_sc_hd__a221oi_1 g340169(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [8]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [8]), .C1
+       (n_10584), .Y (n_10713));
+  sky130_fd_sc_hd__a221oi_1 g340170(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [8]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [8]), .C1
+       (n_10583), .Y (n_10712));
+  sky130_fd_sc_hd__nand4_1 g340171(.A (n_10415), .B (n_9404), .C
+       (n_9403), .D (n_9402), .Y (n_10711));
+  sky130_fd_sc_hd__nand4_1 g340172(.A (n_10414), .B (n_9400), .C
+       (n_9401), .D (n_9399), .Y (n_10710));
+  sky130_fd_sc_hd__nand4_1 g340173(.A (n_10359), .B (n_9394), .C
+       (n_9395), .D (n_9393), .Y (n_10709));
+  sky130_fd_sc_hd__a221oi_1 g340174(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [1]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [1]), .C1
+       (n_10582), .Y (n_10708));
+  sky130_fd_sc_hd__a221oi_1 g340175(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [1]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67]
+       [1]), .C1 (n_10581), .Y (n_10707));
+  sky130_fd_sc_hd__a221oi_1 g340176(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [2]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [2]), .C1
+       (n_10580), .Y (n_10706));
+  sky130_fd_sc_hd__a221oi_1 g340177(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [2]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [2]), .C1
+       (n_10579), .Y (n_10705));
+  sky130_fd_sc_hd__nand4_1 g340178(.A (n_10411), .B (n_9383), .C
+       (n_9382), .D (n_9381), .Y (n_10704));
+  sky130_fd_sc_hd__nand4_1 g340179(.A (n_10410), .B (n_9380), .C
+       (n_9378), .D (n_9379), .Y (n_10703));
+  sky130_fd_sc_hd__nand4_1 g340180(.A (n_10408), .B (n_9374), .C
+       (n_9373), .D (n_9372), .Y (n_10702));
+  sky130_fd_sc_hd__a221oi_1 g340181(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [3]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [3]), .C1
+       (n_10578), .Y (n_10701));
+  sky130_fd_sc_hd__a221oi_1 g340182(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [3]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [3]), .C1
+       (n_10577), .Y (n_10700));
+  sky130_fd_sc_hd__a221oi_1 g340183(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [4]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [4]), .C1
+       (n_10576), .Y (n_10699));
+  sky130_fd_sc_hd__a221oi_1 g340184(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [4]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [4]), .C1
+       (n_10575), .Y (n_10698));
+  sky130_fd_sc_hd__nand4_1 g340185(.A (n_10403), .B (n_9362), .C
+       (n_9363), .D (n_9361), .Y (n_10697));
+  sky130_fd_sc_hd__nand4_1 g340186(.A (n_10402), .B (n_9359), .C
+       (n_9358), .D (n_9360), .Y (n_10696));
+  sky130_fd_sc_hd__a221oi_1 g340187(.A1 (n_8978), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [7]), .B1
+       (n_8982), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [7]), .C1
+       (n_10553), .Y (n_10695));
+  sky130_fd_sc_hd__inv_1 g340190(.A (n_10691), .Y (n_10690));
+  sky130_fd_sc_hd__nor2b_1 g340191(.A (n_10563), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [23]), .Y
+       (u_soc_u_tcam_data_wdata[23]));
+  sky130_fd_sc_hd__nand4_1 g340198(.A (n_10353), .B (n_10333), .C
+       (n_8815), .D (n_10154), .Y (n_10687));
+  sky130_fd_sc_hd__and2_0 g340199(.A (n_10564), .B
+       (\u_soc_xbar_to_dccm[a_data] [8]), .X
+       (u_soc_u_tcam_data_wdata[8]));
+  sky130_fd_sc_hd__and2_0 g340200(.A (n_10564), .B
+       (\u_soc_xbar_to_dccm[a_data] [9]), .X
+       (u_soc_u_tcam_data_wdata[9]));
+  sky130_fd_sc_hd__and2_0 g340201(.A (n_10564), .B
+       (\u_soc_xbar_to_dccm[a_data] [10]), .X
+       (u_soc_u_tcam_data_wdata[10]));
+  sky130_fd_sc_hd__and2_0 g340203(.A (n_10564), .B
+       (\u_soc_xbar_to_dccm[a_data] [11]), .X
+       (u_soc_u_tcam_data_wdata[11]));
+  sky130_fd_sc_hd__and2_0 g340204(.A (n_10564), .B
+       (\u_soc_xbar_to_dccm[a_data] [12]), .X
+       (u_soc_u_tcam_data_wdata[12]));
+  sky130_fd_sc_hd__nor2b_1 g340205(.A (n_10570), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [5]), .Y (n_10686));
+  sky130_fd_sc_hd__nor2b_1 g340206(.A (n_10570), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [6]), .Y (n_10685));
+  sky130_fd_sc_hd__nor2b_1 g340207(.A (n_10570), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [7]), .Y (n_10684));
+  sky130_fd_sc_hd__nor2b_1 g340208(.A (n_10570), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [4]), .Y (n_10683));
+  sky130_fd_sc_hd__nor2b_1 g340209(.A (n_10570), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [0]), .Y (n_10682));
+  sky130_fd_sc_hd__nor2b_1 g340210(.A (n_10570), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [1]), .Y (n_10681));
+  sky130_fd_sc_hd__nor2b_1 g340211(.A (n_10570), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [2]), .Y (n_10680));
+  sky130_fd_sc_hd__nor2b_1 g340212(.A (n_10570), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [3]), .Y (n_10679));
+  sky130_fd_sc_hd__nor2b_1 g340213(.A (n_10568), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [7]), .Y
+       (u_soc_u_tcam_data_wdata[7]));
+  sky130_fd_sc_hd__nor2b_1 g340214(.A (n_10568), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [6]), .Y
+       (u_soc_u_tcam_data_wdata[6]));
+  sky130_fd_sc_hd__nor2b_1 g340215(.A (n_10568), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [5]), .Y
+       (u_soc_u_tcam_data_wdata[5]));
+  sky130_fd_sc_hd__nor2b_1 g340216(.A (n_10568), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [4]), .Y
+       (u_soc_u_tcam_data_wdata[4]));
+  sky130_fd_sc_hd__nor2b_1 g340217(.A (n_10568), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [2]), .Y
+       (u_soc_u_tcam_data_wdata[2]));
+  sky130_fd_sc_hd__nor2b_1 g340218(.A (n_10568), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [3]), .Y
+       (u_soc_u_tcam_data_wdata[3]));
+  sky130_fd_sc_hd__nor2b_1 g340219(.A (n_10568), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [1]), .Y
+       (u_soc_u_tcam_data_wdata[1]));
+  sky130_fd_sc_hd__nor2b_1 g340220(.A (n_10568), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [0]), .Y
+       (u_soc_u_tcam_data_wdata[0]));
+  sky130_fd_sc_hd__and2_0 g340221(.A (n_10564), .B
+       (\u_soc_xbar_to_dccm[a_data] [13]), .X
+       (u_soc_u_tcam_data_wdata[13]));
+  sky130_fd_sc_hd__and2_0 g340222(.A (n_10564), .B
+       (\u_soc_xbar_to_dccm[a_data] [14]), .X
+       (u_soc_u_tcam_data_wdata[14]));
+  sky130_fd_sc_hd__nor2b_1 g340223(.A (n_10569), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [16]), .Y (n_10678));
+  sky130_fd_sc_hd__nor2b_1 g340224(.A (n_10569), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [17]), .Y (n_10677));
+  sky130_fd_sc_hd__nor2b_1 g340225(.A (n_10569), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [18]), .Y (n_10676));
+  sky130_fd_sc_hd__nor2b_1 g340226(.A (n_10569), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [19]), .Y (n_10675));
+  sky130_fd_sc_hd__nor2b_1 g340227(.A (n_10569), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [20]), .Y (n_10674));
+  sky130_fd_sc_hd__nor2b_1 g340228(.A (n_10569), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [21]), .Y (n_10673));
+  sky130_fd_sc_hd__nor2b_1 g340229(.A (n_10569), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [22]), .Y (n_10672));
+  sky130_fd_sc_hd__nor2b_1 g340230(.A (n_10569), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [23]), .Y (n_10671));
+  sky130_fd_sc_hd__nor2b_1 g340232(.A (n_10563), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [22]), .Y
+       (u_soc_u_tcam_data_wdata[22]));
+  sky130_fd_sc_hd__nor2b_1 g340233(.A (n_10563), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [21]), .Y
+       (u_soc_u_tcam_data_wdata[21]));
+  sky130_fd_sc_hd__nor2b_1 g340234(.A (n_10563), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [20]), .Y
+       (u_soc_u_tcam_data_wdata[20]));
+  sky130_fd_sc_hd__nor2b_1 g340235(.A (n_10563), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [19]), .Y
+       (u_soc_u_tcam_data_wdata[19]));
+  sky130_fd_sc_hd__nor2b_1 g340236(.A (n_10563), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [18]), .Y
+       (u_soc_u_tcam_data_wdata[18]));
+  sky130_fd_sc_hd__nor2b_1 g340237(.A (n_10563), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [17]), .Y
+       (u_soc_u_tcam_data_wdata[17]));
+  sky130_fd_sc_hd__nor2b_1 g340238(.A (n_10563), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [16]), .Y
+       (u_soc_u_tcam_data_wdata[16]));
+  sky130_fd_sc_hd__nor2b_1 g340239(.A (n_10566), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [24]), .Y (n_10670));
+  sky130_fd_sc_hd__nor2b_1 g340240(.A (n_10566), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [25]), .Y (n_10669));
+  sky130_fd_sc_hd__nor2b_1 g340241(.A (n_10566), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [26]), .Y (n_10668));
+  sky130_fd_sc_hd__nor2b_1 g340242(.A (n_10566), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [27]), .Y (n_10667));
+  sky130_fd_sc_hd__nor2b_1 g340243(.A (n_10566), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [28]), .Y (n_10666));
+  sky130_fd_sc_hd__nor2b_1 g340244(.A (n_10566), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [29]), .Y (n_10665));
+  sky130_fd_sc_hd__nor2b_1 g340245(.A (n_10566), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [30]), .Y (n_10664));
+  sky130_fd_sc_hd__nor2b_1 g340246(.A (n_10566), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [31]), .Y (n_10663));
+  sky130_fd_sc_hd__nor2b_1 g340247(.A (n_10565), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [31]), .Y
+       (u_soc_u_tcam_data_wdata[31]));
+  sky130_fd_sc_hd__nor2b_1 g340248(.A (n_10565), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [30]), .Y
+       (u_soc_u_tcam_data_wdata[30]));
+  sky130_fd_sc_hd__nor2b_1 g340249(.A (n_10565), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [29]), .Y
+       (u_soc_u_tcam_data_wdata[29]));
+  sky130_fd_sc_hd__nor2b_1 g340250(.A (n_10565), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [28]), .Y
+       (u_soc_u_tcam_data_wdata[28]));
+  sky130_fd_sc_hd__nor2b_1 g340251(.A (n_10565), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [27]), .Y
+       (u_soc_u_tcam_data_wdata[27]));
+  sky130_fd_sc_hd__nor2b_1 g340252(.A (n_10565), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [26]), .Y
+       (u_soc_u_tcam_data_wdata[26]));
+  sky130_fd_sc_hd__nor2b_1 g340253(.A (n_10565), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [25]), .Y
+       (u_soc_u_tcam_data_wdata[25]));
+  sky130_fd_sc_hd__nor2b_1 g340254(.A (n_10565), .B_N
+       (\u_soc_xbar_to_dccm[a_data] [24]), .Y
+       (u_soc_u_tcam_data_wdata[24]));
+  sky130_fd_sc_hd__and2_1 g340255(.A (n_10567), .B
+       (\u_soc_xbar_to_dccm[a_data] [8]), .X (n_10662));
+  sky130_fd_sc_hd__and2_1 g340256(.A (n_10567), .B
+       (\u_soc_xbar_to_dccm[a_data] [9]), .X (n_10661));
+  sky130_fd_sc_hd__and2_1 g340257(.A (n_10567), .B
+       (\u_soc_xbar_to_dccm[a_data] [10]), .X (n_10660));
+  sky130_fd_sc_hd__and2_1 g340258(.A (n_10567), .B
+       (\u_soc_xbar_to_dccm[a_data] [12]), .X (n_10659));
+  sky130_fd_sc_hd__and2_1 g340259(.A (n_10567), .B
+       (\u_soc_xbar_to_dccm[a_data] [13]), .X (n_10658));
+  sky130_fd_sc_hd__and2_1 g340260(.A (n_10567), .B
+       (\u_soc_xbar_to_dccm[a_data] [14]), .X (n_10657));
+  sky130_fd_sc_hd__and2_1 g340261(.A (n_10567), .B
+       (\u_soc_xbar_to_dccm[a_data] [15]), .X (n_10656));
+  sky130_fd_sc_hd__and2_1 g340262(.A (n_10567), .B
+       (\u_soc_xbar_to_dccm[a_data] [11]), .X (n_10655));
+  sky130_fd_sc_hd__and2_0 g340263(.A (n_10564), .B
+       (\u_soc_xbar_to_dccm[a_data] [15]), .X
+       (u_soc_u_tcam_data_wdata[15]));
+  sky130_fd_sc_hd__nor2_1 g340268(.A (u_soc_data_addr[8]), .B
+       (u_soc_data_addr[9]), .Y (n_10692));
+  sky130_fd_sc_hd__nand2_1 g340269(.A (u_soc_data_addr[9]), .B
+       (u_soc_data_addr[8]), .Y (n_10691));
+  sky130_fd_sc_hd__nand3_1 g340272(.A (n_10470), .B (n_9547), .C
+       (n_9545), .Y (n_10653));
+  sky130_fd_sc_hd__nand3_1 g340273(.A (n_10480), .B (n_9742), .C
+       (n_9743), .Y (n_10652));
+  sky130_fd_sc_hd__nand3_1 g340274(.A (n_10479), .B (n_9741), .C
+       (n_9740), .Y (n_10651));
+  sky130_fd_sc_hd__nand3_1 g340275(.A (n_10478), .B (n_9738), .C
+       (n_9739), .Y (n_10650));
+  sky130_fd_sc_hd__nand3_1 g340276(.A (n_10393), .B (n_9724), .C
+       (n_9721), .Y (n_10649));
+  sky130_fd_sc_hd__nand3_1 g340277(.A (n_10473), .B (n_9723), .C
+       (n_9722), .Y (n_10648));
+  sky130_fd_sc_hd__nand3_1 g340278(.A (n_10472), .B (n_9720), .C
+       (n_9719), .Y (n_10647));
+  sky130_fd_sc_hd__nand3_1 g340279(.A (n_10515), .B (n_9718), .C
+       (n_9717), .Y (n_10646));
+  sky130_fd_sc_hd__nand3_1 g340280(.A (n_10392), .B (n_9716), .C
+       (n_9715), .Y (n_10645));
+  sky130_fd_sc_hd__nand3_1 g340281(.A (n_10516), .B (n_9714), .C
+       (n_9713), .Y (n_10644));
+  sky130_fd_sc_hd__nand3_1 g340282(.A (n_10513), .B (n_9702), .C
+       (n_9703), .Y (n_10643));
+  sky130_fd_sc_hd__nand3_1 g340283(.A (n_10512), .B (n_9699), .C
+       (n_9700), .Y (n_10642));
+  sky130_fd_sc_hd__nand3_1 g340284(.A (n_10389), .B (n_9692), .C
+       (n_9695), .Y (n_10641));
+  sky130_fd_sc_hd__nand3_1 g340285(.A (n_10509), .B (n_9688), .C
+       (n_9689), .Y (n_10640));
+  sky130_fd_sc_hd__nand3_1 g340286(.A (n_10388), .B (n_9687), .C
+       (n_9685), .Y (n_10639));
+  sky130_fd_sc_hd__nand3_1 g340287(.A (n_10508), .B (n_9686), .C
+       (n_9684), .Y (n_10638));
+  sky130_fd_sc_hd__nand3_1 g340288(.A (n_10505), .B (n_9942), .C
+       (n_9944), .Y (n_10637));
+  sky130_fd_sc_hd__nand3_1 g340289(.A (n_10504), .B (n_9675), .C
+       (n_9947), .Y (n_10636));
+  sky130_fd_sc_hd__nand3_1 g340290(.A (n_10385), .B (n_9667), .C
+       (n_9670), .Y (n_10635));
+  sky130_fd_sc_hd__nand3_1 g340291(.A (n_10501), .B (n_9664), .C
+       (n_9665), .Y (n_10634));
+  sky130_fd_sc_hd__nand3_1 g340292(.A (n_10384), .B (n_9661), .C
+       (n_9663), .Y (n_10633));
+  sky130_fd_sc_hd__nand3_1 g340293(.A (n_10500), .B (n_9660), .C
+       (n_9662), .Y (n_10632));
+  sky130_fd_sc_hd__nand3_1 g340294(.A (n_10497), .B (n_9943), .C
+       (n_9650), .Y (n_10631));
+  sky130_fd_sc_hd__nand3_1 g340295(.A (n_10496), .B (n_9646), .C
+       (n_9584), .Y (n_10630));
+  sky130_fd_sc_hd__nand3_1 g340296(.A (n_10493), .B (n_9634), .C
+       (n_9635), .Y (n_10629));
+  sky130_fd_sc_hd__nand3_1 g340297(.A (n_10492), .B (n_9631), .C
+       (n_9633), .Y (n_10628));
+  sky130_fd_sc_hd__nand3_1 g340298(.A (n_10379), .B (n_9624), .C
+       (n_9627), .Y (n_10627));
+  sky130_fd_sc_hd__nand3_1 g340299(.A (n_10378), .B (n_9622), .C
+       (n_9619), .Y (n_10626));
+  sky130_fd_sc_hd__nand3_1 g340300(.A (n_10489), .B (n_9621), .C
+       (n_9620), .Y (n_10625));
+  sky130_fd_sc_hd__nand3_1 g340301(.A (n_10486), .B (n_9390), .C
+       (n_9618), .Y (n_10624));
+  sky130_fd_sc_hd__nand3_1 g340302(.A (n_10485), .B (n_9737), .C
+       (n_9609), .Y (n_10623));
+  sky130_fd_sc_hd__nand3_1 g340303(.A (n_10375), .B (n_9603), .C
+       (n_9506), .Y (n_10622));
+  sky130_fd_sc_hd__nand3_1 g340304(.A (n_10374), .B (n_9598), .C
+       (n_9599), .Y (n_10621));
+  sky130_fd_sc_hd__nand3_1 g340305(.A (n_10373), .B (n_9597), .C
+       (n_9596), .Y (n_10620));
+  sky130_fd_sc_hd__nand3_1 g340306(.A (n_10372), .B (n_9594), .C
+       (n_9595), .Y (n_10619));
+  sky130_fd_sc_hd__nand3_1 g340307(.A (n_10369), .B (n_9585), .C
+       (n_9586), .Y (n_10618));
+  sky130_fd_sc_hd__nand3_1 g340308(.A (n_10368), .B (n_9582), .C
+       (n_9583), .Y (n_10617));
+  sky130_fd_sc_hd__nand3_1 g340309(.A (n_10363), .B (n_9568), .C
+       (n_9569), .Y (n_10616));
+  sky130_fd_sc_hd__nand3_1 g340310(.A (n_10362), .B (n_9567), .C
+       (n_9566), .Y (n_10615));
+  sky130_fd_sc_hd__nand3_1 g340311(.A (n_10527), .B (n_9557), .C
+       (n_9556), .Y (n_10614));
+  sky130_fd_sc_hd__nand3_1 g340312(.A (n_10540), .B (n_9555), .C
+       (n_9554), .Y (n_10613));
+  sky130_fd_sc_hd__nand3_1 g340313(.A (n_10481), .B (n_9744), .C
+       (n_9745), .Y (n_10612));
+  sky130_fd_sc_hd__nand3_1 g340314(.A (n_10469), .B (n_9543), .C
+       (n_9544), .Y (n_10611));
+  sky130_fd_sc_hd__nand3_1 g340315(.A (n_10466), .B (n_9535), .C
+       (n_9536), .Y (n_10610));
+  sky130_fd_sc_hd__nand3_1 g340316(.A (n_10465), .B (n_9533), .C
+       (n_9534), .Y (n_10609));
+  sky130_fd_sc_hd__nand3_1 g340317(.A (n_10464), .B (n_9531), .C
+       (n_9532), .Y (n_10608));
+  sky130_fd_sc_hd__nand3_1 g340318(.A (n_10463), .B (n_9529), .C
+       (n_9530), .Y (n_10607));
+  sky130_fd_sc_hd__nand3_1 g340319(.A (n_10458), .B (n_9516), .C
+       (n_9515), .Y (n_10606));
+  sky130_fd_sc_hd__nand3_1 g340320(.A (n_10361), .B (n_9514), .C
+       (n_9513), .Y (n_10605));
+  sky130_fd_sc_hd__nand3_1 g340321(.A (n_10455), .B (n_9505), .C
+       (n_9504), .Y (n_10604));
+  sky130_fd_sc_hd__nand3_1 g340322(.A (n_10454), .B (n_9502), .C
+       (n_9503), .Y (n_10603));
+  sky130_fd_sc_hd__nand3_1 g340323(.A (n_10453), .B (n_9501), .C
+       (n_9500), .Y (n_10602));
+  sky130_fd_sc_hd__nand3_1 g340324(.A (n_10452), .B (n_9498), .C
+       (n_9499), .Y (n_10601));
+  sky130_fd_sc_hd__nand3_1 g340325(.A (n_10447), .B (n_9484), .C
+       (n_9485), .Y (n_10600));
+  sky130_fd_sc_hd__nand3_1 g340326(.A (n_10446), .B (n_9483), .C
+       (n_9482), .Y (n_10599));
+  sky130_fd_sc_hd__nand3_1 g340327(.A (n_10443), .B (n_9475), .C
+       (n_9474), .Y (n_10598));
+  sky130_fd_sc_hd__nand3_1 g340328(.A (n_10442), .B (n_9472), .C
+       (n_9471), .Y (n_10597));
+  sky130_fd_sc_hd__nand3_1 g340329(.A (n_10441), .B (n_9470), .C
+       (n_9469), .Y (n_10596));
+  sky130_fd_sc_hd__nand3_1 g340330(.A (n_10440), .B (n_9468), .C
+       (n_9467), .Y (n_10595));
+  sky130_fd_sc_hd__nand3_1 g340331(.A (n_10437), .B (n_9460), .C
+       (n_9459), .Y (n_10594));
+  sky130_fd_sc_hd__nand3_1 g340332(.A (n_10436), .B (n_9457), .C
+       (n_9458), .Y (n_10593));
+  sky130_fd_sc_hd__nand3_1 g340333(.A (n_10431), .B (n_9443), .C
+       (n_9442), .Y (n_10592));
+  sky130_fd_sc_hd__nand3_1 g340334(.A (n_10430), .B (n_9441), .C
+       (n_9440), .Y (n_10591));
+  sky130_fd_sc_hd__nand3_1 g340335(.A (n_10429), .B (n_9439), .C
+       (n_9438), .Y (n_10590));
+  sky130_fd_sc_hd__nand3_1 g340336(.A (n_10428), .B (n_9437), .C
+       (n_9436), .Y (n_10589));
+  sky130_fd_sc_hd__nand3_1 g340337(.A (n_10423), .B (n_9422), .C
+       (n_9423), .Y (n_10588));
+  sky130_fd_sc_hd__nand3_1 g340338(.A (n_10422), .B (n_9420), .C
+       (n_9421), .Y (n_10587));
+  sky130_fd_sc_hd__nand3_1 g340339(.A (n_10419), .B (n_9413), .C
+       (n_9412), .Y (n_10586));
+  sky130_fd_sc_hd__nand3_1 g340340(.A (n_10418), .B (n_9411), .C
+       (n_9410), .Y (n_10585));
+  sky130_fd_sc_hd__nand3_1 g340341(.A (n_10417), .B (n_9408), .C
+       (n_9407), .Y (n_10584));
+  sky130_fd_sc_hd__nand3_1 g340342(.A (n_10416), .B (n_9406), .C
+       (n_9405), .Y (n_10583));
+  sky130_fd_sc_hd__nand3_1 g340343(.A (n_10358), .B (n_9392), .C
+       (n_9391), .Y (n_10582));
+  sky130_fd_sc_hd__nand3_1 g340344(.A (n_10357), .B (n_9388), .C
+       (n_9389), .Y (n_10581));
+  sky130_fd_sc_hd__nand3_1 g340345(.A (n_10471), .B (n_9387), .C
+       (n_9386), .Y (n_10580));
+  sky130_fd_sc_hd__nand3_1 g340346(.A (n_10412), .B (n_9384), .C
+       (n_9385), .Y (n_10579));
+  sky130_fd_sc_hd__nand3_1 g340347(.A (n_10407), .B (n_9370), .C
+       (n_9371), .Y (n_10578));
+  sky130_fd_sc_hd__nand3_1 g340348(.A (n_10406), .B (n_9369), .C
+       (n_9368), .Y (n_10577));
+  sky130_fd_sc_hd__nand3_1 g340349(.A (n_10405), .B (n_9366), .C
+       (n_9367), .Y (n_10576));
+  sky130_fd_sc_hd__nand3_1 g340350(.A (n_10404), .B (n_9365), .C
+       (n_9364), .Y (n_10575));
+  sky130_fd_sc_hd__a32o_1 g340351(.A1 (n_10346), .A2 (n_9963), .A3
+       (n_8985), .B1 (la_data_in[4]), .B2 (n_10248), .X (n_10574));
+  sky130_fd_sc_hd__a32o_1 g340352(.A1 (n_10346), .A2 (n_10154), .A3
+       (n_9787), .B1 (la_data_in[1]), .B2 (n_10248), .X (n_10573));
+  sky130_fd_sc_hd__and3b_1 g340353(.A_N (n_13458), .B (n_10394), .C
+       (u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108), .X (n_13492));
+  sky130_fd_sc_hd__nand2_1 g340378(.A (n_10544), .B (n_10155), .Y
+       (n_10570));
+  sky130_fd_sc_hd__nand2_1 g340379(.A (n_10544), .B (n_10146), .Y
+       (n_10569));
+  sky130_fd_sc_hd__nand2_1 g340380(.A (n_10545), .B (n_10155), .Y
+       (n_10568));
+  sky130_fd_sc_hd__nand2_1 g340381(.A (n_10528), .B (n_13323), .Y
+       (\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [22]));
+  sky130_fd_sc_hd__o32ai_1 g340382(.A1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst
+       ), .A2 (n_10163), .A3 (\u_soc_lsu_to_xbar[a_address] [31]), .B1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst
+       ), .B2 (n_10343), .Y (n_10562));
+  sky130_fd_sc_hd__a22o_1 g340383(.A1 (n_10152), .A2
+       (\u_soc_ifu_to_xbar[a_address] [11]), .B1 (n_8808), .B2
+       (u_soc_iccm_ctrl_addr_o[9]), .X (n_10561));
+  sky130_fd_sc_hd__nor2_1 g340384(.A (n_8984), .B (n_10546), .Y
+       (n_10560));
+  sky130_fd_sc_hd__a22o_1 g340385(.A1 (n_10152), .A2
+       (\u_soc_ifu_to_xbar[a_address] [10]), .B1 (n_8808), .B2
+       (u_soc_iccm_ctrl_addr_o[8]), .X (n_10559));
+  sky130_fd_sc_hd__o2bb2ai_1 g340386(.A1_N (u_soc_iccm_ctrl_addr_o[7]),
+       .A2_N (n_8808), .B1 (n_10341), .B2 (n_10153), .Y (n_10558));
+  sky130_fd_sc_hd__nand2_1 g340387(.A (n_10355), .B (n_10546), .Y
+       (n_10557));
+  sky130_fd_sc_hd__nand2_1 g340388(.A (n_10514), .B (n_8942), .Y
+       (n_10556));
+  sky130_fd_sc_hd__nand2_1 g340389(.A (n_10542), .B (n_13322), .Y
+       (n_10555));
+  sky130_fd_sc_hd__nand2_1 g340390(.A (n_10529), .B (n_13322), .Y
+       (n_10554));
+  sky130_fd_sc_hd__a221o_1 g340391(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [7]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [7]), .C1
+       (n_10488), .X (n_10553));
+  sky130_fd_sc_hd__a311oi_1 g340392(.A1 (n_10141), .A2 (n_577), .A3
+       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .B1 (n_10144), .C1
+       (n_10164), .Y (n_10552));
+  sky130_fd_sc_hd__o2bb2ai_1 g340393(.A1_N (u_soc_iccm_ctrl_addr_o[6]),
+       .A2_N (n_8808), .B1 (n_10338), .B2 (n_10153), .Y (n_10551));
+  sky130_fd_sc_hd__nand2_1 g340394(.A (n_10541), .B (n_13323), .Y
+       (\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [6]));
+  sky130_fd_sc_hd__nand2_1 g340395(.A (n_10356), .B (n_10154), .Y
+       (n_10550));
+  sky130_fd_sc_hd__a221o_1 g340396(.A1 (la_data_in[5]), .A2 (n_10248),
+       .B1 (n_9350), .B2 (io_in[28]), .C1 (n_8984), .X (n_10549));
+  sky130_fd_sc_hd__nand3_1 g340397(.A (n_10354), .B (n_10154), .C
+       (n_8985), .Y (n_10548));
+  sky130_fd_sc_hd__o21ai_1 g340398(.A1 (n_10145), .A2
+       (u_soc_dccm_adapter_data_mem_error_internal), .B1 (n_13322), .Y
+       (n_10547));
+  sky130_fd_sc_hd__o21ai_1 g340399(.A1 (n_10145), .A2 (n_15897), .B1
+       (n_13323), .Y (\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [14]));
+  sky130_fd_sc_hd__or3b_2 g340400(.A (\u_soc_uart_to_xbar[d_valid] ),
+       .B (n_15896), .C_N (\u_soc_lsu_to_xbar[a_address] [31]), .X
+       (n_13558));
+  sky130_fd_sc_hd__nor2_1 g340401(.A (n_10145), .B
+       (u_soc_dccm_adapter_data_weD), .Y (n_10567));
+  sky130_fd_sc_hd__nand2_1 g340402(.A (n_10544), .B (n_10044), .Y
+       (n_10566));
+  sky130_fd_sc_hd__nand2_1 g340403(.A (n_10545), .B (n_10044), .Y
+       (n_10565));
+  sky130_fd_sc_hd__nor2_1 g340404(.A (n_10145), .B (u_soc_u_tcam_n_27),
+       .Y (n_10564));
+  sky130_fd_sc_hd__nand2_1 g340405(.A (n_10545), .B (n_10146), .Y
+       (n_10563));
+  sky130_fd_sc_hd__inv_2 g340406(.A (u_soc_u_tcam_n_27), .Y (n_10545));
+  sky130_fd_sc_hd__inv_2 g340407(.A (u_soc_dccm_adapter_data_weD), .Y
+       (n_10544));
+  sky130_fd_sc_hd__a221oi_1 g340412(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [2]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [2]), .C1
+       (n_10265), .Y (n_10543));
+  sky130_fd_sc_hd__nand2_1 g340413(.A (n_10345), .B (n_10155), .Y
+       (n_10542));
+  sky130_fd_sc_hd__nor2b_1 g340414(.A (n_15897), .B_N
+       (\u_soc_lsu_to_xbar[a_address] [29]), .Y
+       (u_soc_u_tcam_data_addr[27]));
+  sky130_fd_sc_hd__nor2b_1 g340415(.A (n_15897), .B_N
+       (\u_soc_lsu_to_xbar[a_address] [28]), .Y
+       (u_soc_u_tcam_data_addr[26]));
+  sky130_fd_sc_hd__nor2b_1 g340416(.A (n_15897), .B_N
+       (\u_soc_lsu_to_xbar[a_address] [27]), .Y
+       (u_soc_u_tcam_data_addr[25]));
+  sky130_fd_sc_hd__nor2b_1 g340417(.A (n_15897), .B_N
+       (\u_soc_lsu_to_xbar[a_address] [25]), .Y
+       (u_soc_u_tcam_data_addr[23]));
+  sky130_fd_sc_hd__nor2b_1 g340418(.A (n_15897), .B_N
+       (\u_soc_lsu_to_xbar[a_address] [26]), .Y
+       (u_soc_u_tcam_data_addr[24]));
+  sky130_fd_sc_hd__nor2b_1 g340419(.A (n_15897), .B_N
+       (\u_soc_lsu_to_xbar[a_address] [24]), .Y
+       (u_soc_u_tcam_data_addr[22]));
+  sky130_fd_sc_hd__nor2b_1 g340420(.A (n_15897), .B_N
+       (\u_soc_lsu_to_xbar[a_address] [23]), .Y
+       (u_soc_u_tcam_data_addr[21]));
+  sky130_fd_sc_hd__nor2b_1 g340421(.A (n_15897), .B_N
+       (\u_soc_lsu_to_xbar[a_address] [22]), .Y
+       (u_soc_u_tcam_data_addr[20]));
+  sky130_fd_sc_hd__nor2b_1 g340422(.A (n_15897), .B_N
+       (\u_soc_lsu_to_xbar[a_address] [21]), .Y
+       (u_soc_u_tcam_data_addr[19]));
+  sky130_fd_sc_hd__nor2b_1 g340423(.A (n_15897), .B_N
+       (\u_soc_lsu_to_xbar[a_address] [20]), .Y
+       (u_soc_u_tcam_data_addr[18]));
+  sky130_fd_sc_hd__nor2b_1 g340424(.A (n_15897), .B_N
+       (\u_soc_lsu_to_xbar[a_address] [19]), .Y
+       (u_soc_u_tcam_data_addr[17]));
+  sky130_fd_sc_hd__nor2b_1 g340425(.A (n_15897), .B_N
+       (\u_soc_lsu_to_xbar[a_address] [17]), .Y
+       (u_soc_u_tcam_data_addr[15]));
+  sky130_fd_sc_hd__nor2b_1 g340426(.A (n_15897), .B_N
+       (\u_soc_lsu_to_xbar[a_address] [16]), .Y
+       (u_soc_u_tcam_data_addr[14]));
+  sky130_fd_sc_hd__nor2b_1 g340427(.A (n_15897), .B_N
+       (\u_soc_lsu_to_xbar[a_address] [18]), .Y
+       (u_soc_u_tcam_data_addr[16]));
+  sky130_fd_sc_hd__nor2b_1 g340428(.A (n_15897), .B_N
+       (\u_soc_lsu_to_xbar[a_address] [15]), .Y
+       (u_soc_u_tcam_data_addr[13]));
+  sky130_fd_sc_hd__nor2b_1 g340429(.A (n_15897), .B_N
+       (\u_soc_lsu_to_xbar[a_address] [14]), .Y
+       (u_soc_u_tcam_data_addr[12]));
+  sky130_fd_sc_hd__nor2b_1 g340430(.A (n_15897), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [13]), .Y
+       (u_soc_u_tcam_data_addr[11]));
+  sky130_fd_sc_hd__nor2b_1 g340431(.A (n_15897), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [12]), .Y
+       (u_soc_u_tcam_data_addr[10]));
+  sky130_fd_sc_hd__nor2b_1 g340432(.A (n_15897), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [11]), .Y
+       (u_soc_u_tcam_data_addr[9]));
+  sky130_fd_sc_hd__nor2b_1 g340433(.A (n_15897), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [9]), .Y
+       (u_soc_u_tcam_data_addr[7]));
+  sky130_fd_sc_hd__nor2b_1 g340434(.A (n_15897), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [8]), .Y
+       (u_soc_u_tcam_data_addr[6]));
+  sky130_fd_sc_hd__nor2b_1 g340435(.A (n_15897), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [10]), .Y
+       (u_soc_u_tcam_data_addr[8]));
+  sky130_fd_sc_hd__nor2b_1 g340436(.A (n_15897), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [7]), .Y
+       (u_soc_u_tcam_data_addr[5]));
+  sky130_fd_sc_hd__nor2b_1 g340437(.A (n_15897), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [5]), .Y
+       (u_soc_u_tcam_data_addr[3]));
+  sky130_fd_sc_hd__nor2b_1 g340438(.A (n_15897), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [6]), .Y
+       (u_soc_u_tcam_data_addr[4]));
+  sky130_fd_sc_hd__nor2b_1 g340439(.A (n_15897), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [4]), .Y
+       (u_soc_u_tcam_data_addr[2]));
+  sky130_fd_sc_hd__nor2b_1 g340440(.A (n_15897), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [3]), .Y
+       (u_soc_u_tcam_data_addr[1]));
+  sky130_fd_sc_hd__nor2b_1 g340441(.A (n_15897), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [2]), .Y
+       (u_soc_u_tcam_data_addr[0]));
+  sky130_fd_sc_hd__nand2_1 g340442(.A (n_10344), .B (n_10155), .Y
+       (n_10541));
+  sky130_fd_sc_hd__a221oi_1 g340443(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [1]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [1]), .C1
+       (n_10230), .Y (n_10540));
+  sky130_fd_sc_hd__nor2b_1 g340444(.A
+       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [3]), .Y (n_10539));
+  sky130_fd_sc_hd__nor2b_1 g340445(.A
+       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [4]), .Y (n_10538));
+  sky130_fd_sc_hd__nor2b_1 g340446(.A
+       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [6]), .Y (n_10537));
+  sky130_fd_sc_hd__nor2b_1 g340447(.A
+       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [5]), .Y (n_10536));
+  sky130_fd_sc_hd__nor2b_1 g340448(.A
+       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [7]), .Y (n_10535));
+  sky130_fd_sc_hd__nor2b_1 g340449(.A
+       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [8]), .Y (n_10534));
+  sky130_fd_sc_hd__nor2b_1 g340450(.A
+       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [2]), .Y (n_10533));
+  sky130_fd_sc_hd__nor2b_1 g340451(.A
+       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [10]), .Y (n_10532));
+  sky130_fd_sc_hd__nor2b_1 g340452(.A
+       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [9]), .Y (n_10531));
+  sky130_fd_sc_hd__nor2b_1 g340453(.A
+       (u_soc_dccm_adapter_data_mem_error_internal), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [11]), .Y (n_10530));
+  sky130_fd_sc_hd__nand2_1 g340454(.A (n_10345), .B (n_10146), .Y
+       (n_10529));
+  sky130_fd_sc_hd__nand2_1 g340455(.A (n_10344), .B (n_10146), .Y
+       (n_10528));
+  sky130_fd_sc_hd__a221oi_1 g340456(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [1]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [1]), .C1
+       (n_10231), .Y (n_10527));
+  sky130_fd_sc_hd__a221oi_1 g340457(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [1]), .B1
+       (n_8961), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85]
+       [1]), .C1 (n_10266), .Y (n_10526));
+  sky130_fd_sc_hd__a221oi_1 g340458(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [1]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [1]), .C1
+       (n_10232), .Y (n_10525));
+  sky130_fd_sc_hd__a221oi_1 g340459(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [8]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [8]), .C1
+       (n_10267), .Y (n_10524));
+  sky130_fd_sc_hd__nor2_1 g340460(.A (n_10156), .B
+       (u_soc_dccm_adapter_data_mem_error_internal), .Y (n_10523));
+  sky130_fd_sc_hd__nor2_1 g340461(.A (n_10156), .B (n_15897), .Y
+       (\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [30]));
+  sky130_fd_sc_hd__a221oi_1 g340462(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [8]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [8]), .C1
+       (n_10268), .Y (n_10522));
+  sky130_fd_sc_hd__or2_0 g340463(.A (n_13319), .B (n_15897), .X
+       (u_soc_u_tcam_n_26));
+  sky130_fd_sc_hd__nand2_1 g340464(.A (n_10351), .B (n_9325), .Y
+       (n_10521));
+  sky130_fd_sc_hd__nand2_1 g340465(.A (n_10350), .B (n_10333), .Y
+       (n_10520));
+  sky130_fd_sc_hd__o31a_1 g340466(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .A2 (n_633), .A3
+       (n_10140), .B1 (n_13474), .X (n_10519));
+  sky130_fd_sc_hd__a21o_1 g340467(.A1 (la_data_in[7]), .A2 (n_10248),
+       .B1 (n_8984), .X (n_10518));
+  sky130_fd_sc_hd__a21oi_1 g340468(.A1 (n_8979), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [7]), .B1
+       (n_10339), .Y (n_10517));
+  sky130_fd_sc_hd__a221oi_1 g340469(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [8]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [8]), .C1
+       (n_10269), .Y (n_10516));
+  sky130_fd_sc_hd__a221oi_1 g340470(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [8]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [8]), .C1
+       (n_10270), .Y (n_10515));
+  sky130_fd_sc_hd__a32oi_1 g340471(.A1 (n_8885), .A2 (n_8815), .A3
+       (io_in[28]), .B1 (la_data_in[10]), .B2 (n_10248), .Y (n_10514));
+  sky130_fd_sc_hd__a221oi_1 g340472(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [1]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [1]), .C1
+       (n_10183), .Y (n_10513));
+  sky130_fd_sc_hd__a221oi_1 g340473(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [1]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [1]), .C1
+       (n_10195), .Y (n_10512));
+  sky130_fd_sc_hd__a221oi_1 g340474(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [1]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [1]), .C1
+       (n_10182), .Y (n_10511));
+  sky130_fd_sc_hd__a221oi_1 g340475(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [1]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [1]), .C1
+       (n_10181), .Y (n_10510));
+  sky130_fd_sc_hd__a221oi_1 g340476(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [2]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [2]), .C1
+       (n_10194), .Y (n_10509));
+  sky130_fd_sc_hd__a221oi_1 g340477(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [2]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [2]), .C1
+       (n_10180), .Y (n_10508));
+  sky130_fd_sc_hd__a221oi_1 g340478(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [2]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [2]), .C1
+       (n_10179), .Y (n_10507));
+  sky130_fd_sc_hd__a221oi_1 g340479(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [2]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [2]), .C1
+       (n_10178), .Y (n_10506));
+  sky130_fd_sc_hd__a221oi_1 g340480(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [3]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [3]), .C1
+       (n_10193), .Y (n_10505));
+  sky130_fd_sc_hd__a221oi_1 g340481(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [3]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [3]), .C1
+       (n_10177), .Y (n_10504));
+  sky130_fd_sc_hd__a221oi_1 g340482(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [3]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [3]), .C1
+       (n_10176), .Y (n_10503));
+  sky130_fd_sc_hd__a221oi_1 g340483(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [3]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [3]), .C1
+       (n_10175), .Y (n_10502));
+  sky130_fd_sc_hd__a221oi_1 g340484(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [4]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [4]), .C1
+       (n_10174), .Y (n_10501));
+  sky130_fd_sc_hd__a221oi_1 g340485(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [4]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [4]), .C1
+       (n_10192), .Y (n_10500));
+  sky130_fd_sc_hd__a221oi_1 g340486(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [4]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [4]), .C1
+       (n_10191), .Y (n_10499));
+  sky130_fd_sc_hd__a221oi_1 g340487(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [4]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [4]), .C1
+       (n_10173), .Y (n_10498));
+  sky130_fd_sc_hd__a221oi_1 g340488(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [5]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [5]), .C1
+       (n_10190), .Y (n_10497));
+  sky130_fd_sc_hd__a221oi_1 g340489(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [5]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [5]), .C1
+       (n_10172), .Y (n_10496));
+  sky130_fd_sc_hd__a221oi_1 g340490(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [5]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [5]), .C1
+       (n_10189), .Y (n_10495));
+  sky130_fd_sc_hd__a221oi_1 g340491(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [5]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [5]), .C1
+       (n_10171), .Y (n_10494));
+  sky130_fd_sc_hd__a221oi_1 g340492(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [6]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [6]), .C1
+       (n_10170), .Y (n_10493));
+  sky130_fd_sc_hd__a221oi_1 g340493(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [6]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [6]), .C1
+       (n_10169), .Y (n_10492));
+  sky130_fd_sc_hd__a221oi_1 g340494(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [6]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [6]), .C1
+       (n_10188), .Y (n_10491));
+  sky130_fd_sc_hd__a221oi_1 g340495(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [6]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [6]), .C1
+       (n_10168), .Y (n_10490));
+  sky130_fd_sc_hd__a221oi_1 g340496(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [7]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [7]), .C1
+       (n_10187), .Y (n_10489));
+  sky130_fd_sc_hd__a221o_1 g340497(.A1 (n_9006), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [7]), .B1
+       (n_9005), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [7]), .C1
+       (n_10186), .X (n_10488));
+  sky130_fd_sc_hd__a221oi_1 g340498(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [7]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [7]), .C1
+       (n_10167), .Y (n_10487));
+  sky130_fd_sc_hd__a221oi_1 g340499(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [8]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [8]), .C1
+       (n_10185), .Y (n_10486));
+  sky130_fd_sc_hd__a221oi_1 g340500(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [8]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [8]), .C1
+       (n_10166), .Y (n_10485));
+  sky130_fd_sc_hd__a221oi_1 g340501(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [8]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [8]), .C1
+       (n_10184), .Y (n_10484));
+  sky130_fd_sc_hd__a221oi_1 g340502(.A1 (n_9005), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [8]), .B1
+       (n_9006), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [8]), .C1
+       (n_10165), .Y (n_10483));
+  sky130_fd_sc_hd__a221oi_1 g340503(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [5]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [5]), .C1
+       (n_10276), .Y (n_10482));
+  sky130_fd_sc_hd__a221oi_1 g340504(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [5]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [5]), .C1
+       (n_10237), .Y (n_10481));
+  sky130_fd_sc_hd__a221oi_1 g340505(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [5]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [5]), .C1
+       (n_10275), .Y (n_10480));
+  sky130_fd_sc_hd__a221oi_1 g340506(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [6]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [6]), .C1
+       (n_10236), .Y (n_10479));
+  sky130_fd_sc_hd__a221oi_1 g340507(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [6]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [6]), .C1
+       (n_10274), .Y (n_10478));
+  sky130_fd_sc_hd__a221oi_1 g340508(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [6]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [6]), .C1
+       (n_10235), .Y (n_10477));
+  sky130_fd_sc_hd__a221oi_1 g340509(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [6]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [6]), .C1
+       (n_10234), .Y (n_10476));
+  sky130_fd_sc_hd__a221oi_1 g340510(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [7]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [7]), .C1
+       (n_10233), .Y (n_10475));
+  sky130_fd_sc_hd__a221oi_1 g340511(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [7]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [7]), .C1
+       (n_10273), .Y (n_10474));
+  sky130_fd_sc_hd__a221oi_1 g340512(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [7]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [7]), .C1
+       (n_10272), .Y (n_10473));
+  sky130_fd_sc_hd__a221oi_1 g340513(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [7]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [7]), .C1
+       (n_10271), .Y (n_10472));
+  sky130_fd_sc_hd__nand2_1 g340514(.A (n_10346), .B (n_8942), .Y
+       (n_10546));
+  sky130_fd_sc_hd__or2_0 g340515(.A (n_13316), .B
+       (u_soc_dccm_adapter_data_mem_error_internal), .X
+       (u_soc_dccm_adapter_data_csbD));
+  sky130_fd_sc_hd__nand2_1 g340517(.A (n_10345), .B (n_640), .Y
+       (n_13322));
+  sky130_fd_sc_hd__nand2_1 g340518(.A (n_10344), .B (n_640), .Y
+       (n_13323));
+  sky130_fd_sc_hd__and3_1 g340519(.A (n_10328), .B (n_13320), .C
+       (n_641), .X (n_13494));
+  sky130_fd_sc_hd__nand2_1 g340520(.A (n_10344), .B
+       (u_soc_u_top_data_we), .Y (u_soc_u_tcam_n_27));
+  sky130_fd_sc_hd__and3b_1 g340521(.A_N (n_13309), .B (n_13312), .C
+       (n_641), .X (n_13493));
+  sky130_fd_sc_hd__nand2_1 g340522(.A (n_10345), .B
+       (u_soc_u_top_data_we), .Y (u_soc_dccm_adapter_data_weD));
+  sky130_fd_sc_hd__a221oi_1 g340523(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [2]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [2]), .C1
+       (n_10204), .Y (n_10471));
+  sky130_fd_sc_hd__a221oi_1 g340524(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [2]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [2]), .C1
+       (n_10264), .Y (n_10470));
+  sky130_fd_sc_hd__a221oi_1 g340525(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [2]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [2]), .C1
+       (n_10263), .Y (n_10469));
+  sky130_fd_sc_hd__a221oi_1 g340526(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [3]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [3]), .C1
+       (n_10262), .Y (n_10468));
+  sky130_fd_sc_hd__a221oi_1 g340527(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [3]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [3]), .C1
+       (n_10228), .Y (n_10467));
+  sky130_fd_sc_hd__a221oi_1 g340528(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [3]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [3]), .C1
+       (n_10227), .Y (n_10466));
+  sky130_fd_sc_hd__a221oi_1 g340529(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [3]), .B1
+       (n_8961), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81]
+       [3]), .C1 (n_10261), .Y (n_10465));
+  sky130_fd_sc_hd__a221oi_1 g340530(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [4]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [4]), .C1
+       (n_10260), .Y (n_10464));
+  sky130_fd_sc_hd__a221oi_1 g340531(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [4]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [4]), .C1
+       (n_10226), .Y (n_10463));
+  sky130_fd_sc_hd__a221oi_1 g340532(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [4]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [4]), .C1
+       (n_10259), .Y (n_10462));
+  sky130_fd_sc_hd__a221oi_1 g340533(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [4]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [4]), .C1
+       (n_10258), .Y (n_10461));
+  sky130_fd_sc_hd__a221oi_1 g340534(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [5]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [5]), .C1
+       (n_10257), .Y (n_10460));
+  sky130_fd_sc_hd__a221oi_1 g340535(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [5]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [5]), .C1
+       (n_10225), .Y (n_10459));
+  sky130_fd_sc_hd__a221oi_1 g340536(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [5]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [5]), .C1
+       (n_10224), .Y (n_10458));
+  sky130_fd_sc_hd__a221oi_1 g340537(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [6]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [6]), .C1
+       (n_10223), .Y (n_10457));
+  sky130_fd_sc_hd__a221oi_1 g340538(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [6]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [6]), .C1
+       (n_10256), .Y (n_10456));
+  sky130_fd_sc_hd__a221oi_1 g340539(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [6]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [6]), .C1
+       (n_10255), .Y (n_10455));
+  sky130_fd_sc_hd__a221oi_1 g340540(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [6]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [6]), .C1
+       (n_10222), .Y (n_10454));
+  sky130_fd_sc_hd__a221oi_1 g340541(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [7]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [7]), .C1
+       (n_10254), .Y (n_10453));
+  sky130_fd_sc_hd__a221oi_1 g340542(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [7]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [7]), .C1
+       (n_10221), .Y (n_10452));
+  sky130_fd_sc_hd__a221oi_1 g340543(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [7]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [7]), .C1
+       (n_10253), .Y (n_10451));
+  sky130_fd_sc_hd__a221oi_1 g340544(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [7]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [7]), .C1
+       (n_10252), .Y (n_10450));
+  sky130_fd_sc_hd__a221oi_1 g340545(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [8]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [8]), .C1
+       (n_10251), .Y (n_10449));
+  sky130_fd_sc_hd__a221oi_1 g340546(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [8]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [8]), .C1
+       (n_10220), .Y (n_10448));
+  sky130_fd_sc_hd__a221oi_1 g340547(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [8]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [8]), .C1
+       (n_10250), .Y (n_10447));
+  sky130_fd_sc_hd__a221oi_1 g340548(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [8]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [8]), .C1
+       (n_10249), .Y (n_10446));
+  sky130_fd_sc_hd__a221oi_1 g340549(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [1]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [1]), .C1
+       (n_10293), .Y (n_10445));
+  sky130_fd_sc_hd__a221oi_1 g340550(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [1]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [1]), .C1
+       (n_10317), .Y (n_10444));
+  sky130_fd_sc_hd__a221oi_1 g340551(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [1]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [1]), .C1
+       (n_10318), .Y (n_10443));
+  sky130_fd_sc_hd__a221oi_1 g340552(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [1]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [1]), .C1
+       (n_10319), .Y (n_10442));
+  sky130_fd_sc_hd__a221oi_1 g340553(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [2]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [2]), .C1
+       (n_10219), .Y (n_10441));
+  sky130_fd_sc_hd__a221oi_1 g340554(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [2]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [2]), .C1
+       (n_10320), .Y (n_10440));
+  sky130_fd_sc_hd__a221oi_1 g340555(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [2]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [2]), .C1
+       (n_10321), .Y (n_10439));
+  sky130_fd_sc_hd__a221oi_1 g340556(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [2]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [2]), .C1
+       (n_10218), .Y (n_10438));
+  sky130_fd_sc_hd__a221oi_1 g340557(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [3]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [3]), .C1
+       (n_10322), .Y (n_10437));
+  sky130_fd_sc_hd__a221oi_1 g340558(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [3]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [3]), .C1
+       (n_10217), .Y (n_10436));
+  sky130_fd_sc_hd__a221oi_1 g340559(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [3]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [3]), .C1
+       (n_10323), .Y (n_10435));
+  sky130_fd_sc_hd__a221oi_1 g340560(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [3]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [3]), .C1
+       (n_10324), .Y (n_10434));
+  sky130_fd_sc_hd__a221oi_1 g340561(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [4]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [4]), .C1
+       (n_10216), .Y (n_10433));
+  sky130_fd_sc_hd__a221oi_1 g340562(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [4]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [4]), .C1
+       (n_10215), .Y (n_10432));
+  sky130_fd_sc_hd__a221oi_1 g340563(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [4]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [4]), .C1
+       (n_10214), .Y (n_10431));
+  sky130_fd_sc_hd__a221oi_1 g340564(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [4]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [4]), .C1
+       (n_10325), .Y (n_10430));
+  sky130_fd_sc_hd__a221oi_1 g340565(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [5]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [5]), .C1
+       (n_10326), .Y (n_10429));
+  sky130_fd_sc_hd__a221oi_1 g340566(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [5]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [5]), .C1
+       (n_10213), .Y (n_10428));
+  sky130_fd_sc_hd__a221oi_1 g340567(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [5]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [5]), .C1
+       (n_10201), .Y (n_10427));
+  sky130_fd_sc_hd__a221oi_1 g340568(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [5]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [5]), .C1
+       (n_10212), .Y (n_10426));
+  sky130_fd_sc_hd__a221oi_1 g340569(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [6]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [6]), .C1
+       (n_10211), .Y (n_10425));
+  sky130_fd_sc_hd__a221oi_1 g340570(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [6]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [6]), .C1
+       (n_10210), .Y (n_10424));
+  sky130_fd_sc_hd__a221oi_1 g340571(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [6]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [6]), .C1
+       (n_10209), .Y (n_10423));
+  sky130_fd_sc_hd__a221oi_1 g340572(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [6]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [6]), .C1
+       (n_10246), .Y (n_10422));
+  sky130_fd_sc_hd__a221oi_1 g340573(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [7]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [7]), .C1
+       (n_10245), .Y (n_10421));
+  sky130_fd_sc_hd__a221oi_1 g340574(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [7]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [7]), .C1
+       (n_10208), .Y (n_10420));
+  sky130_fd_sc_hd__a221oi_1 g340575(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [7]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [7]), .C1
+       (n_10207), .Y (n_10419));
+  sky130_fd_sc_hd__a221oi_1 g340576(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [7]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [7]), .C1
+       (n_10244), .Y (n_10418));
+  sky130_fd_sc_hd__a221oi_1 g340577(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [8]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [8]), .C1
+       (n_10243), .Y (n_10417));
+  sky130_fd_sc_hd__a221oi_1 g340578(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [8]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [8]), .C1
+       (n_10206), .Y (n_10416));
+  sky130_fd_sc_hd__a221oi_1 g340579(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [8]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [8]), .C1
+       (n_10242), .Y (n_10415));
+  sky130_fd_sc_hd__a221oi_1 g340580(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [8]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [8]), .C1
+       (n_10205), .Y (n_10414));
+  sky130_fd_sc_hd__a221oi_1 g340581(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [2]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [2]), .C1
+       (n_10229), .Y (n_10413));
+  sky130_fd_sc_hd__a221oi_1 g340582(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [2]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [2]), .C1
+       (n_10203), .Y (n_10412));
+  sky130_fd_sc_hd__a221oi_1 g340583(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [2]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [2]), .C1
+       (n_10202), .Y (n_10411));
+  sky130_fd_sc_hd__a221oi_1 g340584(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [2]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [2]), .C1
+       (n_10247), .Y (n_10410));
+  sky130_fd_sc_hd__a221oi_1 g340585(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [3]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [3]), .C1
+       (n_10241), .Y (n_10409));
+  sky130_fd_sc_hd__a221oi_1 g340586(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [3]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [3]), .C1
+       (n_10240), .Y (n_10408));
+  sky130_fd_sc_hd__a221oi_1 g340587(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [3]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [3]), .C1
+       (n_10239), .Y (n_10407));
+  sky130_fd_sc_hd__a221oi_1 g340588(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [3]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [3]), .C1
+       (n_10238), .Y (n_10406));
+  sky130_fd_sc_hd__a221oi_1 g340589(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [4]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [4]), .C1
+       (n_10200), .Y (n_10405));
+  sky130_fd_sc_hd__a221oi_1 g340590(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [4]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [4]), .C1
+       (n_10199), .Y (n_10404));
+  sky130_fd_sc_hd__a221oi_1 g340591(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [4]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [4]), .C1
+       (n_10198), .Y (n_10403));
+  sky130_fd_sc_hd__a221oi_1 g340592(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [4]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [4]), .C1
+       (n_10197), .Y (n_10402));
+  sky130_fd_sc_hd__a221oi_1 g340593(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [5]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [5]), .C1
+       (n_10196), .Y (n_10401));
+  sky130_fd_sc_hd__o2bb2ai_1 g340594(.A1_N (u_soc_iccm_ctrl_addr_o[0]),
+       .A2_N (n_8808), .B1 (n_10161), .B2 (n_10153), .Y (n_10400));
+  sky130_fd_sc_hd__o2bb2ai_1 g340595(.A1_N (u_soc_iccm_ctrl_addr_o[1]),
+       .A2_N (n_8808), .B1 (n_10160), .B2 (n_10153), .Y (n_10399));
+  sky130_fd_sc_hd__o2bb2ai_1 g340596(.A1_N (u_soc_iccm_ctrl_addr_o[2]),
+       .A2_N (n_8808), .B1 (n_10159), .B2 (n_10153), .Y (n_10398));
+  sky130_fd_sc_hd__o2bb2ai_1 g340597(.A1_N (u_soc_iccm_ctrl_addr_o[3]),
+       .A2_N (n_8808), .B1 (n_10158), .B2 (n_10153), .Y (n_10397));
+  sky130_fd_sc_hd__o2bb2ai_1 g340598(.A1_N (u_soc_iccm_ctrl_addr_o[4]),
+       .A2_N (n_8808), .B1 (n_10157), .B2 (n_10153), .Y (n_10396));
+  sky130_fd_sc_hd__o2bb2ai_1 g340599(.A1_N (u_soc_iccm_ctrl_addr_o[5]),
+       .A2_N (n_8808), .B1 (n_10162), .B2 (n_10153), .Y (n_10395));
+  sky130_fd_sc_hd__a2bb2oi_1 g340600(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .A2_N (n_10328), .B1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B2 (n_13309), .Y (n_10394));
+  sky130_fd_sc_hd__a221oi_1 g340601(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [1]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [1]), .C1
+       (n_10292), .Y (n_10393));
+  sky130_fd_sc_hd__a221oi_1 g340602(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [1]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76]
+       [1]), .C1 (n_10291), .Y (n_10392));
+  sky130_fd_sc_hd__a221oi_1 g340603(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [1]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68]
+       [1]), .C1 (n_10290), .Y (n_10391));
+  sky130_fd_sc_hd__a221oi_1 g340604(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [1]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72]
+       [1]), .C1 (n_10289), .Y (n_10390));
+  sky130_fd_sc_hd__a221oi_1 g340605(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [2]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76]
+       [2]), .C1 (n_10314), .Y (n_10389));
+  sky130_fd_sc_hd__a221oi_1 g340606(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [2]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72]
+       [2]), .C1 (n_10313), .Y (n_10388));
+  sky130_fd_sc_hd__a221oi_1 g340607(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [2]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68]
+       [2]), .C1 (n_10288), .Y (n_10387));
+  sky130_fd_sc_hd__a221oi_1 g340608(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [2]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64]
+       [2]), .C1 (n_10312), .Y (n_10386));
+  sky130_fd_sc_hd__a221oi_1 g340609(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [3]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76]
+       [3]), .C1 (n_10311), .Y (n_10385));
+  sky130_fd_sc_hd__a221oi_1 g340610(.A1 (n_8976), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [3]), .B1
+       (n_8975), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40]
+       [3]), .C1 (n_10287), .Y (n_10384));
+  sky130_fd_sc_hd__a221oi_1 g340611(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [3]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68]
+       [3]), .C1 (n_10310), .Y (n_10383));
+  sky130_fd_sc_hd__a221oi_1 g340612(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [3]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64]
+       [3]), .C1 (n_10309), .Y (n_10382));
+  sky130_fd_sc_hd__a221oi_1 g340613(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [4]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76]
+       [4]), .C1 (n_10308), .Y (n_10381));
+  sky130_fd_sc_hd__a221oi_1 g340614(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [4]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68]
+       [4]), .C1 (n_10307), .Y (n_10380));
+  sky130_fd_sc_hd__a221oi_1 g340615(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [4]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72]
+       [4]), .C1 (n_10306), .Y (n_10379));
+  sky130_fd_sc_hd__a221oi_1 g340616(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [4]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64]
+       [4]), .C1 (n_10286), .Y (n_10378));
+  sky130_fd_sc_hd__a221oi_1 g340617(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [5]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76]
+       [5]), .C1 (n_10305), .Y (n_10377));
+  sky130_fd_sc_hd__a221oi_1 g340618(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [5]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68]
+       [5]), .C1 (n_10304), .Y (n_10376));
+  sky130_fd_sc_hd__a221oi_1 g340619(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [5]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72]
+       [5]), .C1 (n_10285), .Y (n_10375));
+  sky130_fd_sc_hd__a221oi_1 g340620(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [5]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64]
+       [5]), .C1 (n_10303), .Y (n_10374));
+  sky130_fd_sc_hd__a221oi_1 g340621(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [6]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76]
+       [6]), .C1 (n_10284), .Y (n_10373));
+  sky130_fd_sc_hd__a221oi_1 g340622(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [6]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72]
+       [6]), .C1 (n_10302), .Y (n_10372));
+  sky130_fd_sc_hd__a221oi_1 g340623(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [6]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68]
+       [6]), .C1 (n_10283), .Y (n_10371));
+  sky130_fd_sc_hd__a221oi_1 g340624(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [6]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64]
+       [6]), .C1 (n_10301), .Y (n_10370));
+  sky130_fd_sc_hd__a221oi_1 g340625(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [7]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76]
+       [7]), .C1 (n_10327), .Y (n_10369));
+  sky130_fd_sc_hd__a221oi_1 g340626(.A1 (n_8976), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [7]), .B1
+       (n_8975), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40]
+       [7]), .C1 (n_10300), .Y (n_10368));
+  sky130_fd_sc_hd__a221oi_1 g340627(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [7]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68]
+       [7]), .C1 (n_10299), .Y (n_10367));
+  sky130_fd_sc_hd__a221oi_1 g340628(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [7]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64]
+       [7]), .C1 (n_10298), .Y (n_10366));
+  sky130_fd_sc_hd__a221oi_1 g340629(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [8]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72]
+       [8]), .C1 (n_10297), .Y (n_10365));
+  sky130_fd_sc_hd__a221oi_1 g340630(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [8]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68]
+       [8]), .C1 (n_10282), .Y (n_10364));
+  sky130_fd_sc_hd__a221oi_1 g340631(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [8]), .B1
+       (n_8961), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92]
+       [8]), .C1 (n_10281), .Y (n_10363));
+  sky130_fd_sc_hd__a221oi_1 g340632(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [8]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64]
+       [8]), .C1 (n_10280), .Y (n_10362));
+  sky130_fd_sc_hd__a221oi_1 g340633(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [5]), .B1
+       (n_8963), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [5]), .C1
+       (n_10279), .Y (n_10361));
+  sky130_fd_sc_hd__a221oi_1 g340634(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [1]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79]
+       [1]), .C1 (n_10296), .Y (n_10360));
+  sky130_fd_sc_hd__a221oi_1 g340635(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [1]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71]
+       [1]), .C1 (n_10295), .Y (n_10359));
+  sky130_fd_sc_hd__a221oi_1 g340636(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [1]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75]
+       [1]), .C1 (n_10278), .Y (n_10358));
+  sky130_fd_sc_hd__a221oi_1 g340637(.A1 (n_8976), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [1]), .B1
+       (n_8975), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35]
+       [1]), .C1 (n_10294), .Y (n_10357));
+  sky130_fd_sc_hd__a22oi_1 g340638(.A1 (la_data_in[8]), .A2 (n_10248),
+       .B1 (n_9950), .B2 (io_in[29]), .Y (n_10356));
+  sky130_fd_sc_hd__nand2_1 g340639(.A (la_data_in[2]), .B (n_10248), .Y
+       (n_10355));
+  sky130_fd_sc_hd__nand2_1 g340640(.A (la_data_in[3]), .B (n_10248), .Y
+       (n_10354));
+  sky130_fd_sc_hd__nand2_1 g340641(.A (la_data_in[6]), .B (n_10248), .Y
+       (n_10353));
+  sky130_fd_sc_hd__and2_0 g340642(.A (la_data_in[9]), .B (n_10248), .X
+       (n_10352));
+  sky130_fd_sc_hd__nand2_1 g340643(.A (la_data_in[11]), .B (n_10248),
+       .Y (n_10351));
+  sky130_fd_sc_hd__nand2_1 g340644(.A (la_data_in[12]), .B (n_10248),
+       .Y (n_10350));
+  sky130_fd_sc_hd__and2_0 g340645(.A (la_data_in[13]), .B (n_10248), .X
+       (n_10349));
+  sky130_fd_sc_hd__and2_0 g340646(.A (la_data_in[14]), .B (n_10248), .X
+       (n_10348));
+  sky130_fd_sc_hd__and2_0 g340647(.A (la_data_in[15]), .B (n_10248), .X
+       (n_10347));
+  sky130_fd_sc_hd__inv_2 g340649(.A
+       (u_soc_dccm_adapter_data_mem_error_internal), .Y (n_10345));
+  sky130_fd_sc_hd__inv_2 g340650(.A (n_15897), .Y (n_10344));
+  sky130_fd_sc_hd__o2111ai_1 g340651(.A1 (n_13336), .A2 (n_9354), .B1
+       (n_13882), .C1 (n_15902), .D1 (\u_soc_lsu_to_xbar[a_address]
+       [31]), .Y (n_10343));
+  sky130_fd_sc_hd__a21oi_1 g340652(.A1
+       (\u_soc_lsu_to_xbar[a_address] [31]), .A2
+       (\u_soc_uart_to_xbar[d_valid] ), .B1 (n_15898), .Y (n_10342));
+  sky130_fd_sc_hd__a222oi_1 g340653(.A1 (n_13528), .A2 (n_8868), .B1
+       (n_8934), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [9]), .C1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
+       ), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [9]), .Y (n_10341));
+  sky130_fd_sc_hd__o21ai_0 g340654(.A1 (n_13318), .A2 (n_10153), .B1
+       (n_8943), .Y (n_10340));
+  sky130_fd_sc_hd__o221ai_1 g340655(.A1 (n_8866), .A2 (n_9891), .B1
+       (n_8933), .B2 (n_9892), .C1 (n_10277), .Y (n_10339));
+  sky130_fd_sc_hd__a222oi_1 g340656(.A1 (n_13527), .A2 (n_8868), .B1
+       (n_8934), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [8]), .C1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
+       ), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [8]), .Y (n_10338));
+  sky130_fd_sc_hd__a221o_1 g340657(.A1 (n_8934), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [11]), .B1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
+       ), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [11]), .C1 (n_10315), .X (\u_soc_ifu_to_xbar[a_address] [11]));
+  sky130_fd_sc_hd__a221o_1 g340658(.A1 (n_8934), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [10]), .B1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
+       ), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [10]), .C1 (n_10316), .X (\u_soc_ifu_to_xbar[a_address] [10]));
+  sky130_fd_sc_hd__a21oi_1 g340659(.A1 (n_8987), .A2 (io_in[27]), .B1
+       (n_10248), .Y (n_10346));
+  sky130_fd_sc_hd__nand3_1 g340660(.A (n_10330), .B
+       (\u_soc_lsu_to_xbar[a_address] [31]), .C (n_9349), .Y
+       (u_soc_dccm_adapter_data_mem_error_internal));
+  sky130_fd_sc_hd__inv_1 g340662(.A (n_10337), .Y (n_13354));
+  sky130_fd_sc_hd__inv_1 g340663(.A (n_10336), .Y (n_13357));
+  sky130_fd_sc_hd__inv_1 g340664(.A (n_10335), .Y (n_13355));
+  sky130_fd_sc_hd__inv_1 g340665(.A (n_10334), .Y (n_13356));
+  sky130_fd_sc_hd__inv_1 g340668(.A (n_10328), .Y (n_13308));
+  sky130_fd_sc_hd__o22ai_1 g340669(.A1 (n_8932), .A2 (n_9877), .B1
+       (n_8860), .B2 (n_10063), .Y (n_10327));
+  sky130_fd_sc_hd__o22ai_1 g340671(.A1 (n_8855), .A2 (n_10008), .B1
+       (n_8853), .B2 (n_9819), .Y (n_10326));
+  sky130_fd_sc_hd__o22ai_1 g340672(.A1 (n_8855), .A2 (n_10009), .B1
+       (n_8853), .B2 (n_9820), .Y (n_10325));
+  sky130_fd_sc_hd__o22ai_1 g340673(.A1 (n_8855), .A2 (n_10013), .B1
+       (n_8853), .B2 (n_9824), .Y (n_10324));
+  sky130_fd_sc_hd__o22ai_1 g340674(.A1 (n_8855), .A2 (n_10014), .B1
+       (n_8853), .B2 (n_9825), .Y (n_10323));
+  sky130_fd_sc_hd__o22ai_1 g340675(.A1 (n_8855), .A2 (n_10016), .B1
+       (n_8853), .B2 (n_9827), .Y (n_10322));
+  sky130_fd_sc_hd__o22ai_1 g340676(.A1 (n_8855), .A2 (n_10018), .B1
+       (n_8853), .B2 (n_9829), .Y (n_10321));
+  sky130_fd_sc_hd__o22ai_1 g340677(.A1 (n_8855), .A2 (n_10019), .B1
+       (n_8853), .B2 (n_9830), .Y (n_10320));
+  sky130_fd_sc_hd__o22ai_1 g340678(.A1 (n_8855), .A2 (n_10021), .B1
+       (n_8853), .B2 (n_9832), .Y (n_10319));
+  sky130_fd_sc_hd__o22ai_1 g340679(.A1 (n_8855), .A2 (n_10022), .B1
+       (n_8853), .B2 (n_9833), .Y (n_10318));
+  sky130_fd_sc_hd__o22ai_1 g340680(.A1 (n_8855), .A2 (n_10023), .B1
+       (n_8853), .B2 (n_9834), .Y (n_10317));
+  sky130_fd_sc_hd__nor2b_1 g340681(.A (n_13363), .B_N (n_13529), .Y
+       (n_10316));
+  sky130_fd_sc_hd__nor2b_1 g340682(.A (n_13363), .B_N (n_13530), .Y
+       (n_10315));
+  sky130_fd_sc_hd__o22ai_1 g340683(.A1 (n_8932), .A2 (n_10106), .B1
+       (n_8860), .B2 (n_9916), .Y (n_10314));
+  sky130_fd_sc_hd__o22ai_1 g340684(.A1 (n_8932), .A2 (n_10104), .B1
+       (n_8860), .B2 (n_9914), .Y (n_10313));
+  sky130_fd_sc_hd__o22ai_1 g340685(.A1 (n_8932), .A2 (n_10098), .B1
+       (n_8860), .B2 (n_9909), .Y (n_10312));
+  sky130_fd_sc_hd__o22ai_1 g340686(.A1 (n_8932), .A2 (n_10096), .B1
+       (n_8860), .B2 (n_9906), .Y (n_10311));
+  sky130_fd_sc_hd__o22ai_1 g340687(.A1 (n_8932), .A2 (n_10090), .B1
+       (n_8860), .B2 (n_9902), .Y (n_10310));
+  sky130_fd_sc_hd__o22ai_1 g340688(.A1 (n_8932), .A2 (n_10087), .B1
+       (n_8860), .B2 (n_9900), .Y (n_10309));
+  sky130_fd_sc_hd__o22ai_1 g340689(.A1 (n_8932), .A2 (n_10085), .B1
+       (n_8860), .B2 (n_9896), .Y (n_10308));
+  sky130_fd_sc_hd__o22ai_1 g340690(.A1 (n_8932), .A2 (n_10083), .B1
+       (n_8860), .B2 (n_9895), .Y (n_10307));
+  sky130_fd_sc_hd__o22ai_1 g340691(.A1 (n_8932), .A2 (n_10080), .B1
+       (n_8860), .B2 (n_9897), .Y (n_10306));
+  sky130_fd_sc_hd__o22ai_1 g340692(.A1 (n_8932), .A2 (n_10075), .B1
+       (n_8860), .B2 (n_9887), .Y (n_10305));
+  sky130_fd_sc_hd__o22ai_1 g340693(.A1 (n_8932), .A2 (n_10072), .B1
+       (n_8860), .B2 (n_9912), .Y (n_10304));
+  sky130_fd_sc_hd__o22ai_1 g340694(.A1 (n_8932), .A2 (n_10068), .B1
+       (n_8860), .B2 (n_9882), .Y (n_10303));
+  sky130_fd_sc_hd__o22ai_1 g340695(.A1 (n_8932), .A2 (n_10066), .B1
+       (n_8860), .B2 (n_9880), .Y (n_10302));
+  sky130_fd_sc_hd__o22ai_1 g340696(.A1 (n_8932), .A2 (n_10064), .B1
+       (n_8860), .B2 (n_9878), .Y (n_10301));
+  sky130_fd_sc_hd__o22ai_1 g340697(.A1 (n_8932), .A2 (n_10062), .B1
+       (n_8860), .B2 (n_9876), .Y (n_10300));
+  sky130_fd_sc_hd__o22ai_1 g340698(.A1 (n_8932), .A2 (n_10061), .B1
+       (n_8860), .B2 (n_9875), .Y (n_10299));
+  sky130_fd_sc_hd__o22ai_1 g340699(.A1 (n_8932), .A2 (n_10060), .B1
+       (n_8860), .B2 (n_9874), .Y (n_10298));
+  sky130_fd_sc_hd__o22ai_1 g340700(.A1 (n_8932), .A2 (n_10059), .B1
+       (n_8860), .B2 (n_9873), .Y (n_10297));
+  sky130_fd_sc_hd__o22ai_1 g340701(.A1 (n_8932), .A2 (n_9992), .B1
+       (n_8860), .B2 (n_9801), .Y (n_10296));
+  sky130_fd_sc_hd__o22ai_1 g340702(.A1 (n_8932), .A2 (n_9991), .B1
+       (n_8860), .B2 (n_9800), .Y (n_10295));
+  sky130_fd_sc_hd__o22ai_1 g340703(.A1 (n_8932), .A2 (n_9989), .B1
+       (n_8860), .B2 (n_9798), .Y (n_10294));
+  sky130_fd_sc_hd__o22ai_1 g340704(.A1 (n_8855), .A2 (n_10024), .B1
+       (n_8853), .B2 (n_9835), .Y (n_10293));
+  sky130_fd_sc_hd__o22ai_1 g340705(.A1 (n_8932), .A2 (n_10117), .B1
+       (n_8853), .B2 (n_9928), .Y (n_10292));
+  sky130_fd_sc_hd__o22ai_1 g340706(.A1 (n_8932), .A2 (n_9924), .B1
+       (n_8860), .B2 (n_10115), .Y (n_10291));
+  sky130_fd_sc_hd__o22ai_1 g340707(.A1 (n_8932), .A2 (n_9921), .B1
+       (n_8860), .B2 (n_10112), .Y (n_10290));
+  sky130_fd_sc_hd__o22ai_1 g340708(.A1 (n_8932), .A2 (n_9918), .B1
+       (n_8860), .B2 (n_10109), .Y (n_10289));
+  sky130_fd_sc_hd__o22ai_1 g340709(.A1 (n_8932), .A2 (n_9911), .B1
+       (n_8860), .B2 (n_10101), .Y (n_10288));
+  sky130_fd_sc_hd__o22ai_1 g340710(.A1 (n_8932), .A2 (n_9904), .B1
+       (n_8860), .B2 (n_10094), .Y (n_10287));
+  sky130_fd_sc_hd__o22ai_1 g340711(.A1 (n_8932), .A2 (n_9889), .B1
+       (n_8860), .B2 (n_10079), .Y (n_10286));
+  sky130_fd_sc_hd__o22ai_1 g340712(.A1 (n_8932), .A2 (n_9883), .B1
+       (n_8860), .B2 (n_10069), .Y (n_10285));
+  sky130_fd_sc_hd__o22ai_1 g340713(.A1 (n_8932), .A2 (n_9881), .B1
+       (n_8860), .B2 (n_10067), .Y (n_10284));
+  sky130_fd_sc_hd__o22ai_1 g340714(.A1 (n_8932), .A2 (n_9879), .B1
+       (n_8860), .B2 (n_10065), .Y (n_10283));
+  sky130_fd_sc_hd__o22ai_1 g340716(.A1 (n_8932), .A2 (n_9872), .B1
+       (n_8860), .B2 (n_10058), .Y (n_10282));
+  sky130_fd_sc_hd__o22ai_1 g340717(.A1 (n_8853), .A2 (n_9871), .B1
+       (n_8860), .B2 (n_10057), .Y (n_10281));
+  sky130_fd_sc_hd__o22ai_1 g340718(.A1 (n_8853), .A2 (n_9870), .B1
+       (n_8860), .B2 (n_10056), .Y (n_10280));
+  sky130_fd_sc_hd__o22ai_1 g340719(.A1 (n_8853), .A2 (n_10037), .B1
+       (n_8932), .B2 (n_9849), .Y (n_10279));
+  sky130_fd_sc_hd__o22ai_1 g340720(.A1 (n_8932), .A2 (n_9799), .B1
+       (n_8860), .B2 (n_9990), .Y (n_10278));
+  sky130_fd_sc_hd__a221oi_1 g340721(.A1 (n_8978), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [7]), .B1
+       (n_8981), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [7]), .C1
+       (n_10142), .Y (n_10277));
+  sky130_fd_sc_hd__o22ai_1 g340722(.A1 (n_8855), .A2 (n_10129), .B1
+       (n_8853), .B2 (n_9938), .Y (n_10276));
+  sky130_fd_sc_hd__o22ai_1 g340723(.A1 (n_8855), .A2 (n_10126), .B1
+       (n_8853), .B2 (n_9936), .Y (n_10275));
+  sky130_fd_sc_hd__o22ai_1 g340724(.A1 (n_8855), .A2 (n_10124), .B1
+       (n_8853), .B2 (n_9934), .Y (n_10274));
+  sky130_fd_sc_hd__o22ai_1 g340725(.A1 (n_8855), .A2 (n_10120), .B1
+       (n_8853), .B2 (n_9930), .Y (n_10273));
+  sky130_fd_sc_hd__o22ai_1 g340726(.A1 (n_8855), .A2 (n_10119), .B1
+       (n_8853), .B2 (n_9929), .Y (n_10272));
+  sky130_fd_sc_hd__o22ai_1 g340727(.A1 (n_8855), .A2 (n_10118), .B1
+       (n_8853), .B2 (n_9927), .Y (n_10271));
+  sky130_fd_sc_hd__o22ai_1 g340728(.A1 (n_8855), .A2 (n_10116), .B1
+       (n_8853), .B2 (n_9926), .Y (n_10270));
+  sky130_fd_sc_hd__o22ai_1 g340729(.A1 (n_8855), .A2 (n_10114), .B1
+       (n_8853), .B2 (n_9925), .Y (n_10269));
+  sky130_fd_sc_hd__o22ai_1 g340730(.A1 (n_8855), .A2 (n_10113), .B1
+       (n_8853), .B2 (n_9923), .Y (n_10268));
+  sky130_fd_sc_hd__o22ai_1 g340731(.A1 (n_8855), .A2 (n_10111), .B1
+       (n_8853), .B2 (n_9922), .Y (n_10267));
+  sky130_fd_sc_hd__o22ai_1 g340732(.A1 (n_8855), .A2 (n_10054), .B1
+       (n_8853), .B2 (n_9868), .Y (n_10266));
+  sky130_fd_sc_hd__o22ai_1 g340733(.A1 (n_8855), .A2 (n_10051), .B1
+       (n_8853), .B2 (n_9864), .Y (n_10265));
+  sky130_fd_sc_hd__o22ai_1 g340734(.A1 (n_8855), .A2 (n_10049), .B1
+       (n_8853), .B2 (n_9862), .Y (n_10264));
+  sky130_fd_sc_hd__o22ai_1 g340735(.A1 (n_8855), .A2 (n_10048), .B1
+       (n_8853), .B2 (n_9861), .Y (n_10263));
+  sky130_fd_sc_hd__o22ai_1 g340736(.A1 (n_8855), .A2 (n_10047), .B1
+       (n_8853), .B2 (n_9860), .Y (n_10262));
+  sky130_fd_sc_hd__o22ai_1 g340737(.A1 (n_8855), .A2 (n_10134), .B1
+       (n_8853), .B2 (n_9857), .Y (n_10261));
+  sky130_fd_sc_hd__o22ai_1 g340738(.A1 (n_8855), .A2 (n_10135), .B1
+       (n_8853), .B2 (n_9856), .Y (n_10260));
+  sky130_fd_sc_hd__o22ai_1 g340739(.A1 (n_8855), .A2 (n_10043), .B1
+       (n_8853), .B2 (n_9854), .Y (n_10259));
+  sky130_fd_sc_hd__o22ai_1 g340740(.A1 (n_8855), .A2 (n_10042), .B1
+       (n_8853), .B2 (n_9853), .Y (n_10258));
+  sky130_fd_sc_hd__o22ai_1 g340741(.A1 (n_8855), .A2 (n_10041), .B1
+       (n_8853), .B2 (n_9852), .Y (n_10257));
+  sky130_fd_sc_hd__o22ai_1 g340742(.A1 (n_8855), .A2 (n_10035), .B1
+       (n_8853), .B2 (n_9847), .Y (n_10256));
+  sky130_fd_sc_hd__o22ai_1 g340743(.A1 (n_8855), .A2 (n_10034), .B1
+       (n_8853), .B2 (n_9846), .Y (n_10255));
+  sky130_fd_sc_hd__o22ai_1 g340744(.A1 (n_8855), .A2 (n_10032), .B1
+       (n_8853), .B2 (n_9844), .Y (n_10254));
+  sky130_fd_sc_hd__o22ai_1 g340745(.A1 (n_8855), .A2 (n_10030), .B1
+       (n_8853), .B2 (n_9842), .Y (n_10253));
+  sky130_fd_sc_hd__o22ai_1 g340746(.A1 (n_8855), .A2 (n_10029), .B1
+       (n_8853), .B2 (n_9841), .Y (n_10252));
+  sky130_fd_sc_hd__o22ai_1 g340747(.A1 (n_8855), .A2 (n_10028), .B1
+       (n_8853), .B2 (n_9840), .Y (n_10251));
+  sky130_fd_sc_hd__o22ai_1 g340748(.A1 (n_8855), .A2 (n_10026), .B1
+       (n_8853), .B2 (n_9838), .Y (n_10250));
+  sky130_fd_sc_hd__o22ai_1 g340749(.A1 (n_8855), .A2 (n_10025), .B1
+       (n_8853), .B2 (n_9836), .Y (n_10249));
+  sky130_fd_sc_hd__or2_1 g340750(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .B (n_13479), .X
+       (n_13478));
+  sky130_fd_sc_hd__a21boi_1 g340751(.A1 (n_9025), .A2 (n_9163), .B1_N
+       (n_13385), .Y (n_10337));
+  sky130_fd_sc_hd__a21boi_1 g340752(.A1 (n_9113), .A2 (n_9159), .B1_N
+       (n_13384), .Y (n_10336));
+  sky130_fd_sc_hd__a21boi_1 g340753(.A1 (n_9280), .A2 (n_9277), .B1_N
+       (n_13385), .Y (n_10335));
+  sky130_fd_sc_hd__a21boi_1 g340754(.A1 (n_9299), .A2 (n_9296), .B1_N
+       (n_13384), .Y (n_10334));
+  sky130_fd_sc_hd__nand3b_1 g340755(.A_N (io_in[30]), .B (n_10139), .C
+       (io_in[31]), .Y (n_10333));
+  sky130_fd_sc_hd__or2_2 g340756(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .B (n_13474), .X
+       (n_13483));
+  sky130_fd_sc_hd__nor2_1 g340758(.A (\u_soc_lsu_to_xbar[a_address]
+       [30]), .B (n_10148), .Y (n_10330));
+  sky130_fd_sc_hd__nand2_1 g340759(.A (n_13310), .B
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_13309));
+  sky130_fd_sc_hd__nor2_1 g340760(.A
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B (n_10147), .Y (n_10328));
+  sky130_fd_sc_hd__o22ai_1 g340761(.A1 (n_8855), .A2 (n_9793), .B1
+       (n_8853), .B2 (n_9985), .Y (n_10247));
+  sky130_fd_sc_hd__o22ai_1 g340762(.A1 (n_8855), .A2 (n_10001), .B1
+       (n_8853), .B2 (n_9810), .Y (n_10246));
+  sky130_fd_sc_hd__o22ai_1 g340763(.A1 (n_8855), .A2 (n_10000), .B1
+       (n_8853), .B2 (n_9809), .Y (n_10245));
+  sky130_fd_sc_hd__o22ai_1 g340764(.A1 (n_8855), .A2 (n_9997), .B1
+       (n_8853), .B2 (n_9806), .Y (n_10244));
+  sky130_fd_sc_hd__o22ai_1 g340765(.A1 (n_8855), .A2 (n_9996), .B1
+       (n_8853), .B2 (n_9805), .Y (n_10243));
+  sky130_fd_sc_hd__o22ai_1 g340766(.A1 (n_8855), .A2 (n_9994), .B1
+       (n_8853), .B2 (n_9803), .Y (n_10242));
+  sky130_fd_sc_hd__o22ai_1 g340767(.A1 (n_8855), .A2 (n_9984), .B1
+       (n_8853), .B2 (n_9792), .Y (n_10241));
+  sky130_fd_sc_hd__o22ai_1 g340768(.A1 (n_8855), .A2 (n_9983), .B1
+       (n_8853), .B2 (n_9791), .Y (n_10240));
+  sky130_fd_sc_hd__o22ai_1 g340769(.A1 (n_8855), .A2 (n_9982), .B1
+       (n_8853), .B2 (n_9790), .Y (n_10239));
+  sky130_fd_sc_hd__o22ai_1 g340770(.A1 (n_8855), .A2 (n_9981), .B1
+       (n_8853), .B2 (n_9789), .Y (n_10238));
+  sky130_fd_sc_hd__o22ai_1 g340771(.A1 (n_8855), .A2 (n_9937), .B1
+       (n_8853), .B2 (n_10128), .Y (n_10237));
+  sky130_fd_sc_hd__o22ai_1 g340772(.A1 (n_8855), .A2 (n_9935), .B1
+       (n_8853), .B2 (n_10125), .Y (n_10236));
+  sky130_fd_sc_hd__o22ai_1 g340773(.A1 (n_8855), .A2 (n_9933), .B1
+       (n_8853), .B2 (n_10123), .Y (n_10235));
+  sky130_fd_sc_hd__o22ai_1 g340774(.A1 (n_8855), .A2 (n_9932), .B1
+       (n_8853), .B2 (n_10122), .Y (n_10234));
+  sky130_fd_sc_hd__o22ai_1 g340775(.A1 (n_8855), .A2 (n_9931), .B1
+       (n_8853), .B2 (n_10121), .Y (n_10233));
+  sky130_fd_sc_hd__o22ai_1 g340776(.A1 (n_8855), .A2 (n_9869), .B1
+       (n_8853), .B2 (n_10055), .Y (n_10232));
+  sky130_fd_sc_hd__o22ai_1 g340777(.A1 (n_8855), .A2 (n_9867), .B1
+       (n_8853), .B2 (n_10053), .Y (n_10231));
+  sky130_fd_sc_hd__o22ai_1 g340778(.A1 (n_8855), .A2 (n_9866), .B1
+       (n_8853), .B2 (n_10052), .Y (n_10230));
+  sky130_fd_sc_hd__o22ai_1 g340779(.A1 (n_8855), .A2 (n_9863), .B1
+       (n_8853), .B2 (n_10050), .Y (n_10229));
+  sky130_fd_sc_hd__o22ai_1 g340780(.A1 (n_8855), .A2 (n_9859), .B1
+       (n_8853), .B2 (n_10046), .Y (n_10228));
+  sky130_fd_sc_hd__o22ai_1 g340781(.A1 (n_8855), .A2 (n_9858), .B1
+       (n_8853), .B2 (n_10133), .Y (n_10227));
+  sky130_fd_sc_hd__o22ai_1 g340782(.A1 (n_8855), .A2 (n_9855), .B1
+       (n_8853), .B2 (n_10136), .Y (n_10226));
+  sky130_fd_sc_hd__o22ai_1 g340783(.A1 (n_8855), .A2 (n_9851), .B1
+       (n_8853), .B2 (n_10040), .Y (n_10225));
+  sky130_fd_sc_hd__o22ai_1 g340784(.A1 (n_8855), .A2 (n_9850), .B1
+       (n_8853), .B2 (n_10038), .Y (n_10224));
+  sky130_fd_sc_hd__o22ai_1 g340785(.A1 (n_8855), .A2 (n_9848), .B1
+       (n_8853), .B2 (n_10036), .Y (n_10223));
+  sky130_fd_sc_hd__o22ai_1 g340786(.A1 (n_8855), .A2 (n_9845), .B1
+       (n_8853), .B2 (n_10033), .Y (n_10222));
+  sky130_fd_sc_hd__o22ai_1 g340787(.A1 (n_8855), .A2 (n_9843), .B1
+       (n_8853), .B2 (n_10031), .Y (n_10221));
+  sky130_fd_sc_hd__o22ai_1 g340788(.A1 (n_8855), .A2 (n_9839), .B1
+       (n_8853), .B2 (n_10027), .Y (n_10220));
+  sky130_fd_sc_hd__o22ai_1 g340789(.A1 (n_8855), .A2 (n_9831), .B1
+       (n_8853), .B2 (n_10020), .Y (n_10219));
+  sky130_fd_sc_hd__o22ai_1 g340790(.A1 (n_8855), .A2 (n_9828), .B1
+       (n_8853), .B2 (n_10017), .Y (n_10218));
+  sky130_fd_sc_hd__o22ai_1 g340791(.A1 (n_8855), .A2 (n_9826), .B1
+       (n_8853), .B2 (n_10015), .Y (n_10217));
+  sky130_fd_sc_hd__o22ai_1 g340792(.A1 (n_8855), .A2 (n_9823), .B1
+       (n_8853), .B2 (n_10012), .Y (n_10216));
+  sky130_fd_sc_hd__o22ai_1 g340793(.A1 (n_8855), .A2 (n_9822), .B1
+       (n_8853), .B2 (n_10011), .Y (n_10215));
+  sky130_fd_sc_hd__o22ai_1 g340794(.A1 (n_8855), .A2 (n_9821), .B1
+       (n_8853), .B2 (n_10010), .Y (n_10214));
+  sky130_fd_sc_hd__o22ai_1 g340795(.A1 (n_8855), .A2 (n_9817), .B1
+       (n_8853), .B2 (n_10007), .Y (n_10213));
+  sky130_fd_sc_hd__o22ai_1 g340796(.A1 (n_8855), .A2 (n_9814), .B1
+       (n_8853), .B2 (n_10005), .Y (n_10212));
+  sky130_fd_sc_hd__o22ai_1 g340797(.A1 (n_8855), .A2 (n_9813), .B1
+       (n_8853), .B2 (n_10004), .Y (n_10211));
+  sky130_fd_sc_hd__o22ai_1 g340798(.A1 (n_8855), .A2 (n_9812), .B1
+       (n_8853), .B2 (n_10003), .Y (n_10210));
+  sky130_fd_sc_hd__o22ai_1 g340799(.A1 (n_8855), .A2 (n_9811), .B1
+       (n_8853), .B2 (n_10002), .Y (n_10209));
+  sky130_fd_sc_hd__o22ai_1 g340800(.A1 (n_8855), .A2 (n_9808), .B1
+       (n_8853), .B2 (n_9999), .Y (n_10208));
+  sky130_fd_sc_hd__o22ai_1 g340801(.A1 (n_8855), .A2 (n_9949), .B1
+       (n_8853), .B2 (n_9998), .Y (n_10207));
+  sky130_fd_sc_hd__o22ai_1 g340802(.A1 (n_8855), .A2 (n_9804), .B1
+       (n_8853), .B2 (n_9995), .Y (n_10206));
+  sky130_fd_sc_hd__o22ai_1 g340803(.A1 (n_8855), .A2 (n_9802), .B1
+       (n_8853), .B2 (n_9993), .Y (n_10205));
+  sky130_fd_sc_hd__o22ai_1 g340804(.A1 (n_8855), .A2 (n_9797), .B1
+       (n_8853), .B2 (n_9988), .Y (n_10204));
+  sky130_fd_sc_hd__o22ai_1 g340805(.A1 (n_8855), .A2 (n_9796), .B1
+       (n_8853), .B2 (n_9987), .Y (n_10203));
+  sky130_fd_sc_hd__o22ai_1 g340806(.A1 (n_8855), .A2 (n_9794), .B1
+       (n_8853), .B2 (n_9986), .Y (n_10202));
+  sky130_fd_sc_hd__o22ai_1 g340807(.A1 (n_8855), .A2 (n_10006), .B1
+       (n_8853), .B2 (n_9815), .Y (n_10201));
+  sky130_fd_sc_hd__o22ai_1 g340808(.A1 (n_8855), .A2 (n_9788), .B1
+       (n_8853), .B2 (n_9980), .Y (n_10200));
+  sky130_fd_sc_hd__o22ai_1 g340809(.A1 (n_8855), .A2 (n_9786), .B1
+       (n_8853), .B2 (n_9978), .Y (n_10199));
+  sky130_fd_sc_hd__o22ai_1 g340810(.A1 (n_8855), .A2 (n_9785), .B1
+       (n_8853), .B2 (n_9977), .Y (n_10198));
+  sky130_fd_sc_hd__o22ai_1 g340811(.A1 (n_8855), .A2 (n_9784), .B1
+       (n_8853), .B2 (n_9976), .Y (n_10197));
+  sky130_fd_sc_hd__o22ai_1 g340812(.A1 (n_8855), .A2 (n_9939), .B1
+       (n_8853), .B2 (n_10132), .Y (n_10196));
+  sky130_fd_sc_hd__o22ai_1 g340813(.A1 (n_8866), .A2 (n_10108), .B1
+       (n_8933), .B2 (n_9919), .Y (n_10195));
+  sky130_fd_sc_hd__o22ai_1 g340814(.A1 (n_8866), .A2 (n_10105), .B1
+       (n_8933), .B2 (n_9915), .Y (n_10194));
+  sky130_fd_sc_hd__o22ai_1 g340815(.A1 (n_8866), .A2 (n_10100), .B1
+       (n_8933), .B2 (n_9910), .Y (n_10193));
+  sky130_fd_sc_hd__o22ai_1 g340816(.A1 (n_8866), .A2 (n_10093), .B1
+       (n_8933), .B2 (n_9818), .Y (n_10192));
+  sky130_fd_sc_hd__o22ai_1 g340817(.A1 (n_8866), .A2 (n_10092), .B1
+       (n_8933), .B2 (n_9903), .Y (n_10191));
+  sky130_fd_sc_hd__o22ai_1 g340818(.A1 (n_8866), .A2 (n_10089), .B1
+       (n_8933), .B2 (n_9901), .Y (n_10190));
+  sky130_fd_sc_hd__o22ai_1 g340819(.A1 (n_8866), .A2 (n_10086), .B1
+       (n_8933), .B2 (n_9898), .Y (n_10189));
+  sky130_fd_sc_hd__o22ai_1 g340820(.A1 (n_8866), .A2 (n_10082), .B1
+       (n_8933), .B2 (n_9893), .Y (n_10188));
+  sky130_fd_sc_hd__o22ai_1 g340821(.A1 (n_8866), .A2 (n_10078), .B1
+       (n_8933), .B2 (n_9816), .Y (n_10187));
+  sky130_fd_sc_hd__o22ai_1 g340822(.A1 (n_8866), .A2 (n_10077), .B1
+       (n_8933), .B2 (n_9888), .Y (n_10186));
+  sky130_fd_sc_hd__o22ai_1 g340823(.A1 (n_8866), .A2 (n_10074), .B1
+       (n_8933), .B2 (n_9886), .Y (n_10185));
+  sky130_fd_sc_hd__o22ai_1 g340824(.A1 (n_8866), .A2 (n_10071), .B1
+       (n_8933), .B2 (n_9884), .Y (n_10184));
+  sky130_fd_sc_hd__o22ai_1 g340825(.A1 (n_8866), .A2 (n_9920), .B1
+       (n_8933), .B2 (n_10110), .Y (n_10183));
+  sky130_fd_sc_hd__o22ai_1 g340826(.A1 (n_8866), .A2 (n_9917), .B1
+       (n_8933), .B2 (n_10107), .Y (n_10182));
+  sky130_fd_sc_hd__o22ai_1 g340827(.A1 (n_8866), .A2 (n_9974), .B1
+       (n_8933), .B2 (n_10127), .Y (n_10181));
+  sky130_fd_sc_hd__o22ai_1 g340828(.A1 (n_8866), .A2 (n_9795), .B1
+       (n_8933), .B2 (n_9979), .Y (n_10180));
+  sky130_fd_sc_hd__o22ai_1 g340829(.A1 (n_8866), .A2 (n_9913), .B1
+       (n_8933), .B2 (n_10103), .Y (n_10179));
+  sky130_fd_sc_hd__o22ai_1 g340830(.A1 (n_8866), .A2 (n_9973), .B1
+       (n_8933), .B2 (n_10102), .Y (n_10178));
+  sky130_fd_sc_hd__o22ai_1 g340831(.A1 (n_8866), .A2 (n_9908), .B1
+       (n_8933), .B2 (n_10099), .Y (n_10177));
+  sky130_fd_sc_hd__o22ai_1 g340832(.A1 (n_8866), .A2 (n_9907), .B1
+       (n_8933), .B2 (n_10130), .Y (n_10176));
+  sky130_fd_sc_hd__o22ai_1 g340833(.A1 (n_8866), .A2 (n_9967), .B1
+       (n_8933), .B2 (n_10097), .Y (n_10175));
+  sky130_fd_sc_hd__o22ai_1 g340834(.A1 (n_8866), .A2 (n_9905), .B1
+       (n_8933), .B2 (n_10095), .Y (n_10174));
+  sky130_fd_sc_hd__o22ai_1 g340835(.A1 (n_8866), .A2 (n_9972), .B1
+       (n_8933), .B2 (n_10091), .Y (n_10173));
+  sky130_fd_sc_hd__o22ai_1 g340836(.A1 (n_8866), .A2 (n_9899), .B1
+       (n_8933), .B2 (n_10088), .Y (n_10172));
+  sky130_fd_sc_hd__o22ai_1 g340837(.A1 (n_8866), .A2 (n_9971), .B1
+       (n_8933), .B2 (n_10131), .Y (n_10171));
+  sky130_fd_sc_hd__o22ai_1 g340838(.A1 (n_8866), .A2 (n_9865), .B1
+       (n_8933), .B2 (n_10039), .Y (n_10170));
+  sky130_fd_sc_hd__o22ai_1 g340839(.A1 (n_8866), .A2 (n_9894), .B1
+       (n_8933), .B2 (n_10084), .Y (n_10169));
+  sky130_fd_sc_hd__o22ai_1 g340840(.A1 (n_8866), .A2 (n_9970), .B1
+       (n_8933), .B2 (n_10081), .Y (n_10168));
+  sky130_fd_sc_hd__o22ai_1 g340841(.A1 (n_8866), .A2 (n_9969), .B1
+       (n_8933), .B2 (n_10076), .Y (n_10167));
+  sky130_fd_sc_hd__o22ai_1 g340842(.A1 (n_8866), .A2 (n_9885), .B1
+       (n_8933), .B2 (n_10073), .Y (n_10166));
+  sky130_fd_sc_hd__o22ai_1 g340843(.A1 (n_8866), .A2 (n_9975), .B1
+       (n_8933), .B2 (n_10070), .Y (n_10165));
+  sky130_fd_sc_hd__o22ai_1 g340844(.A1 (n_9952), .A2 (n_9968), .B1
+       (n_8832), .B2 (n_10045), .Y (n_10164));
+  sky130_fd_sc_hd__o211ai_1 g340845(.A1 (n_13337), .A2 (n_9352), .B1
+       (n_13884), .C1 (n_15901), .Y (n_10163));
+  sky130_fd_sc_hd__a222oi_1 g340846(.A1 (n_13347), .A2 (n_8868), .B1
+       (n_8934), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [7]), .C1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
+       ), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [7]), .Y (n_10162));
+  sky130_fd_sc_hd__a222oi_1 g340847(.A1 (n_13531), .A2 (n_8868), .B1
+       (n_8934), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [2]), .C1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
+       ), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [2]), .Y (n_10161));
+  sky130_fd_sc_hd__a222oi_1 g340848(.A1 (n_13348), .A2 (n_8868), .B1
+       (n_8934), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [3]), .C1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
+       ), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [3]), .Y (n_10160));
+  sky130_fd_sc_hd__a222oi_1 g340849(.A1 (n_13532), .A2 (n_8868), .B1
+       (n_8934), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [4]), .C1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
+       ), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [4]), .Y (n_10159));
+  sky130_fd_sc_hd__a222oi_1 g340850(.A1 (n_13349), .A2 (n_8868), .B1
+       (n_8934), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [5]), .C1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
+       ), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [5]), .Y (n_10158));
+  sky130_fd_sc_hd__a222oi_1 g340851(.A1 (n_13533), .A2 (n_8868), .B1
+       (n_8934), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [6]), .C1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
+       ), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [6]), .Y (n_10157));
+  sky130_fd_sc_hd__nor3b_1 g340852(.A (io_in[31]), .B (io_in[30]), .C_N
+       (n_10139), .Y (n_10248));
+  sky130_fd_sc_hd__inv_2 g340853(.A (n_10153), .Y (n_10152));
+  sky130_fd_sc_hd__o211ai_1 g340854(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[3]), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .B1 (n_8881), .C1
+       (n_9951), .Y (n_10151));
+  sky130_fd_sc_hd__nand2b_1 g340855(.A_N
+       (\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]), .B
+       (u_soc_prog_rst_ni), .Y (n_10150));
+  sky130_fd_sc_hd__nor2_1 g340856(.A (n_640), .B (n_10044), .Y
+       (n_10156));
+  sky130_fd_sc_hd__nand2_1 g340857(.A (n_9341), .B (n_9962), .Y
+       (n_13528));
+  sky130_fd_sc_hd__nand2_1 g340858(.A (n_9342), .B (n_9961), .Y
+       (n_13527));
+  sky130_fd_sc_hd__nand2_1 g340859(.A (n_9343), .B (n_9959), .Y
+       (n_13530));
+  sky130_fd_sc_hd__or3_1 g340860(.A (n_577), .B (n_9351), .C (n_13480),
+       .X (n_13485));
+  sky130_fd_sc_hd__nand2_1 g340861(.A (n_9344), .B (n_9960), .Y
+       (n_13529));
+  sky130_fd_sc_hd__o2111ai_1 g340862(.A1 (n_9010), .A2 (n_8862), .B1
+       (n_8997), .C1 (n_13340), .D1 (n_9324), .Y (n_10155));
+  sky130_fd_sc_hd__nand2_1 g340863(.A (n_10139), .B (io_in[30]), .Y
+       (n_10154));
+  sky130_fd_sc_hd__a21oi_1 g340864(.A1 (n_15904), .A2
+       (u_soc_u_uart_u_uart_core_fifo_read_size[8]), .B1 (n_9353), .Y
+       (u_soc_u_uart_u_uart_core_write_fifo_n_1309));
+  sky130_fd_sc_hd__nand2_1 g340865(.A
+       (\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]), .B
+       (u_soc_prog_rst_ni), .Y (n_10153));
+  sky130_fd_sc_hd__inv_1 g340867(.A (n_10147), .Y (n_13310));
+  sky130_fd_sc_hd__a21oi_1 g340868(.A1 (n_8882), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B1 (n_10045), .Y
+       (n_10144));
+  sky130_fd_sc_hd__or4_1 g340869(.A
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]), .B
+       (n_13461), .C (n_8960), .D
+       (\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]), .X (n_10143));
+  sky130_fd_sc_hd__o2bb2ai_1 g340870(.A1_N
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [7]), .A2_N
+       (n_8980), .B1 (n_8874), .B2 (n_9890), .Y (n_10142));
+  sky130_fd_sc_hd__o32ai_1 g340871(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .A2 (n_8986), .A3
+       (n_9351), .B1 (u_soc_u_top_u_core_alu_operand_b_ex[5]), .B2
+       (n_9940), .Y (n_10141));
+  sky130_fd_sc_hd__or4_1 g340872(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .B (n_577), .C (n_12),
+       .D (n_13473), .X (n_10140));
+  sky130_fd_sc_hd__a32oi_1 g340873(.A1 (n_9782), .A2 (n_8951), .A3
+       (n_8952), .B1 (n_8955), .B2 (n_8947), .Y (n_10148));
+  sky130_fd_sc_hd__or3_1 g340874(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .C (n_13481), .X
+       (n_13479));
+  sky130_fd_sc_hd__o21ai_1 g340875(.A1 (n_8817), .A2 (n_13314), .B1
+       (n_10137), .Y (n_13384));
+  sky130_fd_sc_hd__o21ai_1 g340876(.A1 (n_8818), .A2 (n_13314), .B1
+       (n_10138), .Y (n_13385));
+  sky130_fd_sc_hd__a31oi_1 g340877(.A1 (n_13311), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .A3
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1]
+       [11]), .B1 (n_9965), .Y (n_10147));
+  sky130_fd_sc_hd__or3_1 g340878(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .C (n_9783), .X
+       (n_13474));
+  sky130_fd_sc_hd__o221ai_1 g340879(.A1 (n_8863), .A2 (n_9837), .B1
+       (n_9010), .B2 (n_8864), .C1 (n_8998), .Y (n_10146));
+  sky130_fd_sc_hd__a21oi_1 g340880(.A1 (n_8865), .A2
+       (u_soc_u_top_u_core_lsu_type[1]), .B1 (n_9966), .Y (n_10145));
+  sky130_fd_sc_hd__nand2b_1 g340881(.A_N (n_13317), .B
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [3]), .Y (n_10138));
+  sky130_fd_sc_hd__nand2b_1 g340882(.A_N (n_13317), .B
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [1]), .Y (n_10137));
+  sky130_fd_sc_hd__a221oi_1 g340883(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [4]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [4]), .C1
+       (n_9176), .Y (n_10136));
+  sky130_fd_sc_hd__a221oi_1 g340884(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [4]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77]
+       [4]), .C1 (n_9177), .Y (n_10135));
+  sky130_fd_sc_hd__a221oi_1 g340885(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [3]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65]
+       [3]), .C1 (n_9180), .Y (n_10134));
+  sky130_fd_sc_hd__a221oi_1 g340886(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [3]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [3]), .C1
+       (n_9184), .Y (n_10133));
+  sky130_fd_sc_hd__a221oi_1 g340887(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [5]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [5]), .C1
+       (n_9319), .Y (n_10132));
+  sky130_fd_sc_hd__a21boi_0 g340888(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [5]), .B1_N
+       (n_9762), .Y (n_10131));
+  sky130_fd_sc_hd__a21boi_0 g340889(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [3]), .B1_N
+       (n_9771), .Y (n_10130));
+  sky130_fd_sc_hd__a221oi_1 g340890(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [5]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71]
+       [5]), .C1 (n_9315), .Y (n_10129));
+  sky130_fd_sc_hd__a221oi_1 g340891(.A1 (n_8912), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [5]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [5]), .C1
+       (n_9314), .Y (n_10128));
+  sky130_fd_sc_hd__a21boi_0 g340892(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [1]), .B1_N
+       (n_9778), .Y (n_10127));
+  sky130_fd_sc_hd__a221oi_1 g340893(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [5]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67]
+       [5]), .C1 (n_9312), .Y (n_10126));
+  sky130_fd_sc_hd__a221oi_1 g340894(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [6]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [6]), .C1
+       (n_9311), .Y (n_10125));
+  sky130_fd_sc_hd__a221oi_1 g340895(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [6]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [6]), .C1
+       (n_9308), .Y (n_10124));
+  sky130_fd_sc_hd__a221oi_1 g340896(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [6]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [6]), .C1
+       (n_9307), .Y (n_10123));
+  sky130_fd_sc_hd__a221oi_1 g340897(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [6]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [6]), .C1
+       (n_9305), .Y (n_10122));
+  sky130_fd_sc_hd__a221oi_1 g340898(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [7]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [7]), .C1
+       (n_9303), .Y (n_10121));
+  sky130_fd_sc_hd__a221oi_1 g340899(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [7]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [7]), .C1
+       (n_9300), .Y (n_10120));
+  sky130_fd_sc_hd__a221oi_1 g340900(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [7]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79]
+       [7]), .C1 (n_9297), .Y (n_10119));
+  sky130_fd_sc_hd__a221oi_1 g340901(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [7]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [7]), .C1
+       (n_9293), .Y (n_10118));
+  sky130_fd_sc_hd__a221oi_1 g340902(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [1]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [1]), .C1
+       (n_9292), .Y (n_10117));
+  sky130_fd_sc_hd__a221oi_1 g340903(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [8]), .B1
+       (n_8845), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [8]), .C1
+       (n_9274), .Y (n_10116));
+  sky130_fd_sc_hd__a221oi_1 g340904(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [1]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [1]), .C1
+       (n_9289), .Y (n_10115));
+  sky130_fd_sc_hd__a221oi_1 g340905(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [8]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75]
+       [8]), .C1 (n_9288), .Y (n_10114));
+  sky130_fd_sc_hd__a221oi_1 g340906(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [8]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [8]), .C1
+       (n_9285), .Y (n_10113));
+  sky130_fd_sc_hd__a221oi_1 g340907(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [1]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [1]), .C1
+       (n_9282), .Y (n_10112));
+  sky130_fd_sc_hd__a221oi_1 g340908(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [8]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [8]), .C1
+       (n_9283), .Y (n_10111));
+  sky130_fd_sc_hd__a21boi_0 g340909(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [1]), .B1_N
+       (n_9781), .Y (n_10110));
+  sky130_fd_sc_hd__a221oi_1 g340910(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [1]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [1]), .C1
+       (n_9276), .Y (n_10109));
+  sky130_fd_sc_hd__a21boi_0 g340911(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [1]), .B1_N
+       (n_9780), .Y (n_10108));
+  sky130_fd_sc_hd__a21boi_0 g340912(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [1]), .B1_N
+       (n_9779), .Y (n_10107));
+  sky130_fd_sc_hd__a221oi_1 g340913(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [2]), .B1
+       (n_8845), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [2]), .C1
+       (n_9273), .Y (n_10106));
+  sky130_fd_sc_hd__a21boi_0 g340914(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [2]), .B1_N
+       (n_9777), .Y (n_10105));
+  sky130_fd_sc_hd__a221oi_1 g340915(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [2]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [2]), .C1
+       (n_9269), .Y (n_10104));
+  sky130_fd_sc_hd__a21boi_0 g340916(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [2]), .B1_N
+       (n_9775), .Y (n_10103));
+  sky130_fd_sc_hd__a21boi_0 g340917(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [2]), .B1_N
+       (n_9774), .Y (n_10102));
+  sky130_fd_sc_hd__a221oi_1 g340918(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [2]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [2]), .C1
+       (n_9267), .Y (n_10101));
+  sky130_fd_sc_hd__a21boi_0 g340919(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [3]), .B1_N
+       (n_9773), .Y (n_10100));
+  sky130_fd_sc_hd__a21boi_0 g340920(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [3]), .B1_N
+       (n_9772), .Y (n_10099));
+  sky130_fd_sc_hd__a221oi_1 g340921(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [2]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80]
+       [2]), .C1 (n_9253), .Y (n_10098));
+  sky130_fd_sc_hd__a21boi_0 g340922(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [3]), .B1_N
+       (n_9770), .Y (n_10097));
+  sky130_fd_sc_hd__a221oi_1 g340923(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [3]), .B1
+       (n_8845), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [3]), .C1
+       (n_9260), .Y (n_10096));
+  sky130_fd_sc_hd__a21boi_0 g340924(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [4]), .B1_N
+       (n_9769), .Y (n_10095));
+  sky130_fd_sc_hd__a221oi_1 g340925(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [3]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [3]), .C1
+       (n_9258), .Y (n_10094));
+  sky130_fd_sc_hd__a21boi_0 g340926(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [4]), .B1_N
+       (n_9768), .Y (n_10093));
+  sky130_fd_sc_hd__a21boi_0 g340927(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [4]), .B1_N
+       (n_9767), .Y (n_10092));
+  sky130_fd_sc_hd__a21boi_0 g340928(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [4]), .B1_N
+       (n_9766), .Y (n_10091));
+  sky130_fd_sc_hd__a221oi_1 g340929(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [3]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84]
+       [3]), .C1 (n_9254), .Y (n_10090));
+  sky130_fd_sc_hd__a21boi_0 g340930(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [5]), .B1_N
+       (n_9765), .Y (n_10089));
+  sky130_fd_sc_hd__a21boi_0 g340931(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [5]), .B1_N
+       (n_9764), .Y (n_10088));
+  sky130_fd_sc_hd__a221oi_1 g340932(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [3]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80]
+       [3]), .C1 (n_9052), .Y (n_10087));
+  sky130_fd_sc_hd__a21boi_0 g340933(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [5]), .B1_N
+       (n_9763), .Y (n_10086));
+  sky130_fd_sc_hd__a221oi_1 g340934(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [4]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92]
+       [4]), .C1 (n_9170), .Y (n_10085));
+  sky130_fd_sc_hd__a21boi_0 g340935(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [6]), .B1_N
+       (n_9760), .Y (n_10084));
+  sky130_fd_sc_hd__a221oi_1 g340936(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [4]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [4]), .C1
+       (n_9244), .Y (n_10083));
+  sky130_fd_sc_hd__a21boi_0 g340937(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [6]), .B1_N
+       (n_9759), .Y (n_10082));
+  sky130_fd_sc_hd__a21boi_0 g340938(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [6]), .B1_N
+       (n_9758), .Y (n_10081));
+  sky130_fd_sc_hd__a221oi_1 g340939(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [4]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88]
+       [4]), .C1 (n_9241), .Y (n_10080));
+  sky130_fd_sc_hd__a221oi_1 g340940(.A1 (n_8912), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [4]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [4]), .C1
+       (n_9238), .Y (n_10079));
+  sky130_fd_sc_hd__a21boi_0 g340941(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [7]), .B1_N
+       (n_9757), .Y (n_10078));
+  sky130_fd_sc_hd__a21boi_0 g340942(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [7]), .B1_N
+       (n_9756), .Y (n_10077));
+  sky130_fd_sc_hd__a21boi_0 g340943(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [7]), .B1_N
+       (n_9755), .Y (n_10076));
+  sky130_fd_sc_hd__a221oi_1 g340944(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [5]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92]
+       [5]), .C1 (n_9232), .Y (n_10075));
+  sky130_fd_sc_hd__a21boi_0 g340945(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [8]), .B1_N
+       (n_9754), .Y (n_10074));
+  sky130_fd_sc_hd__a21boi_0 g340946(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [8]), .B1_N
+       (n_9753), .Y (n_10073));
+  sky130_fd_sc_hd__a221oi_1 g340947(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [5]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84]
+       [5]), .C1 (n_9216), .Y (n_10072));
+  sky130_fd_sc_hd__a21boi_0 g340948(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [8]), .B1_N
+       (n_9752), .Y (n_10071));
+  sky130_fd_sc_hd__a21boi_0 g340949(.A1 (n_8856), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [8]), .B1_N
+       (n_9751), .Y (n_10070));
+  sky130_fd_sc_hd__a221oi_1 g340950(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [5]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [5]), .C1
+       (n_9226), .Y (n_10069));
+  sky130_fd_sc_hd__a221oi_1 g340951(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [5]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80]
+       [5]), .C1 (n_9223), .Y (n_10068));
+  sky130_fd_sc_hd__a221oi_1 g340952(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [6]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [6]), .C1
+       (n_9221), .Y (n_10067));
+  sky130_fd_sc_hd__a221oi_1 g340953(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [6]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88]
+       [6]), .C1 (n_9218), .Y (n_10066));
+  sky130_fd_sc_hd__a221oi_1 g340954(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [6]), .B1
+       (n_8845), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [6]), .C1
+       (n_9217), .Y (n_10065));
+  sky130_fd_sc_hd__a221oi_1 g340955(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [6]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80]
+       [6]), .C1 (n_9212), .Y (n_10064));
+  sky130_fd_sc_hd__a221oi_1 g340956(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [7]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [7]), .C1
+       (n_9211), .Y (n_10063));
+  sky130_fd_sc_hd__a221oi_1 g340957(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [7]), .B1
+       (n_8845), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [7]), .C1
+       (n_9208), .Y (n_10062));
+  sky130_fd_sc_hd__a221oi_1 g340958(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [7]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84]
+       [7]), .C1 (n_9205), .Y (n_10061));
+  sky130_fd_sc_hd__a221oi_1 g340959(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [7]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80]
+       [7]), .C1 (n_9203), .Y (n_10060));
+  sky130_fd_sc_hd__a221oi_1 g340960(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [8]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88]
+       [8]), .C1 (n_9199), .Y (n_10059));
+  sky130_fd_sc_hd__a221oi_1 g340961(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [8]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [8]), .C1
+       (n_9198), .Y (n_10058));
+  sky130_fd_sc_hd__a221oi_1 g340962(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [8]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [8]), .C1
+       (n_9196), .Y (n_10057));
+  sky130_fd_sc_hd__a221oi_1 g340963(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [8]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [8]), .C1
+       (n_9193), .Y (n_10056));
+  sky130_fd_sc_hd__a221oi_1 g340964(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [1]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [1]), .C1
+       (n_9261), .Y (n_10055));
+  sky130_fd_sc_hd__a221oi_1 g340965(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [1]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69]
+       [1]), .C1 (n_9328), .Y (n_10054));
+  sky130_fd_sc_hd__a221oi_1 g340966(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [1]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [1]), .C1
+       (n_9329), .Y (n_10053));
+  sky130_fd_sc_hd__a221oi_1 g340967(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [1]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97]
+       [1]), .C1 (n_9333), .Y (n_10052));
+  sky130_fd_sc_hd__a221oi_1 g340968(.A1 (n_8912), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [2]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [2]), .C1
+       (n_9336), .Y (n_10051));
+  sky130_fd_sc_hd__a221oi_1 g340969(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [2]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [2]), .C1
+       (n_9338), .Y (n_10050));
+  sky130_fd_sc_hd__a221oi_1 g340970(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [2]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73]
+       [2]), .C1 (n_9346), .Y (n_10049));
+  sky130_fd_sc_hd__a221oi_1 g340971(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [2]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65]
+       [2]), .C1 (n_9102), .Y (n_10048));
+  sky130_fd_sc_hd__a221oi_1 g340972(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [3]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73]
+       [3]), .C1 (n_9188), .Y (n_10047));
+  sky130_fd_sc_hd__a221oi_1 g340973(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [3]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [3]), .C1
+       (n_9186), .Y (n_10046));
+  sky130_fd_sc_hd__nand2b_1 g340974(.A_N (n_13321), .B (n_641), .Y
+       (n_13316));
+  sky130_fd_sc_hd__nand2b_1 g340975(.A_N (n_13344), .B (n_641), .Y
+       (n_13319));
+  sky130_fd_sc_hd__nor2b_1 g340976(.A (io_in[29]), .B_N (n_9950), .Y
+       (n_10139));
+  sky130_fd_sc_hd__nand2_1 g340977(.A (n_13362), .B (n_8819), .Y
+       (\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]));
+  sky130_fd_sc_hd__inv_2 g340978(.A (n_9958), .Y (n_13348));
+  sky130_fd_sc_hd__inv_2 g340979(.A (n_9957), .Y (n_13533));
+  sky130_fd_sc_hd__inv_2 g340980(.A (n_9956), .Y (n_13532));
+  sky130_fd_sc_hd__inv_2 g340981(.A (n_9955), .Y (n_13531));
+  sky130_fd_sc_hd__inv_2 g340982(.A (n_9954), .Y (n_13347));
+  sky130_fd_sc_hd__inv_2 g340983(.A (n_9953), .Y (n_13349));
+  sky130_fd_sc_hd__a221oi_1 g340984(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [4]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [4]), .C1
+       (n_9172), .Y (n_10043));
+  sky130_fd_sc_hd__a221oi_1 g340985(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [4]), .B1
+       (n_8845), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [4]), .C1
+       (n_9169), .Y (n_10042));
+  sky130_fd_sc_hd__a221oi_1 g340986(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [5]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [5]), .C1
+       (n_9166), .Y (n_10041));
+  sky130_fd_sc_hd__a221oi_1 g340987(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [5]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [5]), .C1
+       (n_9165), .Y (n_10040));
+  sky130_fd_sc_hd__a21boi_0 g340988(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [6]), .B1_N
+       (n_9761), .Y (n_10039));
+  sky130_fd_sc_hd__a221oi_1 g340989(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [5]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97]
+       [5]), .C1 (n_9161), .Y (n_10038));
+  sky130_fd_sc_hd__a221oi_1 g340990(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [5]), .B1
+       (n_8845), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [5]), .C1
+       (n_9157), .Y (n_10037));
+  sky130_fd_sc_hd__a221oi_1 g340991(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [6]), .B1
+       (n_8845), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [6]), .C1
+       (n_9155), .Y (n_10036));
+  sky130_fd_sc_hd__a221oi_1 g340992(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [6]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69]
+       [6]), .C1 (n_9152), .Y (n_10035));
+  sky130_fd_sc_hd__a221oi_1 g340993(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [6]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77]
+       [6]), .C1 (n_9149), .Y (n_10034));
+  sky130_fd_sc_hd__a221oi_1 g340994(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [6]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97]
+       [6]), .C1 (n_9148), .Y (n_10033));
+  sky130_fd_sc_hd__a221oi_1 g340995(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [7]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [7]), .C1
+       (n_9144), .Y (n_10032));
+  sky130_fd_sc_hd__a221oi_1 g340996(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [7]), .B1
+       (n_8845), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [7]), .C1
+       (n_9143), .Y (n_10031));
+  sky130_fd_sc_hd__a221oi_1 g340997(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [7]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [7]), .C1
+       (n_9138), .Y (n_10030));
+  sky130_fd_sc_hd__a221oi_1 g340998(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [7]), .B1
+       (n_8845), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [7]), .C1
+       (n_9135), .Y (n_10029));
+  sky130_fd_sc_hd__a221oi_1 g340999(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [8]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [8]), .C1
+       (n_9133), .Y (n_10028));
+  sky130_fd_sc_hd__a221oi_1 g341000(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [8]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [8]), .C1
+       (n_9131), .Y (n_10027));
+  sky130_fd_sc_hd__a221oi_1 g341001(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [8]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [8]), .C1
+       (n_9128), .Y (n_10026));
+  sky130_fd_sc_hd__a221oi_1 g341002(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [8]), .B1
+       (n_8845), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [8]), .C1
+       (n_9125), .Y (n_10025));
+  sky130_fd_sc_hd__a221oi_1 g341003(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [1]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70]
+       [1]), .C1 (n_9123), .Y (n_10024));
+  sky130_fd_sc_hd__a221oi_1 g341004(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [1]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74]
+       [1]), .C1 (n_9120), .Y (n_10023));
+  sky130_fd_sc_hd__a221oi_1 g341005(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [1]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78]
+       [1]), .C1 (n_9118), .Y (n_10022));
+  sky130_fd_sc_hd__a221oi_1 g341006(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [1]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66]
+       [1]), .C1 (n_9115), .Y (n_10021));
+  sky130_fd_sc_hd__a221oi_1 g341007(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [2]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [2]), .C1
+       (n_9114), .Y (n_10020));
+  sky130_fd_sc_hd__a221oi_1 g341008(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [2]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [2]), .C1
+       (n_9108), .Y (n_10019));
+  sky130_fd_sc_hd__a221oi_1 g341009(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [2]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [2]), .C1
+       (n_9106), .Y (n_10018));
+  sky130_fd_sc_hd__a221oi_1 g341010(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [2]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [2]), .C1
+       (n_9104), .Y (n_10017));
+  sky130_fd_sc_hd__a221oi_1 g341011(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [3]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78]
+       [3]), .C1 (n_9101), .Y (n_10016));
+  sky130_fd_sc_hd__a221oi_1 g341012(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [3]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [3]), .C1
+       (n_9099), .Y (n_10015));
+  sky130_fd_sc_hd__a221oi_1 g341013(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [3]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70]
+       [3]), .C1 (n_9096), .Y (n_10014));
+  sky130_fd_sc_hd__a221oi_1 g341014(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [3]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66]
+       [3]), .C1 (n_9093), .Y (n_10013));
+  sky130_fd_sc_hd__a221oi_1 g341015(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [4]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [4]), .C1
+       (n_9092), .Y (n_10012));
+  sky130_fd_sc_hd__a221oi_1 g341016(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [4]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [4]), .C1
+       (n_9090), .Y (n_10011));
+  sky130_fd_sc_hd__a221oi_1 g341017(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [4]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [4]), .C1
+       (n_9088), .Y (n_10010));
+  sky130_fd_sc_hd__a221oi_1 g341018(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [4]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66]
+       [4]), .C1 (n_9085), .Y (n_10009));
+  sky130_fd_sc_hd__a221oi_1 g341019(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [5]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78]
+       [5]), .C1 (n_9083), .Y (n_10008));
+  sky130_fd_sc_hd__a221oi_1 g341020(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [5]), .B1
+       (n_8845), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [5]), .C1
+       (n_9082), .Y (n_10007));
+  sky130_fd_sc_hd__a221oi_1 g341021(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [5]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [5]), .C1
+       (n_9079), .Y (n_10006));
+  sky130_fd_sc_hd__a221oi_1 g341022(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [5]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98]
+       [5]), .C1 (n_9078), .Y (n_10005));
+  sky130_fd_sc_hd__a221oi_1 g341023(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [6]), .B1
+       (n_8845), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [6]), .C1
+       (n_9076), .Y (n_10004));
+  sky130_fd_sc_hd__a221oi_1 g341024(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [6]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [6]), .C1
+       (n_9074), .Y (n_10003));
+  sky130_fd_sc_hd__a221oi_1 g341025(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [6]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [6]), .C1
+       (n_9072), .Y (n_10002));
+  sky130_fd_sc_hd__a221oi_1 g341026(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [6]), .B1
+       (n_8845), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [6]), .C1
+       (n_9069), .Y (n_10001));
+  sky130_fd_sc_hd__a221oi_1 g341027(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [7]), .B1
+       (n_8845), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [7]), .C1
+       (n_9066), .Y (n_10000));
+  sky130_fd_sc_hd__a221oi_1 g341028(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [7]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [7]), .C1
+       (n_9065), .Y (n_9999));
+  sky130_fd_sc_hd__a221oi_1 g341029(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [7]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [7]), .C1
+       (n_9063), .Y (n_9998));
+  sky130_fd_sc_hd__a221oi_1 g341030(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [7]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [7]), .C1
+       (n_9059), .Y (n_9997));
+  sky130_fd_sc_hd__a221oi_1 g341031(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [8]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78]
+       [8]), .C1 (n_9057), .Y (n_9996));
+  sky130_fd_sc_hd__a221oi_1 g341032(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [8]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [8]), .C1
+       (n_9056), .Y (n_9995));
+  sky130_fd_sc_hd__a221oi_1 g341033(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [8]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70]
+       [8]), .C1 (n_9053), .Y (n_9994));
+  sky130_fd_sc_hd__a221oi_1 g341034(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [8]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98]
+       [8]), .C1 (n_9051), .Y (n_9993));
+  sky130_fd_sc_hd__a221oi_1 g341035(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [1]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95]
+       [1]), .C1 (n_9048), .Y (n_9992));
+  sky130_fd_sc_hd__a221oi_1 g341036(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [1]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87]
+       [1]), .C1 (n_9046), .Y (n_9991));
+  sky130_fd_sc_hd__a221oi_1 g341037(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [1]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [1]), .C1
+       (n_9045), .Y (n_9990));
+  sky130_fd_sc_hd__a221oi_1 g341038(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [1]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [1]), .C1
+       (n_9042), .Y (n_9989));
+  sky130_fd_sc_hd__a221oi_1 g341039(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [2]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [2]), .C1
+       (n_9041), .Y (n_9988));
+  sky130_fd_sc_hd__a221oi_1 g341040(.A1 (n_8912), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [2]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [2]), .C1
+       (n_9039), .Y (n_9987));
+  sky130_fd_sc_hd__a221oi_1 g341041(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [2]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [2]), .C1
+       (n_9037), .Y (n_9986));
+  sky130_fd_sc_hd__a221oi_1 g341042(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [2]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99]
+       [2]), .C1 (n_9035), .Y (n_9985));
+  sky130_fd_sc_hd__a221oi_1 g341043(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [3]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75]
+       [3]), .C1 (n_9032), .Y (n_9984));
+  sky130_fd_sc_hd__a221oi_1 g341044(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [3]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71]
+       [3]), .C1 (n_9029), .Y (n_9983));
+  sky130_fd_sc_hd__a221oi_1 g341045(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [3]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79]
+       [3]), .C1 (n_9027), .Y (n_9982));
+  sky130_fd_sc_hd__a221oi_1 g341046(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [3]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [3]), .C1
+       (n_9024), .Y (n_9981));
+  sky130_fd_sc_hd__a221oi_1 g341047(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [4]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [4]), .C1
+       (n_9023), .Y (n_9980));
+  sky130_fd_sc_hd__a21boi_0 g341048(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [2]), .B1_N
+       (n_9776), .Y (n_9979));
+  sky130_fd_sc_hd__a221oi_1 g341049(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [4]), .B1
+       (n_8845), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [4]), .C1
+       (n_9021), .Y (n_9978));
+  sky130_fd_sc_hd__a221oi_1 g341050(.A1 (n_8912), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [4]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [4]), .C1
+       (n_9019), .Y (n_9977));
+  sky130_fd_sc_hd__a221oi_1 g341051(.A1 (n_8911), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [4]), .B1
+       (n_8844), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [4]), .C1
+       (n_9017), .Y (n_9976));
+  sky130_fd_sc_hd__a221oi_1 g341052(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [8]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [8]), .C1
+       (n_9600), .Y (n_9975));
+  sky130_fd_sc_hd__a221oi_1 g341053(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [1]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [1]), .C1
+       (n_9690), .Y (n_9974));
+  sky130_fd_sc_hd__a221oi_1 g341054(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [2]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [2]), .C1
+       (n_9941), .Y (n_9973));
+  sky130_fd_sc_hd__a221oi_1 g341055(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [4]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [4]), .C1
+       (n_9651), .Y (n_9972));
+  sky130_fd_sc_hd__a221oi_1 g341056(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [5]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [5]), .C1
+       (n_9636), .Y (n_9971));
+  sky130_fd_sc_hd__a221oi_1 g341057(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [6]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [6]), .C1
+       (n_9623), .Y (n_9970));
+  sky130_fd_sc_hd__a221oi_1 g341058(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [7]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [7]), .C1
+       (n_9612), .Y (n_9969));
+  sky130_fd_sc_hd__a2bb2oi_1 g341059(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .A2_N (n_577), .B1
+       (n_8954), .B2 (n_8881), .Y (n_9968));
+  sky130_fd_sc_hd__a221oi_1 g341060(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [3]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [3]), .C1
+       (n_9666), .Y (n_9967));
+  sky130_fd_sc_hd__o22ai_1 g341061(.A1 (n_9331), .A2
+       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .B1 (n_9010), .B2
+       (n_8810), .Y (n_9966));
+  sky130_fd_sc_hd__and3b_1 g341062(.A_N
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B (n_13313), .C
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0]
+       [11]), .X (n_9965));
+  sky130_fd_sc_hd__o21bai_1 g341063(.A1 (n_13466), .A2 (n_9191), .B1_N
+       (n_13491), .Y (n_9964));
+  sky130_fd_sc_hd__o21ai_0 g341064(.A1 (io_in[29]), .A2 (n_8823), .B1
+       (n_9950), .Y (n_9963));
+  sky130_fd_sc_hd__a222oi_1 g341065(.A1
+       (u_soc_u_top_u_core_csr_mtvec[9]), .A2 (n_13489), .B1 (n_9007),
+       .B2 (u_soc_u_top_u_core_csr_mepc[9]), .C1 (n_13534), .C2
+       (u_soc_u_top_u_core_csr_depc[9]), .Y (n_9962));
+  sky130_fd_sc_hd__a222oi_1 g341066(.A1
+       (u_soc_u_top_u_core_csr_mtvec[8]), .A2 (n_13489), .B1 (n_9007),
+       .B2 (u_soc_u_top_u_core_csr_mepc[8]), .C1 (n_13534), .C2
+       (u_soc_u_top_u_core_csr_depc[8]), .Y (n_9961));
+  sky130_fd_sc_hd__a222oi_1 g341067(.A1
+       (u_soc_u_top_u_core_csr_mtvec[10]), .A2 (n_13489), .B1 (n_9007),
+       .B2 (u_soc_u_top_u_core_csr_mepc[10]), .C1 (n_13534), .C2
+       (u_soc_u_top_u_core_csr_depc[10]), .Y (n_9960));
+  sky130_fd_sc_hd__a222oi_1 g341068(.A1
+       (u_soc_u_top_u_core_csr_mtvec[11]), .A2 (n_13489), .B1 (n_9007),
+       .B2 (u_soc_u_top_u_core_csr_mepc[11]), .C1 (n_13534), .C2
+       (u_soc_u_top_u_core_csr_depc[11]), .Y (n_9959));
+  sky130_fd_sc_hd__or4_1 g341069(.A
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .C (u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69), .D
+       (n_8989), .X (n_13882));
+  sky130_fd_sc_hd__a222oi_1 g341070(.A1
+       (u_soc_u_top_u_core_csr_depc[3]), .A2 (n_13534), .B1 (n_9007),
+       .B2 (u_soc_u_top_u_core_csr_mepc[3]), .C1 (n_13745), .C2
+       (n_8983), .Y (n_9958));
+  sky130_fd_sc_hd__a222oi_1 g341071(.A1
+       (u_soc_u_top_u_core_csr_depc[6]), .A2 (n_13534), .B1 (n_9007),
+       .B2 (u_soc_u_top_u_core_csr_mepc[6]), .C1 (n_13748), .C2
+       (n_8983), .Y (n_9957));
+  sky130_fd_sc_hd__a222oi_1 g341072(.A1
+       (u_soc_u_top_u_core_csr_depc[4]), .A2 (n_13534), .B1 (n_9007),
+       .B2 (u_soc_u_top_u_core_csr_mepc[4]), .C1 (n_13746), .C2
+       (n_8983), .Y (n_9956));
+  sky130_fd_sc_hd__a222oi_1 g341073(.A1
+       (u_soc_u_top_u_core_csr_depc[2]), .A2 (n_13534), .B1 (n_9007),
+       .B2 (u_soc_u_top_u_core_csr_mepc[2]), .C1 (n_13744), .C2
+       (n_8983), .Y (n_9955));
+  sky130_fd_sc_hd__a222oi_1 g341074(.A1
+       (u_soc_u_top_u_core_csr_depc[7]), .A2 (n_13534), .B1 (n_9007),
+       .B2 (u_soc_u_top_u_core_csr_mepc[7]), .C1 (n_13749), .C2
+       (n_8983), .Y (n_9954));
+  sky130_fd_sc_hd__a222oi_1 g341075(.A1
+       (u_soc_u_top_u_core_csr_depc[5]), .A2 (n_13534), .B1 (n_9007),
+       .B2 (u_soc_u_top_u_core_csr_mepc[5]), .C1 (n_13747), .C2
+       (n_8983), .Y (n_9953));
+  sky130_fd_sc_hd__or4_1 g341076(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .C (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), .D
+       (n_8994), .X (n_13884));
+  sky130_fd_sc_hd__or3_1 g341077(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .B (n_633), .C
+       (n_13482), .X (n_10045));
+  sky130_fd_sc_hd__o22ai_1 g341080(.A1
+       (u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q), .A2
+       (n_9323), .B1 (n_8814), .B2 (n_8864), .Y (n_10044));
+  sky130_fd_sc_hd__inv_1 g341081(.A (n_9951), .Y (n_9952));
+  sky130_fd_sc_hd__a221oi_1 g341082(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [7]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [7]), .C1
+       (n_9062), .Y (n_9949));
+  sky130_fd_sc_hd__a22oi_1 g341083(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [2]), .B1
+       (n_8976), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96]
+       [2]), .Y (n_9948));
+  sky130_fd_sc_hd__a22oi_1 g341084(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [3]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [3]), .Y
+       (n_9947));
+  sky130_fd_sc_hd__a22oi_1 g341085(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [2]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [2]), .Y
+       (n_9946));
+  sky130_fd_sc_hd__a22oi_1 g341086(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [2]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [2]), .Y
+       (n_9945));
+  sky130_fd_sc_hd__a22oi_1 g341087(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [3]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [3]), .Y
+       (n_9944));
+  sky130_fd_sc_hd__a22oi_1 g341088(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [5]), .B1
+       (n_8978), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [5]), .Y
+       (n_9943));
+  sky130_fd_sc_hd__a22oi_1 g341089(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [3]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [3]), .Y
+       (n_9942));
+  sky130_fd_sc_hd__a22o_1 g341090(.A1 (n_9008), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [2]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4]
+       [2]), .X (n_9941));
+  sky130_fd_sc_hd__nand3_1 g341091(.A (n_9013), .B (n_8882), .C
+       (n_8988), .Y (n_9940));
+  sky130_fd_sc_hd__a221oi_1 g341092(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [5]), .C1
+       (n_9317), .Y (n_9939));
+  sky130_fd_sc_hd__a221oi_1 g341093(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [5]), .C1
+       (n_9316), .Y (n_9938));
+  sky130_fd_sc_hd__a221oi_1 g341094(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [5]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [5]), .C1
+       (n_9348), .Y (n_9937));
+  sky130_fd_sc_hd__a221oi_1 g341095(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [5]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [5]), .C1
+       (n_9313), .Y (n_9936));
+  sky130_fd_sc_hd__a221oi_1 g341096(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [6]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [6]), .C1
+       (n_9310), .Y (n_9935));
+  sky130_fd_sc_hd__a221oi_1 g341097(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [6]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [6]), .C1
+       (n_9309), .Y (n_9934));
+  sky130_fd_sc_hd__a221oi_1 g341098(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [6]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [6]), .C1
+       (n_9306), .Y (n_9933));
+  sky130_fd_sc_hd__a221oi_1 g341099(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [6]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [6]), .C1
+       (n_9304), .Y (n_9932));
+  sky130_fd_sc_hd__a221oi_1 g341100(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [7]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [7]), .C1
+       (n_9302), .Y (n_9931));
+  sky130_fd_sc_hd__a221oi_1 g341101(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [7]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [7]), .C1
+       (n_9301), .Y (n_9930));
+  sky130_fd_sc_hd__a221oi_1 g341102(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [7]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [7]), .C1
+       (n_9298), .Y (n_9929));
+  sky130_fd_sc_hd__a221oi_1 g341103(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [1]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [1]), .C1
+       (n_9294), .Y (n_9928));
+  sky130_fd_sc_hd__a221oi_1 g341104(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [7]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [7]), .C1
+       (n_9295), .Y (n_9927));
+  sky130_fd_sc_hd__a221oi_1 g341105(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [8]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [8]), .C1
+       (n_9291), .Y (n_9926));
+  sky130_fd_sc_hd__a221oi_1 g341106(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [8]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [8]), .C1
+       (n_9290), .Y (n_9925));
+  sky130_fd_sc_hd__a221oi_1 g341107(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [1]), .C1
+       (n_9287), .Y (n_9924));
+  sky130_fd_sc_hd__a221oi_1 g341108(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [8]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [8]), .C1
+       (n_9286), .Y (n_9923));
+  sky130_fd_sc_hd__a221oi_1 g341109(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [8]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [8]), .C1
+       (n_9284), .Y (n_9922));
+  sky130_fd_sc_hd__a221oi_1 g341110(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [1]), .C1
+       (n_9281), .Y (n_9921));
+  sky130_fd_sc_hd__a221oi_1 g341111(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [1]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [1]), .C1
+       (n_9279), .Y (n_9920));
+  sky130_fd_sc_hd__a221oi_1 g341112(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [1]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [1]), .C1
+       (n_9278), .Y (n_9919));
+  sky130_fd_sc_hd__a221oi_1 g341113(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [1]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [1]), .C1
+       (n_9236), .Y (n_9918));
+  sky130_fd_sc_hd__a221oi_1 g341114(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [1]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [1]), .C1
+       (n_9275), .Y (n_9917));
+  sky130_fd_sc_hd__a221oi_1 g341115(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [2]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [2]), .C1
+       (n_9249), .Y (n_9916));
+  sky130_fd_sc_hd__a221oi_1 g341116(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [2]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [2]), .C1
+       (n_9272), .Y (n_9915));
+  sky130_fd_sc_hd__a221oi_1 g341117(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [2]), .C1
+       (n_9270), .Y (n_9914));
+  sky130_fd_sc_hd__a221oi_1 g341118(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [2]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [2]), .C1
+       (n_9268), .Y (n_9913));
+  sky130_fd_sc_hd__a221oi_1 g341119(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [5]), .C1
+       (n_9229), .Y (n_9912));
+  sky130_fd_sc_hd__a221oi_1 g341120(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [2]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [2]), .C1
+       (n_9266), .Y (n_9911));
+  sky130_fd_sc_hd__a221oi_1 g341121(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [3]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [3]), .C1
+       (n_9265), .Y (n_9910));
+  sky130_fd_sc_hd__a221oi_1 g341122(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [2]), .C1
+       (n_9262), .Y (n_9909));
+  sky130_fd_sc_hd__a221oi_1 g341123(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [3]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [3]), .C1
+       (n_9263), .Y (n_9908));
+  sky130_fd_sc_hd__a221oi_1 g341124(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [3]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [3]), .C1
+       (n_9031), .Y (n_9907));
+  sky130_fd_sc_hd__a221oi_1 g341125(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [3]), .C1
+       (n_9318), .Y (n_9906));
+  sky130_fd_sc_hd__a221oi_1 g341126(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [4]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [4]), .C1
+       (n_9259), .Y (n_9905));
+  sky130_fd_sc_hd__a221oi_1 g341127(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [3]), .C1
+       (n_9257), .Y (n_9904));
+  sky130_fd_sc_hd__a221oi_1 g341128(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [4]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [4]), .C1
+       (n_9256), .Y (n_9903));
+  sky130_fd_sc_hd__a221oi_1 g341129(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [3]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [3]), .C1
+       (n_9235), .Y (n_9902));
+  sky130_fd_sc_hd__a221oi_1 g341130(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [5]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [5]), .C1
+       (n_9255), .Y (n_9901));
+  sky130_fd_sc_hd__a221oi_1 g341131(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [3]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [3]), .C1
+       (n_9250), .Y (n_9900));
+  sky130_fd_sc_hd__a221oi_1 g341132(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [5]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [5]), .C1
+       (n_9251), .Y (n_9899));
+  sky130_fd_sc_hd__a221oi_1 g341133(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [5]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [5]), .C1
+       (n_9247), .Y (n_9898));
+  sky130_fd_sc_hd__a221oi_1 g341134(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [4]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [4]), .C1
+       (n_9264), .Y (n_9897));
+  sky130_fd_sc_hd__a221oi_1 g341135(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [4]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [4]), .C1
+       (n_9061), .Y (n_9896));
+  sky130_fd_sc_hd__a221oi_1 g341136(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [4]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [4]), .C1
+       (n_9139), .Y (n_9895));
+  sky130_fd_sc_hd__a221oi_1 g341137(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [6]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [6]), .C1
+       (n_9245), .Y (n_9894));
+  sky130_fd_sc_hd__a221oi_1 g341138(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [6]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [6]), .C1
+       (n_9243), .Y (n_9893));
+  sky130_fd_sc_hd__a221oi_1 g341139(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [7]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [7]), .C1
+       (n_9242), .Y (n_9892));
+  sky130_fd_sc_hd__a221oi_1 g341140(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [7]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [7]), .C1
+       (n_9240), .Y (n_9891));
+  sky130_fd_sc_hd__a221oi_1 g341141(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [7]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [7]), .C1
+       (n_9068), .Y (n_9890));
+  sky130_fd_sc_hd__a221oi_1 g341142(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [4]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [4]), .C1
+       (n_9237), .Y (n_9889));
+  sky130_fd_sc_hd__a221oi_1 g341143(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [7]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [7]), .C1
+       (n_9234), .Y (n_9888));
+  sky130_fd_sc_hd__a221oi_1 g341144(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [5]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [5]), .C1
+       (n_9233), .Y (n_9887));
+  sky130_fd_sc_hd__a221oi_1 g341145(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [8]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [8]), .C1
+       (n_9230), .Y (n_9886));
+  sky130_fd_sc_hd__a221oi_1 g341146(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [8]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [8]), .C1
+       (n_9228), .Y (n_9885));
+  sky130_fd_sc_hd__a221oi_1 g341147(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [8]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [8]), .C1
+       (n_9227), .Y (n_9884));
+  sky130_fd_sc_hd__a221oi_1 g341148(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [5]), .C1
+       (n_9225), .Y (n_9883));
+  sky130_fd_sc_hd__a221oi_1 g341149(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [5]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [5]), .C1
+       (n_9224), .Y (n_9882));
+  sky130_fd_sc_hd__a221oi_1 g341150(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [6]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [6]), .C1
+       (n_9220), .Y (n_9881));
+  sky130_fd_sc_hd__a221oi_1 g341151(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [6]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [6]), .C1
+       (n_9219), .Y (n_9880));
+  sky130_fd_sc_hd__a221oi_1 g341152(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [6]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [6]), .C1
+       (n_9215), .Y (n_9879));
+  sky130_fd_sc_hd__a221oi_1 g341153(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [6]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [6]), .C1
+       (n_9213), .Y (n_9878));
+  sky130_fd_sc_hd__a221oi_1 g341154(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [7]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [7]), .C1
+       (n_9210), .Y (n_9877));
+  sky130_fd_sc_hd__a221oi_1 g341155(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [7]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [7]), .C1
+       (n_9209), .Y (n_9876));
+  sky130_fd_sc_hd__a221oi_1 g341156(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [7]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [7]), .C1
+       (n_9206), .Y (n_9875));
+  sky130_fd_sc_hd__a221oi_1 g341157(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [7]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [7]), .C1
+       (n_9204), .Y (n_9874));
+  sky130_fd_sc_hd__a221oi_1 g341158(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [8]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [8]), .C1
+       (n_9200), .Y (n_9873));
+  sky130_fd_sc_hd__a221oi_1 g341159(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [8]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [8]), .C1
+       (n_9197), .Y (n_9872));
+  sky130_fd_sc_hd__a221oi_1 g341160(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [8]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [8]), .C1
+       (n_9195), .Y (n_9871));
+  sky130_fd_sc_hd__a221oi_1 g341161(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [8]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [8]), .C1
+       (n_9192), .Y (n_9870));
+  sky130_fd_sc_hd__a221oi_1 g341162(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [1]), .C1
+       (n_9320), .Y (n_9869));
+  sky130_fd_sc_hd__a221oi_1 g341163(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [1]), .C1
+       (n_9327), .Y (n_9868));
+  sky130_fd_sc_hd__a221oi_1 g341164(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [1]), .C1
+       (n_9330), .Y (n_9867));
+  sky130_fd_sc_hd__a221oi_1 g341165(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [1]), .C1
+       (n_9334), .Y (n_9866));
+  sky130_fd_sc_hd__a221oi_1 g341166(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [6]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [6]), .C1
+       (n_9246), .Y (n_9865));
+  sky130_fd_sc_hd__a221oi_1 g341167(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [2]), .C1
+       (n_9335), .Y (n_9864));
+  sky130_fd_sc_hd__a221oi_1 g341168(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [2]), .C1
+       (n_9340), .Y (n_9863));
+  sky130_fd_sc_hd__a221oi_1 g341169(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [2]), .C1
+       (n_9345), .Y (n_9862));
+  sky130_fd_sc_hd__a221oi_1 g341170(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [2]), .C1
+       (n_9015), .Y (n_9861));
+  sky130_fd_sc_hd__a221oi_1 g341171(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [3]), .C1
+       (n_9189), .Y (n_9860));
+  sky130_fd_sc_hd__a221oi_1 g341172(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [3]), .C1
+       (n_9185), .Y (n_9859));
+  sky130_fd_sc_hd__a221oi_1 g341173(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [3]), .C1
+       (n_9183), .Y (n_9858));
+  sky130_fd_sc_hd__a221oi_1 g341174(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [3]), .C1
+       (n_9181), .Y (n_9857));
+  sky130_fd_sc_hd__a221oi_1 g341175(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [4]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [4]), .C1
+       (n_9178), .Y (n_9856));
+  sky130_fd_sc_hd__a221oi_1 g341176(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [4]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [4]), .C1
+       (n_9175), .Y (n_9855));
+  sky130_fd_sc_hd__a221oi_1 g341177(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [4]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [4]), .C1
+       (n_9173), .Y (n_9854));
+  sky130_fd_sc_hd__a221oi_1 g341178(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [4]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [4]), .C1
+       (n_9171), .Y (n_9853));
+  sky130_fd_sc_hd__a221oi_1 g341179(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [5]), .C1
+       (n_9167), .Y (n_9852));
+  sky130_fd_sc_hd__a221oi_1 g341180(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [5]), .C1
+       (n_9164), .Y (n_9851));
+  sky130_fd_sc_hd__a221oi_1 g341181(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [5]), .C1
+       (n_9160), .Y (n_9850));
+  sky130_fd_sc_hd__a221oi_1 g341182(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [5]), .C1
+       (n_9158), .Y (n_9849));
+  sky130_fd_sc_hd__a221oi_1 g341183(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [6]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [6]), .C1
+       (n_9154), .Y (n_9848));
+  sky130_fd_sc_hd__a221oi_1 g341184(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [6]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [6]), .C1
+       (n_9153), .Y (n_9847));
+  sky130_fd_sc_hd__a221oi_1 g341185(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [6]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [6]), .C1
+       (n_9150), .Y (n_9846));
+  sky130_fd_sc_hd__a221oi_1 g341186(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [6]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [6]), .C1
+       (n_9147), .Y (n_9845));
+  sky130_fd_sc_hd__a221oi_1 g341187(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [7]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [7]), .C1
+       (n_9145), .Y (n_9844));
+  sky130_fd_sc_hd__a221oi_1 g341188(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [7]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [7]), .C1
+       (n_9141), .Y (n_9843));
+  sky130_fd_sc_hd__a221oi_1 g341189(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [7]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [7]), .C1
+       (n_9140), .Y (n_9842));
+  sky130_fd_sc_hd__a221oi_1 g341190(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [7]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [7]), .C1
+       (n_9136), .Y (n_9841));
+  sky130_fd_sc_hd__a221oi_1 g341191(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [8]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [8]), .C1
+       (n_9134), .Y (n_9840));
+  sky130_fd_sc_hd__a221oi_1 g341192(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [8]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [8]), .C1
+       (n_9130), .Y (n_9839));
+  sky130_fd_sc_hd__a221oi_1 g341193(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [8]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [8]), .C1
+       (n_9129), .Y (n_9838));
+  sky130_fd_sc_hd__a21oi_1 g341194(.A1 (n_8861), .A2 (n_9012), .B1
+       (n_9011), .Y (n_9837));
+  sky130_fd_sc_hd__a221oi_1 g341195(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [8]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [8]), .C1
+       (n_9126), .Y (n_9836));
+  sky130_fd_sc_hd__a221oi_1 g341196(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [1]), .C1
+       (n_9124), .Y (n_9835));
+  sky130_fd_sc_hd__a221oi_1 g341197(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [1]), .C1
+       (n_9122), .Y (n_9834));
+  sky130_fd_sc_hd__a221oi_1 g341198(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [1]), .C1
+       (n_9119), .Y (n_9833));
+  sky130_fd_sc_hd__a221oi_1 g341199(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [1]), .C1
+       (n_9116), .Y (n_9832));
+  sky130_fd_sc_hd__a221oi_1 g341200(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [2]), .C1
+       (n_9112), .Y (n_9831));
+  sky130_fd_sc_hd__a221oi_1 g341201(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [2]), .C1
+       (n_9109), .Y (n_9830));
+  sky130_fd_sc_hd__a221oi_1 g341202(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [2]), .C1
+       (n_9107), .Y (n_9829));
+  sky130_fd_sc_hd__a221oi_1 g341203(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [2]), .C1
+       (n_9103), .Y (n_9828));
+  sky130_fd_sc_hd__a221oi_1 g341204(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [3]), .C1
+       (n_9190), .Y (n_9827));
+  sky130_fd_sc_hd__a221oi_1 g341205(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [3]), .C1
+       (n_9098), .Y (n_9826));
+  sky130_fd_sc_hd__a221oi_1 g341206(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [3]), .C1
+       (n_9097), .Y (n_9825));
+  sky130_fd_sc_hd__a221oi_1 g341207(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [3]), .C1
+       (n_9094), .Y (n_9824));
+  sky130_fd_sc_hd__a221oi_1 g341208(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [4]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [4]), .C1
+       (n_9091), .Y (n_9823));
+  sky130_fd_sc_hd__a221oi_1 g341209(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [4]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [4]), .C1
+       (n_9089), .Y (n_9822));
+  sky130_fd_sc_hd__a221oi_1 g341210(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [4]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [4]), .C1
+       (n_9087), .Y (n_9821));
+  sky130_fd_sc_hd__a221oi_1 g341211(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [4]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [4]), .C1
+       (n_9086), .Y (n_9820));
+  sky130_fd_sc_hd__a221oi_1 g341212(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [5]), .C1
+       (n_9084), .Y (n_9819));
+  sky130_fd_sc_hd__a221oi_1 g341213(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [4]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [4]), .C1
+       (n_9111), .Y (n_9818));
+  sky130_fd_sc_hd__a221oi_1 g341214(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [5]), .C1
+       (n_9081), .Y (n_9817));
+  sky130_fd_sc_hd__a221oi_1 g341215(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [7]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [7]), .C1
+       (n_9239), .Y (n_9816));
+  sky130_fd_sc_hd__a221oi_1 g341216(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [5]), .C1
+       (n_9080), .Y (n_9815));
+  sky130_fd_sc_hd__a221oi_1 g341217(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [5]), .C1
+       (n_9077), .Y (n_9814));
+  sky130_fd_sc_hd__a221oi_1 g341218(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [6]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [6]), .C1
+       (n_9075), .Y (n_9813));
+  sky130_fd_sc_hd__a221oi_1 g341219(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [6]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [6]), .C1
+       (n_9073), .Y (n_9812));
+  sky130_fd_sc_hd__a221oi_1 g341220(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [6]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [6]), .C1
+       (n_9071), .Y (n_9811));
+  sky130_fd_sc_hd__a221oi_1 g341221(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [6]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [6]), .C1
+       (n_9070), .Y (n_9810));
+  sky130_fd_sc_hd__a221oi_1 g341222(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [7]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [7]), .C1
+       (n_9067), .Y (n_9809));
+  sky130_fd_sc_hd__a221oi_1 g341223(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [7]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [7]), .C1
+       (n_9064), .Y (n_9808));
+  sky130_fd_sc_hd__a22oi_1 g341224(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [2]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [2]), .Y
+       (n_9807));
+  sky130_fd_sc_hd__a221oi_1 g341225(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [7]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [7]), .C1
+       (n_9060), .Y (n_9806));
+  sky130_fd_sc_hd__a221oi_1 g341226(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [8]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [8]), .C1
+       (n_9058), .Y (n_9805));
+  sky130_fd_sc_hd__a221oi_1 g341227(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [8]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [8]), .C1
+       (n_9055), .Y (n_9804));
+  sky130_fd_sc_hd__a221oi_1 g341228(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [8]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [8]), .C1
+       (n_9054), .Y (n_9803));
+  sky130_fd_sc_hd__a221oi_1 g341229(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [8]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [8]), .C1
+       (n_9050), .Y (n_9802));
+  sky130_fd_sc_hd__a221oi_1 g341230(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [1]), .C1
+       (n_9049), .Y (n_9801));
+  sky130_fd_sc_hd__a221oi_1 g341231(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [1]), .C1
+       (n_9047), .Y (n_9800));
+  sky130_fd_sc_hd__a221oi_1 g341232(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [1]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [1]), .C1
+       (n_9044), .Y (n_9799));
+  sky130_fd_sc_hd__a221oi_1 g341233(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [1]), .C1
+       (n_9043), .Y (n_9798));
+  sky130_fd_sc_hd__a221oi_1 g341234(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [2]), .C1
+       (n_9040), .Y (n_9797));
+  sky130_fd_sc_hd__a221oi_1 g341235(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [2]), .C1
+       (n_9038), .Y (n_9796));
+  sky130_fd_sc_hd__a221oi_1 g341236(.A1 (n_8857), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [2]), .B1
+       (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [2]), .C1
+       (n_9271), .Y (n_9795));
+  sky130_fd_sc_hd__a221oi_1 g341237(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [2]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [2]), .C1
+       (n_9036), .Y (n_9794));
+  sky130_fd_sc_hd__a221oi_1 g341238(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [2]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [2]), .C1
+       (n_9034), .Y (n_9793));
+  sky130_fd_sc_hd__a221oi_1 g341239(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [3]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [3]), .C1
+       (n_9033), .Y (n_9792));
+  sky130_fd_sc_hd__a221oi_1 g341240(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [3]), .C1
+       (n_9030), .Y (n_9791));
+  sky130_fd_sc_hd__a221oi_1 g341241(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [3]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [3]), .C1
+       (n_9028), .Y (n_9790));
+  sky130_fd_sc_hd__a221oi_1 g341242(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [3]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [3]), .C1
+       (n_9026), .Y (n_9789));
+  sky130_fd_sc_hd__a221oi_1 g341243(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [4]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [4]), .C1
+       (n_9022), .Y (n_9788));
+  sky130_fd_sc_hd__o21ai_0 g341244(.A1 (io_in[28]), .A2 (io_in[29]),
+       .B1 (n_9350), .Y (n_9787));
+  sky130_fd_sc_hd__a221oi_1 g341245(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [4]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [4]), .C1
+       (n_9020), .Y (n_9786));
+  sky130_fd_sc_hd__a221oi_1 g341246(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [4]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [4]), .C1
+       (n_9018), .Y (n_9785));
+  sky130_fd_sc_hd__a221oi_1 g341247(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [4]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [4]), .C1
+       (n_9016), .Y (n_9784));
+  sky130_fd_sc_hd__nand3_1 g341248(.A (n_8881), .B (n_8880), .C
+       (n_8988), .Y (n_9783));
+  sky130_fd_sc_hd__nor4_1 g341249(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[11]), .B
+       (u_soc_main_swith_host_lsu_num_req_outstanding[15]), .C
+       (n_8946), .D (n_8953), .Y (n_9782));
+  sky130_fd_sc_hd__a222oi_1 g341250(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [1]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [1]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [1]), .Y
+       (n_9781));
+  sky130_fd_sc_hd__a222oi_1 g341251(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [1]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [1]), .C1
+       (n_8857), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9]
+       [1]), .Y (n_9780));
+  sky130_fd_sc_hd__a222oi_1 g341252(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [1]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [1]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [1]), .Y
+       (n_9779));
+  sky130_fd_sc_hd__a222oi_1 g341253(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [1]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [1]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [1]), .Y
+       (n_9778));
+  sky130_fd_sc_hd__a222oi_1 g341254(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [2]), .A2
+       (n_8857), .B1 (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [2]), .C1
+       (n_690), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21]
+       [2]), .Y (n_9777));
+  sky130_fd_sc_hd__a222oi_1 g341255(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [2]), .A2
+       (n_8857), .B1 (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [2]), .C1
+       (n_690), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37]
+       [2]), .Y (n_9776));
+  sky130_fd_sc_hd__a222oi_1 g341256(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [2]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [2]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [2]), .Y
+       (n_9775));
+  sky130_fd_sc_hd__a222oi_1 g341257(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [2]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [2]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [2]), .Y
+       (n_9774));
+  sky130_fd_sc_hd__a222oi_1 g341258(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [3]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [3]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [3]), .Y
+       (n_9773));
+  sky130_fd_sc_hd__a222oi_1 g341259(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [3]), .A2
+       (n_8857), .B1 (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [3]), .C1
+       (n_690), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37]
+       [3]), .Y (n_9772));
+  sky130_fd_sc_hd__a222oi_1 g341260(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [3]), .A2
+       (n_8857), .B1 (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [3]), .C1
+       (n_690), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52]
+       [3]), .Y (n_9771));
+  sky130_fd_sc_hd__a222oi_1 g341261(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [3]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [3]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [3]), .Y
+       (n_9770));
+  sky130_fd_sc_hd__a222oi_1 g341262(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [4]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [4]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [4]), .Y
+       (n_9769));
+  sky130_fd_sc_hd__a222oi_1 g341263(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [4]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [4]), .C1
+       (n_8857), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9]
+       [4]), .Y (n_9768));
+  sky130_fd_sc_hd__a222oi_1 g341264(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [4]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [4]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [4]), .Y
+       (n_9767));
+  sky130_fd_sc_hd__a222oi_1 g341265(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [4]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [4]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [4]), .Y
+       (n_9766));
+  sky130_fd_sc_hd__a222oi_1 g341266(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [5]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [5]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [5]), .Y
+       (n_9765));
+  sky130_fd_sc_hd__a222oi_1 g341267(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [5]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [5]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [5]), .Y
+       (n_9764));
+  sky130_fd_sc_hd__a222oi_1 g341268(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [5]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [5]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [5]), .Y
+       (n_9763));
+  sky130_fd_sc_hd__a222oi_1 g341269(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [5]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [5]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [5]), .Y
+       (n_9762));
+  sky130_fd_sc_hd__a222oi_1 g341270(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [6]), .A2
+       (n_8857), .B1 (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [6]), .C1
+       (n_690), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37]
+       [6]), .Y (n_9761));
+  sky130_fd_sc_hd__a222oi_1 g341271(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [6]), .A2
+       (n_8857), .B1 (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [6]), .C1
+       (n_690), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52]
+       [6]), .Y (n_9760));
+  sky130_fd_sc_hd__a222oi_1 g341272(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [6]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [6]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [6]), .Y
+       (n_9759));
+  sky130_fd_sc_hd__a222oi_1 g341273(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [6]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [6]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [6]), .Y
+       (n_9758));
+  sky130_fd_sc_hd__a222oi_1 g341274(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [7]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [7]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [7]), .Y
+       (n_9757));
+  sky130_fd_sc_hd__a222oi_1 g341275(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [7]), .A2
+       (n_8857), .B1 (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [7]), .C1
+       (n_690), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20]
+       [7]), .Y (n_9756));
+  sky130_fd_sc_hd__a222oi_1 g341276(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [7]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [7]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [7]), .Y
+       (n_9755));
+  sky130_fd_sc_hd__a222oi_1 g341277(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [8]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [8]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [8]), .Y
+       (n_9754));
+  sky130_fd_sc_hd__a222oi_1 g341278(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [8]), .A2
+       (n_8857), .B1 (n_8856), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [8]), .C1
+       (n_690), .C2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37]
+       [8]), .Y (n_9753));
+  sky130_fd_sc_hd__a222oi_1 g341279(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [8]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [8]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [8]), .Y
+       (n_9752));
+  sky130_fd_sc_hd__a222oi_1 g341280(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [8]), .A2
+       (n_831), .B1 (n_690), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [8]), .C1
+       (n_8857), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [8]), .Y
+       (n_9751));
+  sky130_fd_sc_hd__and4b_1 g341281(.A_N
+       (u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[2]), .B
+       (n_8992), .C
+       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
+       .D (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q
+       ), .X (n_13676));
+  sky130_fd_sc_hd__a22oi_1 g341283(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [2]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [2]), .Y
+       (n_9749));
+  sky130_fd_sc_hd__a22oi_1 g341284(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [5]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [5]), .Y
+       (n_9748));
+  sky130_fd_sc_hd__a22oi_1 g341285(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [5]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [5]), .Y
+       (n_9747));
+  sky130_fd_sc_hd__a22oi_1 g341286(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [5]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [5]), .Y
+       (n_9746));
+  sky130_fd_sc_hd__a22oi_1 g341287(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [5]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [5]), .Y
+       (n_9745));
+  sky130_fd_sc_hd__a22oi_1 g341288(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [5]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [5]), .Y
+       (n_9744));
+  sky130_fd_sc_hd__a22oi_1 g341289(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [5]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [5]), .Y
+       (n_9743));
+  sky130_fd_sc_hd__a22oi_1 g341290(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [5]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [5]), .Y
+       (n_9742));
+  sky130_fd_sc_hd__a22oi_1 g341291(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [6]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [6]), .Y
+       (n_9741));
+  sky130_fd_sc_hd__a22oi_1 g341292(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [6]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [6]), .Y
+       (n_9740));
+  sky130_fd_sc_hd__a22oi_1 g341293(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [6]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [6]), .Y
+       (n_9739));
+  sky130_fd_sc_hd__a22oi_1 g341294(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [6]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [6]), .Y
+       (n_9738));
+  sky130_fd_sc_hd__a22oi_1 g341295(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [8]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [8]), .Y
+       (n_9737));
+  sky130_fd_sc_hd__a22oi_1 g341296(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [6]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [6]), .Y
+       (n_9736));
+  sky130_fd_sc_hd__a22oi_1 g341297(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [6]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [6]), .Y
+       (n_9735));
+  sky130_fd_sc_hd__a22oi_1 g341298(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [6]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [6]), .Y
+       (n_9734));
+  sky130_fd_sc_hd__a22oi_1 g341299(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [6]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [6]), .Y
+       (n_9733));
+  sky130_fd_sc_hd__a22oi_1 g341300(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [6]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [6]), .Y
+       (n_9732));
+  sky130_fd_sc_hd__a22oi_1 g341301(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [6]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [6]), .Y
+       (n_9731));
+  sky130_fd_sc_hd__a22oi_1 g341302(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [7]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [7]), .Y
+       (n_9730));
+  sky130_fd_sc_hd__a22oi_1 g341303(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [7]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [7]), .Y
+       (n_9729));
+  sky130_fd_sc_hd__a22oi_1 g341304(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [7]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [7]), .Y
+       (n_9728));
+  sky130_fd_sc_hd__a22oi_1 g341305(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [7]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [7]), .Y
+       (n_9727));
+  sky130_fd_sc_hd__a22oi_1 g341306(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [7]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [7]), .Y
+       (n_9726));
+  sky130_fd_sc_hd__a22oi_1 g341307(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [7]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [7]), .Y
+       (n_9725));
+  sky130_fd_sc_hd__a22oi_1 g341308(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [1]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [1]), .Y
+       (n_9724));
+  sky130_fd_sc_hd__a22oi_1 g341309(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [7]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [7]), .Y
+       (n_9723));
+  sky130_fd_sc_hd__a22oi_1 g341310(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [7]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [7]), .Y
+       (n_9722));
+  sky130_fd_sc_hd__a22oi_1 g341311(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [1]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [1]), .Y
+       (n_9721));
+  sky130_fd_sc_hd__a22oi_1 g341312(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [7]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [7]), .Y
+       (n_9720));
+  sky130_fd_sc_hd__a22oi_1 g341313(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [7]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [7]), .Y
+       (n_9719));
+  sky130_fd_sc_hd__a22oi_1 g341314(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [8]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [8]), .Y
+       (n_9718));
+  sky130_fd_sc_hd__a22oi_1 g341315(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [8]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [8]), .Y
+       (n_9717));
+  sky130_fd_sc_hd__a22oi_1 g341316(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [1]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [1]), .Y
+       (n_9716));
+  sky130_fd_sc_hd__a22oi_1 g341317(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [1]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [1]), .Y
+       (n_9715));
+  sky130_fd_sc_hd__a22oi_1 g341318(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [8]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [8]), .Y
+       (n_9714));
+  sky130_fd_sc_hd__a22oi_1 g341319(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [8]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [8]), .Y
+       (n_9713));
+  sky130_fd_sc_hd__a22oi_1 g341320(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [8]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [8]), .Y
+       (n_9712));
+  sky130_fd_sc_hd__a22oi_1 g341321(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [8]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [8]), .Y
+       (n_9711));
+  sky130_fd_sc_hd__a22oi_1 g341322(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [8]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [8]), .Y
+       (n_9710));
+  sky130_fd_sc_hd__a22oi_1 g341323(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [1]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [1]), .Y
+       (n_9709));
+  sky130_fd_sc_hd__a22oi_1 g341324(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [8]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [8]), .Y
+       (n_9708));
+  sky130_fd_sc_hd__a22oi_1 g341325(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [1]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [1]), .Y
+       (n_9707));
+  sky130_fd_sc_hd__a22oi_1 g341326(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [8]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [8]), .Y
+       (n_9706));
+  sky130_fd_sc_hd__a22oi_1 g341327(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [8]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [8]), .Y
+       (n_9705));
+  sky130_fd_sc_hd__a22oi_1 g341328(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [1]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [1]), .Y
+       (n_9704));
+  sky130_fd_sc_hd__a22oi_1 g341329(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [1]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [1]), .Y
+       (n_9703));
+  sky130_fd_sc_hd__a22oi_1 g341330(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [1]), .B1
+       (n_8978), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [1]), .Y
+       (n_9702));
+  sky130_fd_sc_hd__a22oi_1 g341331(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [1]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [1]), .Y
+       (n_9701));
+  sky130_fd_sc_hd__a22oi_1 g341332(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [1]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [1]), .Y
+       (n_9700));
+  sky130_fd_sc_hd__a22oi_1 g341333(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [1]), .B1
+       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7]
+       [1]), .Y (n_9699));
+  sky130_fd_sc_hd__a22oi_1 g341334(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [1]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [1]), .Y
+       (n_9698));
+  sky130_fd_sc_hd__a22oi_1 g341335(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [1]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [1]), .Y
+       (n_9697));
+  sky130_fd_sc_hd__a22oi_1 g341336(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [1]), .B1
+       (n_8978), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [1]), .Y
+       (n_9696));
+  sky130_fd_sc_hd__a22oi_1 g341337(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [2]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [2]), .Y
+       (n_9695));
+  sky130_fd_sc_hd__a22oi_1 g341338(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [1]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [1]), .Y
+       (n_9694));
+  sky130_fd_sc_hd__a22oi_1 g341339(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [1]), .B1
+       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6]
+       [1]), .Y (n_9693));
+  sky130_fd_sc_hd__a22oi_1 g341340(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [2]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [2]), .Y
+       (n_9692));
+  sky130_fd_sc_hd__a22oi_1 g341341(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [1]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [1]), .Y
+       (n_9691));
+  sky130_fd_sc_hd__a22o_1 g341342(.A1 (n_9008), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [1]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4]
+       [1]), .X (n_9690));
+  sky130_fd_sc_hd__a22oi_1 g341343(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [2]), .B1
+       (n_8978), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [2]), .Y
+       (n_9689));
+  sky130_fd_sc_hd__a22oi_1 g341344(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [2]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [2]), .Y
+       (n_9688));
+  sky130_fd_sc_hd__a22oi_1 g341345(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [2]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [2]), .Y
+       (n_9687));
+  sky130_fd_sc_hd__a22oi_1 g341346(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [2]), .B1
+       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7]
+       [2]), .Y (n_9686));
+  sky130_fd_sc_hd__a22oi_1 g341347(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [2]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [2]), .Y
+       (n_9685));
+  sky130_fd_sc_hd__a22oi_1 g341348(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [2]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [2]), .Y
+       (n_9684));
+  sky130_fd_sc_hd__a22oi_1 g341349(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [2]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [2]), .Y
+       (n_9683));
+  sky130_fd_sc_hd__a22oi_1 g341350(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [2]), .B1
+       (n_8978), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [2]), .Y
+       (n_9682));
+  sky130_fd_sc_hd__a22oi_1 g341351(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [2]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [2]), .Y
+       (n_9681));
+  sky130_fd_sc_hd__a22oi_1 g341352(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [7]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [7]), .Y
+       (n_9680));
+  sky130_fd_sc_hd__a22oi_1 g341353(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [2]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [2]), .Y
+       (n_9679));
+  sky130_fd_sc_hd__a22oi_1 g341354(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [2]), .B1
+       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6]
+       [2]), .Y (n_9678));
+  sky130_fd_sc_hd__a22oi_1 g341355(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [2]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [2]), .Y
+       (n_9677));
+  sky130_fd_sc_hd__a22oi_1 g341356(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [2]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [2]), .Y
+       (n_9676));
+  sky130_fd_sc_hd__o21ai_1 g341357(.A1 (n_8950), .A2
+       (u_soc_u_top_u_core_pc_set), .B1 (n_8884), .Y (n_13362));
+  sky130_fd_sc_hd__nand2b_1 g341358(.A_N (n_13473), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .Y (n_13480));
+  sky130_fd_sc_hd__nor3_1 g341359(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .B (n_30), .C
+       (n_8986), .Y (n_9951));
+  sky130_fd_sc_hd__or2_1 g341360(.A (n_8883), .B (n_13875), .X
+       (n_13874));
+  sky130_fd_sc_hd__nor2_1 g341361(.A (n_8948), .B (n_9352), .Y
+       (n_13344));
+  sky130_fd_sc_hd__nor2_1 g341362(.A (n_8949), .B (n_9354), .Y
+       (n_13321));
+  sky130_fd_sc_hd__nand2_1 g341363(.A (n_9191), .B (n_9009), .Y
+       (n_13367));
+  sky130_fd_sc_hd__nand2_1 g341364(.A (n_13325), .B (n_641), .Y
+       (n_13318));
+  sky130_fd_sc_hd__or2_0 g341365(.A (n_13475), .B (n_13482), .X
+       (n_13481));
+  sky130_fd_sc_hd__nor2b_1 g341366(.A (u_soc_u_top_u_core_ready_wb),
+       .B_N (u_soc_u_top_u_core_id_stage_i_id_fsm_q), .Y (n_13488));
+  sky130_fd_sc_hd__a221o_1 g341367(.A1 (n_8867), .A2 (n_13405), .B1
+       (n_8863), .B2 (n_13397), .C1 (n_9117), .X
+       (\u_soc_xbar_to_dccm[a_data] [5]));
+  sky130_fd_sc_hd__a221o_1 g341368(.A1 (n_8867), .A2 (n_13415), .B1
+       (n_8863), .B2 (n_13407), .C1 (n_9168), .X
+       (\u_soc_xbar_to_dccm[a_data] [15]));
+  sky130_fd_sc_hd__a221o_1 g341369(.A1 (n_8867), .A2 (n_13393), .B1
+       (n_8863), .B2 (n_13416), .C1 (n_9202), .X
+       (\u_soc_xbar_to_dccm[a_data] [25]));
+  sky130_fd_sc_hd__a221o_1 g341370(.A1 (n_8867), .A2 (n_13404), .B1
+       (n_8863), .B2 (n_13396), .C1 (n_9110), .X
+       (\u_soc_xbar_to_dccm[a_data] [4]));
+  sky130_fd_sc_hd__a221o_1 g341371(.A1 (n_8867), .A2 (n_13414), .B1
+       (n_8863), .B2 (n_13406), .C1 (n_9162), .X
+       (\u_soc_xbar_to_dccm[a_data] [14]));
+  sky130_fd_sc_hd__a221o_1 g341372(.A1 (n_8867), .A2 (n_13389), .B1
+       (n_8863), .B2 (n_13413), .C1 (n_9337), .X
+       (\u_soc_xbar_to_dccm[a_data] [21]));
+  sky130_fd_sc_hd__a221o_1 g341373(.A1 (n_8867), .A2 (n_13403), .B1
+       (n_8863), .B2 (n_13395), .C1 (n_9105), .X
+       (\u_soc_xbar_to_dccm[a_data] [3]));
+  sky130_fd_sc_hd__or2_1 g341374(.A
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B (n_9339), .X (n_13317));
+  sky130_fd_sc_hd__a221o_1 g341375(.A1 (n_8867), .A2 (n_13398), .B1
+       (n_8863), .B2 (n_13390), .C1 (n_9201), .X
+       (\u_soc_xbar_to_dccm[a_data] [30]));
+  sky130_fd_sc_hd__a221o_1 g341376(.A1 (n_8867), .A2 (n_13413), .B1
+       (n_8863), .B2 (n_13405), .C1 (n_9156), .X
+       (\u_soc_xbar_to_dccm[a_data] [13]));
+  sky130_fd_sc_hd__a221o_1 g341377(.A1 (n_8867), .A2 (n_13402), .B1
+       (n_8863), .B2 (n_13394), .C1 (n_9100), .X
+       (\u_soc_xbar_to_dccm[a_data] [2]));
+  sky130_fd_sc_hd__a221o_1 g341378(.A1 (n_8867), .A2 (n_13388), .B1
+       (n_8863), .B2 (n_13412), .C1 (n_9347), .X
+       (\u_soc_xbar_to_dccm[a_data] [20]));
+  sky130_fd_sc_hd__a221o_1 g341379(.A1 (n_8867), .A2 (n_13401), .B1
+       (n_8863), .B2 (n_13393), .C1 (n_9095), .X
+       (\u_soc_xbar_to_dccm[a_data] [1]));
+  sky130_fd_sc_hd__a221o_1 g341380(.A1 (n_8867), .A2 (n_13412), .B1
+       (n_8863), .B2 (n_13404), .C1 (n_9151), .X
+       (\u_soc_xbar_to_dccm[a_data] [12]));
+  sky130_fd_sc_hd__a221o_1 g341381(.A1 (n_8867), .A2 (n_13395), .B1
+       (n_8863), .B2 (n_13387), .C1 (n_9214), .X
+       (\u_soc_xbar_to_dccm[a_data] [27]));
+  sky130_fd_sc_hd__nand2_1 g341382(.A (n_13315), .B
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_13314));
+  sky130_fd_sc_hd__a221o_1 g341383(.A1 (n_8867), .A2 (n_13392), .B1
+       (n_8863), .B2 (n_15946), .C1 (n_9194), .X
+       (\u_soc_xbar_to_dccm[a_data] [24]));
+  sky130_fd_sc_hd__a221o_1 g341384(.A1 (n_8867), .A2 (n_13411), .B1
+       (n_8863), .B2 (n_13403), .C1 (n_9146), .X
+       (\u_soc_xbar_to_dccm[a_data] [11]));
+  sky130_fd_sc_hd__a221o_1 g341385(.A1 (n_8867), .A2 (n_13387), .B1
+       (n_8863), .B2 (n_13411), .C1 (n_9187), .X
+       (\u_soc_xbar_to_dccm[a_data] [19]));
+  sky130_fd_sc_hd__a221o_1 g341386(.A1 (n_8867), .A2 (n_13400), .B1
+       (n_8863), .B2 (n_13392), .C1 (n_9252), .X
+       (\u_soc_xbar_to_dccm[a_data] [0]));
+  sky130_fd_sc_hd__a221o_1 g341387(.A1 (n_8867), .A2 (n_13410), .B1
+       (n_8863), .B2 (n_13402), .C1 (n_9142), .X
+       (\u_soc_xbar_to_dccm[a_data] [10]));
+  sky130_fd_sc_hd__a221o_1 g341388(.A1 (n_8867), .A2 (n_13399), .B1
+       (n_8863), .B2 (n_13391), .C1 (n_9248), .X
+       (\u_soc_xbar_to_dccm[a_data] [31]));
+  sky130_fd_sc_hd__a221o_1 g341389(.A1 (n_8867), .A2 (n_13386), .B1
+       (n_8863), .B2 (n_13410), .C1 (n_9182), .X
+       (\u_soc_xbar_to_dccm[a_data] [18]));
+  sky130_fd_sc_hd__a221o_1 g341390(.A1 (n_8867), .A2 (n_13409), .B1
+       (n_8863), .B2 (n_13401), .C1 (n_9137), .X
+       (\u_soc_xbar_to_dccm[a_data] [9]));
+  sky130_fd_sc_hd__a221o_1 g341391(.A1 (n_8867), .A2 (n_13391), .B1
+       (n_8863), .B2 (n_13415), .C1 (n_9326), .X
+       (\u_soc_xbar_to_dccm[a_data] [23]));
+  sky130_fd_sc_hd__a221o_1 g341392(.A1 (n_8867), .A2 (n_13408), .B1
+       (n_8863), .B2 (n_13400), .C1 (n_9132), .X
+       (\u_soc_xbar_to_dccm[a_data] [8]));
+  sky130_fd_sc_hd__a221o_1 g341393(.A1 (n_8867), .A2 (n_13394), .B1
+       (n_8863), .B2 (n_13386), .C1 (n_9207), .X
+       (\u_soc_xbar_to_dccm[a_data] [26]));
+  sky130_fd_sc_hd__a221o_1 g341394(.A1 (n_8867), .A2 (n_13416), .B1
+       (n_8863), .B2 (n_13409), .C1 (n_9179), .X
+       (\u_soc_xbar_to_dccm[a_data] [17]));
+  sky130_fd_sc_hd__nor2b_1 g341395(.A (io_in[28]), .B_N (n_9350), .Y
+       (n_9950));
+  sky130_fd_sc_hd__a221o_1 g341396(.A1 (n_8867), .A2 (n_13407), .B1
+       (n_8863), .B2 (n_13399), .C1 (n_9127), .X
+       (\u_soc_xbar_to_dccm[a_data] [7]));
+  sky130_fd_sc_hd__a221o_1 g341397(.A1 (n_8867), .A2 (n_15946), .B1
+       (n_8863), .B2 (n_13408), .C1 (n_9174), .X
+       (\u_soc_xbar_to_dccm[a_data] [16]));
+  sky130_fd_sc_hd__a221o_1 g341398(.A1 (n_8867), .A2 (n_13390), .B1
+       (n_8863), .B2 (n_13414), .C1 (n_9332), .X
+       (\u_soc_xbar_to_dccm[a_data] [22]));
+  sky130_fd_sc_hd__a221o_1 g341399(.A1 (n_8867), .A2 (n_13396), .B1
+       (n_8863), .B2 (n_13388), .C1 (n_9222), .X
+       (\u_soc_xbar_to_dccm[a_data] [28]));
+  sky130_fd_sc_hd__a221o_1 g341400(.A1 (n_8867), .A2 (n_13406), .B1
+       (n_8863), .B2 (n_13398), .C1 (n_9121), .X
+       (\u_soc_xbar_to_dccm[a_data] [6]));
+  sky130_fd_sc_hd__a221o_1 g341401(.A1 (n_8867), .A2 (n_13397), .B1
+       (n_8863), .B2 (n_13389), .C1 (n_9231), .X
+       (\u_soc_xbar_to_dccm[a_data] [29]));
+  sky130_fd_sc_hd__a22oi_1 g341402(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [3]), .B1
+       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7]
+       [3]), .Y (n_9675));
+  sky130_fd_sc_hd__a22oi_1 g341403(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [3]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [3]), .Y
+       (n_9674));
+  sky130_fd_sc_hd__a22oi_1 g341404(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [3]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [3]), .Y
+       (n_9673));
+  sky130_fd_sc_hd__a22oi_1 g341405(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [3]), .B1
+       (n_8978), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [3]), .Y
+       (n_9672));
+  sky130_fd_sc_hd__a22oi_1 g341406(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [3]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [3]), .Y
+       (n_9671));
+  sky130_fd_sc_hd__a22oi_1 g341407(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [3]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [3]), .Y
+       (n_9670));
+  sky130_fd_sc_hd__a22oi_1 g341408(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [3]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [3]), .Y
+       (n_9669));
+  sky130_fd_sc_hd__a22oi_1 g341409(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [3]), .B1
+       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6]
+       [3]), .Y (n_9668));
+  sky130_fd_sc_hd__a22oi_1 g341410(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [3]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [3]), .Y
+       (n_9667));
+  sky130_fd_sc_hd__a22o_1 g341411(.A1 (n_9008), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [3]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4]
+       [3]), .X (n_9666));
+  sky130_fd_sc_hd__a22oi_1 g341412(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [4]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [4]), .Y
+       (n_9665));
+  sky130_fd_sc_hd__a22oi_1 g341413(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [4]), .B1
+       (n_8978), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [4]), .Y
+       (n_9664));
+  sky130_fd_sc_hd__a22oi_1 g341414(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [3]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [3]), .Y
+       (n_9663));
+  sky130_fd_sc_hd__a22oi_1 g341415(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [4]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [4]), .Y
+       (n_9662));
+  sky130_fd_sc_hd__a22oi_1 g341416(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [3]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72]
+       [3]), .Y (n_9661));
+  sky130_fd_sc_hd__a22oi_1 g341417(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [4]), .B1
+       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7]
+       [4]), .Y (n_9660));
+  sky130_fd_sc_hd__a22oi_1 g341418(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [4]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [4]), .Y
+       (n_9659));
+  sky130_fd_sc_hd__a22oi_1 g341419(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [4]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [4]), .Y
+       (n_9658));
+  sky130_fd_sc_hd__a22oi_1 g341420(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [4]), .B1
+       (n_8978), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [4]), .Y
+       (n_9657));
+  sky130_fd_sc_hd__a22oi_1 g341421(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [3]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [3]), .Y
+       (n_9656));
+  sky130_fd_sc_hd__a22oi_1 g341422(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [4]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [4]), .Y
+       (n_9655));
+  sky130_fd_sc_hd__a22oi_1 g341423(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [3]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [3]), .Y
+       (n_9654));
+  sky130_fd_sc_hd__a22oi_1 g341424(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [4]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [4]), .Y
+       (n_9653));
+  sky130_fd_sc_hd__a22oi_1 g341425(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [4]), .B1
+       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6]
+       [4]), .Y (n_9652));
+  sky130_fd_sc_hd__a22o_1 g341426(.A1 (n_9008), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [4]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4]
+       [4]), .X (n_9651));
+  sky130_fd_sc_hd__a22oi_1 g341427(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [5]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [5]), .Y
+       (n_9650));
+  sky130_fd_sc_hd__a22oi_1 g341428(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [3]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [3]), .Y
+       (n_9649));
+  sky130_fd_sc_hd__a22oi_1 g341429(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [3]), .B1
+       (n_8976), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96]
+       [3]), .Y (n_9648));
+  sky130_fd_sc_hd__a22oi_1 g341430(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [3]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [3]), .Y
+       (n_9647));
+  sky130_fd_sc_hd__a22oi_1 g341431(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [5]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [5]), .Y
+       (n_9646));
+  sky130_fd_sc_hd__a22oi_1 g341432(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [5]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [5]), .Y
+       (n_9645));
+  sky130_fd_sc_hd__a22oi_1 g341433(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [5]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [5]), .Y
+       (n_9644));
+  sky130_fd_sc_hd__a22oi_1 g341434(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [5]), .B1
+       (n_8978), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [5]), .Y
+       (n_9643));
+  sky130_fd_sc_hd__a22oi_1 g341435(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [4]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [4]), .Y
+       (n_9642));
+  sky130_fd_sc_hd__a22oi_1 g341436(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [4]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [4]), .Y
+       (n_9641));
+  sky130_fd_sc_hd__a22oi_1 g341437(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [5]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [5]), .Y
+       (n_9640));
+  sky130_fd_sc_hd__a22oi_1 g341438(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [5]), .B1
+       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6]
+       [5]), .Y (n_9639));
+  sky130_fd_sc_hd__a22oi_1 g341439(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [5]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [5]), .Y
+       (n_9638));
+  sky130_fd_sc_hd__a22oi_1 g341440(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [4]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [4]), .Y
+       (n_9637));
+  sky130_fd_sc_hd__a22o_1 g341441(.A1 (n_9008), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [5]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4]
+       [5]), .X (n_9636));
+  sky130_fd_sc_hd__a22oi_1 g341442(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [6]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [6]), .Y
+       (n_9635));
+  sky130_fd_sc_hd__a22oi_1 g341443(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [6]), .B1
+       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7]
+       [6]), .Y (n_9634));
+  sky130_fd_sc_hd__a22oi_1 g341444(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [6]), .B1
+       (n_8978), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [6]), .Y
+       (n_9633));
+  sky130_fd_sc_hd__a22oi_1 g341445(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [4]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [4]), .Y
+       (n_9632));
+  sky130_fd_sc_hd__a22oi_1 g341446(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [6]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [6]), .Y
+       (n_9631));
+  sky130_fd_sc_hd__a22oi_1 g341447(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [6]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [6]), .Y
+       (n_9630));
+  sky130_fd_sc_hd__a22oi_1 g341448(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [6]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [6]), .Y
+       (n_9629));
+  sky130_fd_sc_hd__a22oi_1 g341449(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [6]), .B1
+       (n_8978), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [6]), .Y
+       (n_9628));
+  sky130_fd_sc_hd__a22oi_1 g341450(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [4]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [4]), .Y
+       (n_9627));
+  sky130_fd_sc_hd__a22oi_1 g341451(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [6]), .B1
+       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6]
+       [6]), .Y (n_9626));
+  sky130_fd_sc_hd__a22oi_1 g341452(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [6]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [6]), .Y
+       (n_9625));
+  sky130_fd_sc_hd__a22oi_1 g341453(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [4]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [4]), .Y
+       (n_9624));
+  sky130_fd_sc_hd__a22o_1 g341454(.A1 (n_9008), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [6]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4]
+       [6]), .X (n_9623));
+  sky130_fd_sc_hd__a22oi_1 g341455(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [4]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [4]), .Y
+       (n_9622));
+  sky130_fd_sc_hd__a22oi_1 g341456(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [7]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [7]), .Y
+       (n_9621));
+  sky130_fd_sc_hd__a22oi_1 g341457(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [7]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [7]), .Y
+       (n_9620));
+  sky130_fd_sc_hd__a22oi_1 g341458(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [4]), .B1
+       (n_8976), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96]
+       [4]), .Y (n_9619));
+  sky130_fd_sc_hd__a22oi_1 g341459(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [8]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [8]), .Y
+       (n_9618));
+  sky130_fd_sc_hd__a22oi_1 g341460(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [5]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [5]), .Y
+       (n_9617));
+  sky130_fd_sc_hd__a22oi_1 g341461(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [7]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [7]), .Y
+       (n_9616));
+  sky130_fd_sc_hd__a22oi_1 g341462(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [5]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [5]), .Y
+       (n_9615));
+  sky130_fd_sc_hd__a22oi_1 g341463(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [7]), .B1
+       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6]
+       [7]), .Y (n_9614));
+  sky130_fd_sc_hd__a22oi_1 g341464(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [5]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [5]), .Y
+       (n_9613));
+  sky130_fd_sc_hd__a22o_1 g341465(.A1 (n_9008), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [7]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4]
+       [7]), .X (n_9612));
+  sky130_fd_sc_hd__a22oi_1 g341466(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [5]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [5]), .Y
+       (n_9611));
+  sky130_fd_sc_hd__a22oi_1 g341467(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [5]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [5]), .Y
+       (n_9610));
+  sky130_fd_sc_hd__a22oi_1 g341468(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [8]), .B1
+       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7]
+       [8]), .Y (n_9609));
+  sky130_fd_sc_hd__a22oi_1 g341469(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [5]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [5]), .Y
+       (n_9608));
+  sky130_fd_sc_hd__a22oi_1 g341470(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [8]), .B1
+       (n_8978), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [8]), .Y
+       (n_9607));
+  sky130_fd_sc_hd__a22oi_1 g341471(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [8]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [8]), .Y
+       (n_9606));
+  sky130_fd_sc_hd__a22oi_1 g341472(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [8]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [8]), .Y
+       (n_9605));
+  sky130_fd_sc_hd__a22oi_1 g341473(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [8]), .B1
+       (n_8978), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6]
+       [8]), .Y (n_9604));
+  sky130_fd_sc_hd__a22oi_1 g341474(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [5]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [5]), .Y
+       (n_9603));
+  sky130_fd_sc_hd__a22oi_1 g341475(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [8]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [8]), .Y
+       (n_9602));
+  sky130_fd_sc_hd__a22oi_1 g341476(.A1 (n_8981), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [8]), .B1
+       (n_8979), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [8]), .Y
+       (n_9601));
+  sky130_fd_sc_hd__a22o_1 g341477(.A1 (n_9008), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [8]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4]
+       [8]), .X (n_9600));
+  sky130_fd_sc_hd__a22oi_1 g341478(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [5]), .B1
+       (n_8976), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96]
+       [5]), .Y (n_9599));
+  sky130_fd_sc_hd__a22oi_1 g341479(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [5]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [5]), .Y
+       (n_9598));
+  sky130_fd_sc_hd__a22oi_1 g341480(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [6]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [6]), .Y
+       (n_9597));
+  sky130_fd_sc_hd__a22oi_1 g341481(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [6]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [6]), .Y
+       (n_9596));
+  sky130_fd_sc_hd__a22oi_1 g341482(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [6]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [6]), .Y
+       (n_9595));
+  sky130_fd_sc_hd__a22oi_1 g341483(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [6]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [6]), .Y
+       (n_9594));
+  sky130_fd_sc_hd__a22oi_1 g341484(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [4]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [4]), .Y
+       (n_9593));
+  sky130_fd_sc_hd__a22oi_1 g341485(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [6]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [6]), .Y
+       (n_9592));
+  sky130_fd_sc_hd__a22oi_1 g341486(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [6]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [6]), .Y
+       (n_9591));
+  sky130_fd_sc_hd__a22oi_1 g341487(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [6]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [6]), .Y
+       (n_9590));
+  sky130_fd_sc_hd__a22oi_1 g341488(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [6]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [6]), .Y
+       (n_9589));
+  sky130_fd_sc_hd__a22oi_1 g341489(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [6]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [6]), .Y
+       (n_9588));
+  sky130_fd_sc_hd__a22oi_1 g341490(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [6]), .B1
+       (n_8976), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96]
+       [6]), .Y (n_9587));
+  sky130_fd_sc_hd__a22oi_1 g341491(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [7]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [7]), .Y
+       (n_9586));
+  sky130_fd_sc_hd__a22oi_1 g341492(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [7]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [7]), .Y
+       (n_9585));
+  sky130_fd_sc_hd__a22oi_1 g341493(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [5]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [5]), .Y
+       (n_9584));
+  sky130_fd_sc_hd__a22oi_1 g341494(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [7]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [7]), .Y
+       (n_9583));
+  sky130_fd_sc_hd__a22oi_1 g341495(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [7]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [7]), .Y
+       (n_9582));
+  sky130_fd_sc_hd__a22oi_1 g341496(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [7]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [7]), .Y
+       (n_9581));
+  sky130_fd_sc_hd__a22oi_1 g341497(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [7]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [7]), .Y
+       (n_9580));
+  sky130_fd_sc_hd__a22oi_1 g341498(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [7]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [7]), .Y
+       (n_9579));
+  sky130_fd_sc_hd__a22oi_1 g341499(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [7]), .B1
+       (n_8976), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96]
+       [7]), .Y (n_9578));
+  sky130_fd_sc_hd__a22oi_1 g341500(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [7]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [7]), .Y
+       (n_9577));
+  sky130_fd_sc_hd__a22oi_1 g341501(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [7]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [7]), .Y
+       (n_9576));
+  sky130_fd_sc_hd__a22oi_1 g341502(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [8]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [8]), .Y
+       (n_9575));
+  sky130_fd_sc_hd__a22oi_1 g341503(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [8]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [8]), .Y
+       (n_9574));
+  sky130_fd_sc_hd__a22oi_1 g341504(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [8]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [8]), .Y
+       (n_9573));
+  sky130_fd_sc_hd__a22oi_1 g341505(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [8]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [8]), .Y
+       (n_9572));
+  sky130_fd_sc_hd__a22oi_1 g341506(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [8]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [8]), .Y
+       (n_9571));
+  sky130_fd_sc_hd__a22oi_1 g341507(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [8]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [8]), .Y
+       (n_9570));
+  sky130_fd_sc_hd__a22oi_1 g341508(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [8]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76]
+       [8]), .Y (n_9569));
+  sky130_fd_sc_hd__a22oi_1 g341509(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [8]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [8]), .Y
+       (n_9568));
+  sky130_fd_sc_hd__a22oi_1 g341510(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [8]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [8]), .Y
+       (n_9567));
+  sky130_fd_sc_hd__a22oi_1 g341511(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [8]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [8]), .Y
+       (n_9566));
+  sky130_fd_sc_hd__a22oi_1 g341512(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [1]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [1]), .Y
+       (n_9565));
+  sky130_fd_sc_hd__a22oi_1 g341513(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [1]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [1]), .Y
+       (n_9564));
+  sky130_fd_sc_hd__a22oi_1 g341514(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [4]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [4]), .Y
+       (n_9563));
+  sky130_fd_sc_hd__a22oi_1 g341515(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [1]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [1]), .Y
+       (n_9562));
+  sky130_fd_sc_hd__a22oi_1 g341516(.A1 (n_8968), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [1]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [1]), .Y
+       (n_9561));
+  sky130_fd_sc_hd__a22oi_1 g341517(.A1 (n_8964), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [1]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [1]), .Y
+       (n_9560));
+  sky130_fd_sc_hd__a22oi_1 g341518(.A1 (n_8963), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [1]), .B1
+       (n_8965), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [1]), .Y
+       (n_9559));
+  sky130_fd_sc_hd__a22oi_1 g341519(.A1 (n_8982), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [6]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [6]), .Y
+       (n_9558));
+  sky130_fd_sc_hd__a22oi_1 g341520(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [1]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [1]), .Y
+       (n_9557));
+  sky130_fd_sc_hd__a22oi_1 g341521(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [1]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [1]), .Y
+       (n_9556));
+  sky130_fd_sc_hd__a22oi_1 g341522(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [1]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [1]), .Y
+       (n_9555));
+  sky130_fd_sc_hd__a22oi_1 g341523(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [1]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [1]), .Y
+       (n_9554));
+  sky130_fd_sc_hd__a22oi_1 g341524(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [2]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [2]), .Y
+       (n_9553));
+  sky130_fd_sc_hd__a22oi_1 g341525(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [2]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [2]), .Y
+       (n_9552));
+  sky130_fd_sc_hd__a22oi_1 g341526(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [2]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [2]), .Y
+       (n_9551));
+  sky130_fd_sc_hd__a22oi_1 g341527(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [2]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [2]), .Y
+       (n_9550));
+  sky130_fd_sc_hd__a22oi_1 g341528(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [2]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [2]), .Y
+       (n_9549));
+  sky130_fd_sc_hd__a22oi_1 g341529(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [2]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [2]), .Y
+       (n_9548));
+  sky130_fd_sc_hd__a22oi_1 g341530(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [2]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [2]), .Y
+       (n_9547));
+  sky130_fd_sc_hd__a22oi_1 g341531(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [3]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [3]), .Y
+       (n_9546));
+  sky130_fd_sc_hd__a22oi_1 g341532(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [2]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [2]), .Y
+       (n_9545));
+  sky130_fd_sc_hd__a22oi_1 g341533(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [2]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [2]), .Y
+       (n_9544));
+  sky130_fd_sc_hd__a22oi_1 g341534(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [2]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [2]), .Y
+       (n_9543));
+  sky130_fd_sc_hd__a22oi_1 g341535(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [3]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [3]), .Y
+       (n_9542));
+  sky130_fd_sc_hd__a22oi_1 g341536(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [3]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [3]), .Y
+       (n_9541));
+  sky130_fd_sc_hd__a22oi_1 g341537(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [3]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [3]), .Y
+       (n_9540));
+  sky130_fd_sc_hd__a22oi_1 g341538(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [3]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [3]), .Y
+       (n_9539));
+  sky130_fd_sc_hd__a22oi_1 g341539(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [3]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [3]), .Y
+       (n_9538));
+  sky130_fd_sc_hd__a22oi_1 g341540(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [3]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [3]), .Y
+       (n_9537));
+  sky130_fd_sc_hd__a22oi_1 g341541(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [3]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [3]), .Y
+       (n_9536));
+  sky130_fd_sc_hd__a22oi_1 g341542(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [3]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [3]), .Y
+       (n_9535));
+  sky130_fd_sc_hd__a22oi_1 g341543(.A1 (n_8963), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [3]), .B1
+       (n_8965), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [3]), .Y
+       (n_9534));
+  sky130_fd_sc_hd__a22oi_1 g341544(.A1 (n_8968), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [3]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [3]), .Y
+       (n_9533));
+  sky130_fd_sc_hd__a22oi_1 g341545(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [4]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [4]), .Y
+       (n_9532));
+  sky130_fd_sc_hd__a22oi_1 g341546(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [4]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [4]), .Y
+       (n_9531));
+  sky130_fd_sc_hd__a22oi_1 g341547(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [4]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [4]), .Y
+       (n_9530));
+  sky130_fd_sc_hd__a22oi_1 g341548(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [4]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [4]), .Y
+       (n_9529));
+  sky130_fd_sc_hd__a22oi_1 g341549(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [4]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [4]), .Y
+       (n_9528));
+  sky130_fd_sc_hd__a22oi_1 g341550(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [4]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [4]), .Y
+       (n_9527));
+  sky130_fd_sc_hd__a22oi_1 g341551(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [4]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [4]), .Y
+       (n_9526));
+  sky130_fd_sc_hd__a22oi_1 g341552(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [4]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [4]), .Y
+       (n_9525));
+  sky130_fd_sc_hd__a22oi_1 g341553(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [4]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [4]), .Y
+       (n_9524));
+  sky130_fd_sc_hd__a22oi_1 g341554(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [4]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [4]), .Y
+       (n_9523));
+  sky130_fd_sc_hd__a22oi_1 g341555(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [5]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [5]), .Y
+       (n_9522));
+  sky130_fd_sc_hd__a22oi_1 g341556(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [5]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [5]), .Y
+       (n_9521));
+  sky130_fd_sc_hd__a22oi_1 g341557(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [5]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [5]), .Y
+       (n_9520));
+  sky130_fd_sc_hd__a22oi_1 g341558(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [5]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [5]), .Y
+       (n_9519));
+  sky130_fd_sc_hd__a22oi_1 g341559(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [5]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [5]), .Y
+       (n_9518));
+  sky130_fd_sc_hd__a22oi_1 g341560(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [5]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [5]), .Y
+       (n_9517));
+  sky130_fd_sc_hd__a22oi_1 g341561(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [5]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [5]), .Y
+       (n_9516));
+  sky130_fd_sc_hd__a22oi_1 g341562(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [5]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [5]), .Y
+       (n_9515));
+  sky130_fd_sc_hd__a22oi_1 g341563(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [5]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [5]), .Y
+       (n_9514));
+  sky130_fd_sc_hd__a22oi_1 g341564(.A1 (n_8970), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [5]), .B1
+       (n_8972), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73]
+       [5]), .Y (n_9513));
+  sky130_fd_sc_hd__a22oi_1 g341565(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [6]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [6]), .Y
+       (n_9512));
+  sky130_fd_sc_hd__a22oi_1 g341566(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [6]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [6]), .Y
+       (n_9511));
+  sky130_fd_sc_hd__a22oi_1 g341567(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [6]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [6]), .Y
+       (n_9510));
+  sky130_fd_sc_hd__a22oi_1 g341568(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [6]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [6]), .Y
+       (n_9509));
+  sky130_fd_sc_hd__a22oi_1 g341569(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [6]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [6]), .Y
+       (n_9508));
+  sky130_fd_sc_hd__a22oi_1 g341570(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [6]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [6]), .Y
+       (n_9507));
+  sky130_fd_sc_hd__a22oi_1 g341571(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [5]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [5]), .Y
+       (n_9506));
+  sky130_fd_sc_hd__a22oi_1 g341572(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [6]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [6]), .Y
+       (n_9505));
+  sky130_fd_sc_hd__a22oi_1 g341573(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [6]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [6]), .Y
+       (n_9504));
+  sky130_fd_sc_hd__a22oi_1 g341574(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [6]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [6]), .Y
+       (n_9503));
+  sky130_fd_sc_hd__a22oi_1 g341575(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [6]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [6]), .Y
+       (n_9502));
+  sky130_fd_sc_hd__a22oi_1 g341576(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [7]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [7]), .Y
+       (n_9501));
+  sky130_fd_sc_hd__a22oi_1 g341577(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [7]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [7]), .Y
+       (n_9500));
+  sky130_fd_sc_hd__a22oi_1 g341578(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [7]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [7]), .Y
+       (n_9499));
+  sky130_fd_sc_hd__a22oi_1 g341579(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [7]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [7]), .Y
+       (n_9498));
+  sky130_fd_sc_hd__a22oi_1 g341580(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [7]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [7]), .Y
+       (n_9497));
+  sky130_fd_sc_hd__a22oi_1 g341581(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [7]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [7]), .Y
+       (n_9496));
+  sky130_fd_sc_hd__a22oi_1 g341582(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [7]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [7]), .Y
+       (n_9495));
+  sky130_fd_sc_hd__a22oi_1 g341583(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [7]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [7]), .Y
+       (n_9494));
+  sky130_fd_sc_hd__a22oi_1 g341584(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [7]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [7]), .Y
+       (n_9493));
+  sky130_fd_sc_hd__a22oi_1 g341585(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [7]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [7]), .Y
+       (n_9492));
+  sky130_fd_sc_hd__a22oi_1 g341586(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [8]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [8]), .Y
+       (n_9491));
+  sky130_fd_sc_hd__a22oi_1 g341587(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [8]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [8]), .Y
+       (n_9490));
+  sky130_fd_sc_hd__a22oi_1 g341588(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [8]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [8]), .Y
+       (n_9489));
+  sky130_fd_sc_hd__a22oi_1 g341589(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [8]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [8]), .Y
+       (n_9488));
+  sky130_fd_sc_hd__a22oi_1 g341590(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [8]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [8]), .Y
+       (n_9487));
+  sky130_fd_sc_hd__a22oi_1 g341591(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [8]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [8]), .Y
+       (n_9486));
+  sky130_fd_sc_hd__a22oi_1 g341592(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [8]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [8]), .Y
+       (n_9485));
+  sky130_fd_sc_hd__a22oi_1 g341593(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [8]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [8]), .Y
+       (n_9484));
+  sky130_fd_sc_hd__a22oi_1 g341594(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [8]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [8]), .Y
+       (n_9483));
+  sky130_fd_sc_hd__a22oi_1 g341595(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [8]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [8]), .Y
+       (n_9482));
+  sky130_fd_sc_hd__a22oi_1 g341596(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [1]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [1]), .Y
+       (n_9481));
+  sky130_fd_sc_hd__a22oi_1 g341597(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [1]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [1]), .Y
+       (n_9480));
+  sky130_fd_sc_hd__a22oi_1 g341598(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [1]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [1]), .Y
+       (n_9479));
+  sky130_fd_sc_hd__a22oi_1 g341599(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [1]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [1]), .Y
+       (n_9478));
+  sky130_fd_sc_hd__a22oi_1 g341600(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [1]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [1]), .Y
+       (n_9477));
+  sky130_fd_sc_hd__a22oi_1 g341601(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [1]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [1]), .Y
+       (n_9476));
+  sky130_fd_sc_hd__a22oi_1 g341602(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [1]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [1]), .Y
+       (n_9475));
+  sky130_fd_sc_hd__a22oi_1 g341603(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [1]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [1]), .Y
+       (n_9474));
+  sky130_fd_sc_hd__a22oi_1 g341604(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [1]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [1]), .Y
+       (n_9473));
+  sky130_fd_sc_hd__a22oi_1 g341605(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [1]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [1]), .Y
+       (n_9472));
+  sky130_fd_sc_hd__a22oi_1 g341606(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [1]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [1]), .Y
+       (n_9471));
+  sky130_fd_sc_hd__a22oi_1 g341607(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [2]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [2]), .Y
+       (n_9470));
+  sky130_fd_sc_hd__a22oi_1 g341608(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [2]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [2]), .Y
+       (n_9469));
+  sky130_fd_sc_hd__a22oi_1 g341609(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [2]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [2]), .Y
+       (n_9468));
+  sky130_fd_sc_hd__a22oi_1 g341610(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [2]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [2]), .Y
+       (n_9467));
+  sky130_fd_sc_hd__a22oi_1 g341611(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [2]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [2]), .Y
+       (n_9466));
+  sky130_fd_sc_hd__a22oi_1 g341612(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [2]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [2]), .Y
+       (n_9465));
+  sky130_fd_sc_hd__a22oi_1 g341613(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [2]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [2]), .Y
+       (n_9464));
+  sky130_fd_sc_hd__a22oi_1 g341614(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [2]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [2]), .Y
+       (n_9463));
+  sky130_fd_sc_hd__a22oi_1 g341615(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [2]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [2]), .Y
+       (n_9462));
+  sky130_fd_sc_hd__a22oi_1 g341616(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [2]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [2]), .Y
+       (n_9461));
+  sky130_fd_sc_hd__a22oi_1 g341617(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [3]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [3]), .Y
+       (n_9460));
+  sky130_fd_sc_hd__a22oi_1 g341618(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [3]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [3]), .Y
+       (n_9459));
+  sky130_fd_sc_hd__a22oi_1 g341619(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [3]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [3]), .Y
+       (n_9458));
+  sky130_fd_sc_hd__a22oi_1 g341620(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [3]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [3]), .Y
+       (n_9457));
+  sky130_fd_sc_hd__a22oi_1 g341621(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [3]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [3]), .Y
+       (n_9456));
+  sky130_fd_sc_hd__a22oi_1 g341622(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [3]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [3]), .Y
+       (n_9455));
+  sky130_fd_sc_hd__a22oi_1 g341623(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [3]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [3]), .Y
+       (n_9454));
+  sky130_fd_sc_hd__a22oi_1 g341624(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [1]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [1]), .Y
+       (n_9453));
+  sky130_fd_sc_hd__a22oi_1 g341625(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [3]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [3]), .Y
+       (n_9452));
+  sky130_fd_sc_hd__a22oi_1 g341626(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [3]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [3]), .Y
+       (n_9451));
+  sky130_fd_sc_hd__a22oi_1 g341627(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [3]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [3]), .Y
+       (n_9450));
+  sky130_fd_sc_hd__a22oi_1 g341628(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [4]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [4]), .Y
+       (n_9449));
+  sky130_fd_sc_hd__a22oi_1 g341629(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [4]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [4]), .Y
+       (n_9448));
+  sky130_fd_sc_hd__a22oi_1 g341630(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [4]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [4]), .Y
+       (n_9447));
+  sky130_fd_sc_hd__a22oi_1 g341631(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [4]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [4]), .Y
+       (n_9446));
+  sky130_fd_sc_hd__a22oi_1 g341632(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [4]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [4]), .Y
+       (n_9445));
+  sky130_fd_sc_hd__a22oi_1 g341633(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [4]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [4]), .Y
+       (n_9444));
+  sky130_fd_sc_hd__a22oi_1 g341634(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [4]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [4]), .Y
+       (n_9443));
+  sky130_fd_sc_hd__a22oi_1 g341635(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [4]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [4]), .Y
+       (n_9442));
+  sky130_fd_sc_hd__a22oi_1 g341636(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [4]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [4]), .Y
+       (n_9441));
+  sky130_fd_sc_hd__a22oi_1 g341637(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [4]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [4]), .Y
+       (n_9440));
+  sky130_fd_sc_hd__a22oi_1 g341638(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [5]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [5]), .Y
+       (n_9439));
+  sky130_fd_sc_hd__a22oi_1 g341639(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [5]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [5]), .Y
+       (n_9438));
+  sky130_fd_sc_hd__a22oi_1 g341640(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [5]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [5]), .Y
+       (n_9437));
+  sky130_fd_sc_hd__a22oi_1 g341641(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [5]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [5]), .Y
+       (n_9436));
+  sky130_fd_sc_hd__a22oi_1 g341642(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [5]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [5]), .Y
+       (n_9435));
+  sky130_fd_sc_hd__a22oi_1 g341643(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [5]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [5]), .Y
+       (n_9434));
+  sky130_fd_sc_hd__a22oi_1 g341644(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [5]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [5]), .Y
+       (n_9433));
+  sky130_fd_sc_hd__a22oi_1 g341645(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [5]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [5]), .Y
+       (n_9432));
+  sky130_fd_sc_hd__a22oi_1 g341646(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [5]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [5]), .Y
+       (n_9431));
+  sky130_fd_sc_hd__a22oi_1 g341647(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [5]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [5]), .Y
+       (n_9430));
+  sky130_fd_sc_hd__a22oi_1 g341648(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [6]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [6]), .Y
+       (n_9429));
+  sky130_fd_sc_hd__a22oi_1 g341649(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [6]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [6]), .Y
+       (n_9428));
+  sky130_fd_sc_hd__a22oi_1 g341650(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [6]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [6]), .Y
+       (n_9427));
+  sky130_fd_sc_hd__a22oi_1 g341651(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [6]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [6]), .Y
+       (n_9426));
+  sky130_fd_sc_hd__a22oi_1 g341652(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [6]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [6]), .Y
+       (n_9425));
+  sky130_fd_sc_hd__a22oi_1 g341653(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [6]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [6]), .Y
+       (n_9424));
+  sky130_fd_sc_hd__a22oi_1 g341654(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [6]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [6]), .Y
+       (n_9423));
+  sky130_fd_sc_hd__a22oi_1 g341655(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [6]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [6]), .Y
+       (n_9422));
+  sky130_fd_sc_hd__a22oi_1 g341656(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [6]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [6]), .Y
+       (n_9421));
+  sky130_fd_sc_hd__a22oi_1 g341657(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [6]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [6]), .Y
+       (n_9420));
+  sky130_fd_sc_hd__a22oi_1 g341658(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [7]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [7]), .Y
+       (n_9419));
+  sky130_fd_sc_hd__a22oi_1 g341659(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [7]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [7]), .Y
+       (n_9418));
+  sky130_fd_sc_hd__a22oi_1 g341660(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [7]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [7]), .Y
+       (n_9417));
+  sky130_fd_sc_hd__a22oi_1 g341661(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [7]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [7]), .Y
+       (n_9416));
+  sky130_fd_sc_hd__a22oi_1 g341662(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [7]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [7]), .Y
+       (n_9415));
+  sky130_fd_sc_hd__a22oi_1 g341663(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [7]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [7]), .Y
+       (n_9414));
+  sky130_fd_sc_hd__a22oi_1 g341664(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [7]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [7]), .Y
+       (n_9413));
+  sky130_fd_sc_hd__a22oi_1 g341665(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [7]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [7]), .Y
+       (n_9412));
+  sky130_fd_sc_hd__a22oi_1 g341666(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [7]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [7]), .Y
+       (n_9411));
+  sky130_fd_sc_hd__a22oi_1 g341667(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [7]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [7]), .Y
+       (n_9410));
+  sky130_fd_sc_hd__a22oi_1 g341668(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [7]), .B1
+       (n_9004), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [7]), .Y
+       (n_9409));
+  sky130_fd_sc_hd__a22oi_1 g341669(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [8]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [8]), .Y
+       (n_9408));
+  sky130_fd_sc_hd__a22oi_1 g341670(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [8]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [8]), .Y
+       (n_9407));
+  sky130_fd_sc_hd__a22oi_1 g341671(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [8]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [8]), .Y
+       (n_9406));
+  sky130_fd_sc_hd__a22oi_1 g341672(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [8]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [8]), .Y
+       (n_9405));
+  sky130_fd_sc_hd__a22oi_1 g341673(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [8]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [8]), .Y
+       (n_9404));
+  sky130_fd_sc_hd__a22oi_1 g341674(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [8]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [8]), .Y
+       (n_9403));
+  sky130_fd_sc_hd__a22oi_1 g341675(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [8]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [8]), .Y
+       (n_9402));
+  sky130_fd_sc_hd__a22oi_1 g341676(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [8]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [8]), .Y
+       (n_9401));
+  sky130_fd_sc_hd__a22oi_1 g341677(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [8]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [8]), .Y
+       (n_9400));
+  sky130_fd_sc_hd__a22oi_1 g341678(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [8]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [8]), .Y
+       (n_9399));
+  sky130_fd_sc_hd__a22oi_1 g341679(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [1]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [1]), .Y
+       (n_9398));
+  sky130_fd_sc_hd__a22oi_1 g341680(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [1]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [1]), .Y
+       (n_9397));
+  sky130_fd_sc_hd__a22oi_1 g341681(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [1]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [1]), .Y
+       (n_9396));
+  sky130_fd_sc_hd__a22oi_1 g341682(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [1]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [1]), .Y
+       (n_9395));
+  sky130_fd_sc_hd__a22oi_1 g341683(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [1]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [1]), .Y
+       (n_9394));
+  sky130_fd_sc_hd__a22oi_1 g341684(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [1]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [1]), .Y
+       (n_9393));
+  sky130_fd_sc_hd__a22oi_1 g341685(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [1]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [1]), .Y
+       (n_9392));
+  sky130_fd_sc_hd__a22oi_1 g341686(.A1 (n_8975), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [1]), .B1
+       (n_8976), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [1]), .Y
+       (n_9391));
+  sky130_fd_sc_hd__a22oi_1 g341687(.A1 (n_8980), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [8]), .B1
+       (n_8978), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [8]), .Y
+       (n_9390));
+  sky130_fd_sc_hd__a22oi_1 g341688(.A1 (n_8977), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [1]), .B1
+       (n_8974), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [1]), .Y
+       (n_9389));
+  sky130_fd_sc_hd__a22oi_1 g341689(.A1 (n_8973), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [1]), .B1
+       (n_8971), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [1]), .Y
+       (n_9388));
+  sky130_fd_sc_hd__a22oi_1 g341690(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [2]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [2]), .Y
+       (n_9387));
+  sky130_fd_sc_hd__a22oi_1 g341691(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [2]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [2]), .Y
+       (n_9386));
+  sky130_fd_sc_hd__a22oi_1 g341692(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [2]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [2]), .Y
+       (n_9385));
+  sky130_fd_sc_hd__a22oi_1 g341693(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [2]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [2]), .Y
+       (n_9384));
+  sky130_fd_sc_hd__a22oi_1 g341694(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [2]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [2]), .Y
+       (n_9383));
+  sky130_fd_sc_hd__a22oi_1 g341695(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [2]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [2]), .Y
+       (n_9382));
+  sky130_fd_sc_hd__a22oi_1 g341696(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [2]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [2]), .Y
+       (n_9381));
+  sky130_fd_sc_hd__a22oi_1 g341697(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [2]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [2]), .Y
+       (n_9380));
+  sky130_fd_sc_hd__a22oi_1 g341698(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [2]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [2]), .Y
+       (n_9379));
+  sky130_fd_sc_hd__a22oi_1 g341699(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [2]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [2]), .Y
+       (n_9378));
+  sky130_fd_sc_hd__a22oi_1 g341700(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [3]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [3]), .Y
+       (n_9377));
+  sky130_fd_sc_hd__a22oi_1 g341701(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [3]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [3]), .Y
+       (n_9376));
+  sky130_fd_sc_hd__a22oi_1 g341702(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [3]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [3]), .Y
+       (n_9375));
+  sky130_fd_sc_hd__a22oi_1 g341703(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [3]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [3]), .Y
+       (n_9374));
+  sky130_fd_sc_hd__a22oi_1 g341704(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [3]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [3]), .Y
+       (n_9373));
+  sky130_fd_sc_hd__a22oi_1 g341705(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [3]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [3]), .Y
+       (n_9372));
+  sky130_fd_sc_hd__a22oi_1 g341706(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [3]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [3]), .Y
+       (n_9371));
+  sky130_fd_sc_hd__a22oi_1 g341707(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [3]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [3]), .Y
+       (n_9370));
+  sky130_fd_sc_hd__a22oi_1 g341708(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [3]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [3]), .Y
+       (n_9369));
+  sky130_fd_sc_hd__a22oi_1 g341709(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [3]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [3]), .Y
+       (n_9368));
+  sky130_fd_sc_hd__a22oi_1 g341710(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [4]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [4]), .Y
+       (n_9367));
+  sky130_fd_sc_hd__a22oi_1 g341711(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [4]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [4]), .Y
+       (n_9366));
+  sky130_fd_sc_hd__a22oi_1 g341712(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [4]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [4]), .Y
+       (n_9365));
+  sky130_fd_sc_hd__a22oi_1 g341713(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [4]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [4]), .Y
+       (n_9364));
+  sky130_fd_sc_hd__a22oi_1 g341714(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [4]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [4]), .Y
+       (n_9363));
+  sky130_fd_sc_hd__a22oi_1 g341715(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [4]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [4]), .Y
+       (n_9362));
+  sky130_fd_sc_hd__a22oi_1 g341716(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [4]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [4]), .Y
+       (n_9361));
+  sky130_fd_sc_hd__a22oi_1 g341717(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [4]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [4]), .Y
+       (n_9360));
+  sky130_fd_sc_hd__a22oi_1 g341718(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [4]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [4]), .Y
+       (n_9359));
+  sky130_fd_sc_hd__a22oi_1 g341719(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [4]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [4]), .Y
+       (n_9358));
+  sky130_fd_sc_hd__a22oi_1 g341720(.A1 (n_8961), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [5]), .B1
+       (n_8968), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [5]), .Y
+       (n_9357));
+  sky130_fd_sc_hd__a22oi_1 g341721(.A1 (n_8967), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [5]), .B1
+       (n_8966), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [5]), .Y
+       (n_9356));
+  sky130_fd_sc_hd__a22oi_1 g341722(.A1 (n_8965), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [5]), .B1
+       (n_8962), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [5]), .Y
+       (n_9355));
+  sky130_fd_sc_hd__clkinv_1 g341723(.A (n_9353), .Y
+       (u_soc_u_uart_u_uart_core_tx_fifo_re));
+  sky130_fd_sc_hd__a22o_1 g341724(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [5]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75]
+       [5]), .X (n_9348));
+  sky130_fd_sc_hd__a22o_1 g341725(.A1 (n_8862), .A2 (n_13404), .B1
+       (n_8865), .B2 (n_13396), .X (n_9347));
+  sky130_fd_sc_hd__a22o_1 g341726(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [2]), .X
+       (n_9346));
+  sky130_fd_sc_hd__a22o_1 g341727(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [2]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [2]), .X
+       (n_9345));
+  sky130_fd_sc_hd__nand2_1 g341728(.A (n_13752), .B (n_8983), .Y
+       (n_9344));
+  sky130_fd_sc_hd__nand2_1 g341729(.A (n_13753), .B (n_8983), .Y
+       (n_9343));
+  sky130_fd_sc_hd__nand2_1 g341730(.A (n_13750), .B (n_8983), .Y
+       (n_9342));
+  sky130_fd_sc_hd__nand2_1 g341731(.A (n_13751), .B (n_8983), .Y
+       (n_9341));
+  sky130_fd_sc_hd__a22o_1 g341732(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [2]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69]
+       [2]), .X (n_9340));
+  sky130_fd_sc_hd__nor2b_1 g341733(.A
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B_N (u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52), .Y
+       (n_9339));
+  sky130_fd_sc_hd__nand2b_1 g341734(.A_N (rx), .B
+       (u_soc_u_uart_u_uart_core_rx_en), .Y
+       (u_soc_u_uart_u_uart_core_n_188));
+  sky130_fd_sc_hd__a22o_1 g341735(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [2]), .X
+       (n_9338));
+  sky130_fd_sc_hd__a22o_1 g341736(.A1 (n_8862), .A2 (n_13405), .B1
+       (n_8865), .B2 (n_13397), .X (n_9337));
+  sky130_fd_sc_hd__a22o_1 g341737(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [2]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77]
+       [2]), .X (n_9336));
+  sky130_fd_sc_hd__a22o_1 g341738(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [2]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [2]), .X
+       (n_9335));
+  sky130_fd_sc_hd__a22o_1 g341739(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [1]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65]
+       [1]), .X (n_9334));
+  sky130_fd_sc_hd__a22o_1 g341740(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [1]), .X
+       (n_9333));
+  sky130_fd_sc_hd__a22o_1 g341741(.A1 (n_8862), .A2 (n_13406), .B1
+       (n_8865), .B2 (n_13398), .X (n_9332));
+  sky130_fd_sc_hd__nor2_1 g341742(.A (n_9012), .B (n_9011), .Y
+       (n_9331));
+  sky130_fd_sc_hd__a22o_1 g341743(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [1]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77]
+       [1]), .X (n_9330));
+  sky130_fd_sc_hd__a22o_1 g341744(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [1]), .X
+       (n_9329));
+  sky130_fd_sc_hd__a22o_1 g341745(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [1]), .X
+       (n_9328));
+  sky130_fd_sc_hd__a22o_1 g341746(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [1]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [1]), .X
+       (n_9327));
+  sky130_fd_sc_hd__a22o_1 g341747(.A1 (n_8862), .A2 (n_13407), .B1
+       (n_8865), .B2 (n_13399), .X (n_9326));
+  sky130_fd_sc_hd__o21ai_0 g341748(.A1 (io_in[27]), .A2 (io_in[28]),
+       .B1 (n_8987), .Y (n_9325));
+  sky130_fd_sc_hd__o21ai_1 g341749(.A1
+       (u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q), .A2
+       (n_8862), .B1 (n_8875), .Y (n_9324));
+  sky130_fd_sc_hd__a21oi_1 g341750(.A1
+       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .A2 (n_8875), .B1
+       (n_8877), .Y (n_9323));
+  sky130_fd_sc_hd__a22o_1 g341753(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [1]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73]
+       [1]), .X (n_9320));
+  sky130_fd_sc_hd__a22o_1 g341754(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [5]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [5]), .X
+       (n_9319));
+  sky130_fd_sc_hd__a22o_1 g341755(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [3]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [3]), .X
+       (n_9318));
+  sky130_fd_sc_hd__a22o_1 g341756(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [5]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79]
+       [5]), .X (n_9317));
+  sky130_fd_sc_hd__a22o_1 g341757(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [5]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [5]), .X
+       (n_9316));
+  sky130_fd_sc_hd__a22o_1 g341758(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [5]), .X
+       (n_9315));
+  sky130_fd_sc_hd__a22o_1 g341759(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [5]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [5]), .X
+       (n_9314));
+  sky130_fd_sc_hd__a22o_1 g341760(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [5]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99]
+       [5]), .X (n_9313));
+  sky130_fd_sc_hd__a22o_1 g341761(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [5]), .X
+       (n_9312));
+  sky130_fd_sc_hd__a22o_1 g341762(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [6]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [6]), .X
+       (n_9311));
+  sky130_fd_sc_hd__a22o_1 g341763(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [6]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79]
+       [6]), .X (n_9310));
+  sky130_fd_sc_hd__a22o_1 g341764(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [6]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [6]), .X
+       (n_9309));
+  sky130_fd_sc_hd__a22o_1 g341765(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [6]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75]
+       [6]), .X (n_9308));
+  sky130_fd_sc_hd__a22o_1 g341766(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [6]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [6]), .X
+       (n_9307));
+  sky130_fd_sc_hd__a22o_1 g341767(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [6]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71]
+       [6]), .X (n_9306));
+  sky130_fd_sc_hd__a22o_1 g341768(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [6]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99]
+       [6]), .X (n_9305));
+  sky130_fd_sc_hd__a22o_1 g341769(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [6]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67]
+       [6]), .X (n_9304));
+  sky130_fd_sc_hd__a22o_1 g341770(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [7]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [7]), .X
+       (n_9303));
+  sky130_fd_sc_hd__a22o_1 g341771(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [7]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71]
+       [7]), .X (n_9302));
+  sky130_fd_sc_hd__a22o_1 g341772(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [7]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [7]), .X
+       (n_9301));
+  sky130_fd_sc_hd__a22o_1 g341773(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [7]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75]
+       [7]), .X (n_9300));
+  sky130_fd_sc_hd__a22oi_1 g341774(.A1 (n_14), .A2
+       (u_soc_u_iccm_rdata3[1]), .B1 (n_495), .B2
+       (u_soc_u_iccm_rdata4[1]), .Y (n_9299));
+  sky130_fd_sc_hd__a22o_1 g341775(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [7]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [7]), .X
+       (n_9298));
+  sky130_fd_sc_hd__a22o_1 g341776(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [7]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [7]), .X
+       (n_9297));
+  sky130_fd_sc_hd__a22oi_1 g341777(.A1 (n_496), .A2
+       (u_soc_u_iccm_rdata1[1]), .B1 (n_15), .B2
+       (u_soc_u_iccm_rdata2[1]), .Y (n_9296));
+  sky130_fd_sc_hd__a22o_1 g341778(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [7]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99]
+       [7]), .X (n_9295));
+  sky130_fd_sc_hd__a22o_1 g341779(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [1]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96]
+       [1]), .X (n_9294));
+  sky130_fd_sc_hd__a22o_1 g341780(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [7]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67]
+       [7]), .X (n_9293));
+  sky130_fd_sc_hd__a22o_1 g341781(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [1]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80]
+       [1]), .X (n_9292));
+  sky130_fd_sc_hd__a22o_1 g341782(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [8]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [8]), .X
+       (n_9291));
+  sky130_fd_sc_hd__a22o_1 g341783(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [8]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [8]), .X
+       (n_9290));
+  sky130_fd_sc_hd__a22o_1 g341784(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [1]), .X
+       (n_9289));
+  sky130_fd_sc_hd__a22o_1 g341785(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [8]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [8]), .X
+       (n_9288));
+  sky130_fd_sc_hd__a22o_1 g341786(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [1]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92]
+       [1]), .X (n_9287));
+  sky130_fd_sc_hd__a22o_1 g341787(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [8]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [8]), .X
+       (n_9286));
+  sky130_fd_sc_hd__a22o_1 g341788(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [8]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71]
+       [8]), .X (n_9285));
+  sky130_fd_sc_hd__a22o_1 g341789(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [8]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99]
+       [8]), .X (n_9284));
+  sky130_fd_sc_hd__a22o_1 g341790(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [8]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67]
+       [8]), .X (n_9283));
+  sky130_fd_sc_hd__a22o_1 g341791(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [1]), .X
+       (n_9282));
+  sky130_fd_sc_hd__a22o_1 g341792(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [1]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84]
+       [1]), .X (n_9281));
+  sky130_fd_sc_hd__a22oi_1 g341793(.A1 (n_14), .A2
+       (u_soc_u_iccm_rdata3[16]), .B1 (n_495), .B2
+       (u_soc_u_iccm_rdata4[16]), .Y (n_9280));
+  sky130_fd_sc_hd__a22o_1 g341794(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [1]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21]
+       [1]), .X (n_9279));
+  sky130_fd_sc_hd__a22o_1 g341795(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [1]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37]
+       [1]), .X (n_9278));
+  sky130_fd_sc_hd__a22oi_1 g341796(.A1 (n_496), .A2
+       (u_soc_u_iccm_rdata1[16]), .B1 (n_15), .B2
+       (u_soc_u_iccm_rdata2[16]), .Y (n_9277));
+  sky130_fd_sc_hd__a22o_1 g341797(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [1]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [1]), .X
+       (n_9276));
+  sky130_fd_sc_hd__a22o_1 g341798(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [1]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20]
+       [1]), .X (n_9275));
+  sky130_fd_sc_hd__a22o_1 g341799(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [8]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79]
+       [8]), .X (n_9274));
+  sky130_fd_sc_hd__a22o_1 g341800(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [2]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92]
+       [2]), .X (n_9273));
+  sky130_fd_sc_hd__a22o_1 g341801(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [2]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53]
+       [2]), .X (n_9272));
+  sky130_fd_sc_hd__a22o_1 g341802(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [2]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5]
+       [2]), .X (n_9271));
+  sky130_fd_sc_hd__a22o_1 g341803(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [2]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [2]), .X
+       (n_9270));
+  sky130_fd_sc_hd__a22o_1 g341804(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [2]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88]
+       [2]), .X (n_9269));
+  sky130_fd_sc_hd__a22o_1 g341805(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [2]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20]
+       [2]), .X (n_9268));
+  sky130_fd_sc_hd__a22o_1 g341806(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [2]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [2]), .X
+       (n_9267));
+  sky130_fd_sc_hd__a22o_1 g341807(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [2]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84]
+       [2]), .X (n_9266));
+  sky130_fd_sc_hd__a22o_1 g341808(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [3]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53]
+       [3]), .X (n_9265));
+  sky130_fd_sc_hd__a22o_1 g341809(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [4]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [4]), .X
+       (n_9264));
+  sky130_fd_sc_hd__a22o_1 g341810(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [3]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5]
+       [3]), .X (n_9263));
+  sky130_fd_sc_hd__a22o_1 g341811(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [2]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [2]), .X
+       (n_9262));
+  sky130_fd_sc_hd__a22o_1 g341812(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [1]), .X
+       (n_9261));
+  sky130_fd_sc_hd__a22o_1 g341813(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [3]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92]
+       [3]), .X (n_9260));
+  sky130_fd_sc_hd__a22o_1 g341814(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [4]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20]
+       [4]), .X (n_9259));
+  sky130_fd_sc_hd__a22o_1 g341815(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [3]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [3]), .X
+       (n_9258));
+  sky130_fd_sc_hd__a22o_1 g341816(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [3]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88]
+       [3]), .X (n_9257));
+  sky130_fd_sc_hd__a22o_1 g341817(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [4]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53]
+       [4]), .X (n_9256));
+  sky130_fd_sc_hd__a22o_1 g341818(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [5]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53]
+       [5]), .X (n_9255));
+  sky130_fd_sc_hd__a22o_1 g341819(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [3]), .X
+       (n_9254));
+  sky130_fd_sc_hd__a22o_1 g341820(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [2]), .X
+       (n_9253));
+  sky130_fd_sc_hd__a22o_1 g341821(.A1 (n_8862), .A2 (n_15946), .B1
+       (n_8865), .B2 (n_13408), .X (n_9252));
+  sky130_fd_sc_hd__a22o_1 g341822(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [5]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5]
+       [5]), .X (n_9251));
+  sky130_fd_sc_hd__a22o_1 g341823(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [3]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [3]), .X
+       (n_9250));
+  sky130_fd_sc_hd__a22o_1 g341824(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [2]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [2]), .X
+       (n_9249));
+  sky130_fd_sc_hd__a22o_1 g341825(.A1 (n_8862), .A2 (n_13415), .B1
+       (n_8865), .B2 (n_13407), .X (n_9248));
+  sky130_fd_sc_hd__a22o_1 g341826(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [5]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52]
+       [5]), .X (n_9247));
+  sky130_fd_sc_hd__a22o_1 g341827(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [6]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5]
+       [6]), .X (n_9246));
+  sky130_fd_sc_hd__a22o_1 g341828(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [6]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20]
+       [6]), .X (n_9245));
+  sky130_fd_sc_hd__a22o_1 g341829(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [4]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84]
+       [4]), .X (n_9244));
+  sky130_fd_sc_hd__a22o_1 g341830(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [6]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53]
+       [6]), .X (n_9243));
+  sky130_fd_sc_hd__a22o_1 g341831(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [7]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37]
+       [7]), .X (n_9242));
+  sky130_fd_sc_hd__a22o_1 g341832(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [4]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [4]), .X
+       (n_9241));
+  sky130_fd_sc_hd__a22o_1 g341833(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [7]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5]
+       [7]), .X (n_9240));
+  sky130_fd_sc_hd__a22o_1 g341834(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [7]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53]
+       [7]), .X (n_9239));
+  sky130_fd_sc_hd__a22o_1 g341835(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [4]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [4]), .X
+       (n_9238));
+  sky130_fd_sc_hd__a22o_1 g341836(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [4]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80]
+       [4]), .X (n_9237));
+  sky130_fd_sc_hd__a22o_1 g341837(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [1]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88]
+       [1]), .X (n_9236));
+  sky130_fd_sc_hd__a22o_1 g341838(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [3]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [3]), .X
+       (n_9235));
+  sky130_fd_sc_hd__a22o_1 g341839(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [7]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52]
+       [7]), .X (n_9234));
+  sky130_fd_sc_hd__a22o_1 g341840(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [5]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [5]), .X
+       (n_9233));
+  sky130_fd_sc_hd__a22o_1 g341841(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [5]), .X
+       (n_9232));
+  sky130_fd_sc_hd__a22o_1 g341842(.A1 (n_8862), .A2 (n_13413), .B1
+       (n_8865), .B2 (n_13405), .X (n_9231));
+  sky130_fd_sc_hd__a22o_1 g341843(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [8]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52]
+       [8]), .X (n_9230));
+  sky130_fd_sc_hd__a22o_1 g341844(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [5]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [5]), .X
+       (n_9229));
+  sky130_fd_sc_hd__a22o_1 g341845(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [8]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5]
+       [8]), .X (n_9228));
+  sky130_fd_sc_hd__a22o_1 g341846(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [8]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53]
+       [8]), .X (n_9227));
+  sky130_fd_sc_hd__a22o_1 g341847(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [5]), .X
+       (n_9226));
+  sky130_fd_sc_hd__a22o_1 g341848(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [5]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88]
+       [5]), .X (n_9225));
+  sky130_fd_sc_hd__a22o_1 g341849(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [5]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [5]), .X
+       (n_9224));
+  sky130_fd_sc_hd__a22o_1 g341850(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [5]), .X
+       (n_9223));
+  sky130_fd_sc_hd__a22o_1 g341851(.A1 (n_8862), .A2 (n_13412), .B1
+       (n_8865), .B2 (n_13404), .X (n_9222));
+  sky130_fd_sc_hd__a22o_1 g341852(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [6]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [6]), .X
+       (n_9221));
+  sky130_fd_sc_hd__a22o_1 g341853(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [6]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92]
+       [6]), .X (n_9220));
+  sky130_fd_sc_hd__a22o_1 g341854(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [6]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [6]), .X
+       (n_9219));
+  sky130_fd_sc_hd__a22o_1 g341855(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [6]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [6]), .X
+       (n_9218));
+  sky130_fd_sc_hd__a22o_1 g341856(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [6]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [6]), .X
+       (n_9217));
+  sky130_fd_sc_hd__a22o_1 g341857(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [5]), .X
+       (n_9216));
+  sky130_fd_sc_hd__a22o_1 g341858(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [6]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84]
+       [6]), .X (n_9215));
+  sky130_fd_sc_hd__a22o_1 g341859(.A1 (n_8862), .A2 (n_13411), .B1
+       (n_8865), .B2 (n_13403), .X (n_9214));
+  sky130_fd_sc_hd__a22o_1 g341860(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [6]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [6]), .X
+       (n_9213));
+  sky130_fd_sc_hd__a22o_1 g341861(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [6]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [6]), .X
+       (n_9212));
+  sky130_fd_sc_hd__a22o_1 g341862(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [7]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [7]), .X
+       (n_9211));
+  sky130_fd_sc_hd__a22o_1 g341863(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [7]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92]
+       [7]), .X (n_9210));
+  sky130_fd_sc_hd__a22o_1 g341864(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [7]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [7]), .X
+       (n_9209));
+  sky130_fd_sc_hd__a22o_1 g341865(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [7]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88]
+       [7]), .X (n_9208));
+  sky130_fd_sc_hd__a22o_1 g341866(.A1 (n_8862), .A2 (n_13410), .B1
+       (n_8865), .B2 (n_13402), .X (n_9207));
+  sky130_fd_sc_hd__a22o_1 g341867(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [7]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [7]), .X
+       (n_9206));
+  sky130_fd_sc_hd__a22o_1 g341868(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [7]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [7]), .X
+       (n_9205));
+  sky130_fd_sc_hd__a22o_1 g341869(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [7]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [7]), .X
+       (n_9204));
+  sky130_fd_sc_hd__a22o_1 g341870(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [7]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [7]), .X
+       (n_9203));
+  sky130_fd_sc_hd__a22o_1 g341871(.A1 (n_8862), .A2 (n_13409), .B1
+       (n_8865), .B2 (n_13401), .X (n_9202));
+  sky130_fd_sc_hd__a22o_1 g341872(.A1 (n_8862), .A2 (n_13414), .B1
+       (n_8865), .B2 (n_13406), .X (n_9201));
+  sky130_fd_sc_hd__a22o_1 g341873(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [8]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [8]), .X
+       (n_9200));
+  sky130_fd_sc_hd__a22o_1 g341874(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [8]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [8]), .X
+       (n_9199));
+  sky130_fd_sc_hd__a22o_1 g341875(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [8]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [8]), .X
+       (n_9198));
+  sky130_fd_sc_hd__a22o_1 g341876(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [8]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84]
+       [8]), .X (n_9197));
+  sky130_fd_sc_hd__a22o_1 g341877(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [8]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [8]), .X
+       (n_9196));
+  sky130_fd_sc_hd__a22o_1 g341878(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [8]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [8]), .X
+       (n_9195));
+  sky130_fd_sc_hd__a22o_1 g341879(.A1 (n_8862), .A2 (n_13408), .B1
+       (n_8865), .B2 (n_13400), .X (n_9194));
+  sky130_fd_sc_hd__a22o_1 g341880(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [8]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [8]), .X
+       (n_9193));
+  sky130_fd_sc_hd__a22o_1 g341881(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [8]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96]
+       [8]), .X (n_9192));
+  sky130_fd_sc_hd__nand2b_1 g341882(.A_N
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B (u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68), .Y
+       (n_13313));
+  sky130_fd_sc_hd__or2_1 g341883(.A (n_8958), .B
+       (u_soc_dccm_adapter_data_mem_u_reqfifo_n_85), .X (n_9354));
+  sky130_fd_sc_hd__nand3b_1 g341884(.A_N (n_13885), .B (n_13381), .C
+       (n_13452), .Y (n_13875));
+  sky130_fd_sc_hd__nand2b_1 g341885(.A_N
+       (u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68), .B (n_8957), .Y
+       (n_13325));
+  sky130_fd_sc_hd__nand2_1 g341886(.A (n_8862), .B (n_9011), .Y
+       (n_13340));
+  sky130_fd_sc_hd__a31oi_1 g341887(.A1 (n_8824), .A2
+       (u_soc_u_uart_u_uart_core_tx_done), .A3
+       (\u_soc_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ), .B1
+       (u_soc_u_uart_u_uart_core_tx_fifo_init), .Y (n_9353));
+  sky130_fd_sc_hd__or2_1 g341888(.A (n_8959), .B
+       (u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85), .X (n_9352));
+  sky130_fd_sc_hd__nand2b_1 g341889(.A_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B (u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108), .Y
+       (n_13320));
+  sky130_fd_sc_hd__nand2_1 g341890(.A
+       (u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108), .B
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_13312));
+  sky130_fd_sc_hd__nand2_1 g341891(.A
+       (u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52), .B
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_13315));
+  sky130_fd_sc_hd__nand2_1 g341892(.A
+       (u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68), .B
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_13311));
+  sky130_fd_sc_hd__and3_1 g341893(.A (\u_soc_xbar_to_lsu[d_valid] ), .B
+       (n_8878), .C
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]), .X
+       (n_13491));
+  sky130_fd_sc_hd__nand2_1 g341894(.A (n_9013), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .Y (n_9351));
+  sky130_fd_sc_hd__nor2b_1 g341895(.A (io_in[27]), .B_N (n_8987), .Y
+       (n_9350));
+  sky130_fd_sc_hd__or2_0 g341896(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .B (n_8986), .X
+       (n_13482));
+  sky130_fd_sc_hd__or2_2 g341897(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[3]), .B (n_8986), .X
+       (n_13473));
+  sky130_fd_sc_hd__nor2_1 g341898(.A
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .B
+       (n_9014), .Y (n_9349));
+  sky130_fd_sc_hd__nand2b_1 g341899(.A_N (n_8992), .B
+       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+       .Y (u_soc_u_top_u_core_ready_wb));
+  sky130_fd_sc_hd__a22o_1 g341900(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [3]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [3]), .X
+       (n_9190));
+  sky130_fd_sc_hd__a22o_1 g341901(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [3]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [3]), .X
+       (n_9189));
+  sky130_fd_sc_hd__a22o_1 g341902(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [3]), .X
+       (n_9188));
+  sky130_fd_sc_hd__a22o_1 g341903(.A1 (n_8862), .A2 (n_13403), .B1
+       (n_8865), .B2 (n_13395), .X (n_9187));
+  sky130_fd_sc_hd__a22o_1 g341904(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [3]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [3]), .X
+       (n_9186));
+  sky130_fd_sc_hd__a22o_1 g341905(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [3]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69]
+       [3]), .X (n_9185));
+  sky130_fd_sc_hd__a22o_1 g341906(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [3]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [3]), .X
+       (n_9184));
+  sky130_fd_sc_hd__a22o_1 g341907(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [3]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77]
+       [3]), .X (n_9183));
+  sky130_fd_sc_hd__a22o_1 g341908(.A1 (n_8862), .A2 (n_13402), .B1
+       (n_8865), .B2 (n_13394), .X (n_9182));
+  sky130_fd_sc_hd__a22o_1 g341909(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [3]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97]
+       [3]), .X (n_9181));
+  sky130_fd_sc_hd__a22o_1 g341910(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [3]), .X
+       (n_9180));
+  sky130_fd_sc_hd__a22o_1 g341911(.A1 (n_8862), .A2 (n_13401), .B1
+       (n_8865), .B2 (n_13393), .X (n_9179));
+  sky130_fd_sc_hd__a22o_1 g341912(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [4]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [4]), .X
+       (n_9178));
+  sky130_fd_sc_hd__a22o_1 g341913(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [4]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [4]), .X
+       (n_9177));
+  sky130_fd_sc_hd__a22o_1 g341914(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [4]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [4]), .X
+       (n_9176));
+  sky130_fd_sc_hd__a22o_1 g341915(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [4]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73]
+       [4]), .X (n_9175));
+  sky130_fd_sc_hd__a22o_1 g341916(.A1 (n_8862), .A2 (n_13400), .B1
+       (n_8865), .B2 (n_13392), .X (n_9174));
+  sky130_fd_sc_hd__a22o_1 g341917(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [4]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [4]), .X
+       (n_9173));
+  sky130_fd_sc_hd__a22o_1 g341918(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [4]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69]
+       [4]), .X (n_9172));
+  sky130_fd_sc_hd__a22o_1 g341919(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [4]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97]
+       [4]), .X (n_9171));
+  sky130_fd_sc_hd__a22o_1 g341920(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [4]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [4]), .X
+       (n_9170));
+  sky130_fd_sc_hd__a22o_1 g341921(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [4]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65]
+       [4]), .X (n_9169));
+  sky130_fd_sc_hd__a22o_1 g341922(.A1 (n_8862), .A2 (n_13399), .B1
+       (n_8865), .B2 (n_13391), .X (n_9168));
+  sky130_fd_sc_hd__a22o_1 g341923(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [5]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [5]), .X
+       (n_9167));
+  sky130_fd_sc_hd__a22o_1 g341924(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [5]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77]
+       [5]), .X (n_9166));
+  sky130_fd_sc_hd__a22o_1 g341925(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [5]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [5]), .X
+       (n_9165));
+  sky130_fd_sc_hd__a22o_1 g341926(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [5]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69]
+       [5]), .X (n_9164));
+  sky130_fd_sc_hd__a22oi_1 g341927(.A1 (n_496), .A2
+       (u_soc_u_iccm_rdata1[17]), .B1 (n_15), .B2
+       (u_soc_u_iccm_rdata2[17]), .Y (n_9163));
+  sky130_fd_sc_hd__a22o_1 g341928(.A1 (n_8862), .A2 (n_13398), .B1
+       (n_8865), .B2 (n_13390), .X (n_9162));
+  sky130_fd_sc_hd__a22o_1 g341929(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [5]), .X
+       (n_9161));
+  sky130_fd_sc_hd__a22o_1 g341930(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [5]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65]
+       [5]), .X (n_9160));
+  sky130_fd_sc_hd__a22oi_1 g341931(.A1 (n_496), .A2
+       (u_soc_u_iccm_rdata1[0]), .B1 (n_15), .B2
+       (u_soc_u_iccm_rdata2[0]), .Y (n_9159));
+  sky130_fd_sc_hd__a22o_1 g341932(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [5]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89]
+       [5]), .X (n_9158));
+  sky130_fd_sc_hd__a22o_1 g341933(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [5]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [5]), .X
+       (n_9157));
+  sky130_fd_sc_hd__a22o_1 g341934(.A1 (n_8862), .A2 (n_13397), .B1
+       (n_8865), .B2 (n_13389), .X (n_9156));
+  sky130_fd_sc_hd__a22o_1 g341935(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [6]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [6]), .X
+       (n_9155));
+  sky130_fd_sc_hd__a22o_1 g341936(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [6]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73]
+       [6]), .X (n_9154));
+  sky130_fd_sc_hd__a22o_1 g341937(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [6]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [6]), .X
+       (n_9153));
+  sky130_fd_sc_hd__a22o_1 g341938(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [6]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [6]), .X
+       (n_9152));
+  sky130_fd_sc_hd__a22o_1 g341939(.A1 (n_8862), .A2 (n_13396), .B1
+       (n_8865), .B2 (n_13388), .X (n_9151));
+  sky130_fd_sc_hd__a22o_1 g341940(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [6]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [6]), .X
+       (n_9150));
+  sky130_fd_sc_hd__a22o_1 g341941(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [6]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [6]), .X
+       (n_9149));
+  sky130_fd_sc_hd__a22o_1 g341942(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [6]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [6]), .X
+       (n_9148));
+  sky130_fd_sc_hd__a22o_1 g341943(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [6]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65]
+       [6]), .X (n_9147));
+  sky130_fd_sc_hd__a22o_1 g341944(.A1 (n_8862), .A2 (n_13395), .B1
+       (n_8865), .B2 (n_13387), .X (n_9146));
+  sky130_fd_sc_hd__a22o_1 g341945(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [7]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [7]), .X
+       (n_9145));
+  sky130_fd_sc_hd__a22o_1 g341946(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [7]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77]
+       [7]), .X (n_9144));
+  sky130_fd_sc_hd__a22o_1 g341947(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [7]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [7]), .X
+       (n_9143));
+  sky130_fd_sc_hd__a22o_1 g341948(.A1 (n_8862), .A2 (n_13394), .B1
+       (n_8865), .B2 (n_13386), .X (n_9142));
+  sky130_fd_sc_hd__a22o_1 g341949(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [7]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73]
+       [7]), .X (n_9141));
+  sky130_fd_sc_hd__a22o_1 g341950(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [7]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [7]), .X
+       (n_9140));
+  sky130_fd_sc_hd__a22o_1 g341951(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [4]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [4]), .X
+       (n_9139));
+  sky130_fd_sc_hd__a22o_1 g341952(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [7]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69]
+       [7]), .X (n_9138));
+  sky130_fd_sc_hd__a22o_1 g341953(.A1 (n_8862), .A2 (n_13393), .B1
+       (n_8865), .B2 (n_13416), .X (n_9137));
+  sky130_fd_sc_hd__a22o_1 g341954(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [7]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97]
+       [7]), .X (n_9136));
+  sky130_fd_sc_hd__a22o_1 g341955(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [7]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65]
+       [7]), .X (n_9135));
+  sky130_fd_sc_hd__a22o_1 g341956(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [8]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [8]), .X
+       (n_9134));
+  sky130_fd_sc_hd__a22o_1 g341957(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [8]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77]
+       [8]), .X (n_9133));
+  sky130_fd_sc_hd__a22o_1 g341958(.A1 (n_8862), .A2 (n_13392), .B1
+       (n_8865), .B2 (n_15946), .X (n_9132));
+  sky130_fd_sc_hd__a22o_1 g341959(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [8]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [8]), .X
+       (n_9131));
+  sky130_fd_sc_hd__a22o_1 g341960(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [8]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69]
+       [8]), .X (n_9130));
+  sky130_fd_sc_hd__a22o_1 g341961(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [8]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [8]), .X
+       (n_9129));
+  sky130_fd_sc_hd__a22o_1 g341962(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [8]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73]
+       [8]), .X (n_9128));
+  sky130_fd_sc_hd__a22o_1 g341963(.A1 (n_8862), .A2 (n_13391), .B1
+       (n_8865), .B2 (n_13415), .X (n_9127));
+  sky130_fd_sc_hd__a22o_1 g341964(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [8]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97]
+       [8]), .X (n_9126));
+  sky130_fd_sc_hd__a22o_1 g341965(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [8]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65]
+       [8]), .X (n_9125));
+  sky130_fd_sc_hd__a22o_1 g341966(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [1]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [1]), .X
+       (n_9124));
+  sky130_fd_sc_hd__a22o_1 g341967(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [1]), .X
+       (n_9123));
+  sky130_fd_sc_hd__a22o_1 g341968(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [1]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [1]), .X
+       (n_9122));
+  sky130_fd_sc_hd__a22o_1 g341969(.A1 (n_8862), .A2 (n_13390), .B1
+       (n_8865), .B2 (n_13414), .X (n_9121));
+  sky130_fd_sc_hd__a22o_1 g341970(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [1]), .X
+       (n_9120));
+  sky130_fd_sc_hd__a22o_1 g341971(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [1]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [1]), .X
+       (n_9119));
+  sky130_fd_sc_hd__a22o_1 g341972(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [1]), .X
+       (n_9118));
+  sky130_fd_sc_hd__a22o_1 g341973(.A1 (n_8862), .A2 (n_13389), .B1
+       (n_8865), .B2 (n_13413), .X (n_9117));
+  sky130_fd_sc_hd__a22o_1 g341974(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [1]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98]
+       [1]), .X (n_9116));
+  sky130_fd_sc_hd__a22o_1 g341975(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [1]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [1]), .X
+       (n_9115));
+  sky130_fd_sc_hd__a22o_1 g341976(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [2]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [2]), .X
+       (n_9114));
+  sky130_fd_sc_hd__a22oi_1 g341977(.A1 (n_14), .A2
+       (u_soc_u_iccm_rdata3[0]), .B1 (n_495), .B2
+       (u_soc_u_iccm_rdata4[0]), .Y (n_9113));
+  sky130_fd_sc_hd__a22o_1 g341978(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [2]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78]
+       [2]), .X (n_9112));
+  sky130_fd_sc_hd__a22o_1 g341979(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [4]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37]
+       [4]), .X (n_9111));
+  sky130_fd_sc_hd__a22o_1 g341980(.A1 (n_8862), .A2 (n_13388), .B1
+       (n_8865), .B2 (n_13412), .X (n_9110));
+  sky130_fd_sc_hd__a22o_1 g341981(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [2]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [2]), .X
+       (n_9109));
+  sky130_fd_sc_hd__a22o_1 g341982(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [2]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74]
+       [2]), .X (n_9108));
+  sky130_fd_sc_hd__a22o_1 g341983(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [2]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [2]), .X
+       (n_9107));
+  sky130_fd_sc_hd__a22o_1 g341984(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [2]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70]
+       [2]), .X (n_9106));
+  sky130_fd_sc_hd__a22o_1 g341985(.A1 (n_8862), .A2 (n_13387), .B1
+       (n_8865), .B2 (n_13411), .X (n_9105));
+  sky130_fd_sc_hd__a22o_1 g341986(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [2]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98]
+       [2]), .X (n_9104));
+  sky130_fd_sc_hd__a22o_1 g341987(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [2]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66]
+       [2]), .X (n_9103));
+  sky130_fd_sc_hd__a22o_1 g341988(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [2]), .X
+       (n_9102));
+  sky130_fd_sc_hd__a22o_1 g341989(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [3]), .X
+       (n_9101));
+  sky130_fd_sc_hd__a22o_1 g341990(.A1 (n_8862), .A2 (n_13386), .B1
+       (n_8865), .B2 (n_13410), .X (n_9100));
+  sky130_fd_sc_hd__a22o_1 g341991(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [3]), .X
+       (n_9099));
+  sky130_fd_sc_hd__a22o_1 g341992(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [3]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74]
+       [3]), .X (n_9098));
+  sky130_fd_sc_hd__a22o_1 g341993(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [3]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [3]), .X
+       (n_9097));
+  sky130_fd_sc_hd__a22o_1 g341994(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [3]), .X
+       (n_9096));
+  sky130_fd_sc_hd__a22o_1 g341995(.A1 (n_8862), .A2 (n_13416), .B1
+       (n_8865), .B2 (n_13409), .X (n_9095));
+  sky130_fd_sc_hd__a22o_1 g341996(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [3]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98]
+       [3]), .X (n_9094));
+  sky130_fd_sc_hd__a22o_1 g341997(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [3]), .X
+       (n_9093));
+  sky130_fd_sc_hd__a22o_1 g341998(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [4]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [4]), .X
+       (n_9092));
+  sky130_fd_sc_hd__a22o_1 g341999(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [4]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78]
+       [4]), .X (n_9091));
+  sky130_fd_sc_hd__a22o_1 g342000(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [4]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [4]), .X
+       (n_9090));
+  sky130_fd_sc_hd__a22o_1 g342001(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [4]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70]
+       [4]), .X (n_9089));
+  sky130_fd_sc_hd__a22o_1 g342002(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [4]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [4]), .X
+       (n_9088));
+  sky130_fd_sc_hd__a22o_1 g342003(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [4]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74]
+       [4]), .X (n_9087));
+  sky130_fd_sc_hd__a22o_1 g342004(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [4]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98]
+       [4]), .X (n_9086));
+  sky130_fd_sc_hd__a22o_1 g342005(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [4]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [4]), .X
+       (n_9085));
+  sky130_fd_sc_hd__a22o_1 g342006(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [5]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [5]), .X
+       (n_9084));
+  sky130_fd_sc_hd__a22o_1 g342007(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [5]), .X
+       (n_9083));
+  sky130_fd_sc_hd__a22o_1 g342008(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [5]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [5]), .X
+       (n_9082));
+  sky130_fd_sc_hd__a22o_1 g342009(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [5]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74]
+       [5]), .X (n_9081));
+  sky130_fd_sc_hd__a22o_1 g342010(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [5]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [5]), .X
+       (n_9080));
+  sky130_fd_sc_hd__a22o_1 g342011(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [5]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70]
+       [5]), .X (n_9079));
+  sky130_fd_sc_hd__a22o_1 g342012(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [5]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [5]), .X
+       (n_9078));
+  sky130_fd_sc_hd__a22o_1 g342013(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [5]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66]
+       [5]), .X (n_9077));
+  sky130_fd_sc_hd__a22o_1 g342014(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [6]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [6]), .X
+       (n_9076));
+  sky130_fd_sc_hd__a22o_1 g342015(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [6]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70]
+       [6]), .X (n_9075));
+  sky130_fd_sc_hd__a22o_1 g342016(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [6]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [6]), .X
+       (n_9074));
+  sky130_fd_sc_hd__a22o_1 g342017(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [6]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74]
+       [6]), .X (n_9073));
+  sky130_fd_sc_hd__a22o_1 g342018(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [6]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [6]), .X
+       (n_9072));
+  sky130_fd_sc_hd__a22o_1 g342019(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [6]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78]
+       [6]), .X (n_9071));
+  sky130_fd_sc_hd__a22o_1 g342020(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [6]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98]
+       [6]), .X (n_9070));
+  sky130_fd_sc_hd__a22o_1 g342021(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [6]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66]
+       [6]), .X (n_9069));
+  sky130_fd_sc_hd__a22o_1 g342022(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [7]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39]
+       [7]), .X (n_9068));
+  sky130_fd_sc_hd__a22o_1 g342023(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [7]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [7]), .X
+       (n_9067));
+  sky130_fd_sc_hd__a22o_1 g342024(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [7]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78]
+       [7]), .X (n_9066));
+  sky130_fd_sc_hd__a22o_1 g342025(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [7]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [7]), .X
+       (n_9065));
+  sky130_fd_sc_hd__a22o_1 g342026(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [7]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70]
+       [7]), .X (n_9064));
+  sky130_fd_sc_hd__a22o_1 g342027(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [7]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [7]), .X
+       (n_9063));
+  sky130_fd_sc_hd__a22o_1 g342028(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [7]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74]
+       [7]), .X (n_9062));
+  sky130_fd_sc_hd__a22o_1 g342029(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [4]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [4]), .X
+       (n_9061));
+  sky130_fd_sc_hd__a22o_1 g342030(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [7]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98]
+       [7]), .X (n_9060));
+  sky130_fd_sc_hd__a22o_1 g342031(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [7]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66]
+       [7]), .X (n_9059));
+  sky130_fd_sc_hd__a22o_1 g342032(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [8]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [8]), .X
+       (n_9058));
+  sky130_fd_sc_hd__a22o_1 g342033(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [8]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [8]), .X
+       (n_9057));
+  sky130_fd_sc_hd__a22o_1 g342034(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [8]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [8]), .X
+       (n_9056));
+  sky130_fd_sc_hd__a22o_1 g342035(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [8]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74]
+       [8]), .X (n_9055));
+  sky130_fd_sc_hd__a22o_1 g342036(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [8]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [8]), .X
+       (n_9054));
+  sky130_fd_sc_hd__a22o_1 g342037(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [8]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [8]), .X
+       (n_9053));
+  sky130_fd_sc_hd__a22o_1 g342038(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [3]), .X
+       (n_9052));
+  sky130_fd_sc_hd__a22o_1 g342039(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [8]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [8]), .X
+       (n_9051));
+  sky130_fd_sc_hd__a22o_1 g342040(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [8]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66]
+       [8]), .X (n_9050));
+  sky130_fd_sc_hd__a22o_1 g342041(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [1]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [1]), .X
+       (n_9049));
+  sky130_fd_sc_hd__a22o_1 g342042(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [1]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [1]), .X
+       (n_9048));
+  sky130_fd_sc_hd__a22o_1 g342043(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [1]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [1]), .X
+       (n_9047));
+  sky130_fd_sc_hd__a22o_1 g342044(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [1]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [1]), .X
+       (n_9046));
+  sky130_fd_sc_hd__a22o_1 g342045(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [1]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [1]), .X
+       (n_9045));
+  sky130_fd_sc_hd__a22o_1 g342046(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [1]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91]
+       [1]), .X (n_9044));
+  sky130_fd_sc_hd__a22o_1 g342047(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [1]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [1]), .X
+       (n_9043));
+  sky130_fd_sc_hd__a22o_1 g342048(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [1]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83]
+       [1]), .X (n_9042));
+  sky130_fd_sc_hd__a22o_1 g342049(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [2]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [2]), .X
+       (n_9041));
+  sky130_fd_sc_hd__a22o_1 g342050(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [2]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79]
+       [2]), .X (n_9040));
+  sky130_fd_sc_hd__a22o_1 g342051(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [2]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [2]), .X
+       (n_9039));
+  sky130_fd_sc_hd__a22o_1 g342052(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [2]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75]
+       [2]), .X (n_9038));
+  sky130_fd_sc_hd__a22o_1 g342053(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [2]), .X
+       (n_9037));
+  sky130_fd_sc_hd__a22o_1 g342054(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [2]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71]
+       [2]), .X (n_9036));
+  sky130_fd_sc_hd__a22o_1 g342055(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [2]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [2]), .X
+       (n_9035));
+  sky130_fd_sc_hd__a22o_1 g342056(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [2]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67]
+       [2]), .X (n_9034));
+  sky130_fd_sc_hd__a22o_1 g342057(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [3]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [3]), .X
+       (n_9033));
+  sky130_fd_sc_hd__a22o_1 g342058(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [3]), .X
+       (n_9032));
+  sky130_fd_sc_hd__a22o_1 g342059(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [3]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20]
+       [3]), .X (n_9031));
+  sky130_fd_sc_hd__a22o_1 g342060(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [3]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [3]), .X
+       (n_9030));
+  sky130_fd_sc_hd__a22o_1 g342061(.A1 (n_8845), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [3]), .B1
+       (n_8911), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [3]), .X
+       (n_9029));
+  sky130_fd_sc_hd__a22o_1 g342062(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [3]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [3]), .X
+       (n_9028));
+  sky130_fd_sc_hd__a22o_1 g342063(.A1 (n_8844), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [3]), .B1
+       (n_8912), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [3]), .X
+       (n_9027));
+  sky130_fd_sc_hd__a22o_1 g342064(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [3]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99]
+       [3]), .X (n_9026));
+  sky130_fd_sc_hd__a22oi_1 g342065(.A1 (n_14), .A2
+       (u_soc_u_iccm_rdata3[17]), .B1 (n_495), .B2
+       (u_soc_u_iccm_rdata4[17]), .Y (n_9025));
+  sky130_fd_sc_hd__a22o_1 g342066(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [3]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67]
+       [3]), .X (n_9024));
+  sky130_fd_sc_hd__a22o_1 g342067(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [4]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [4]), .X
+       (n_9023));
+  sky130_fd_sc_hd__a22o_1 g342068(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [4]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79]
+       [4]), .X (n_9022));
+  sky130_fd_sc_hd__a22o_1 g342069(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [4]), .B1
+       (n_8836), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [4]), .X
+       (n_9021));
+  sky130_fd_sc_hd__a22o_1 g342070(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [4]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75]
+       [4]), .X (n_9020));
+  sky130_fd_sc_hd__a22o_1 g342071(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [4]), .B1
+       (n_8835), .B2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [4]), .X
+       (n_9019));
+  sky130_fd_sc_hd__a22o_1 g342072(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [4]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71]
+       [4]), .X (n_9018));
+  sky130_fd_sc_hd__a22o_1 g342073(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [4]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99]
+       [4]), .X (n_9017));
+  sky130_fd_sc_hd__a22o_1 g342074(.A1 (n_8921), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [4]), .B1
+       (n_8835), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67]
+       [4]), .X (n_9016));
+  sky130_fd_sc_hd__a22o_1 g342075(.A1 (n_8922), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [2]), .B1
+       (n_8836), .B2 (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97]
+       [2]), .X (n_9015));
+  sky130_fd_sc_hd__a22o_1 g342076(.A1 (n_8861), .A2 (n_8877), .B1
+       (n_8863), .B2 (n_8875), .X (n_9191));
+  sky130_fd_sc_hd__inv_2 g342077(.A (u_soc_iccm_adapter_instr_weD), .Y
+       (u_soc_instr_we));
+  sky130_fd_sc_hd__inv_2 g342079(.A (n_9009), .Y (n_13466));
+  sky130_fd_sc_hd__inv_1 g342080(.A (n_9008), .Y (n_13326));
+  sky130_fd_sc_hd__inv_2 g342081(.A (n_13471), .Y (n_9007));
+  sky130_fd_sc_hd__inv_2 g342083(.A (n_9002), .Y (n_9001));
+  sky130_fd_sc_hd__nand2_1 g342117(.A (n_8867), .B
+       (u_soc_u_top_u_core_lsu_type[1]), .Y (n_8998));
+  sky130_fd_sc_hd__nand2_1 g342118(.A (n_8862), .B
+       (u_soc_u_top_u_core_lsu_type[1]), .Y (n_8997));
+  sky130_fd_sc_hd__nor2b_1 g342119(.A (n_13335), .B_N (n_13877), .Y
+       (n_8996));
+  sky130_fd_sc_hd__nor2b_1 g342120(.A (n_8883), .B_N (n_13452), .Y
+       (n_13486));
+  sky130_fd_sc_hd__nor2b_1 g342121(.A (n_13465), .B_N (n_13877), .Y
+       (n_9014));
+  sky130_fd_sc_hd__nor2_1 g342122(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .B (n_13476), .Y
+       (n_9013));
+  sky130_fd_sc_hd__nor2b_1 g342123(.A
+       (u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q), .B_N
+       (n_8875), .Y (n_9012));
+  sky130_fd_sc_hd__nor2b_1 g342124(.A
+       (u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q), .B_N
+       (n_8877), .Y (n_9011));
+  sky130_fd_sc_hd__nand2_1 g342125(.A (n_8877), .B
+       (u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q), .Y
+       (n_9010));
+  sky130_fd_sc_hd__nor2_1 g342126(.A (n_13335), .B (n_13877), .Y
+       (n_9009));
+  sky130_fd_sc_hd__nor2_1 g342127(.A
+       (u_soc_u_uart_u_uart_core_fifo_read_size[6]), .B (n_13329), .Y
+       (n_9008));
+  sky130_fd_sc_hd__nand2_1 g342128(.A (n_8945), .B
+       (u_soc_u_top_u_core_pc_mux_id[0]), .Y (n_13471));
+  sky130_fd_sc_hd__nor2b_1 g342129(.A (n_13472), .B_N
+       (u_soc_u_top_u_core_pc_mux_id[2]), .Y (n_13534));
+  sky130_fd_sc_hd__nor2_1 g342130(.A (n_8874), .B (n_13328), .Y
+       (n_9006));
+  sky130_fd_sc_hd__nor2_1 g342131(.A (n_8874), .B (n_13329), .Y
+       (n_9005));
+  sky130_fd_sc_hd__nor2_1 g342132(.A (n_13449), .B (n_8874), .Y
+       (n_9004));
+  sky130_fd_sc_hd__nand2_1 g342133(.A (n_8940), .B
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[3]), .Y (n_9003));
+  sky130_fd_sc_hd__nor2b_1 g342134(.A
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[3]), .B_N (n_8941), .Y
+       (n_9002));
+  sky130_fd_sc_hd__nand2_1 g342135(.A (n_8941), .B
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[3]), .Y (n_9000));
+  sky130_fd_sc_hd__nor2_1 g342136(.A
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[3]), .B (n_8939), .Y
+       (n_8999));
+  sky130_fd_sc_hd__inv_2 g342139(.A (n_8985), .Y (n_8984));
+  sky130_fd_sc_hd__inv_1 g342140(.A (n_8983), .Y (n_13470));
+  sky130_fd_sc_hd__or3_1 g342142(.A
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
+       [1]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
+       [0]), .C (u_soc_u_top_u_core_ctrl_busy), .X (n_8960));
+  sky130_fd_sc_hd__xor2_1 g342143(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .X (n_8959));
+  sky130_fd_sc_hd__xor2_1 g342144(.A
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .X (n_8958));
+  sky130_fd_sc_hd__xnor2_1 g342145(.A
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_8957));
+  sky130_fd_sc_hd__a21oi_1 g342146(.A1
+       (u_soc_u_top_u_core_priv_mode_id[0]), .A2
+       (u_soc_u_top_u_core_priv_mode_id[1]), .B1 (n_8879), .Y (n_8956));
+  sky130_fd_sc_hd__xor2_1 g342147(.A
+       (u_soc_main_swith_host_lsu_dev_select_outstanding[0]), .B
+       (\u_soc_lsu_to_xbar[a_address] [31]), .X (n_8955));
+  sky130_fd_sc_hd__nand2_1 g342148(.A (n_13484), .B (n_8830), .Y
+       (n_8954));
+  sky130_fd_sc_hd__or4_1 g342149(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[0]), .B
+       (u_soc_main_swith_host_lsu_num_req_outstanding[6]), .C
+       (u_soc_main_swith_host_lsu_num_req_outstanding[16]), .D
+       (u_soc_main_swith_host_lsu_num_req_outstanding[5]), .X (n_8953));
+  sky130_fd_sc_hd__nor4_1 g342150(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[13]), .B
+       (u_soc_main_swith_host_lsu_num_req_outstanding[1]), .C
+       (u_soc_main_swith_host_lsu_num_req_outstanding[12]), .D
+       (u_soc_main_swith_host_lsu_num_req_outstanding[2]), .Y (n_8952));
+  sky130_fd_sc_hd__nor4_1 g342151(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[10]), .B
+       (u_soc_main_swith_host_lsu_num_req_outstanding[7]), .C
+       (u_soc_main_swith_host_lsu_num_req_outstanding[9]), .D
+       (u_soc_main_swith_host_lsu_num_req_outstanding[8]), .Y (n_8951));
+  sky130_fd_sc_hd__o21ai_1 g342152(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
+       [1]), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
+       [0]), .B1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
+       [0]), .Y (n_8950));
+  sky130_fd_sc_hd__xor2_1 g342153(.A
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .X (n_8949));
+  sky130_fd_sc_hd__xor2_1 g342154(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .X (n_8948));
+  sky130_fd_sc_hd__and3_1 g342155(.A (u_soc_u_uart_u_uart_core_tx_en),
+       .B (\u_soc_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ), .C
+       (io_in[32]), .X (u_soc_u_uart_u_uart_core_n_186));
+  sky130_fd_sc_hd__o2bb2ai_1 g342156(.A1_N
+       (u_soc_main_swith_host_lsu_dev_select_outstanding[1]), .A2_N
+       (\u_soc_lsu_to_xbar[a_address] [30]), .B1
+       (u_soc_main_swith_host_lsu_dev_select_outstanding[1]), .B2
+       (\u_soc_lsu_to_xbar[a_address] [30]), .Y (n_8947));
+  sky130_fd_sc_hd__or3_1 g342157(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[3]), .B
+       (u_soc_main_swith_host_lsu_num_req_outstanding[4]), .C
+       (u_soc_main_swith_host_lsu_num_req_outstanding[14]), .X
+       (n_8946));
+  sky130_fd_sc_hd__xor2_1 g342158(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .X (n_8994));
+  sky130_fd_sc_hd__a22o_1 g342159(.A1 (\u_soc_xbar_to_lsu[d_valid] ),
+       .A2 (n_8822), .B1 (n_13465), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .X
+       (n_8993));
+  sky130_fd_sc_hd__mux2_2 g342160(.A0 (io_in[36]), .A1 (io_in[5]), .S
+       (io_in[35]), .X (rx));
+  sky130_fd_sc_hd__o21ai_1 g342161(.A1
+       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q
+       [0]), .A2 (n_8816), .B1 (n_13365), .Y (n_8992));
+  sky130_fd_sc_hd__nand4bb_1 g342162(.A_N
+       (u_soc_u_top_u_core_instr_rdata_id[26]), .B_N
+       (u_soc_u_top_u_core_instr_rdata_id[31]), .C
+       (u_soc_u_top_u_core_instr_rdata_id[29]), .D
+       (u_soc_u_top_u_core_instr_rdata_id[28]), .Y (n_8991));
+  sky130_fd_sc_hd__xor2_1 g342163(.A
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .B
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .X (n_8989));
+  sky130_fd_sc_hd__nor2_1 g342164(.A (n_8879), .B (n_8827), .Y
+       (n_8988));
+  sky130_fd_sc_hd__xnor2_1 g342165(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [2]), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [2]), .Y (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69));
+  sky130_fd_sc_hd__nor3_1 g342166(.A (io_in[25]), .B (io_in[26]), .C
+       (io_in[24]), .Y (n_8987));
+  sky130_fd_sc_hd__xnor2_1 g342167(.A
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Y (u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52));
+  sky130_fd_sc_hd__xnor2_1 g342168(.A
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [2]), .B
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [2]), .Y (u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69));
+  sky130_fd_sc_hd__or3b_1 g342169(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .B (n_8879), .C_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[8]), .X (n_8986));
+  sky130_fd_sc_hd__o2bb2ai_1 g342170(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .A2_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .B1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Y (u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108));
+  sky130_fd_sc_hd__xnor2_1 g342171(.A
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Y (u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68));
+  sky130_fd_sc_hd__nand3b_1 g342172(.A_N (io_in[25]), .B (n_8815), .C
+       (io_in[26]), .Y (n_8985));
+  sky130_fd_sc_hd__nor3b_1 g342173(.A
+       (u_soc_u_top_u_core_exc_pc_mux_id[1]), .B
+       (u_soc_u_top_u_core_pc_mux_id[0]), .C_N (n_8945), .Y (n_13489));
+  sky130_fd_sc_hd__nor3b_1 g342174(.A
+       (u_soc_u_top_u_core_pc_mux_id[2]), .B
+       (u_soc_u_top_u_core_pc_mux_id[1]), .C_N
+       (u_soc_u_top_u_core_pc_mux_id[0]), .Y (n_8983));
+  sky130_fd_sc_hd__nor2_1 g342175(.A (n_8874), .B (n_13327), .Y
+       (n_8982));
+  sky130_fd_sc_hd__nor2_1 g342176(.A (n_8876), .B (n_13327), .Y
+       (n_8981));
+  sky130_fd_sc_hd__nor2_1 g342177(.A (n_8876), .B (n_13329), .Y
+       (n_8980));
+  sky130_fd_sc_hd__nor2_1 g342178(.A (n_8876), .B (n_13449), .Y
+       (n_8979));
+  sky130_fd_sc_hd__nor2_1 g342179(.A (n_8876), .B (n_13328), .Y
+       (n_8978));
+  sky130_fd_sc_hd__nor2_1 g342180(.A (n_8853), .B (n_8847), .Y
+       (n_8977));
+  sky130_fd_sc_hd__nor2_1 g342181(.A (n_8853), .B (n_8838), .Y
+       (n_8976));
+  sky130_fd_sc_hd__nor2_1 g342182(.A (n_8853), .B (n_8924), .Y
+       (n_8975));
+  sky130_fd_sc_hd__nor2_1 g342183(.A (n_8853), .B (n_8914), .Y
+       (n_8974));
+  sky130_fd_sc_hd__and2_1 g342184(.A (n_8844), .B (n_8854), .X
+       (n_8973));
+  sky130_fd_sc_hd__and2_1 g342185(.A (n_8835), .B (n_8854), .X
+       (n_8972));
+  sky130_fd_sc_hd__nor2_2 g342186(.A (n_8855), .B (n_8914), .Y
+       (n_8971));
+  sky130_fd_sc_hd__and2_1 g342187(.A (n_8921), .B (n_8854), .X
+       (n_8970));
+  sky130_fd_sc_hd__and2_2 g342188(.A (n_8844), .B (n_8931), .X
+       (n_8968));
+  sky130_fd_sc_hd__and2_2 g342189(.A (n_8921), .B (n_8931), .X
+       (n_8967));
+  sky130_fd_sc_hd__and2_2 g342190(.A (n_8911), .B (n_8931), .X
+       (n_8966));
+  sky130_fd_sc_hd__and2_2 g342191(.A (n_8844), .B (n_8859), .X
+       (n_8965));
+  sky130_fd_sc_hd__and2_2 g342192(.A (n_8922), .B (n_8859), .X
+       (n_8964));
+  sky130_fd_sc_hd__and2_2 g342193(.A (n_8835), .B (n_8859), .X
+       (n_8963));
+  sky130_fd_sc_hd__and2_2 g342194(.A (n_8912), .B (n_8859), .X
+       (n_8962));
+  sky130_fd_sc_hd__and2_2 g342195(.A (n_8835), .B (n_8931), .X
+       (n_8961));
+  sky130_fd_sc_hd__inv_1 g342196(.A (n_8943), .Y (n_8944));
+  sky130_fd_sc_hd__inv_1 g342197(.A (n_8939), .Y (n_8940));
+  sky130_fd_sc_hd__clkinv_1 g342198(.A (n_15), .Y (n_13360));
+  sky130_fd_sc_hd__clkinv_1 g342199(.A (n_496), .Y (n_13361));
+  sky130_fd_sc_hd__clkinv_1 g342200(.A (n_8936), .Y (n_8935));
+  sky130_fd_sc_hd__inv_1 g342201(.A (n_8934), .Y (n_13364));
+  sky130_fd_sc_hd__inv_2 g342202(.A (n_8932), .Y (n_8931));
+  sky130_fd_sc_hd__inv_2 g342203(.A (n_831), .Y (n_13329));
+  sky130_fd_sc_hd__inv_2 g342209(.A (n_8924), .Y (n_8922));
+  sky130_fd_sc_hd__inv_2 g342211(.A (n_8921), .Y (n_8924));
+  sky130_fd_sc_hd__inv_2 g342219(.A (n_8914), .Y (n_8912));
+  sky130_fd_sc_hd__inv_2 g342220(.A (n_8911), .Y (n_8914));
+  sky130_fd_sc_hd__nor2b_1 g342222(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[9]), .Y (n_8910));
+  sky130_fd_sc_hd__nor2b_1 g342223(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[2]), .Y (n_8909));
+  sky130_fd_sc_hd__nor2b_1 g342224(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[25]), .Y (n_8908));
+  sky130_fd_sc_hd__nor2b_1 g342225(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[23]), .Y (n_8907));
+  sky130_fd_sc_hd__nor2b_1 g342226(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[0]), .Y (n_8906));
+  sky130_fd_sc_hd__nor2b_1 g342227(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[6]), .Y (n_8905));
+  sky130_fd_sc_hd__nor2b_1 g342228(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[8]), .Y (n_8904));
+  sky130_fd_sc_hd__nor2b_1 g342229(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[12]), .Y (n_8903));
+  sky130_fd_sc_hd__nor2b_1 g342230(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[16]), .Y (n_8902));
+  sky130_fd_sc_hd__nor2b_1 g342231(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[18]), .Y (n_8901));
+  sky130_fd_sc_hd__nor2b_1 g342232(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[20]), .Y (n_8900));
+  sky130_fd_sc_hd__nor2b_1 g342233(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[7]), .Y (n_8899));
+  sky130_fd_sc_hd__nor2b_1 g342234(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[24]), .Y (n_8898));
+  sky130_fd_sc_hd__nor2b_1 g342235(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[27]), .Y (n_8897));
+  sky130_fd_sc_hd__nor2b_1 g342236(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[29]), .Y (n_8896));
+  sky130_fd_sc_hd__nor2b_1 g342237(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[31]), .Y (n_8895));
+  sky130_fd_sc_hd__nor2b_1 g342238(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[4]), .Y (n_8894));
+  sky130_fd_sc_hd__nor2b_1 g342239(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[30]), .Y (n_8893));
+  sky130_fd_sc_hd__nor2b_1 g342240(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[28]), .Y (n_8892));
+  sky130_fd_sc_hd__nor2b_1 g342241(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[19]), .Y (n_8891));
+  sky130_fd_sc_hd__nor2b_1 g342242(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[22]), .Y (n_8890));
+  sky130_fd_sc_hd__nor2b_1 g342243(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[1]), .Y (n_8889));
+  sky130_fd_sc_hd__nor2b_1 g342244(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[3]), .Y (n_8888));
+  sky130_fd_sc_hd__nor2b_1 g342245(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[21]), .Y (n_8887));
+  sky130_fd_sc_hd__nor2b_1 g342246(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[5]), .Y (n_8886));
+  sky130_fd_sc_hd__nor2_1 g342247(.A (io_in[26]), .B (io_in[27]), .Y
+       (n_8885));
+  sky130_fd_sc_hd__nor2b_1 g342248(.A
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
+       [1]), .B_N (u_soc_u_top_u_core_instr_req_int), .Y (n_8884));
+  sky130_fd_sc_hd__nor2b_1 g342249(.A
+       (u_soc_u_top_u_core_pc_mux_id[2]), .B_N
+       (u_soc_u_top_u_core_pc_mux_id[1]), .Y (n_8945));
+  sky130_fd_sc_hd__nand2_1 g342250(.A (n_8808), .B
+       (u_soc_iccm_ctrl_we), .Y (n_8943));
+  sky130_fd_sc_hd__nand2_1 g342251(.A (n_8815), .B (io_in[25]), .Y
+       (n_8942));
+  sky130_fd_sc_hd__nor2_1 g342252(.A
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[2]), .B
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[8]), .Y (n_8941));
+  sky130_fd_sc_hd__nand2_1 g342253(.A (u_soc_iccm_adapter_rvalid), .B
+       (n_641), .Y (n_13458));
+  sky130_fd_sc_hd__nand2b_1 g342254(.A_N
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[8]), .B
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[2]), .Y (n_8939));
+  sky130_fd_sc_hd__or2_2 g342255(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_15943), .X
+       (n_13484));
+  sky130_fd_sc_hd__nand2b_1 g342256(.A_N (n_13885), .B
+       (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .Y (n_13877));
+  sky130_fd_sc_hd__nor2b_1 g342257(.A (u_soc_u_iccm_bank_sel[1]), .B_N
+       (u_soc_u_iccm_bank_sel[0]), .Y (n_15));
+  sky130_fd_sc_hd__nor2_1 g342258(.A (u_soc_u_iccm_bank_sel[1]), .B
+       (u_soc_u_iccm_bank_sel[0]), .Y (n_496));
+  sky130_fd_sc_hd__nand2b_1 g342259(.A_N
+       (u_soc_u_uart_u_uart_core_fifo_read_size[0]), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[4]), .Y (n_8936));
+  sky130_fd_sc_hd__nor2_1 g342260(.A
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
+       ), .B (u_soc_u_top_u_core_pc_set), .Y (n_8934));
+  sky130_fd_sc_hd__nand2b_1 g342261(.A_N
+       (u_soc_u_uart_u_uart_core_fifo_read_size[1]), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[5]), .Y (n_8933));
+  sky130_fd_sc_hd__nand2b_1 g342262(.A_N
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[5]), .B
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[4]), .Y (n_8932));
+  sky130_fd_sc_hd__nor2_4 g342263(.A
+       (u_soc_u_uart_u_uart_core_fifo_read_size[3]), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[2]), .Y (n_831));
+  sky130_fd_sc_hd__nor2_8 g342264(.A
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[7]), .B
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[6]), .Y (n_8921));
+  sky130_fd_sc_hd__and2_4 g342265(.A
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[7]), .B
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[6]), .X (n_8911));
+  sky130_fd_sc_hd__inv_2 g342266(.A (n_8880), .Y (n_13475));
+  sky130_fd_sc_hd__inv_1 g342267(.A (n_8878), .Y (n_13461));
+  sky130_fd_sc_hd__clkinv_1 g342268(.A (n_14), .Y (n_13359));
+  sky130_fd_sc_hd__inv_2 g342271(.A (n_13363), .Y (n_8868));
+  sky130_fd_sc_hd__inv_2 g342272(.A (n_8864), .Y (n_8863));
+  sky130_fd_sc_hd__inv_1 g342273(.A (n_8862), .Y (n_8861));
+  sky130_fd_sc_hd__inv_2 g342274(.A (n_8860), .Y (n_8859));
+  sky130_fd_sc_hd__inv_2 g342275(.A (n_690), .Y (n_13328));
+  sky130_fd_sc_hd__inv_2 g342276(.A (n_8857), .Y (n_13327));
+  sky130_fd_sc_hd__inv_2 g342277(.A (n_8856), .Y (n_13449));
+  sky130_fd_sc_hd__inv_2 g342292(.A (n_8838), .Y (n_8836));
+  sky130_fd_sc_hd__inv_2 g342294(.A (n_8835), .Y (n_8838));
+  sky130_fd_sc_hd__nor2b_1 g342295(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[11]), .Y (n_8834));
+  sky130_fd_sc_hd__nor2b_1 g342296(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[26]), .Y (n_8833));
+  sky130_fd_sc_hd__nor2_1 g342298(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .Y (n_8832));
+  sky130_fd_sc_hd__nor2b_1 g342299(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[10]), .Y (n_8831));
+  sky130_fd_sc_hd__nand2_1 g342300(.A (n_15943), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_8830));
+  sky130_fd_sc_hd__nor2b_1 g342301(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[17]), .Y (n_8829));
+  sky130_fd_sc_hd__and2_1 g342302(.A
+       (u_soc_u_top_u_core_core_clock_gate_i_en_latch), .B (wb_clk_i),
+       .X (u_soc_u_top_u_core_clk));
+  sky130_fd_sc_hd__nor2b_1 g342303(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[13]), .Y (n_8828));
+  sky130_fd_sc_hd__nand2_1 g342304(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[8]), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .Y (n_8827));
+  sky130_fd_sc_hd__nor2b_1 g342305(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[15]), .Y (n_8826));
+  sky130_fd_sc_hd__nor2b_1 g342306(.A (u_soc_prog_rst_ni), .B_N
+       (u_soc_iccm_ctrl_data[14]), .Y (n_8825));
+  sky130_fd_sc_hd__nand2_1 g342307(.A (n_633), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .Y (n_13476));
+  sky130_fd_sc_hd__nor2b_1 g342308(.A (n_13366), .B_N (n_13879), .Y
+       (n_8883));
+  sky130_fd_sc_hd__or2_2 g342309(.A (u_soc_u_top_u_core_pc_mux_id[1]),
+       .B (u_soc_u_top_u_core_pc_mux_id[0]), .X (n_13472));
+  sky130_fd_sc_hd__nor2_1 g342310(.A
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]), .B
+       (n_13464), .Y (n_13383));
+  sky130_fd_sc_hd__nor2_1 g342311(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[3]), .B (n_15943), .Y
+       (n_8882));
+  sky130_fd_sc_hd__or2_1 g342312(.A
+       (u_soc_u_top_u_core_id_stage_i_mult_en_dec), .B
+       (u_soc_u_top_u_core_id_stage_i_div_en_dec), .X (n_13381));
+  sky130_fd_sc_hd__nor2_1 g342313(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .Y (n_8881));
+  sky130_fd_sc_hd__nor2_1 g342314(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[3]), .Y (n_8880));
+  sky130_fd_sc_hd__nand2_1 g342315(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[9]), .B
+       (u_soc_u_top_u_core_csr_access), .Y (n_8879));
+  sky130_fd_sc_hd__nor2_1 g342316(.A
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .B
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .Y
+       (n_8878));
+  sky130_fd_sc_hd__nor2_1 g342317(.A (u_soc_u_top_u_core_lsu_type[0]),
+       .B (u_soc_u_top_u_core_lsu_type[1]), .Y (n_8877));
+  sky130_fd_sc_hd__nand2b_1 g342318(.A_N
+       (u_soc_u_uart_u_uart_core_fifo_read_size[5]), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[1]), .Y (n_8876));
+  sky130_fd_sc_hd__nor2b_1 g342319(.A (u_soc_u_top_u_core_lsu_type[1]),
+       .B_N (u_soc_u_top_u_core_lsu_type[0]), .Y (n_8875));
+  sky130_fd_sc_hd__nand2_1 g342320(.A
+       (u_soc_u_uart_u_uart_core_fifo_read_size[1]), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[5]), .Y (n_8874));
+  sky130_fd_sc_hd__nor2b_1 g342321(.A (u_soc_u_iccm_bank_sel[0]), .B_N
+       (u_soc_u_iccm_bank_sel[1]), .Y (n_14));
+  sky130_fd_sc_hd__nand2_1 g342322(.A (u_soc_u_iccm_bank_sel[0]), .B
+       (u_soc_u_iccm_bank_sel[1]), .Y (n_13358));
+  sky130_fd_sc_hd__nand2b_1 g342323(.A_N
+       (u_soc_u_uart_u_uart_core_fifo_read_size[4]), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[0]), .Y (n_8871));
+  sky130_fd_sc_hd__nand2_1 g342324(.A
+       (u_soc_u_uart_u_uart_core_fifo_read_size[0]), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[4]), .Y (n_13346));
+  sky130_fd_sc_hd__nor2_1 g342325(.A
+       (u_soc_u_uart_u_uart_core_fifo_read_size[4]), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[0]), .Y (n_8870));
+  sky130_fd_sc_hd__nand2_1 g342326(.A (u_soc_u_top_u_core_pc_set), .B
+       (n_8819), .Y (n_13363));
+  sky130_fd_sc_hd__nor2b_1 g342327(.A (n_16012), .B_N
+       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .Y (n_8867));
+  sky130_fd_sc_hd__or2_1 g342328(.A
+       (u_soc_u_uart_u_uart_core_fifo_read_size[5]), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[1]), .X (n_8866));
+  sky130_fd_sc_hd__and2_0 g342329(.A (n_8810), .B (n_16012), .X
+       (n_8865));
+  sky130_fd_sc_hd__nand2_1 g342330(.A
+       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .B (n_16012), .Y
+       (n_8864));
+  sky130_fd_sc_hd__nor2_2 g342331(.A (n_16012), .B
+       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .Y (n_8862));
+  sky130_fd_sc_hd__nand2_1 g342332(.A
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[4]), .B
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[5]), .Y (n_8860));
+  sky130_fd_sc_hd__and2b_4 g342333(.A_N
+       (u_soc_u_uart_u_uart_core_fifo_read_size[3]), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[2]), .X (n_690));
+  sky130_fd_sc_hd__and2b_1 g342334(.A_N
+       (u_soc_u_uart_u_uart_core_fifo_read_size[2]), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[3]), .X (n_8857));
+  sky130_fd_sc_hd__and2_1 g342335(.A
+       (u_soc_u_uart_u_uart_core_fifo_read_size[3]), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[2]), .X (n_8856));
+  sky130_fd_sc_hd__or2_2 g342336(.A
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[5]), .B
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[4]), .X (n_8855));
+  sky130_fd_sc_hd__or2b_2 g342337(.A
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[4]), .B_N
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[5]), .X (n_8853));
+  sky130_fd_sc_hd__and2b_4 g342338(.A_N
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[6]), .B
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[7]), .X (n_8844));
+  sky130_fd_sc_hd__and2b_4 g342339(.A_N
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[7]), .B
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[6]), .X (n_8835));
+  sky130_fd_sc_hd__inv_1 g342341(.A (io_in[30]), .Y (n_8823));
+  sky130_fd_sc_hd__inv_1 g342346(.A
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [3]), .Y (n_8818));
+  sky130_fd_sc_hd__inv_1 g342347(.A
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [1]), .Y (n_8817));
+  sky130_fd_sc_hd__inv_2 g342349(.A (io_in[24]), .Y (n_8815));
+  sky130_fd_sc_hd__inv_1 g342350(.A (u_soc_u_top_u_core_lsu_type[1]),
+       .Y (n_8814));
+  sky130_fd_sc_hd__clkinv_1 g342352(.A (u_soc_u_top_data_we), .Y
+       (n_640));
+  sky130_fd_sc_hd__inv_1 g342354(.A
+       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .Y (n_8810));
+  sky130_fd_sc_hd__inv_2 g342355(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .Y (n_633));
+  sky130_fd_sc_hd__inv_2 g342356(.A (wb_rst_i), .Y (n_470));
+  sky130_fd_sc_hd__inv_2 g342357(.A (u_soc_prog_rst_ni), .Y (n_8808));
+  sky130_fd_sc_hd__a221o_1 g276906(.A1 (n_13555), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[20]), .B1 (n_8804), .B2
+       (u_soc_u_top_u_core_instr_rdata_id[7]), .C1 (n_8805), .X
+       (n_13546));
+  sky130_fd_sc_hd__inv_2 g276907(.A (n_8807), .Y (n_13535));
+  sky130_fd_sc_hd__a222oi_1 g276908(.A1
+       (u_soc_u_top_u_core_instr_rdata_id[8]), .A2 (n_8804), .B1
+       (n_8802), .B2 (u_soc_u_top_u_core_instr_rdata_id[21]), .C1
+       (n_13556), .C2 (u_soc_u_top_u_core_instr_is_compressed_id), .Y
+       (n_8807));
+  sky130_fd_sc_hd__o21ai_1 g276909(.A1
+       (u_soc_u_top_u_core_instr_is_compressed_id), .A2 (n_8803), .B1
+       (n_8806), .Y (n_13536));
+  sky130_fd_sc_hd__a22o_1 g276910(.A1 (n_8804), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[11]), .B1 (n_8802), .B2
+       (u_soc_u_top_u_core_instr_rdata_id[24]), .X (n_13539));
+  sky130_fd_sc_hd__a22o_1 g276911(.A1 (n_8804), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[10]), .B1 (n_8802), .B2
+       (u_soc_u_top_u_core_instr_rdata_id[23]), .X (n_13538));
+  sky130_fd_sc_hd__a22oi_1 g276912(.A1 (n_8804), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[9]), .B1 (n_8802), .B2
+       (u_soc_u_top_u_core_instr_rdata_id[22]), .Y (n_8806));
+  sky130_fd_sc_hd__nor2b_1 g276913(.A (n_13556), .B_N
+       (u_soc_u_top_u_core_instr_rdata_id[30]), .Y (n_13545));
+  sky130_fd_sc_hd__nor2b_1 g276914(.A (n_13556), .B_N
+       (u_soc_u_top_u_core_instr_rdata_id[29]), .Y (n_13544));
+  sky130_fd_sc_hd__nor2b_1 g276915(.A (n_13556), .B_N
+       (u_soc_u_top_u_core_instr_rdata_id[28]), .Y (n_13543));
+  sky130_fd_sc_hd__nor2b_1 g276916(.A (n_13556), .B_N
+       (u_soc_u_top_u_core_instr_rdata_id[26]), .Y (n_13541));
+  sky130_fd_sc_hd__nor2b_1 g276917(.A (n_13556), .B_N
+       (u_soc_u_top_u_core_instr_rdata_id[27]), .Y (n_13542));
+  sky130_fd_sc_hd__nor2_1 g276918(.A
+       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[1]), .B (n_13353),
+       .Y (n_8805));
+  sky130_fd_sc_hd__nor2b_1 g276919(.A (n_13556), .B_N
+       (u_soc_u_top_u_core_instr_rdata_id[25]), .Y (n_13540));
+  sky130_fd_sc_hd__inv_2 g276920(.A (n_8803), .Y (n_13556));
+  sky130_fd_sc_hd__a22o_1 g276922(.A1 (n_13426), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[9]), .X (n_13783));
+  sky130_fd_sc_hd__a22o_1 g276923(.A1 (n_13425), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[8]), .X (n_13782));
+  sky130_fd_sc_hd__a22o_1 g276924(.A1 (n_13427), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[10]), .X (n_13784));
+  sky130_fd_sc_hd__and2_1 g276925(.A (n_8802), .B
+       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]), .X (n_13555));
+  sky130_fd_sc_hd__or3b_1 g276926(.A
+       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[0]), .B
+       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]), .C_N
+       (u_soc_u_top_u_core_instr_rdata_id[31]), .X (n_13353));
+  sky130_fd_sc_hd__nor3b_1 g276927(.A
+       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[0]), .B
+       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]), .C_N
+       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[1]), .Y (n_8804));
+  sky130_fd_sc_hd__a21oi_1 g276928(.A1
+       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]), .A2
+       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[1]), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[0]), .Y (n_8803));
+  sky130_fd_sc_hd__a22o_1 g276929(.A1 (n_13420), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[3]), .X (n_13777));
+  sky130_fd_sc_hd__a22o_1 g276930(.A1 (n_13423), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[6]), .X (n_13780));
+  sky130_fd_sc_hd__a22o_1 g276931(.A1 (n_13422), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[5]), .X (n_13779));
+  sky130_fd_sc_hd__a22o_1 g276932(.A1 (n_13421), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[4]), .X (n_13778));
+  sky130_fd_sc_hd__a22o_1 g276933(.A1 (n_13424), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[7]), .X (n_13781));
+  sky130_fd_sc_hd__a22o_1 g276934(.A1 (n_13419), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_pc_id[2]), .B2
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .X (n_13776));
+  sky130_fd_sc_hd__a22o_1 g276935(.A1 (n_13418), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_pc_id[1]), .B2
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .X (n_13775));
+  sky130_fd_sc_hd__a22o_1 g276936(.A1 (n_13428), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[11]), .X (n_13785));
+  sky130_fd_sc_hd__nor2_1 g276937(.A
+       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[1]), .B
+       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[0]), .Y (n_8802));
+  sky130_fd_sc_hd__a22o_1 g342358(.A1 (n_13438), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[21]), .X (n_13795));
+  sky130_fd_sc_hd__nand2_1 g342359(.A (n_8797), .B (n_13353), .Y
+       (n_13553));
+  sky130_fd_sc_hd__nand2_1 g342360(.A (n_8799), .B (n_13353), .Y
+       (n_13550));
+  sky130_fd_sc_hd__nand2_1 g342361(.A (n_8794), .B (n_13353), .Y
+       (n_13549));
+  sky130_fd_sc_hd__nand2_1 g342362(.A (n_8793), .B (n_13353), .Y
+       (n_13552));
+  sky130_fd_sc_hd__nand2_1 g342363(.A (n_8796), .B (n_13353), .Y
+       (n_13548));
+  sky130_fd_sc_hd__nand2_1 g342364(.A (n_8792), .B (n_13353), .Y
+       (n_13547));
+  sky130_fd_sc_hd__nand2_1 g342365(.A (n_8798), .B (n_13353), .Y
+       (n_13551));
+  sky130_fd_sc_hd__a22o_1 g342366(.A1 (n_13441), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[24]), .X (n_13798));
+  sky130_fd_sc_hd__a22o_1 g342367(.A1 (n_13440), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[23]), .X (n_13797));
+  sky130_fd_sc_hd__a22o_1 g342368(.A1 (n_13447), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[30]), .X (n_13804));
+  sky130_fd_sc_hd__a22o_1 g342369(.A1 (n_13439), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[22]), .X (n_13796));
+  sky130_fd_sc_hd__a22o_1 g342370(.A1 (n_13446), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[29]), .X (n_13803));
+  sky130_fd_sc_hd__nand2_1 g342371(.A (n_8795), .B (n_13353), .Y
+       (n_13554));
+  sky130_fd_sc_hd__a22o_1 g342372(.A1 (n_13429), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_pc_id[12]), .B2
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .X (n_13786));
+  sky130_fd_sc_hd__a22o_1 g342373(.A1 (n_13445), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[28]), .X (n_13802));
+  sky130_fd_sc_hd__a22o_1 g342374(.A1 (n_13436), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[19]), .X (n_13793));
+  sky130_fd_sc_hd__a22o_1 g342375(.A1 (n_13444), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[27]), .X (n_13801));
+  sky130_fd_sc_hd__a22o_1 g342376(.A1 (n_13435), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[18]), .X (n_13792));
+  sky130_fd_sc_hd__a22o_1 g342377(.A1 (n_13434), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[17]), .X (n_13791));
+  sky130_fd_sc_hd__a22o_1 g342378(.A1 (n_13433), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[16]), .X (n_13790));
+  sky130_fd_sc_hd__a22o_1 g276941(.A1 (n_13432), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[15]), .X (n_13789));
+  sky130_fd_sc_hd__a22o_1 g276942(.A1 (n_13443), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[26]), .X (n_13800));
+  sky130_fd_sc_hd__a22o_1 g276943(.A1 (n_13431), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_pc_id[14]), .B2
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .X (n_13788));
+  sky130_fd_sc_hd__a22o_1 g276944(.A1 (n_13448), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[31]), .X (n_13805));
+  sky130_fd_sc_hd__a22o_1 g276945(.A1 (n_13442), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[25]), .X (n_13799));
+  sky130_fd_sc_hd__a22o_1 g276946(.A1 (n_13430), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_pc_id[13]), .B2
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .X (n_13787));
+  sky130_fd_sc_hd__a22o_1 g276947(.A1 (n_13437), .A2 (n_8791), .B1
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .B2
+       (u_soc_u_top_u_core_pc_id[20]), .X (n_13794));
+  sky130_fd_sc_hd__nand2_1 g276948(.A (n_13555), .B
+       (u_soc_u_top_u_core_instr_rdata_id[15]), .Y (n_8799));
+  sky130_fd_sc_hd__nor2b_1 g276949(.A (n_13556), .B_N
+       (u_soc_u_top_u_core_instr_rdata_id[31]), .Y (n_13557));
+  sky130_fd_sc_hd__nand2_1 g276950(.A (n_13555), .B
+       (u_soc_u_top_u_core_instr_rdata_id[16]), .Y (n_8798));
+  sky130_fd_sc_hd__nand2_1 g276951(.A (n_13555), .B
+       (u_soc_u_top_u_core_instr_rdata_id[18]), .Y (n_8797));
+  sky130_fd_sc_hd__nand2_1 g276952(.A (n_13555), .B
+       (u_soc_u_top_u_core_instr_rdata_id[13]), .Y (n_8796));
+  sky130_fd_sc_hd__nand2_1 g276953(.A (n_13555), .B
+       (u_soc_u_top_u_core_instr_rdata_id[19]), .Y (n_8795));
+  sky130_fd_sc_hd__nand2_1 g276954(.A (n_13555), .B
+       (u_soc_u_top_u_core_instr_rdata_id[14]), .Y (n_8794));
+  sky130_fd_sc_hd__nand2_1 g276955(.A (n_13555), .B
+       (u_soc_u_top_u_core_instr_rdata_id[17]), .Y (n_8793));
+  sky130_fd_sc_hd__nand2_1 g276956(.A (n_13555), .B
+       (u_soc_u_top_u_core_instr_rdata_id[12]), .Y (n_8792));
+  sky130_fd_sc_hd__inv_2 g276957(.A
+       (u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), .Y (n_8791));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5584), .Q
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .SCD (n_19), .SCE (n_2291), .Q
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5168), .Q
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[0][11]
+       (.CLK (wb_clk_i), .D (n_5892), .Q
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0]
+       [11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[1][11]
+       (.CLK (wb_clk_i), .D (n_5880), .Q
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1]
+       [11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[2][11]
+       (.CLK (wb_clk_i), .D (n_5137), .Q
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2]
+       [11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[3][11]
+       (.CLK (wb_clk_i), .D (n_5082), .Q
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_511), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .SCE (n_1246), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1991), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5822), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][1]
+       (.CLK (wb_clk_i), .D (n_7057), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][2]
+       (.CLK (wb_clk_i), .D (n_7054), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][3]
+       (.CLK (wb_clk_i), .D (n_7058), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][4]
+       (.CLK (wb_clk_i), .D (n_7060), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][5]
+       (.CLK (wb_clk_i), .D (n_7059), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [5]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][6]
+       (.CLK (wb_clk_i), .D (n_7056), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [6]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][7]
+       (.CLK (wb_clk_i), .D (n_7055), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [7]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][8]
+       (.CLK (wb_clk_i), .D (n_7053), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [8]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][9]
+       (.CLK (wb_clk_i), .D (n_7052), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [9]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][10]
+       (.CLK (wb_clk_i), .D (n_5984), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [10]), .SCE (n_16007), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [10]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][11]
+       (.CLK (wb_clk_i), .D (n_7050), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][12]
+       (.CLK (wb_clk_i), .D (n_7049), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [12]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][13]
+       (.CLK (wb_clk_i), .D (n_5985), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [13]), .SCE (n_16007), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [13]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][14]
+       (.CLK (wb_clk_i), .D (n_7048), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [14]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][15]
+       (.CLK (wb_clk_i), .D (n_5986), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [15]), .SCE (n_16007), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [15]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][16]
+       (.CLK (wb_clk_i), .D (n_7047), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [16]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][17]
+       (.CLK (wb_clk_i), .D (n_5987), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [17]), .SCE (n_16007), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [17]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][18]
+       (.CLK (wb_clk_i), .D (n_6756), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [18]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][19]
+       (.CLK (wb_clk_i), .D (n_6755), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [19]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][20]
+       (.CLK (wb_clk_i), .D (n_5981), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [20]), .SCE (n_16007), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [20]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][21]
+       (.CLK (wb_clk_i), .D (n_5978), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [21]), .SCE (n_16007), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [21]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][22]
+       (.CLK (wb_clk_i), .D (n_5977), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [22]), .SCE (n_16007), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [22]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][23]
+       (.CLK (wb_clk_i), .D (n_5976), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [23]), .SCE (n_16007), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [23]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][24]
+       (.CLK (wb_clk_i), .D (n_5979), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [24]), .SCE (n_16007), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [24]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][25]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [25]), .SCD (n_6880), .SCE (n_5935), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [25]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][26]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [26]), .SCD (n_6879), .SCE (n_5935), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [26]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][27]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [27]), .SCD (n_6878), .SCE (n_5935), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [27]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][28]
+       (.CLK (wb_clk_i), .D (n_6754), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [28]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][29]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [29]), .SCD (n_6877), .SCE (n_5935), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [29]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][30]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [30]), .SCD (n_6876), .SCE (n_5935), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [30]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][31]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [31]), .SCD (n_6875), .SCE (n_5935), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [31]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][32]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [32]), .SCD (n_6874), .SCE (n_5935), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [32]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][1]
+       (.CLK (wb_clk_i), .D (n_7046), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][2]
+       (.CLK (wb_clk_i), .D (n_7045), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][3]
+       (.CLK (wb_clk_i), .D (n_7044), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][4]
+       (.CLK (wb_clk_i), .D (n_7043), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][5]
+       (.CLK (wb_clk_i), .D (n_7042), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [5]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][6]
+       (.CLK (wb_clk_i), .D (n_7041), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [6]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][7]
+       (.CLK (wb_clk_i), .D (n_7040), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [7]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][8]
+       (.CLK (wb_clk_i), .D (n_7039), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [8]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][9]
+       (.CLK (wb_clk_i), .D (n_7138), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [9]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][10]
+       (.CLK (wb_clk_i), .D (n_5984), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [10]), .SCE (n_5642), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [10]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][11]
+       (.CLK (wb_clk_i), .D (n_7036), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][12]
+       (.CLK (wb_clk_i), .D (n_7037), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [12]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][13]
+       (.CLK (wb_clk_i), .D (n_5985), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [13]), .SCE (n_5642), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [13]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][14]
+       (.CLK (wb_clk_i), .D (n_7035), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [14]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][15]
+       (.CLK (wb_clk_i), .D (n_5986), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [15]), .SCE (n_5642), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [15]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][16]
+       (.CLK (wb_clk_i), .D (n_7034), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [16]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][17]
+       (.CLK (wb_clk_i), .D (n_5987), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [17]), .SCE (n_5642), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [17]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][18]
+       (.CLK (wb_clk_i), .D (n_6675), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [18]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][19]
+       (.CLK (wb_clk_i), .D (n_6676), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [19]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][20]
+       (.CLK (wb_clk_i), .D (n_5981), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [20]), .SCE (n_5642), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [20]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][21]
+       (.CLK (wb_clk_i), .D (n_5978), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [21]), .SCE (n_5642), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [21]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][22]
+       (.CLK (wb_clk_i), .D (n_5977), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [22]), .SCE (n_5642), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [22]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][23]
+       (.CLK (wb_clk_i), .D (n_5976), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [23]), .SCE (n_5642), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [23]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][24]
+       (.CLK (wb_clk_i), .D (n_5979), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [24]), .SCE (n_5642), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [24]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][25]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [25]), .SCD (n_6880), .SCE (n_5641), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [25]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][26]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [26]), .SCD (n_6879), .SCE (n_5641), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [26]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][27]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [27]), .SCD (n_6878), .SCE (n_5641), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [27]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][28]
+       (.CLK (wb_clk_i), .D (n_6677), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [28]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][29]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [29]), .SCD (n_6877), .SCE (n_5641), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [29]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][30]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [30]), .SCD (n_6876), .SCE (n_5641), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [30]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][31]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [31]), .SCD (n_6875), .SCE (n_5641), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [31]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][32]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [32]), .SCD (n_6874), .SCE (n_5641), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [32]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][1]
+       (.CLK (wb_clk_i), .D (n_7033), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][2]
+       (.CLK (wb_clk_i), .D (n_7032), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][3]
+       (.CLK (wb_clk_i), .D (n_7031), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][4]
+       (.CLK (wb_clk_i), .D (n_7030), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][5]
+       (.CLK (wb_clk_i), .D (n_7029), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [5]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][6]
+       (.CLK (wb_clk_i), .D (n_7028), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [6]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][7]
+       (.CLK (wb_clk_i), .D (n_7027), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [7]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][8]
+       (.CLK (wb_clk_i), .D (n_7026), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [8]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][9]
+       (.CLK (wb_clk_i), .D (n_7025), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [9]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][10]
+       (.CLK (wb_clk_i), .D (n_5984), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [10]), .SCE (n_5643), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [10]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][11]
+       (.CLK (wb_clk_i), .D (n_7024), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][12]
+       (.CLK (wb_clk_i), .D (n_7023), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [12]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][13]
+       (.CLK (wb_clk_i), .D (n_5985), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [13]), .SCE (n_5643), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [13]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][14]
+       (.CLK (wb_clk_i), .D (n_7022), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [14]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][15]
+       (.CLK (wb_clk_i), .D (n_5986), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [15]), .SCE (n_5643), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [15]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][16]
+       (.CLK (wb_clk_i), .D (n_7021), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [16]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][17]
+       (.CLK (wb_clk_i), .D (n_5987), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [17]), .SCE (n_5643), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [17]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][18]
+       (.CLK (wb_clk_i), .D (n_6683), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [18]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][19]
+       (.CLK (wb_clk_i), .D (n_6684), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [19]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][20]
+       (.CLK (wb_clk_i), .D (n_5981), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [20]), .SCE (n_5643), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [20]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][21]
+       (.CLK (wb_clk_i), .D (n_5978), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [21]), .SCE (n_5643), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [21]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][22]
+       (.CLK (wb_clk_i), .D (n_5977), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [22]), .SCE (n_5643), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [22]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][23]
+       (.CLK (wb_clk_i), .D (n_5976), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [23]), .SCE (n_5643), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [23]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][24]
+       (.CLK (wb_clk_i), .D (n_5979), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [24]), .SCE (n_5643), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [24]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][25]
+       (.CLK (wb_clk_i), .D (n_6880), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [25]), .SCE (n_5643), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [25]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][26]
+       (.CLK (wb_clk_i), .D (n_6879), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [26]), .SCE (n_5643), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [26]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][27]
+       (.CLK (wb_clk_i), .D (n_6878), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [27]), .SCE (n_5643), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [27]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][28]
+       (.CLK (wb_clk_i), .D (n_6685), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [28]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][29]
+       (.CLK (wb_clk_i), .D (n_6877), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [29]), .SCE (n_5643), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [29]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][30]
+       (.CLK (wb_clk_i), .D (n_6876), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [30]), .SCE (n_5643), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [30]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][31]
+       (.CLK (wb_clk_i), .D (n_6875), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [31]), .SCE (n_5643), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [31]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][32]
+       (.CLK (wb_clk_i), .D (n_6874), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [32]), .SCE (n_5643), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [32]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][1]
+       (.CLK (wb_clk_i), .D (n_7020), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][2]
+       (.CLK (wb_clk_i), .D (n_7019), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][3]
+       (.CLK (wb_clk_i), .D (n_7018), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][4]
+       (.CLK (wb_clk_i), .D (n_7017), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][5]
+       (.CLK (wb_clk_i), .D (n_7016), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [5]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][6]
+       (.CLK (wb_clk_i), .D (n_7015), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [6]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][7]
+       (.CLK (wb_clk_i), .D (n_7012), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [7]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][8]
+       (.CLK (wb_clk_i), .D (n_7013), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [8]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][9]
+       (.CLK (wb_clk_i), .D (n_7011), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [9]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][10]
+       (.CLK (wb_clk_i), .D (n_5984), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [10]), .SCE (n_5644), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [10]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][11]
+       (.CLK (wb_clk_i), .D (n_7010), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][12]
+       (.CLK (wb_clk_i), .D (n_7009), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [12]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][13]
+       (.CLK (wb_clk_i), .D (n_5985), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [13]), .SCE (n_5644), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [13]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][14]
+       (.CLK (wb_clk_i), .D (n_7008), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [14]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][15]
+       (.CLK (wb_clk_i), .D (n_5986), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [15]), .SCE (n_5644), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [15]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][16]
+       (.CLK (wb_clk_i), .D (n_7007), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [16]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][17]
+       (.CLK (wb_clk_i), .D (n_5987), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [17]), .SCE (n_5644), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [17]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][18]
+       (.CLK (wb_clk_i), .D (n_6690), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [18]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][19]
+       (.CLK (wb_clk_i), .D (n_6692), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [19]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][20]
+       (.CLK (wb_clk_i), .D (n_5981), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [20]), .SCE (n_5644), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [20]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][21]
+       (.CLK (wb_clk_i), .D (n_5978), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [21]), .SCE (n_5644), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [21]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][22]
+       (.CLK (wb_clk_i), .D (n_5977), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [22]), .SCE (n_5644), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [22]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][23]
+       (.CLK (wb_clk_i), .D (n_5976), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [23]), .SCE (n_5644), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [23]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][24]
+       (.CLK (wb_clk_i), .D (n_5979), .SCD
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [24]), .SCE (n_5644), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [24]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][25]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [25]), .SCD (n_6880), .SCE (n_5645), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [25]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][26]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [26]), .SCD (n_6879), .SCE (n_5645), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [26]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][27]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [27]), .SCD (n_6878), .SCE (n_5645), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [27]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][28]
+       (.CLK (wb_clk_i), .D (n_6700), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [28]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][29]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [29]), .SCD (n_6877), .SCE (n_5645), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [29]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][30]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [30]), .SCD (n_6876), .SCE (n_5645), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [30]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][31]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [31]), .SCD (n_6875), .SCE (n_5645), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [31]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][32]
+       (.CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [32]), .SCD (n_6874), .SCE (n_5645), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [32]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .SCD (n_62), .SCE (n_3110), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5618), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .SCD (n_487), .SCE (n_1900), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_6381), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]
+       (.CLK (wb_clk_i), .D (n_5557), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][2]
+       (.CLK (wb_clk_i), .D (n_5640), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][3]
+       (.CLK (wb_clk_i), .D (n_5556), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][4]
+       (.CLK (wb_clk_i), .D (n_5555), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]
+       (.CLK (wb_clk_i), .D (n_5162), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][2]
+       (.CLK (wb_clk_i), .D (n_5161), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][3]
+       (.CLK (wb_clk_i), .D (n_5160), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][4]
+       (.CLK (wb_clk_i), .D (n_5159), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][1]
+       (.CLK (wb_clk_i), .D (n_5158), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][2]
+       (.CLK (wb_clk_i), .D (n_5157), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][3]
+       (.CLK (wb_clk_i), .D (n_5156), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][4]
+       (.CLK (wb_clk_i), .D (n_5155), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
+       [4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][1]
+       (.CLK (wb_clk_i), .D (n_5154), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][2]
+       (.CLK (wb_clk_i), .D (n_5153), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][3]
+       (.CLK (wb_clk_i), .D (n_5152), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][4]
+       (.CLK (wb_clk_i), .D (n_5151), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
+       [4]));
+  sky130_fd_sc_hd__dfrtp_1 u_soc_dccm_adapter_rvalid_o_reg(.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1174), .Q
+       (u_soc_dccm_adapter_rvalid_o));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_6183), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5723), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage_reg[0][11]
+       (.CLK (wb_clk_i), .D (n_6380), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0]
+       [11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage_reg[1][11]
+       (.CLK (wb_clk_i), .D (n_6363), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1]
+       [11]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_6705), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .SCD (n_1486), .SCE (n_1886), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_4184), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][1]
+       (.CLK (wb_clk_i), .D (n_5722), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][2]
+       (.CLK (wb_clk_i), .D (n_5721), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][3]
+       (.CLK (wb_clk_i), .D (n_6182), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][4]
+       (.CLK (wb_clk_i), .D (n_6181), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][5]
+       (.CLK (wb_clk_i), .D (n_6180), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [5]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][6]
+       (.CLK (wb_clk_i), .D (n_6179), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [6]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][7]
+       (.CLK (wb_clk_i), .D (n_6178), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [7]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][8]
+       (.CLK (wb_clk_i), .D (n_6177), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [8]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][9]
+       (.CLK (wb_clk_i), .D (n_5720), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [9]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][10]
+       (.CLK (wb_clk_i), .D (n_5719), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [10]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][11]
+       (.CLK (wb_clk_i), .D (n_5718), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][12]
+       (.CLK (wb_clk_i), .D (n_5714), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [12]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][13]
+       (.CLK (wb_clk_i), .D (n_5717), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [13]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][14]
+       (.CLK (wb_clk_i), .D (n_5716), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [14]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][15]
+       (.CLK (wb_clk_i), .D (n_5715), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [15]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][16]
+       (.CLK (wb_clk_i), .D (n_5752), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [16]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][17]
+       (.CLK (wb_clk_i), .D (n_5760), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [17]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][18]
+       (.CLK (wb_clk_i), .D (n_5759), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [18]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][19]
+       (.CLK (wb_clk_i), .D (n_6173), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [19]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][20]
+       (.CLK (wb_clk_i), .D (n_6172), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [20]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][21]
+       (.CLK (wb_clk_i), .D (n_6169), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [21]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][22]
+       (.CLK (wb_clk_i), .D (n_6168), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [22]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][23]
+       (.CLK (wb_clk_i), .D (n_6167), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [23]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][24]
+       (.CLK (wb_clk_i), .D (n_6166), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [24]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][25]
+       (.CLK (wb_clk_i), .D (n_5762), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [25]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][26]
+       (.CLK (wb_clk_i), .D (n_5795), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [26]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][27]
+       (.CLK (wb_clk_i), .D (n_5796), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [27]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][28]
+       (.CLK (wb_clk_i), .D (n_5797), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [28]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][29]
+       (.CLK (wb_clk_i), .D (n_5798), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [29]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][30]
+       (.CLK (wb_clk_i), .D (n_5799), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [30]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][31]
+       (.CLK (wb_clk_i), .D (n_5800), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [31]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][32]
+       (.CLK (wb_clk_i), .D (n_5801), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [32]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][1]
+       (.CLK (wb_clk_i), .D (n_5802), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][2]
+       (.CLK (wb_clk_i), .D (n_5803), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][3]
+       (.CLK (wb_clk_i), .D (n_6155), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][4]
+       (.CLK (wb_clk_i), .D (n_6156), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][5]
+       (.CLK (wb_clk_i), .D (n_6154), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [5]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][6]
+       (.CLK (wb_clk_i), .D (n_6152), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [6]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][7]
+       (.CLK (wb_clk_i), .D (n_6153), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [7]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][8]
+       (.CLK (wb_clk_i), .D (n_6151), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [8]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][9]
+       (.CLK (wb_clk_i), .D (n_5804), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [9]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][10]
+       (.CLK (wb_clk_i), .D (n_5805), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [10]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][11]
+       (.CLK (wb_clk_i), .D (n_5806), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][12]
+       (.CLK (wb_clk_i), .D (n_5807), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [12]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][13]
+       (.CLK (wb_clk_i), .D (n_5808), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [13]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][14]
+       (.CLK (wb_clk_i), .D (n_5810), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [14]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][15]
+       (.CLK (wb_clk_i), .D (n_5811), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [15]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][16]
+       (.CLK (wb_clk_i), .D (n_5809), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [16]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][17]
+       (.CLK (wb_clk_i), .D (n_5812), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [17]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][18]
+       (.CLK (wb_clk_i), .D (n_5813), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [18]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][19]
+       (.CLK (wb_clk_i), .D (n_6144), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [19]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][20]
+       (.CLK (wb_clk_i), .D (n_6143), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [20]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][21]
+       (.CLK (wb_clk_i), .D (n_6142), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [21]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][22]
+       (.CLK (wb_clk_i), .D (n_6141), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [22]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][23]
+       (.CLK (wb_clk_i), .D (n_6139), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [23]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][24]
+       (.CLK (wb_clk_i), .D (n_6136), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [24]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][25]
+       (.CLK (wb_clk_i), .D (n_5814), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [25]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][26]
+       (.CLK (wb_clk_i), .D (n_5815), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [26]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][27]
+       (.CLK (wb_clk_i), .D (n_5816), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [27]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][28]
+       (.CLK (wb_clk_i), .D (n_5817), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [28]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][29]
+       (.CLK (wb_clk_i), .D (n_5818), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [29]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][30]
+       (.CLK (wb_clk_i), .D (n_5819), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [30]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][31]
+       (.CLK (wb_clk_i), .D (n_5820), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [31]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][32]
+       (.CLK (wb_clk_i), .D (n_5821), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [32]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3750), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5890), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]
+       (.CLK (wb_clk_i), .D (n_3047), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][2]
+       (.CLK (wb_clk_i), .D (n_3046), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][3]
+       (.CLK (wb_clk_i), .D (n_3052), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][4]
+       (.CLK (wb_clk_i), .D (n_3057), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]
+       (.CLK (wb_clk_i), .D (n_3063), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][2]
+       (.CLK (wb_clk_i), .D (n_2976), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][3]
+       (.CLK (wb_clk_i), .D (n_2977), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][4]
+       (.CLK (wb_clk_i), .D (n_2978), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_main_swith_host_lsu_dev_select_outstanding_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_597), .SCD
+       (u_soc_main_swith_host_lsu_dev_select_outstanding[0]), .SCE
+       (n_13377), .Q
+       (u_soc_main_swith_host_lsu_dev_select_outstanding[0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_main_swith_host_lsu_dev_select_outstanding_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_lsu_to_xbar[a_address] [30]), .SCD
+       (u_soc_main_swith_host_lsu_dev_select_outstanding[1]), .SCE
+       (n_13377), .Q
+       (u_soc_main_swith_host_lsu_dev_select_outstanding[1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_main_swith_host_lsu_err_resp_err_opcode_reg[0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1934), .Q
+       (u_soc_main_swith_host_lsu_err_resp_err_opcode[0]));
+  sky130_fd_sc_hd__sdfstp_1
+       \u_soc_main_swith_host_lsu_err_resp_err_opcode_reg[2] (.SET_B
+       (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_main_swith_host_lsu_err_resp_err_opcode[2]), .SCD
+       (n_640), .SCE (n_1049), .Q
+       (u_soc_main_swith_host_lsu_err_resp_err_opcode[2]));
+  sky130_fd_sc_hd__dfrtp_1
+       u_soc_main_swith_host_lsu_err_resp_err_req_pending_reg(.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1049), .Q
+       (\u_soc_main_swith_host_lsu_tl_u_i[3][d_valid] ));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3084), .SCD (n_3085), .SCE
+       (u_soc_main_swith_host_lsu_num_req_outstanding[0]), .Q
+       (u_soc_main_swith_host_lsu_num_req_outstanding[0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5784), .Q
+       (u_soc_main_swith_host_lsu_num_req_outstanding[1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5783), .Q
+       (u_soc_main_swith_host_lsu_num_req_outstanding[2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6790), .Q
+       (u_soc_main_swith_host_lsu_num_req_outstanding[3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7550), .Q
+       (u_soc_main_swith_host_lsu_num_req_outstanding[4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8001), .Q
+       (u_soc_main_swith_host_lsu_num_req_outstanding[5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8454), .Q
+       (u_soc_main_swith_host_lsu_num_req_outstanding[6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8526), .Q
+       (u_soc_main_swith_host_lsu_num_req_outstanding[7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8468), .Q
+       (u_soc_main_swith_host_lsu_num_req_outstanding[8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[9] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8665), .Q
+       (u_soc_main_swith_host_lsu_num_req_outstanding[9]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[10] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8666), .Q
+       (u_soc_main_swith_host_lsu_num_req_outstanding[10]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[11] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8668), .Q
+       (u_soc_main_swith_host_lsu_num_req_outstanding[11]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[12] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8667), .Q
+       (u_soc_main_swith_host_lsu_num_req_outstanding[12]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[13] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8626), .Q
+       (u_soc_main_swith_host_lsu_num_req_outstanding[13]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[14] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8684), .Q
+       (u_soc_main_swith_host_lsu_num_req_outstanding[14]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[15] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8725), .Q
+       (u_soc_main_swith_host_lsu_num_req_outstanding[15]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_main_swith_host_lsu_num_req_outstanding_reg[16] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8728), .Q
+       (u_soc_main_swith_host_lsu_num_req_outstanding[16]));
+  sky130_fd_sc_hd__dfrtp_1 u_soc_reset_manager_rst_q_reg(.RESET_B
+       (n_470), .CLK (wb_clk_i), .D (u_soc_prog_rst_ni), .Q
+       (u_soc_reset_manager_rst_q));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_u_dccm_bank_sel_reg[0] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (u_soc_data_addr[8]), .Q
+       (u_soc_u_dccm_bank_sel[0]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_u_dccm_bank_sel_reg[1] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (u_soc_data_addr[9]), .Q
+       (u_soc_u_dccm_bank_sel[1]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_u_iccm_bank_sel_reg[0] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (u_soc_instr_addr[8]), .Q
+       (u_soc_u_iccm_bank_sel[0]));
+  sky130_fd_sc_hd__dfrtn_1 \u_soc_u_iccm_bank_sel_reg[1] (.RESET_B
+       (io_out[37]), .CLK_N (wb_clk_i), .D (u_soc_instr_addr[9]), .Q
+       (u_soc_u_iccm_bank_sel[1]));
+  sky130_fd_sc_hd__dfrtp_1 u_soc_u_tcam_rvalid_o_reg(.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1204), .Q
+       (u_soc_u_tcam_rvalid_o));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5637), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5150), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[0][11]
+       (.CLK (wb_clk_i), .D (n_5893), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0]
+       [11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[1][11]
+       (.CLK (wb_clk_i), .D (n_5138), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1]
+       [11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[2][11]
+       (.CLK (wb_clk_i), .D (n_16006), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2]
+       [11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[3][11]
+       (.CLK (wb_clk_i), .D (n_5081), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_579), .SCD
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .SCE (n_1256), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1989), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5823), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][1]
+       (.CLK (wb_clk_i), .D (n_6753), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][2]
+       (.CLK (wb_clk_i), .D (n_6752), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][3]
+       (.CLK (wb_clk_i), .D (n_6751), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][4]
+       (.CLK (wb_clk_i), .D (n_6749), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][5]
+       (.CLK (wb_clk_i), .D (n_6748), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [5]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][6]
+       (.CLK (wb_clk_i), .D (n_6750), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [6]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][1]
+       (.CLK (wb_clk_i), .D (n_6747), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][2]
+       (.CLK (wb_clk_i), .D (n_6745), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][3]
+       (.CLK (wb_clk_i), .D (n_6746), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][4]
+       (.CLK (wb_clk_i), .D (n_6744), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][5]
+       (.CLK (wb_clk_i), .D (n_6743), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [5]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][6]
+       (.CLK (wb_clk_i), .D (n_6742), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [6]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][1]
+       (.CLK (wb_clk_i), .D (n_6741), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][2]
+       (.CLK (wb_clk_i), .D (n_6740), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][3]
+       (.CLK (wb_clk_i), .D (n_6827), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][4]
+       (.CLK (wb_clk_i), .D (n_6828), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][5]
+       (.CLK (wb_clk_i), .D (n_6829), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [5]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][6]
+       (.CLK (wb_clk_i), .D (n_6830), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [6]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][1]
+       (.CLK (wb_clk_i), .D (n_6831), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][2]
+       (.CLK (wb_clk_i), .D (n_6832), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][3]
+       (.CLK (wb_clk_i), .D (n_6835), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][4]
+       (.CLK (wb_clk_i), .D (n_6833), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][5]
+       (.CLK (wb_clk_i), .D (n_6834), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [5]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][6]
+       (.CLK (wb_clk_i), .D (n_6842), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .SCD (n_536), .SCE (n_3014), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .SCD (n_1386), .SCE (n_3014), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5554), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_547), .SCD
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .SCE (n_1424), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3064), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]
+       (.CLK (wb_clk_i), .D (n_2387), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]
+       (.CLK (wb_clk_i), .D (n_2393), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[2][1]
+       (.CLK (wb_clk_i), .D (n_2394), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[3][1]
+       (.CLK (wb_clk_i), .D (n_2396), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3]
+       [1]));
+  sky130_fd_sc_hd__dlxtn_1
+       u_soc_u_top_u_core_core_clock_gate_i_en_latch_reg(.GATE_N
+       (wb_clk_i), .D (n_1375), .Q
+       (u_soc_u_top_u_core_core_clock_gate_i_en_latch));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_control_csr_rdata_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
+       .SCD (n_8286), .SCE (n_2307), .Q
+       (u_soc_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7610), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [0]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7912), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [1]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8286), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [2]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[3]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8543), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [3]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[4]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7614), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [4]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[5]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7794), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [5]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[6]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7616), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [6]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[7]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7910), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [7]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[8]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8084), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [8]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[9]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7556), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [9]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[10]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7554), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [10]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[11]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8446), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [11]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[12]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7908), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [12]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[13]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8076), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [13]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[14]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7612), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [14]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[15]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7782), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [15]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[16]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7772), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [16]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[17]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8282), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [17]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[18]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7776), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [18]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[19]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7778), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [19]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[20]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8078), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [20]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[21]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8284), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [21]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[22]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7780), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [22]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[23]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7774), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [23]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[24]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7786), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [24]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[25]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7788), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [25]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[26]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7790), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [26]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[27]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8080), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [27]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[28]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7784), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [28]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[29]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8082), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [29]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[30]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8074), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [30]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[31]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7792), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [31]), .SCE (n_2681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7610), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[0]), .SCE
+       (n_2892), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8286), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[2]), .SCE
+       (n_2892), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8095), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8394), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8571), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[3]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8682), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[4]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8066), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[5]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8248), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[6]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8065), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[7]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8396), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[8]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8489), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[9]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8024), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[10]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8072), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[11]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8634), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[12]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8390), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[13]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8486), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[14]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8071), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[15]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8271), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[16]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8270), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[17]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8575), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[18]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8269), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[19]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8268), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[20]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8498), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[21]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8574), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[22]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8266), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[23]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8264), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[24]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8263), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[25]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8267), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[26]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8265), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[27]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8497), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[28]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8262), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[29]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8496), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[30]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8495), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[31]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8250), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[32]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7852), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[33]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8208), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[34]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8480), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[35]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8628), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[36]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7851), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[37]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8038), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[38]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7850), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[39]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8207), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[40]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8382), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[41]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7849), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[42]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7848), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[43]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8560), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[44]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8206), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[45]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8381), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[46]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7846), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[47]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8037), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[48]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8036), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[49]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8479), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[50]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8035), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[51]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8034), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[52]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8380), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[53]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8478), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[54]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8032), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[55]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8033), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[56]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8031), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[57]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8030), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[58]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8029), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[59]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8379), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[60]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8028), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[61]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8378), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[62]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8377), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[63]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8027), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8070), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8393), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8570), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[3]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8681), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[4]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8064), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[5]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8247), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[6]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8063), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[7]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8395), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[8]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8488), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[9]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8069), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[10]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8068), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[11]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8633), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[12]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8389), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[13]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8485), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[14]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8067), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[15]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8261), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[16]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8260), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[17]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8573), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[18]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8259), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[19]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8258), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[20]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8494), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[21]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8572), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[22]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8256), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[23]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8257), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[24]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8255), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[25]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8254), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[26]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8253), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[27]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8491), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[28]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8252), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[29]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8493), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[30]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8492), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[31]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8249), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[32]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7845), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[33]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8181), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[34]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8477), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[35]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8627), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[36]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7844), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[37]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8026), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[38]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7843), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[39]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8172), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[40]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8376), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[41]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7842), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[42]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7841), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[43]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8559), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[44]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8171), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[45]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8375), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[46]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7840), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[47]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8025), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[48]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8073), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[49]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8476), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[50]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8023), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[51]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8022), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[52]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8374), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[53]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8475), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[54]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8020), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[55]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8021), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[56]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8019), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[57]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8018), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[58]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8017), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[59]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8373), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[60]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8016), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[61]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8372), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[62]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8371), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[63]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8015), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]));
+  sky130_fd_sc_hd__dfstp_2
+       \u_soc_u_top_u_core_cs_registers_i_priv_lvl_q_reg[0] (.SET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_2667), .Q
+       (u_soc_u_top_u_core_priv_mode_id[0]));
+  sky130_fd_sc_hd__dfstp_2
+       \u_soc_u_top_u_core_cs_registers_i_priv_lvl_q_reg[1] (.SET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_2666), .Q
+       (u_soc_u_top_u_core_priv_mode_id[1]));
+  sky130_fd_sc_hd__sdfstp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[0]
+       (.SET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]), .SCD
+       (n_8588), .SCE (n_1854), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]));
+  sky130_fd_sc_hd__sdfstp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[1]
+       (.SET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]), .SCD
+       (n_8625), .SCE (n_1854), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_debug_single_step), .SCD (n_8467), .SCE
+       (n_1854), .Q (u_soc_u_top_u_core_debug_single_step));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[6]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_1673), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]), .SCE
+       (n_1853), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[7]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_1675), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]), .SCE
+       (n_1853), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[8]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_1674), .SCD
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]), .SCE
+       (n_1853), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[11]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ), .SCD
+       (n_8565), .SCE (n_1854), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[12]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8164), .SCD (u_soc_u_top_u_core_debug_ebreaku), .SCE
+       (n_1853), .Q (u_soc_u_top_u_core_debug_ebreaku));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[13]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ), .SCD
+       (n_8361), .SCE (n_1854), .Q
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[15]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7999), .SCD (u_soc_u_top_u_core_debug_ebreakm), .SCE
+       (n_1853), .Q (u_soc_u_top_u_core_debug_ebreakm));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8466), .Q (u_soc_u_top_u_core_csr_depc[1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8474), .SCD (u_soc_u_top_u_core_csr_depc[2]), .SCE (n_1999),
+       .Q (u_soc_u_top_u_core_csr_depc[2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[3]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8729), .Q (u_soc_u_top_u_core_csr_depc[3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[4]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7839), .SCD (u_soc_u_top_u_core_csr_depc[4]), .SCE (n_1999),
+       .Q (u_soc_u_top_u_core_csr_depc[4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[5]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8014), .SCD (u_soc_u_top_u_core_csr_depc[5]), .SCE (n_1999),
+       .Q (u_soc_u_top_u_core_csr_depc[5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[6]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7838), .SCD (u_soc_u_top_u_core_csr_depc[6]), .SCE (n_1999),
+       .Q (u_soc_u_top_u_core_csr_depc[6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[7]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8463), .Q (u_soc_u_top_u_core_csr_depc[7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[8]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8589), .Q (u_soc_u_top_u_core_csr_depc[8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[9]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7837), .SCD (u_soc_u_top_u_core_csr_depc[9]), .SCE (n_1999),
+       .Q (u_soc_u_top_u_core_csr_depc[9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[10]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7836), .SCD (u_soc_u_top_u_core_csr_depc[10]), .SCE (n_1999),
+       .Q (u_soc_u_top_u_core_csr_depc[10]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[11]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8698), .Q (u_soc_u_top_u_core_csr_depc[11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[12]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8170), .SCD (u_soc_u_top_u_core_csr_depc[12]), .SCE (n_1999),
+       .Q (u_soc_u_top_u_core_csr_depc[12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[13]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8369), .SCD (u_soc_u_top_u_core_csr_depc[13]), .SCE (n_1999),
+       .Q (u_soc_u_top_u_core_csr_depc[13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[14]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7835), .SCD (u_soc_u_top_u_core_csr_depc[14]), .SCE (n_1999),
+       .Q (u_soc_u_top_u_core_csr_depc[14]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[15]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8358), .Q (u_soc_u_top_u_core_csr_depc[15]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[16]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8357), .Q (u_soc_u_top_u_core_csr_depc[16]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[17]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8624), .Q (u_soc_u_top_u_core_csr_depc[17]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[18]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8356), .Q (u_soc_u_top_u_core_csr_depc[18]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[19]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8355), .Q (u_soc_u_top_u_core_csr_depc[19]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[20]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8600), .Q (u_soc_u_top_u_core_csr_depc[20]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[21]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8623), .Q (u_soc_u_top_u_core_csr_depc[21]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[22]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8388), .Q (u_soc_u_top_u_core_csr_depc[22]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[23]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8445), .Q (u_soc_u_top_u_core_csr_depc[23]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[24]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8411), .Q (u_soc_u_top_u_core_csr_depc[24]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[25]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8417), .Q (u_soc_u_top_u_core_csr_depc[25]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[26]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8432), .Q (u_soc_u_top_u_core_csr_depc[26]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[27]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8605), .Q (u_soc_u_top_u_core_csr_depc[27]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[28]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8436), .Q (u_soc_u_top_u_core_csr_depc[28]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[29]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8607), .Q (u_soc_u_top_u_core_csr_depc[29]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[30]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8608), .Q (u_soc_u_top_u_core_csr_depc[30]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[31]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8437), .Q (u_soc_u_top_u_core_csr_depc[31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7610), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[0]), .SCE
+       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7912), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[1]), .SCE
+       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8286), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[2]), .SCE
+       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[3]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8543), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[3]), .SCE
+       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[4]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7614), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[4]), .SCE
+       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[5]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7794), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[5]), .SCE
+       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[6]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7616), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[6]), .SCE
+       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[7]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7910), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[7]), .SCE
+       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[8]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8084), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[8]), .SCE
+       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[9]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7556), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[9]), .SCE
+       (n_1842), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[10]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7554), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[10]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[11]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8446), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[11]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[12]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7908), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[12]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[13]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8076), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[13]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[14]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7612), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[14]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[15]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7782), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[15]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[16]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7772), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[16]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[17]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8282), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[17]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[18]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7776), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[18]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[19]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7778), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[19]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[20]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8078), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[20]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[21]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8284), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[21]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[22]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7780), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[22]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[23]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7774), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[23]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[24]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7786), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[24]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[25]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7788), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[25]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[26]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7790), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[26]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[27]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8080), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[27]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[28]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7784), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[28]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[29]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8082), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[29]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[30]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8074), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[30]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[31]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7792), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[31]), .SCE
+       (n_1842), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7610), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[0]), .SCE
+       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7912), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[1]), .SCE
+       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8286), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[2]), .SCE
+       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[3]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8543), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[3]), .SCE
+       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[4]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7614), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[4]), .SCE
+       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[5]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7794), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[5]), .SCE
+       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[6]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7616), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[6]), .SCE
+       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[7]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7910), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[7]), .SCE
+       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[8]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8084), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[8]), .SCE
+       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[9]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7556), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[9]), .SCE
+       (n_1843), .Q (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[10]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7554), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[10]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[11]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8446), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[11]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[12]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7908), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[12]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[13]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8076), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[13]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[14]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7612), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[14]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[15]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7782), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[15]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[16]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7772), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[16]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[17]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8282), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[17]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[18]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7776), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[18]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[19]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7778), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[19]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[20]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8078), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[20]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[21]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8284), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[21]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[22]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7780), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[22]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[23]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7774), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[23]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[24]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7786), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[24]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[25]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7788), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[25]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[26]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7790), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[26]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[27]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8080), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[27]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[28]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7784), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[28]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[29]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8082), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[29]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[30]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8074), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[30]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[31]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7792), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[31]), .SCE
+       (n_1843), .Q
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7828), .SCD (u_soc_u_top_u_core_cs_registers_i_mcause_q[0]),
+       .SCE (n_2146), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mcause_q[0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8301), .SCD (u_soc_u_top_u_core_cs_registers_i_mcause_q[1]),
+       .SCE (n_2146), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mcause_q[1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8451), .SCD (u_soc_u_top_u_core_cs_registers_i_mcause_q[2]),
+       .SCE (n_2146), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mcause_q[2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[3]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8657), .SCD (u_soc_u_top_u_core_cs_registers_i_mcause_q[3]),
+       .SCE (n_2146), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mcause_q[3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[4]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7815), .SCD (u_soc_u_top_u_core_cs_registers_i_mcause_q[4]),
+       .SCE (n_2146), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mcause_q[4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[5]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8108), .SCD (u_soc_u_top_u_core_cs_registers_i_mcause_q[5]),
+       .SCE (n_2146), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mcause_q[5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8133), .SCD (u_soc_u_top_u_core_csr_mepc[1]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8509), .SCD (u_soc_u_top_u_core_csr_mepc[2]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[3]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8649), .SCD (u_soc_u_top_u_core_csr_mepc[3]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[4]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7890), .SCD (u_soc_u_top_u_core_csr_mepc[4]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[5]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8013), .SCD (u_soc_u_top_u_core_csr_mepc[5]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[6]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7834), .SCD (u_soc_u_top_u_core_csr_mepc[6]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[7]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8169), .SCD (u_soc_u_top_u_core_csr_mepc[7]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[8]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8367), .SCD (u_soc_u_top_u_core_csr_mepc[8]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[9]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7833), .SCD (u_soc_u_top_u_core_csr_mepc[9]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[10]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7832), .SCD (u_soc_u_top_u_core_csr_mepc[10]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[11]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8558), .SCD (u_soc_u_top_u_core_csr_mepc[11]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[12]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8168), .SCD (u_soc_u_top_u_core_csr_mepc[12]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[13]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8366), .SCD (u_soc_u_top_u_core_csr_mepc[13]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[14]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7830), .SCD (u_soc_u_top_u_core_csr_mepc[14]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[15]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8012), .SCD (u_soc_u_top_u_core_csr_mepc[15]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[16]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8011), .SCD (u_soc_u_top_u_core_csr_mepc[16]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[17]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8473), .SCD (u_soc_u_top_u_core_csr_mepc[17]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[18]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8010), .SCD (u_soc_u_top_u_core_csr_mepc[18]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[19]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8009), .SCD (u_soc_u_top_u_core_csr_mepc[19]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[20]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8365), .SCD (u_soc_u_top_u_core_csr_mepc[20]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[21]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8472), .SCD (u_soc_u_top_u_core_csr_mepc[21]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[22]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8008), .SCD (u_soc_u_top_u_core_csr_mepc[22]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[23]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8007), .SCD (u_soc_u_top_u_core_csr_mepc[23]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[24]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8006), .SCD (u_soc_u_top_u_core_csr_mepc[24]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[25]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8005), .SCD (u_soc_u_top_u_core_csr_mepc[25]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[26]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8004), .SCD (u_soc_u_top_u_core_csr_mepc[26]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[27]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8364), .SCD (u_soc_u_top_u_core_csr_mepc[27]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[28]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8003), .SCD (u_soc_u_top_u_core_csr_mepc[28]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[29]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8363), .SCD (u_soc_u_top_u_core_csr_mepc[29]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[30]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8362), .SCD (u_soc_u_top_u_core_csr_mepc[30]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[31]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8118), .SCD (u_soc_u_top_u_core_csr_mepc[31]), .SCE (n_2000),
+       .Q (u_soc_u_top_u_core_csr_mepc[31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7772), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8282), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7776), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[3]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7778), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[4]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8078), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[5]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8284), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[6]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7780), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[7]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7774), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[8]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7786), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[9]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7788), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[10]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7790), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[11]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8080), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[12]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7784), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[13]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8082), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[14]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8074), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[15]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8446), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[16]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7910), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[17]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8543), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]), .SCE
+       (n_1844), .Q
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7610), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[0]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7912), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[1]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8286), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[2]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[3]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8543), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[3]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[4]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7614), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[4]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[5]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7794), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[5]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[6]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7616), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[6]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[7]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7910), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[7]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[8]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8084), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[8]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[9]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7556), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[9]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[10]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7554), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[10]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[11]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8446), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[11]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[12]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7908), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[12]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[13]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8076), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[13]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[14]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7612), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[14]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[15]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7782), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[15]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[16]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7772), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[16]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[17]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8282), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[17]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[18]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7776), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[18]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[19]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7778), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[19]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[20]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8078), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[20]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[21]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8284), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[21]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[22]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7780), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[22]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[23]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7774), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[23]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[24]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7786), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[24]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[25]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7788), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[25]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[26]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7790), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[26]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[27]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8080), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[27]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[28]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7784), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[28]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[29]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8082), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[29]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[30]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8074), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[30]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[31]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7792), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[31]), .SCE
+       (n_1407), .Q (u_soc_u_top_u_core_cs_registers_i_mscratch_q[31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8457), .SCD (u_soc_u_top_u_core_csr_mstatus_tw), .SCE
+       (n_2683), .Q (u_soc_u_top_u_core_csr_mstatus_tw));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8456), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[17]), .SCE
+       (n_2683), .Q
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]), .SCD
+       (n_8648), .SCE (n_2682), .Q
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[3]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]), .SCD
+       (n_8647), .SCE (n_2682), .Q
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]));
+  sky130_fd_sc_hd__sdfstp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[4]
+       (.SET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8529), .SCD
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[7]), .SCE
+       (n_2683), .Q
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7874), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[0]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8160), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[1]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8455), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[2]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[3]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8622), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[3]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[4]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7882), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[4]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[5]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7996), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[5]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[6]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7883), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[6]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[7]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8158), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[7]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[8]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8439), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[8]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[9]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7892), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[9]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[10]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7893), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[10]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[11]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8609), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[11]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[12]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8155), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[12]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[13]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8440), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[13]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[14]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7900), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[14]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[15]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7995), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[15]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[16]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7994), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[16]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[17]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8483), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[17]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[18]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7993), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[18]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[19]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7992), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[19]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[20]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8441), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[20]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[21]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8484), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[21]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[22]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7991), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[22]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[23]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7990), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[23]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[24]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7989), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[24]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[25]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7988), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[25]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[26]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7987), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[26]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[27]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8442), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[27]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[28]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7986), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[28]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[29]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8443), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[29]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[30]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8444), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[30]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[31]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7985), .SCD (u_soc_u_top_u_core_cs_registers_i_mtval_q[31]),
+       .SCE (n_1992), .Q
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[8]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8316), .SCD (u_soc_u_top_u_core_csr_mtvec[8]), .SCE (n_2415),
+       .Q (u_soc_u_top_u_core_csr_mtvec[8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[9]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7686), .SCD (u_soc_u_top_u_core_csr_mtvec[9]), .SCE (n_2415),
+       .Q (u_soc_u_top_u_core_csr_mtvec[9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[10]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7685), .SCD (u_soc_u_top_u_core_csr_mtvec[10]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[11]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8539), .SCD (u_soc_u_top_u_core_csr_mtvec[11]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[12]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8100), .SCD (u_soc_u_top_u_core_csr_mtvec[12]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[13]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8320), .SCD (u_soc_u_top_u_core_csr_mtvec[13]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[14]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7684), .SCD (u_soc_u_top_u_core_csr_mtvec[14]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[15]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7935), .SCD (u_soc_u_top_u_core_csr_mtvec[15]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[16]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7936), .SCD (u_soc_u_top_u_core_csr_mtvec[16]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[17]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8424), .SCD (u_soc_u_top_u_core_csr_mtvec[17]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[18]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7937), .SCD (u_soc_u_top_u_core_csr_mtvec[18]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[19]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7938), .SCD (u_soc_u_top_u_core_csr_mtvec[19]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[20]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8315), .SCD (u_soc_u_top_u_core_csr_mtvec[20]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[21]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8423), .SCD (u_soc_u_top_u_core_csr_mtvec[21]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[22]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7939), .SCD (u_soc_u_top_u_core_csr_mtvec[22]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[23]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7940), .SCD (u_soc_u_top_u_core_csr_mtvec[23]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[24]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7941), .SCD (u_soc_u_top_u_core_csr_mtvec[24]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[25]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7942), .SCD (u_soc_u_top_u_core_csr_mtvec[25]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[26]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7943), .SCD (u_soc_u_top_u_core_csr_mtvec[26]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[27]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8314), .SCD (u_soc_u_top_u_core_csr_mtvec[27]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[28]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7944), .SCD (u_soc_u_top_u_core_csr_mtvec[28]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[29]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8324), .SCD (u_soc_u_top_u_core_csr_mtvec[29]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[30]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8313), .SCD (u_soc_u_top_u_core_csr_mtvec[30]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[31]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7945), .SCD (u_soc_u_top_u_core_csr_mtvec[31]), .SCE
+       (n_2415), .Q (u_soc_u_top_u_core_csr_mtvec[31]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q_reg
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6014), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q
+       ));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_5928), .Q (n_479), .Q_N
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6304), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6893), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7185), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[3]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6897), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[4]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7184), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[5]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6896), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[6]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7183), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[7]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6895), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[8]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7159), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[9]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6894), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [9]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[10]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7170), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [10]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[11]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6907), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [11]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[12]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7174), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [12]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[13]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6908), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [13]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[14]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7180), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [14]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[15]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6899), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [15]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[16]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7171), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [16]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[17]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6909), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [17]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[18]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7176), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [18]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[19]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6910), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [19]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[20]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7178), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [20]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[21]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6900), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [21]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[22]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7182), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [22]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[23]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6898), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [23]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[24]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7154), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [24]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[25]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6911), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [25]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[26]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7157), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [26]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[27]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7165), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [27]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[28]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7162), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [28]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[29]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6912), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [29]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[30]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7168), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [30]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[31]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6913), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [31]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[32]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6643), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [32]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7304), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7305), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7330), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[3]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7303), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[4]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7302), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[5]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7301), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[6]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7300), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[7]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7299), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[8]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7298), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[9]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7308), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [9]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[10]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7380), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [10]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[11]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7310), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [11]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[12]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7311), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [12]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[13]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7312), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [13]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[14]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7313), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [14]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[15]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7314), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [15]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[16]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7315), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [16]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[17]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7316), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [17]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[18]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7317), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [18]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[19]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7318), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [19]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[20]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7319), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [20]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[21]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7320), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [21]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[22]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7321), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [22]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[23]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7322), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [23]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[24]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7323), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [24]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[25]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7324), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [25]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[26]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7325), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [26]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[27]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7326), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [27]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[28]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7327), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [28]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[29]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7328), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [29]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[30]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7329), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [0]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [1]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [2]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [3]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [4]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [5]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [6]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [7]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [8]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [9]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [10]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [11]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [12]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [13]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [14]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [15]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [16]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [17]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [18]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [19]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [20]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [21]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [22]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [23]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [24]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [25]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [26]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [27]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [28]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [29]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [30]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [31]), .SCE (n_5354), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [0]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [1]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [2]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [3]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [4]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [5]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [6]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [7]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [8]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [9]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [10]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [11]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [12]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [13]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [14]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [15]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [16]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [17]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [18]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [19]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [20]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [21]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [22]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [23]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [24]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [25]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [26]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [27]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [28]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [29]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [30]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [31]), .SCE (n_5351), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [0]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [1]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [2]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [3]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [4]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [5]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [6]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [7]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [8]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [9]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [10]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [11]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [12]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [13]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [14]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [15]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [16]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [17]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [18]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [19]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [20]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [21]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [22]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [23]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [24]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [25]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [26]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [27]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [28]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [29]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [30]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [31]), .SCE (n_5352), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [0]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [1]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [2]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [3]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [4]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [5]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [6]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [7]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [8]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [9]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [10]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [11]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [12]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [13]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [14]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [15]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [16]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [17]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [18]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [19]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [20]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [21]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [22]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [23]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [24]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [25]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [26]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [27]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [28]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [29]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [30]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [31]), .SCE (n_5355), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [0]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [1]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [2]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [3]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [4]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [5]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [6]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [7]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [8]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [9]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [10]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [11]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [12]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [13]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [14]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [15]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [16]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [17]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [18]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [19]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [20]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [21]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [22]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [23]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [24]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [25]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [26]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [27]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [28]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [29]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [30]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [31]), .SCE (n_5350), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [0]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [1]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [2]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [3]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [4]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [5]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [6]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [7]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [8]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [9]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [10]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [11]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [12]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [13]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [14]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [15]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [16]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [17]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [18]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [19]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [20]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [21]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [22]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [23]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [24]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [25]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [26]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [27]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [28]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [29]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [30]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [31]), .SCE (n_5353), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [0]), .SCD (n_8735), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [1]), .SCD (n_8756), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [2]), .SCD (n_8751), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [3]), .SCD (n_8754), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [4]), .SCD (n_8753), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [5]), .SCD (n_8752), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [6]), .SCD (n_8611), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [7]), .SCD (n_8612), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [8]), .SCD (n_8730), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [9]), .SCD (n_8775), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [10]), .SCD (n_8776), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [11]), .SCD (n_8774), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [12]), .SCD (n_8777), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [13]), .SCD (n_8773), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [14]), .SCD (n_8710), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [15]), .SCD (n_8715), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [16]), .SCD (n_8732), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [17]), .SCD (n_8755), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [18]), .SCD (n_8750), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [19]), .SCD (n_8749), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [20]), .SCD (n_8748), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [21]), .SCD (n_8747), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [22]), .SCD (n_8737), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [23]), .SCD (n_8733), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [24]), .SCD (n_8734), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [25]), .SCD (n_8746), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [26]), .SCD (n_8745), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [27]), .SCD (n_8759), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [28]), .SCD (n_8758), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [29]), .SCD (n_8757), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [30]), .SCD (n_8736), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [31]), .SCD (n_8731), .SCE (n_15930), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [0]), .SCD (n_8735), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [1]), .SCD (n_8756), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [2]), .SCD (n_8751), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [3]), .SCD (n_8754), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [4]), .SCD (n_8753), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [5]), .SCD (n_8752), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [6]), .SCD (n_8611), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [7]), .SCD (n_8612), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [8]), .SCD (n_8730), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [9]), .SCD (n_8775), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [10]), .SCD (n_8776), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [11]), .SCD (n_8774), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [12]), .SCD (n_8777), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [13]), .SCD (n_8773), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [14]), .SCD (n_8710), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [15]), .SCD (n_8715), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [16]), .SCD (n_8732), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [17]), .SCD (n_8755), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [18]), .SCD (n_8750), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [19]), .SCD (n_8749), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [20]), .SCD (n_8748), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [21]), .SCD (n_8747), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [22]), .SCD (n_8737), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [23]), .SCD (n_8733), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [24]), .SCD (n_8734), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [25]), .SCD (n_8746), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [26]), .SCD (n_8745), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [27]), .SCD (n_8759), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [28]), .SCD (n_8758), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [29]), .SCD (n_8757), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [30]), .SCD (n_8736), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [31]), .SCD (n_8731), .SCE (n_5334), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [0]), .SCD (n_8735), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [1]), .SCD (n_8756), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [2]), .SCD (n_8751), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [3]), .SCD (n_8754), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [4]), .SCD (n_8753), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [5]), .SCD (n_8752), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [6]), .SCD (n_8611), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [7]), .SCD (n_8612), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [8]), .SCD (n_8730), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [9]), .SCD (n_8775), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [10]), .SCD (n_8776), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [11]), .SCD (n_8774), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [12]), .SCD (n_8777), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [13]), .SCD (n_8773), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [14]), .SCD (n_8710), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [15]), .SCD (n_8715), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [16]), .SCD (n_8732), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [17]), .SCD (n_8755), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [18]), .SCD (n_8750), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [19]), .SCD (n_8749), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [20]), .SCD (n_8748), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [21]), .SCD (n_8747), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [22]), .SCD (n_8737), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [23]), .SCD (n_8733), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [24]), .SCD (n_8734), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [25]), .SCD (n_8746), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [26]), .SCD (n_8745), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [27]), .SCD (n_8759), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [28]), .SCD (n_8758), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [29]), .SCD (n_8757), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [30]), .SCD (n_8736), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [31]), .SCD (n_8731), .SCE (n_5335), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [0]), .SCD (n_8735), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [1]), .SCD (n_8756), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [2]), .SCD (n_8751), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [3]), .SCD (n_8754), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [4]), .SCD (n_8753), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [5]), .SCD (n_8752), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [6]), .SCD (n_8611), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [7]), .SCD (n_8612), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [8]), .SCD (n_8730), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [9]), .SCD (n_8775), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [10]), .SCD (n_8776), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [11]), .SCD (n_8774), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [12]), .SCD (n_8777), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [13]), .SCD (n_8773), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [14]), .SCD (n_8710), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [15]), .SCD (n_8715), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [16]), .SCD (n_8732), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [17]), .SCD (n_8755), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [18]), .SCD (n_8750), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [19]), .SCD (n_8749), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [20]), .SCD (n_8748), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [21]), .SCD (n_8747), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [22]), .SCD (n_8737), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [23]), .SCD (n_8733), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [24]), .SCD (n_8734), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [25]), .SCD (n_8746), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [26]), .SCD (n_8745), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [27]), .SCD (n_8759), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [28]), .SCD (n_8758), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [29]), .SCD (n_8757), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [30]), .SCD (n_8736), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [31]), .SCD (n_8731), .SCE (n_5343), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [0]), .SCD (n_8735), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [1]), .SCD (n_8756), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [2]), .SCD (n_8751), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [3]), .SCD (n_8754), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [4]), .SCD (n_8753), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [5]), .SCD (n_8752), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [6]), .SCD (n_8611), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [7]), .SCD (n_8612), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [8]), .SCD (n_8730), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [9]), .SCD (n_8775), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [10]), .SCD (n_8776), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [11]), .SCD (n_8774), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [12]), .SCD (n_8777), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [13]), .SCD (n_8773), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [14]), .SCD (n_8710), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [15]), .SCD (n_8715), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [16]), .SCD (n_8732), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [17]), .SCD (n_8755), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [18]), .SCD (n_8750), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [19]), .SCD (n_8749), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [20]), .SCD (n_8748), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [21]), .SCD (n_8747), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [22]), .SCD (n_8737), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [23]), .SCD (n_8733), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [24]), .SCD (n_8734), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [25]), .SCD (n_8746), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [26]), .SCD (n_8745), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [27]), .SCD (n_8759), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [28]), .SCD (n_8758), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [29]), .SCD (n_8757), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [30]), .SCD (n_8736), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [31]), .SCD (n_8731), .SCE (n_5344), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [0]), .SCD (n_8735), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [1]), .SCD (n_8756), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [2]), .SCD (n_8751), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [3]), .SCD (n_8754), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [4]), .SCD (n_8753), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [5]), .SCD (n_8752), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [6]), .SCD (n_8611), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [7]), .SCD (n_8612), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [8]), .SCD (n_8730), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [9]), .SCD (n_8775), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [10]), .SCD (n_8776), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [11]), .SCD (n_8774), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [12]), .SCD (n_8777), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [13]), .SCD (n_8773), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [14]), .SCD (n_8710), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [15]), .SCD (n_8715), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [16]), .SCD (n_8732), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [17]), .SCD (n_8755), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [18]), .SCD (n_8750), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [19]), .SCD (n_8749), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [20]), .SCD (n_8748), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [21]), .SCD (n_8747), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [22]), .SCD (n_8737), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [23]), .SCD (n_8733), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [24]), .SCD (n_8734), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [25]), .SCD (n_8746), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [26]), .SCD (n_8745), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [27]), .SCD (n_8759), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [28]), .SCD (n_8758), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [29]), .SCD (n_8757), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [30]), .SCD (n_8736), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [31]), .SCD (n_8731), .SCE (n_5340), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [0]), .SCD (n_8735), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [1]), .SCD (n_8756), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [2]), .SCD (n_8751), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [3]), .SCD (n_8754), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [4]), .SCD (n_8753), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [5]), .SCD (n_8752), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [6]), .SCD (n_8611), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [7]), .SCD (n_8612), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [8]), .SCD (n_8730), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [9]), .SCD (n_8775), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [10]), .SCD (n_8776), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [11]), .SCD (n_8774), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [12]), .SCD (n_8777), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [13]), .SCD (n_8773), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [14]), .SCD (n_8710), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [15]), .SCD (n_8715), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [16]), .SCD (n_8732), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [17]), .SCD (n_8755), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [18]), .SCD (n_8750), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [19]), .SCD (n_8749), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [20]), .SCD (n_8748), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [21]), .SCD (n_8747), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [22]), .SCD (n_8737), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [23]), .SCD (n_8733), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [24]), .SCD (n_8734), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [25]), .SCD (n_8746), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [26]), .SCD (n_8745), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [27]), .SCD (n_8759), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [28]), .SCD (n_8758), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [29]), .SCD (n_8757), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [30]), .SCD (n_8736), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [31]), .SCD (n_8731), .SCE (n_5329), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [0]), .SCD (n_8735), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [1]), .SCD (n_8756), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [2]), .SCD (n_8751), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [3]), .SCD (n_8754), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [4]), .SCD (n_8753), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [5]), .SCD (n_8752), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [6]), .SCD (n_8611), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [7]), .SCD (n_8612), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [8]), .SCD (n_8730), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [9]), .SCD (n_8775), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [10]), .SCD (n_8776), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [11]), .SCD (n_8774), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [12]), .SCD (n_8777), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [13]), .SCD (n_8773), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [14]), .SCD (n_8710), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [15]), .SCD (n_8715), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [16]), .SCD (n_8732), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [17]), .SCD (n_8755), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [18]), .SCD (n_8750), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [19]), .SCD (n_8749), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [20]), .SCD (n_8748), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [21]), .SCD (n_8747), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [22]), .SCD (n_8737), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [23]), .SCD (n_8733), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [24]), .SCD (n_8734), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [25]), .SCD (n_8746), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [26]), .SCD (n_8745), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [27]), .SCD (n_8759), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [28]), .SCD (n_8758), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [29]), .SCD (n_8757), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [30]), .SCD (n_8736), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [31]), .SCD (n_8731), .SCE (n_5345), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [0]), .SCD (n_8735), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [1]), .SCD (n_8756), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [2]), .SCD (n_8751), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [3]), .SCD (n_8754), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [4]), .SCD (n_8753), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [5]), .SCD (n_8752), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [6]), .SCD (n_8611), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [7]), .SCD (n_8612), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [8]), .SCD (n_8730), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [9]), .SCD (n_8775), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [10]), .SCD (n_8776), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [11]), .SCD (n_8774), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [12]), .SCD (n_8777), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [13]), .SCD (n_8773), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [14]), .SCD (n_8710), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [15]), .SCD (n_8715), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [16]), .SCD (n_8732), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [17]), .SCD (n_8755), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [18]), .SCD (n_8750), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [19]), .SCD (n_8749), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [20]), .SCD (n_8748), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [21]), .SCD (n_8747), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [22]), .SCD (n_8737), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [23]), .SCD (n_8733), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [24]), .SCD (n_8734), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [25]), .SCD (n_8746), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [26]), .SCD (n_8745), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [27]), .SCD (n_8759), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [28]), .SCD (n_8758), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [29]), .SCD (n_8757), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [30]), .SCD (n_8736), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [31]), .SCD (n_8731), .SCE (n_5348), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [0]), .SCD (n_8735), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [1]), .SCD (n_8756), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [2]), .SCD (n_8751), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [3]), .SCD (n_8754), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [4]), .SCD (n_8753), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [5]), .SCD (n_8752), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [6]), .SCD (n_8611), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [7]), .SCD (n_8612), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [8]), .SCD (n_8730), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [9]), .SCD (n_8775), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [10]), .SCD (n_8776), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [11]), .SCD (n_8774), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [12]), .SCD (n_8777), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [13]), .SCD (n_8773), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [14]), .SCD (n_8710), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [15]), .SCD (n_8715), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [16]), .SCD (n_8732), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [17]), .SCD (n_8755), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [18]), .SCD (n_8750), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [19]), .SCD (n_8749), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [20]), .SCD (n_8748), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [21]), .SCD (n_8747), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [22]), .SCD (n_8737), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [23]), .SCD (n_8733), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [24]), .SCD (n_8734), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [25]), .SCD (n_8746), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [26]), .SCD (n_8745), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [27]), .SCD (n_8759), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [28]), .SCD (n_8758), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [29]), .SCD (n_8757), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [30]), .SCD (n_8736), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [31]), .SCD (n_8731), .SCE (n_5341), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [0]), .SCD (n_8735), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [1]), .SCD (n_8756), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [2]), .SCD (n_8751), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [3]), .SCD (n_8754), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [4]), .SCD (n_8753), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [5]), .SCD (n_8752), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [6]), .SCD (n_8611), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [7]), .SCD (n_8612), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [8]), .SCD (n_8730), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [9]), .SCD (n_8775), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [10]), .SCD (n_8776), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [11]), .SCD (n_8774), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [12]), .SCD (n_8777), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [13]), .SCD (n_8773), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [14]), .SCD (n_8710), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [15]), .SCD (n_8715), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [16]), .SCD (n_8732), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [17]), .SCD (n_8755), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [18]), .SCD (n_8750), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [19]), .SCD (n_8749), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [20]), .SCD (n_8748), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [21]), .SCD (n_8747), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [22]), .SCD (n_8737), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [23]), .SCD (n_8733), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [24]), .SCD (n_8734), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [25]), .SCD (n_8746), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [26]), .SCD (n_8745), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [27]), .SCD (n_8759), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [28]), .SCD (n_8758), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [29]), .SCD (n_8757), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [30]), .SCD (n_8736), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [31]), .SCD (n_8731), .SCE (n_5331), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [0]), .SCD (n_8735), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [1]), .SCD (n_8756), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [2]), .SCD (n_8751), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [3]), .SCD (n_8754), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [4]), .SCD (n_8753), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [5]), .SCD (n_8752), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [6]), .SCD (n_8611), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [7]), .SCD (n_8612), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [8]), .SCD (n_8730), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [9]), .SCD (n_8775), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [10]), .SCD (n_8776), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [11]), .SCD (n_8774), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [12]), .SCD (n_8777), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [13]), .SCD (n_8773), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [14]), .SCD (n_8710), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [15]), .SCD (n_8715), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [16]), .SCD (n_8732), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [17]), .SCD (n_8755), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [18]), .SCD (n_8750), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [19]), .SCD (n_8749), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [20]), .SCD (n_8748), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [21]), .SCD (n_8747), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [22]), .SCD (n_8737), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [23]), .SCD (n_8733), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [24]), .SCD (n_8734), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [25]), .SCD (n_8746), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [26]), .SCD (n_8745), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [27]), .SCD (n_8759), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [28]), .SCD (n_8758), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [29]), .SCD (n_8757), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [30]), .SCD (n_8736), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [31]), .SCD (n_8731), .SCE (n_5347), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [0]), .SCD (n_8735), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [1]), .SCD (n_8756), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [2]), .SCD (n_8751), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [3]), .SCD (n_8754), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [4]), .SCD (n_8753), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [5]), .SCD (n_8752), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [6]), .SCD (n_8611), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [7]), .SCD (n_8612), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [8]), .SCD (n_8730), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [9]), .SCD (n_8775), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [10]), .SCD (n_8776), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [11]), .SCD (n_8774), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [12]), .SCD (n_8777), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [13]), .SCD (n_8773), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [14]), .SCD (n_8710), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [15]), .SCD (n_8715), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [16]), .SCD (n_8732), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [17]), .SCD (n_8755), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [18]), .SCD (n_8750), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [19]), .SCD (n_8749), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [20]), .SCD (n_8748), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [21]), .SCD (n_8747), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [22]), .SCD (n_8737), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [23]), .SCD (n_8733), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [24]), .SCD (n_8734), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [25]), .SCD (n_8746), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [26]), .SCD (n_8745), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [27]), .SCD (n_8759), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [28]), .SCD (n_8758), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [29]), .SCD (n_8757), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [30]), .SCD (n_8736), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [31]), .SCD (n_8731), .SCE (n_5339), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [0]), .SCD (n_8735), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [1]), .SCD (n_8756), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [2]), .SCD (n_8751), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [3]), .SCD (n_8754), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [4]), .SCD (n_8753), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [5]), .SCD (n_8752), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [6]), .SCD (n_8611), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [7]), .SCD (n_8612), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [8]), .SCD (n_8730), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [9]), .SCD (n_8775), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [10]), .SCD (n_8776), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [11]), .SCD (n_8774), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [12]), .SCD (n_8777), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [13]), .SCD (n_8773), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [14]), .SCD (n_8710), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [15]), .SCD (n_8715), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [16]), .SCD (n_8732), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [17]), .SCD (n_8755), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [18]), .SCD (n_8750), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [19]), .SCD (n_8749), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [20]), .SCD (n_8748), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [21]), .SCD (n_8747), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [22]), .SCD (n_8737), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [23]), .SCD (n_8733), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [24]), .SCD (n_8734), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [25]), .SCD (n_8746), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [26]), .SCD (n_8745), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [27]), .SCD (n_8759), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [28]), .SCD (n_8758), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [29]), .SCD (n_8757), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [30]), .SCD (n_8736), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [31]), .SCD (n_8731), .SCE (n_5338), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [0]), .SCD (n_8735), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [1]), .SCD (n_8756), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [2]), .SCD (n_8751), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [3]), .SCD (n_8754), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [4]), .SCD (n_8753), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [5]), .SCD (n_8752), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [6]), .SCD (n_8611), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [7]), .SCD (n_8612), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [8]), .SCD (n_8730), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [9]), .SCD (n_8775), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [10]), .SCD (n_8776), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [11]), .SCD (n_8774), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [12]), .SCD (n_8777), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [13]), .SCD (n_8773), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [14]), .SCD (n_8710), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [15]), .SCD (n_8715), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [16]), .SCD (n_8732), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [17]), .SCD (n_8755), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [18]), .SCD (n_8750), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [19]), .SCD (n_8749), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [20]), .SCD (n_8748), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [21]), .SCD (n_8747), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [22]), .SCD (n_8737), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [23]), .SCD (n_8733), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [24]), .SCD (n_8734), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [25]), .SCD (n_8746), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [26]), .SCD (n_8745), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [27]), .SCD (n_8759), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [28]), .SCD (n_8758), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [29]), .SCD (n_8757), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [30]), .SCD (n_8736), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [31]), .SCD (n_8731), .SCE (n_5356), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [0]), .SCD (n_8735), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [1]), .SCD (n_8756), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [2]), .SCD (n_8751), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [3]), .SCD (n_8754), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [4]), .SCD (n_8753), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [5]), .SCD (n_8752), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [6]), .SCD (n_8611), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [7]), .SCD (n_8612), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [8]), .SCD (n_8730), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [9]), .SCD (n_8775), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [10]), .SCD (n_8776), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [11]), .SCD (n_8774), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [12]), .SCD (n_8777), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [13]), .SCD (n_8773), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [14]), .SCD (n_8710), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [15]), .SCD (n_8715), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [16]), .SCD (n_8732), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [17]), .SCD (n_8755), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [18]), .SCD (n_8750), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [19]), .SCD (n_8749), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [20]), .SCD (n_8748), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [21]), .SCD (n_8747), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [22]), .SCD (n_8737), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [23]), .SCD (n_8733), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [24]), .SCD (n_8734), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [25]), .SCD (n_8746), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [26]), .SCD (n_8745), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [27]), .SCD (n_8759), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [28]), .SCD (n_8758), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [29]), .SCD (n_8757), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [30]), .SCD (n_8736), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [31]), .SCD (n_8731), .SCE (n_5346), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [0]), .SCD (n_8735), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [1]), .SCD (n_8756), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [2]), .SCD (n_8751), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [3]), .SCD (n_8754), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [4]), .SCD (n_8753), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [5]), .SCD (n_8752), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [6]), .SCD (n_8611), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [7]), .SCD (n_8612), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [8]), .SCD (n_8730), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [9]), .SCD (n_8775), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [10]), .SCD (n_8776), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [11]), .SCD (n_8774), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [12]), .SCD (n_8777), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [13]), .SCD (n_8773), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [14]), .SCD (n_8710), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [15]), .SCD (n_8715), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [16]), .SCD (n_8732), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [17]), .SCD (n_8755), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [18]), .SCD (n_8750), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [19]), .SCD (n_8749), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [20]), .SCD (n_8748), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [21]), .SCD (n_8747), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [22]), .SCD (n_8737), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [23]), .SCD (n_8733), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [24]), .SCD (n_8734), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [25]), .SCD (n_8746), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [26]), .SCD (n_8745), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [27]), .SCD (n_8759), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [28]), .SCD (n_8758), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [29]), .SCD (n_8757), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [30]), .SCD (n_8736), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [31]), .SCD (n_8731), .SCE (n_5349), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [0]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [1]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [2]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [3]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [4]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [5]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [6]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [7]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [8]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [9]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [10]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [11]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [12]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [13]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [14]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [15]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [16]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [17]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [18]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [19]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [20]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [21]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [22]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [23]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [24]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [25]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [26]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [27]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [28]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [29]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [30]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [31]), .SCE (n_5327), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [0]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [1]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [2]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [3]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [4]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [5]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [6]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [7]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [8]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [9]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [10]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [11]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [12]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [13]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [14]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [15]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [16]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [17]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [18]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [19]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [20]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [21]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [22]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [23]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [24]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [25]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [26]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [27]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [28]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [29]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [30]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [31]), .SCE (n_5328), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [0]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [1]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [2]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [3]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [4]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [5]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [6]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [7]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [8]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [9]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [10]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [11]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [12]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [13]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [14]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [15]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [16]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [17]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [18]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [19]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [20]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [21]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [22]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [23]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [24]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [25]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [26]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [27]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [28]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [29]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [30]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [31]), .SCE (n_5333), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [0]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [1]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [2]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [3]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [4]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [5]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [6]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [7]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [8]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [9]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [10]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [11]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [12]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [13]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [14]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [15]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [16]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [17]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [18]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [19]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [20]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [21]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [22]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [23]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [24]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [25]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [26]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [27]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [28]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [29]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [30]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [31]), .SCE (n_5337), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [0]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [1]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [2]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [3]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [4]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [5]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [6]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [7]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [8]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [9]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [10]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [11]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [12]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [13]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [14]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [15]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [16]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [17]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [18]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [19]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [20]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [21]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [22]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [23]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [24]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [25]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [26]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [27]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [28]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [29]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [30]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [31]), .SCE (n_5326), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [0]), .SCD (n_8735), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [1]), .SCD (n_8756), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [2]), .SCD (n_8751), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [3]), .SCD (n_8754), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [4]), .SCD (n_8753), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [5]), .SCD (n_8752), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [6]), .SCD (n_8611), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [7]), .SCD (n_8612), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [8]), .SCD (n_8730), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [9]), .SCD (n_8775), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [10]), .SCD (n_8776), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [11]), .SCD (n_8774), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [12]), .SCD (n_8777), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [13]), .SCD (n_8773), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [14]), .SCD (n_8710), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [15]), .SCD (n_8715), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [16]), .SCD (n_8732), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [17]), .SCD (n_8755), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [18]), .SCD (n_8750), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [19]), .SCD (n_8749), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [20]), .SCD (n_8748), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [21]), .SCD (n_8747), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [22]), .SCD (n_8737), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [23]), .SCD (n_8733), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [24]), .SCD (n_8734), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [25]), .SCD (n_8746), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [26]), .SCD (n_8745), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [27]), .SCD (n_8759), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [28]), .SCD (n_8758), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [29]), .SCD (n_8757), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [30]), .SCD (n_8736), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [31]), .SCD (n_8731), .SCE (n_5342), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8735), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [0]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8756), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [1]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8751), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [2]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8754), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [3]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8753), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [4]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8752), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [5]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8611), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [6]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8612), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [7]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8730), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [8]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8775), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [9]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8776), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [10]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8774), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [11]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8777), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [12]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8773), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [13]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8710), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [14]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8715), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [15]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8732), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [16]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8755), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [17]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8750), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [18]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8749), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [19]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8748), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [20]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8747), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [21]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8737), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [22]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8733), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [23]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8734), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [24]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8746), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [25]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8745), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [26]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8759), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [27]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8758), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [28]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8757), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [29]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8736), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [30]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_8731), .SCD
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [31]), .SCE (n_5336), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [0]), .SCD (n_8735), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [1]), .SCD (n_8756), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [2]), .SCD (n_8751), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [3]), .SCD (n_8754), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [4]), .SCD (n_8753), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [5]), .SCD (n_8752), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [6]), .SCD (n_8611), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [7]), .SCD (n_8612), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [8]), .SCD (n_8730), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [9]), .SCD (n_8775), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [10]), .SCD (n_8776), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [11]), .SCD (n_8774), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [12]), .SCD (n_8777), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [13]), .SCD (n_8773), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [14]), .SCD (n_8710), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [15]), .SCD (n_8715), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [16]), .SCD (n_8732), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [17]), .SCD (n_8755), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [18]), .SCD (n_8750), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [19]), .SCD (n_8749), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [20]), .SCD (n_8748), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [21]), .SCD (n_8747), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [22]), .SCD (n_8737), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [23]), .SCD (n_8733), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [24]), .SCD (n_8734), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [25]), .SCD (n_8746), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [26]), .SCD (n_8745), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [27]), .SCD (n_8759), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [28]), .SCD (n_8758), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [29]), .SCD (n_8757), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [30]), .SCD (n_8736), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [31]), .SCD (n_8731), .SCE (n_5330), .Q
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       u_soc_u_top_u_core_id_stage_i_id_fsm_q_reg(.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_5888), .SCD
+       (n_15891), .SCE (u_soc_u_top_u_core_id_stage_i_id_fsm_q), .Q
+       (u_soc_u_top_u_core_id_stage_i_id_fsm_q));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][0] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_8723), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][1] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7928), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][2] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7677), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][3] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7704), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][4] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7676), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][5] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7681), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][6] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7603), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][7] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7675), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][8] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7674), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][9] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7673), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [9]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][10] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7672), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [10]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][11] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7671), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [11]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][12] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7670), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [12]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][13] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7669), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [13]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][14] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7668), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [14]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][15] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7667), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [15]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][16] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7710), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [16]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][17] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7738), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [17]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][18] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7688), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [18]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][19] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7735), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [19]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][20] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7680), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [20]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][21] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7722), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [21]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][22] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7666), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [22]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][23] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7706), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [23]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][24] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7665), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [24]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][25] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7697), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [25]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][26] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7664), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [26]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][27] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7678), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [27]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][28] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7663), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [28]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][29] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7662), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [29]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][30] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7661), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [30]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][31] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7660), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [31]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][32] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_15917), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [32]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][0] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6543), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][1] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6354), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][2] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6310), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][3] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6308), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][4] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6542), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][5] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6309), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][6] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6541), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][7] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6540), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][8] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6539), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][9] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6538), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [9]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][10] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6537), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [10]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][11] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6536), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [11]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][12] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6544), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [12]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][13] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6535), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [13]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][14] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6534), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [14]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][15] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6533), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [15]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][16] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6532), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [16]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][17] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6531), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [17]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][18] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6530), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [18]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][19] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6529), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [19]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][20] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6528), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [20]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][21] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6527), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [21]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][22] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6526), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [22]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][23] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6525), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [23]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][24] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6524), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [24]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][25] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6523), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [25]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][26] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6522), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [26]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][27] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6521), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [27]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][28] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6520), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [28]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][29] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6519), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [29]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][30] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6518), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [30]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][31] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_6517), .Q
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [31]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6678), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q
+       [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6691), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q
+       [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q_reg
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_1455), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q
+       ));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[2]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_2672), .SCD
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [2]), .SCE (n_1520), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [2]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[3]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_5147), .SCD
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [3]), .SCE (n_1520), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [3]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[4]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_6368), .SCD
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [4]), .SCE (n_1520), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [4]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[5]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7200), .SCD
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [5]), .SCE (n_1520), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [5]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[6]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7658), .SCD
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [6]), .SCE (n_1520), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [6]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[7]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8300), .SCD
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [7]), .SCE (n_1520), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [7]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[8]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8593), .SCD
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [8]), .SCE (n_1520), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [8]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[9]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8718), .SCD
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [9]), .SCE (n_1520), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [9]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[10]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8779), .SCD
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [10]), .SCE (n_1520), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [10]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[11]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8783), .SCD
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [11]), .SCE (n_1520), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[2]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7643), .Q
+       (u_soc_u_top_u_core_pc_if[2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[3]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7604), .Q
+       (u_soc_u_top_u_core_pc_if[3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[4]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7644), .Q
+       (u_soc_u_top_u_core_pc_if[4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[5]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7605), .Q
+       (u_soc_u_top_u_core_pc_if[5]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[6]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7645), .Q
+       (u_soc_u_top_u_core_pc_if[6]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[7]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7573), .Q
+       (u_soc_u_top_u_core_pc_if[7]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[8]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7646), .Q
+       (u_soc_u_top_u_core_pc_if[8]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[9]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7570), .Q
+       (u_soc_u_top_u_core_pc_if[9]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[10]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7647), .Q
+       (u_soc_u_top_u_core_pc_if[10]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[11]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7648), .Q
+       (u_soc_u_top_u_core_pc_if[11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[12]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7871), .Q
+       (u_soc_u_top_u_core_pc_if[12]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[13]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7870), .Q
+       (u_soc_u_top_u_core_pc_if[13]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[14]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7869), .Q
+       (u_soc_u_top_u_core_pc_if[14]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[15]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7868), .Q
+       (u_soc_u_top_u_core_pc_if[15]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[16]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7867), .Q
+       (u_soc_u_top_u_core_pc_if[16]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[17]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7866), .Q
+       (u_soc_u_top_u_core_pc_if[17]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[18]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7865), .Q
+       (u_soc_u_top_u_core_pc_if[18]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[19]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7864), .Q
+       (u_soc_u_top_u_core_pc_if[19]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[20]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7863), .Q
+       (u_soc_u_top_u_core_pc_if[20]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[21]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7862), .Q
+       (u_soc_u_top_u_core_pc_if[21]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[22]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7861), .Q
+       (u_soc_u_top_u_core_pc_if[22]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[23]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7860), .Q
+       (u_soc_u_top_u_core_pc_if[23]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[24]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7859), .Q
+       (u_soc_u_top_u_core_pc_if[24]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[25]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7858), .Q
+       (u_soc_u_top_u_core_pc_if[25]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[26]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7857), .Q
+       (u_soc_u_top_u_core_pc_if[26]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[27]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7856), .Q
+       (u_soc_u_top_u_core_pc_if[27]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[28]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7855), .Q
+       (u_soc_u_top_u_core_pc_if[28]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[29]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7847), .Q
+       (u_soc_u_top_u_core_pc_if[29]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[30]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7854), .Q
+       (u_soc_u_top_u_core_pc_if[30]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[31]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7853), .Q
+       (u_soc_u_top_u_core_pc_if[31]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][0]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8352), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [0]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][1]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8346), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][2]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8227), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][3]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8226), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][4]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8225), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][5]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8224), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [5]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][6]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8223), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [6]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][7]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8222), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [7]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][8]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8205), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [8]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][9]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8204), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [9]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][10]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8203), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [10]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][11]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8202), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][12]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8201), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [12]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][13]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8200), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [13]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][14]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8199), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [14]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][15]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8198), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [15]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][16]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8347), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [16]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][17]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8348), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [17]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][18]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8221), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [18]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][19]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8220), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [19]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][20]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8219), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [20]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][21]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8218), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [21]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][22]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8217), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [22]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][23]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8216), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [23]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][24]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8197), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [24]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][25]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8196), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [25]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][26]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8195), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [26]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][27]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8194), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [27]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][28]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8193), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [28]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][29]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8192), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [29]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][30]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8191), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [30]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][31]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8190), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [31]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][0]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8349), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [0]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][1]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8350), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][2]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8245), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][3]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8244), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][4]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8243), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][5]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8293), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [5]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][6]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8292), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [6]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][7]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8240), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [7]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][8]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8189), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [8]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][9]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8188), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [9]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][10]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8187), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [10]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][11]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8186), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][12]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8185), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [12]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][13]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8184), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [13]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][14]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8183), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [14]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][15]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8182), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [15]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][16]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8351), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [16]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][17]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8345), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [17]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][18]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8239), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [18]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][19]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8238), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [19]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][20]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8291), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [20]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][21]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8236), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [21]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][22]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8235), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [22]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][23]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8234), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [23]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][24]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8290), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [24]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][25]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8179), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [25]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][26]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8178), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [26]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][27]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8177), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [27]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][28]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8176), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [28]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][29]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8175), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [29]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][30]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8174), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [30]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][31]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8173), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [31]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][0]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [0]), .SCD (\u_soc_iccm_to_xbar[d_data] [0]), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [0]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][1]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [1]), .SCD (\u_soc_iccm_to_xbar[d_data] [1]), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [1]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][2]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [2]), .SCD (n_3012), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [2]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][3]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [3]), .SCD (n_2988), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [3]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][4]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [4]), .SCD (n_2990), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [4]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][5]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [5]), .SCD (n_2993), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [5]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][6]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [6]), .SCD (n_2995), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [6]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][7]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [7]), .SCD (n_2996), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [7]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][8]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [8]), .SCD (n_5825), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [8]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][9]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [9]), .SCD (n_5827), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [9]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][10]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [10]), .SCD (n_5829), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [10]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][11]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [11]), .SCD (n_5831), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [11]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][12]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [12]), .SCD (n_5833), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [12]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][13]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [13]), .SCD (n_5835), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [13]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][14]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [14]), .SCD (n_5837), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [14]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][15]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [15]), .SCD (n_5839), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [15]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][16]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [16]), .SCD (\u_soc_iccm_to_xbar[d_data] [16]), .SCE (n_5934),
+       .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [16]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][17]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [17]), .SCD (\u_soc_iccm_to_xbar[d_data] [17]), .SCE (n_5934),
+       .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [17]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][18]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [18]), .SCD (n_2998), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [18]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][19]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [19]), .SCD (n_3000), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [19]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][20]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [20]), .SCD (n_3005), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [20]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][21]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [21]), .SCD (n_3010), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [21]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][22]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [22]), .SCD (n_3006), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [22]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][23]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [23]), .SCD (n_3008), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [23]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][24]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [24]), .SCD (n_5842), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [24]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][25]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [25]), .SCD (n_5843), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [25]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][26]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [26]), .SCD (n_5845), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [26]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][27]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [27]), .SCD (n_5847), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [27]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][28]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [28]), .SCD (n_5849), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [28]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][29]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [29]), .SCD (n_5851), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [29]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][30]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [30]), .SCD (n_5853), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [30]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][31]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [31]), .SCD (n_5855), .SCE (n_5934), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [31]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_5631), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
+       [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_5897), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
+       [1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[2]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_6516), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[3]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_6515), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[4]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_6514), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[5]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_6513), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [5]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[6]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_6512), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [6]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[7]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_6511), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [7]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[8]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_6510), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [8]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[9]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_6509), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [9]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[10]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_ifu_to_xbar[a_address] [10]), .SCD
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [10]), .SCE (n_3083), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [10]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[11]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (\u_soc_ifu_to_xbar[a_address] [11]), .SCD
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [11]), .SCE (n_3083), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [11]));
+  sky130_fd_sc_hd__sdfxtp_1
+       u_soc_u_top_u_core_if_stage_i_illegal_c_insn_id_o_reg(.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8676), .SCD
+       (u_soc_u_top_u_core_illegal_c_insn_id), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_illegal_c_insn_id));
+  sky130_fd_sc_hd__sdfxtp_1
+       u_soc_u_top_u_core_if_stage_i_instr_is_compressed_id_o_reg(.CLK
+       (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_if_stage_i_instr_is_compressed), .SCD
+       (u_soc_u_top_u_core_instr_is_compressed_id), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_instr_is_compressed_id));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[0] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8115), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[0]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[1] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8433), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[2] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_15906), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[3] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8521), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[4] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8720), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[5] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8704), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[5]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[6] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8601), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[6]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[12]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8659), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[12]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[13]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8654), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[13]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[14]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8540), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[14]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[25]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8768), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[25]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[26]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8763), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[26]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[27]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8663), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[27]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[28]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8760), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[28]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[29]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8724), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[29]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[30]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8604), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[30]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[31]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8596), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[31]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[0] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_7156), .Q
+       (u_soc_u_top_u_core_instr_rdata_c_id[0]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[1] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_7155), .Q
+       (u_soc_u_top_u_core_instr_rdata_c_id[1]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[2] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_5952), .SCD
+       (u_soc_u_top_u_core_instr_rdata_c_id[2]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_instr_rdata_c_id[2]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[3] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_5963), .SCD
+       (u_soc_u_top_u_core_instr_rdata_c_id[3]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_instr_rdata_c_id[3]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[4] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_5965), .SCD
+       (u_soc_u_top_u_core_instr_rdata_c_id[4]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_instr_rdata_c_id[4]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[5] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_5954), .SCD
+       (u_soc_u_top_u_core_instr_rdata_c_id[5]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_instr_rdata_c_id[5]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[6] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_5946), .SCD
+       (u_soc_u_top_u_core_instr_rdata_c_id[6]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_instr_rdata_c_id[6]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[7] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_5973), .SCD
+       (u_soc_u_top_u_core_instr_rdata_c_id[7]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_instr_rdata_c_id[7]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[8] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_6870), .SCD
+       (u_soc_u_top_u_core_instr_rdata_c_id[8]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_instr_rdata_c_id[8]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[9] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_6873), .SCD
+       (u_soc_u_top_u_core_instr_rdata_c_id[9]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_instr_rdata_c_id[9]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[10] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_6866), .SCD
+       (u_soc_u_top_u_core_instr_rdata_c_id[10]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_instr_rdata_c_id[10]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[11] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_6864), .SCD
+       (u_soc_u_top_u_core_instr_rdata_c_id[11]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_instr_rdata_c_id[11]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[12] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_6856), .SCD
+       (u_soc_u_top_u_core_instr_rdata_c_id[12]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_instr_rdata_c_id[12]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[13] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_6862), .SCD
+       (u_soc_u_top_u_core_instr_rdata_c_id[13]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_instr_rdata_c_id[13]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[14] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_6858), .SCD
+       (u_soc_u_top_u_core_instr_rdata_c_id[14]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_instr_rdata_c_id[14]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[15] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_6860), .SCD
+       (u_soc_u_top_u_core_instr_rdata_c_id[15]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_instr_rdata_c_id[15]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[7] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8586), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[7]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[8] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8368), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[8]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[9] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8511), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[9]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[10] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8703), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[10]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[11] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8656), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[11]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[1] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[1]), .SCD
+       (u_soc_u_top_u_core_pc_id[1]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[1]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[2] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[2]), .SCD
+       (u_soc_u_top_u_core_pc_id[2]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[2]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[3] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[3]), .SCD
+       (u_soc_u_top_u_core_pc_id[3]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[3]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[4] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[4]), .SCD
+       (u_soc_u_top_u_core_pc_id[4]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[4]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[5] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[5]), .SCD
+       (u_soc_u_top_u_core_pc_id[5]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[5]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[6] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[6]), .SCD
+       (u_soc_u_top_u_core_pc_id[6]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[6]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[7] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[7]), .SCD
+       (u_soc_u_top_u_core_pc_id[7]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[7]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[8] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[8]), .SCD
+       (u_soc_u_top_u_core_pc_id[8]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[8]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[9] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[9]), .SCD
+       (u_soc_u_top_u_core_pc_id[9]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[9]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[10] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[10]),
+       .SCD (u_soc_u_top_u_core_pc_id[10]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[10]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[11] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[11]),
+       .SCD (u_soc_u_top_u_core_pc_id[11]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[11]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[12] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[12]),
+       .SCD (u_soc_u_top_u_core_pc_id[12]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[12]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[13] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[13]),
+       .SCD (u_soc_u_top_u_core_pc_id[13]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[13]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[14] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[14]),
+       .SCD (u_soc_u_top_u_core_pc_id[14]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[14]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[15] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[15]),
+       .SCD (u_soc_u_top_u_core_pc_id[15]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[15]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[16] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[16]),
+       .SCD (u_soc_u_top_u_core_pc_id[16]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[16]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[17] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[17]),
+       .SCD (u_soc_u_top_u_core_pc_id[17]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[17]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[18] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[18]),
+       .SCD (u_soc_u_top_u_core_pc_id[18]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[18]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[19] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[19]),
+       .SCD (u_soc_u_top_u_core_pc_id[19]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[19]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[20] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[20]),
+       .SCD (u_soc_u_top_u_core_pc_id[20]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[20]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[21] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[21]),
+       .SCD (u_soc_u_top_u_core_pc_id[21]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[21]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[22] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[22]),
+       .SCD (u_soc_u_top_u_core_pc_id[22]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[22]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[23] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[23]),
+       .SCD (u_soc_u_top_u_core_pc_id[23]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[23]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[24] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[24]),
+       .SCD (u_soc_u_top_u_core_pc_id[24]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[24]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[25] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[25]),
+       .SCD (u_soc_u_top_u_core_pc_id[25]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[25]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[26] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[26]),
+       .SCD (u_soc_u_top_u_core_pc_id[26]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[26]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[27] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[27]),
+       .SCD (u_soc_u_top_u_core_pc_id[27]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[27]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[28] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[28]),
+       .SCD (u_soc_u_top_u_core_pc_id[28]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[28]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[29] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[29]),
+       .SCD (u_soc_u_top_u_core_pc_id[29]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[29]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[30] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[30]),
+       .SCD (u_soc_u_top_u_core_pc_id[30]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[30]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[31] (.CLK
+       (u_soc_u_top_u_core_clk), .D (u_soc_u_top_u_core_pc_if[31]),
+       .SCD (u_soc_u_top_u_core_pc_id[31]), .SCE (n_6709), .Q
+       (u_soc_u_top_u_core_pc_id[31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[0]), .SCD (n_16012), .SCE
+       (n_2411), .Q (u_soc_u_top_u_core_lsu_addr_last[0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[1]), .SCD
+       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[2]), .SCD
+       (\u_soc_xbar_to_dccm[a_address] [2]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[3]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[3]), .SCD
+       (\u_soc_xbar_to_dccm[a_address] [3]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[3]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[4]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[4]), .SCD
+       (\u_soc_xbar_to_dccm[a_address] [4]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[4]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[5]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[5]), .SCD
+       (\u_soc_xbar_to_dccm[a_address] [5]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[5]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[6]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[6]), .SCD
+       (\u_soc_xbar_to_dccm[a_address] [6]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[6]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[7]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[7]), .SCD
+       (\u_soc_xbar_to_dccm[a_address] [7]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[7]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[8]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[8]), .SCD
+       (\u_soc_xbar_to_dccm[a_address] [8]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[9]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[9]), .SCD
+       (\u_soc_xbar_to_dccm[a_address] [9]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[10]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[10]), .SCD
+       (\u_soc_xbar_to_dccm[a_address] [10]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[11]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[11]), .SCD
+       (\u_soc_xbar_to_dccm[a_address] [11]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[12]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[12]), .SCD
+       (\u_soc_xbar_to_dccm[a_address] [12]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[13]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[13]), .SCD
+       (\u_soc_xbar_to_dccm[a_address] [13]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[14]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[14]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [14]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[15]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[15]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [15]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[16]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[16]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [16]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[17]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[17]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [17]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[18]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[18]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [18]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[19]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[19]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [19]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[20]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[20]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [20]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[21]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[21]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [21]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[22]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[22]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [22]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[23]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[23]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [23]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[24]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[24]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [24]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[25]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[25]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [25]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[26]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[26]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [26]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[27]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[27]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [27]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[28]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[28]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [28]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[29]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[29]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [29]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[30]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[30]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [30]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[31]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_lsu_addr_last[31]), .SCD
+       (\u_soc_lsu_to_xbar[a_address] [31]), .SCE (n_2411), .Q
+       (u_soc_u_top_u_core_lsu_addr_last[31]));
+  sky130_fd_sc_hd__sdfrtp_1
+       u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q_reg(.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q), .SCD
+       (u_soc_u_top_u_core_lsu_sign_ext), .SCE (n_1414), .Q
+       (u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_data_type_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[0]), .SCD
+       (u_soc_u_top_u_core_lsu_type[0]), .SCE (n_1414), .Q
+       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_data_type_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]), .SCD
+       (u_soc_u_top_u_core_lsu_type[1]), .SCE (n_1414), .Q
+       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       u_soc_u_top_u_core_load_store_unit_i_data_we_q_reg(.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_load_store_unit_i_data_we_q), .SCD
+       (u_soc_u_top_data_we), .SCE (n_1414), .Q
+       (u_soc_u_top_u_core_load_store_unit_i_data_we_q));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[2] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_load_store_unit_i_n_937), .Q
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[0]), .SCD
+       (n_16012), .SCE (n_1414), .Q
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[0]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]), .SCD
+       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .SCE (n_1414), .Q
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[8] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7800), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[8]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[8]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[9] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7263), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[9]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[9]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[10] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7801), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[10]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[10]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[11] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7802), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[11]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[11]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[12] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7258), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[12]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[12]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[13] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7804), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[13]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[13]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[14] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7269), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[14]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[14]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[15] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7797), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[15]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[15]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[16] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7264), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[16]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[16]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[17] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7262), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[17]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[17]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[18] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7260), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[18]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[18]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[19] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7259), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[19]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[19]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[20] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7261), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[20]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[20]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[21] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7266), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[21]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[21]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[22] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7267), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[22]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[22]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[23] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7268), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[23]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[23]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[24] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7974), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[25] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7975), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[26] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7976), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[27] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7272), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[28] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7978), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[29] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7979), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[30] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7973), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[31] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_7969), .SCD
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]), .SCE
+       (n_2416), .Q (u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[0]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_instr_rdata_id[7]), .SCD
+       (u_soc_u_top_u_core_rf_waddr_wb[0]), .SCE (n_13380), .Q
+       (u_soc_u_top_u_core_rf_waddr_wb[0]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[1]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_instr_rdata_id[8]), .SCD
+       (u_soc_u_top_u_core_rf_waddr_wb[1]), .SCE (n_13380), .Q
+       (u_soc_u_top_u_core_rf_waddr_wb[1]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[2]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_instr_rdata_id[9]), .SCD
+       (u_soc_u_top_u_core_rf_waddr_wb[2]), .SCE (n_13380), .Q
+       (u_soc_u_top_u_core_rf_waddr_wb[2]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[3]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_instr_rdata_id[10]), .SCD
+       (u_soc_u_top_u_core_rf_waddr_wb[3]), .SCE (n_13380), .Q
+       (u_soc_u_top_u_core_rf_waddr_wb[3]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[4]
+       (.CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_instr_rdata_id[11]), .SCD
+       (u_soc_u_top_u_core_rf_waddr_wb[4]), .SCE (n_13380), .Q
+       (u_soc_u_top_u_core_rf_waddr_wb[4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[0]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8232), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[0]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[1]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8517), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[1]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[2]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8651), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[2]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[3]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8719), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[3]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[4]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8487), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[4]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[5]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8515), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[5]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[6]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8516), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[6]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[7]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8652), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[7]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[8]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8705), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[8]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[9]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8542), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[9]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[10]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8532), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[10]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[11]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8744), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[11]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[12]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8646), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[12]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[13]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8706), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[13]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[14]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8409), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[14]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[15]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8408), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[15]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[16]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8508), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[16]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[17]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8696), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[17]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[18]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8507), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[18]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[19]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8506), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[19]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[20]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8694), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[20]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[21]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8695), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[21]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[22]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8505), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[22]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[23]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8504), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[23]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[24]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8413), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[24]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[25]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8425), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[25]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[26]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8431), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[26]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[27]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8594), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[27]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[28]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8430), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[28]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[29]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8595), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[29]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[30]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8603), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[30]));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[31]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_8514), .Q
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[31]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q_reg
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_1937), .SCD
+       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
+       .SCE (n_13380), .Q
+       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q_reg
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_1686), .SCD
+       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
+       .SCE (n_13380), .Q
+       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ));
+  sky130_fd_sc_hd__dfxtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q_reg[0]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_1671), .Q
+       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q
+       [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q_reg
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_1191), .Q
+       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ));
+  sky130_fd_sc_hd__sdfrtp_1
+       u_soc_u_uart_u_reg_if_outstanding_reg(.RESET_B (io_out[37]),
+       .CLK (wb_clk_i), .D (n_7), .SCD (\u_soc_uart_to_xbar[d_valid] ),
+       .SCE (n_1168), .Q (\u_soc_uart_to_xbar[d_valid] ));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5165), .Q
+       (\u_soc_uart_to_xbar[d_data] [0]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3034), .Q
+       (\u_soc_uart_to_xbar[d_data] [1]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3039), .Q
+       (\u_soc_uart_to_xbar[d_data] [2]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3033), .Q
+       (\u_soc_uart_to_xbar[d_data] [3]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3038), .Q
+       (\u_soc_uart_to_xbar[d_data] [4]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3037), .Q
+       (\u_soc_uart_to_xbar[d_data] [5]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3036), .Q
+       (\u_soc_uart_to_xbar[d_data] [6]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_2938), .Q
+       (\u_soc_uart_to_xbar[d_data] [7]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_3035), .Q
+       (\u_soc_uart_to_xbar[d_data] [8]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1313), .Q
+       (\u_soc_uart_to_xbar[d_data] [9]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1364), .Q
+       (\u_soc_uart_to_xbar[d_data] [10]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1331), .Q
+       (\u_soc_uart_to_xbar[d_data] [11]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1319), .Q
+       (\u_soc_uart_to_xbar[d_data] [12]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1338), .Q
+       (\u_soc_uart_to_xbar[d_data] [13]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1321), .Q
+       (\u_soc_uart_to_xbar[d_data] [14]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1339), .Q
+       (\u_soc_uart_to_xbar[d_data] [15]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[16]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1371), .Q
+       (\u_soc_uart_to_xbar[d_data] [16]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[17]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1379), .Q
+       (\u_soc_uart_to_xbar[d_data] [17]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[18]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1353), .Q
+       (\u_soc_uart_to_xbar[d_data] [18]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[19]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1318), .Q
+       (\u_soc_uart_to_xbar[d_data] [19]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[20]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1332), .Q
+       (\u_soc_uart_to_xbar[d_data] [20]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[21]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1317), .Q
+       (\u_soc_uart_to_xbar[d_data] [21]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[22]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1308), .Q
+       (\u_soc_uart_to_xbar[d_data] [22]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[23]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1359), .Q
+       (\u_soc_uart_to_xbar[d_data] [23]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[24]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1310), .Q
+       (\u_soc_uart_to_xbar[d_data] [24]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[25]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1316), .Q
+       (\u_soc_uart_to_xbar[d_data] [25]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[26]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1334), .Q
+       (\u_soc_uart_to_xbar[d_data] [26]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[27]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1383), .Q
+       (\u_soc_uart_to_xbar[d_data] [27]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[28]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1322), .Q
+       (\u_soc_uart_to_xbar[d_data] [28]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[29]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1309), .Q
+       (\u_soc_uart_to_xbar[d_data] [29]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[30]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1312), .Q
+       (\u_soc_uart_to_xbar[d_data] [30]));
+  sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[31]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1328), .Q
+       (\u_soc_uart_to_xbar[d_data] [31]));
+  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_reg_if_rspop_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_640), .SCD
+       (\u_soc_uart_to_xbar[d_opcode] [0]), .SCE (n_13558), .Q
+       (\u_soc_uart_to_xbar[d_opcode] [0]));
+  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_control[0]), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [0]), .SCE (n_2245), .Q
+       (u_soc_u_uart_u_uart_core_control[0]));
+  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_control[1]), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [1]), .SCE (n_2245), .Q
+       (u_soc_u_uart_u_uart_core_control[1]));
+  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[2]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_control[2]), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [2]), .SCE (n_2245), .Q
+       (u_soc_u_uart_u_uart_core_control[2]));
+  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[3]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_control[3]), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [3]), .SCE (n_2245), .Q
+       (u_soc_u_uart_u_uart_core_control[3]));
+  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[4]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_control[4]), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [4]), .SCE (n_2245), .Q
+       (u_soc_u_uart_u_uart_core_control[4]));
+  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[5]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_control[5]), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [5]), .SCE (n_2245), .Q
+       (u_soc_u_uart_u_uart_core_control[5]));
+  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[6]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_control[6]), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [6]), .SCE (n_2245), .Q
+       (u_soc_u_uart_u_uart_core_control[6]));
+  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[7]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_control[7]), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [7]), .SCE (n_2245), .Q
+       (u_soc_u_uart_u_uart_core_control[7]));
+  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[8]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_control[8]), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [8]), .SCE (n_2245), .Q
+       (u_soc_u_uart_u_uart_core_control[8]));
+  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[9]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_control[9]), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [9]), .SCE (n_2245), .Q
+       (u_soc_u_uart_u_uart_core_control[9]));
+  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[10]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_control[10]), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [10]), .SCE (n_2245), .Q
+       (u_soc_u_uart_u_uart_core_control[10]));
+  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[11]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_control[11]), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [11]), .SCE (n_2245), .Q
+       (u_soc_u_uart_u_uart_core_control[11]));
+  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[12]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_control[12]), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [12]), .SCE (n_2245), .Q
+       (u_soc_u_uart_u_uart_core_control[12]));
+  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[13]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_control[13]), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [13]), .SCE (n_2245), .Q
+       (u_soc_u_uart_u_uart_core_control[13]));
+  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[14]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_control[14]), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [14]), .SCE (n_2245), .Q
+       (u_soc_u_uart_u_uart_core_control[14]));
+  sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[15]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_control[15]), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [15]), .SCE (n_2245), .Q
+       (u_soc_u_uart_u_uart_core_control[15]));
+  sky130_fd_sc_hd__dfrtp_1
+       u_soc_u_uart_u_uart_core_intr_tx_reg(.RESET_B (io_out[37]), .CLK
+       (wb_clk_i), .D (n_1197), .Q (u_soc_intr_u_tx));
+  sky130_fd_sc_hd__sdfrtp_1
+       u_soc_u_uart_u_uart_core_read_fifo_buffer_empty_reg(.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_557), .SCD
+       (u_soc_u_uart_u_uart_core_read_fifo_buffer_empty), .SCE
+       (n_1305), .Q (u_soc_u_uart_u_uart_core_read_fifo_buffer_empty));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4741), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4740), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5325), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4738), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4737), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4736), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4735), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4734), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4733), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4732), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4731), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4730), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4729), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4728), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4727), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4726), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4725), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4724), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4723), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4722), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4721), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4720), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4719), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4718), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4717), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4716), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4715), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4714), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4713), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4712), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4711), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4710), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4709), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4708), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4706), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4705), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4704), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4703), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4702), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4700), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4699), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4698), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4697), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4696), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4695), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4693), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4692), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4691), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4690), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4689), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4688), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4687), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4686), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4685), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4684), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4683), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4682), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4681), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4680), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4679), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4678), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4677), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4676), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4675), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4674), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4673), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4672), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4671), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4670), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4669), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4668), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4667), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4666), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4664), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4663), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4662), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4661), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4660), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4659), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4658), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4657), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5002), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4656), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4655), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4653), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4652), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4651), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5014), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4649), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4648), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4647), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4646), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4645), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4644), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4643), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4642), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4641), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4640), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4638), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4637), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4636), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4635), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4634), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4633), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4631), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4629), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4628), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4627), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4626), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4625), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4624), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4623), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4622), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4621), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4620), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4619), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4618), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4617), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4616), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4615), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4614), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3149), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4612), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4611), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4610), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4609), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4608), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4607), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4606), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4605), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4604), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4603), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4602), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4601), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4600), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4599), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4598), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4597), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4596), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4595), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4594), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4593), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4592), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4591), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4590), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4589), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4588), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4586), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4585), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4584), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4583), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4581), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4580), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4579), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4161), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4578), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4577), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4576), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4575), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4495), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4574), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4572), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4571), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4570), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4569), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4568), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4567), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4767), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4566), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4777), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4565), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4564), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4563), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4562), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4561), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4968), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4970), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4559), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4558), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4988), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4557), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4556), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4555), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4553), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4551), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4550), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5003), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4549), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4548), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5012), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4547), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5016), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5015), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4546), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4545), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4544), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4543), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4542), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4541), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4540), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4539), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5028), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4538), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4537), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4536), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4535), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4534), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4138), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3204), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4532), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4531), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4529), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4528), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4527), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4982), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4526), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4525), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4783), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4524), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4523), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4522), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4521), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4957), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4520), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4958), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4519), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4518), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4517), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4516), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4515), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4514), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4513), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4512), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4511), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4510), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4509), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4823), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4508), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4507), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4782), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4506), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4505), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4504), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4503), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4502), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4501), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4500), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4357), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4498), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4497), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4496), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4489), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4494), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4707), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4754), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5075), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4491), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4490), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4488), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4487), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4485), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4484), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4483), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4482), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4481), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4790), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4807), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4808), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4480), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4995), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4479), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4962), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4965), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4478), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4477), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4476), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4475), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4474), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4473), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4472), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4471), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4879), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4470), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4469), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4468), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5241), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4467), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4963), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4466), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4465), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4464), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4463), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4462), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4461), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4967), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4460), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4459), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4457), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4456), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4455), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4454), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4453), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4452), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4451), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3476), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4450), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4202), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4449), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4448), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4298), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5235), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4447), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4779), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4446), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4582), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4445), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4613), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4444), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4443), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4632), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4639), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4441), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4440), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4748), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4439), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4789), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4438), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4436), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4888), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4434), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4433), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4432), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4893), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4430), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4895), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4428), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4427), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4426), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4425), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4424), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4423), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3980), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4422), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4421), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4420), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4419), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4321), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4418), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4417), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4416), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4811), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4415), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4414), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4413), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4412), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4411), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4890), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4410), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4409), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4408), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4896), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4407), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3150), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4406), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4405), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4195), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5276), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4404), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4403), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4891), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4402), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4401), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4400), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4399), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4398), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4397), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4396), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4395), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4394), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4794), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4393), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4392), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4391), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4390), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4389), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4881), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4880), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4386), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4385), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4964), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4384), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4972), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4383), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4382), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4381), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4974), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4380), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4379), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4378), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5187), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4493), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4377), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4376), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4375), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4374), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4373), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4372), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4371), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4370), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4369), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4368), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4367), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4486), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4366), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4560), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4365), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4364), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4363), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4979), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4362), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4985), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4361), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4360), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4359), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4762), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4358), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4775), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4987), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4356), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4355), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4354), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[53][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4353), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4747), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4351), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4350), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4349), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4348), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4347), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4346), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4345), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4344), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4343), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4342), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4341), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4340), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4339), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4338), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4337), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4336), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4335), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4334), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4333), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4332), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4331), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4330), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4329), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4328), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4327), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4326), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4325), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4324), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4739), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4813), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5027), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3813), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5038), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5039), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5040), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5041), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5042), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5043), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5044), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5045), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5046), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5047), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5048), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3381), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3439), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5049), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5050), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5051), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5052), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5053), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5054), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5055), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5056), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5057), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5058), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5059), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5060), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5061), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5062), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5064), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5065), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5066), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5067), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5068), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5069), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5070), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5071), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5072), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5073), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5074), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5076), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5077), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5079), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5083), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5084), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5100), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3466), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5103), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5142), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5163), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5166), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5167), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5169), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5172), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3151), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5174), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5175), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5176), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5177), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5178), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5179), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5180), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5181), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5182), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5183), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5184), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5186), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5188), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5189), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5190), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5191), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4983), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5194), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5195), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5196), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5198), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5199), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5200), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5201), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5202), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5203), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5205), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5206), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5207), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5208), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5209), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5210), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5211), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5024), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5212), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5213), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5214), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5215), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5216), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5217), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5218), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5219), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5220), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5221), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5222), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5224), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5033), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5226), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5035), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5227), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5228), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5229), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5230), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5231), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5232), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5233), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5234), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4961), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5236), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3445), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5237), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5238), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5242), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3408), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5243), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5244), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5275), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5278), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5279), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5280), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5281), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5282), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5283), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5284), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5285), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5286), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5287), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5288), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5289), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5290), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5291), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5292), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5294), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4981), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5295), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5296), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5297), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5298), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5299), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5300), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5301), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5303), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5304), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5305), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5307), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5308), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5309), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4887), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5310), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5311), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5312), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5313), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5315), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5316), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5317), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5318), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5319), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5320), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5321), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5322), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4701), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5323), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5324), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3735), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5037), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4320), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4319), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4318), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4317), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4316), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4315), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4314), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5173), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4533), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4437), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4313), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4312), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4530), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4554), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4311), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4310), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4309), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4308), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4307), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4306), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4305), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4304), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4303), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4302), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4301), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3794), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4300), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4299), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5026), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4297), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4296), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4761), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4295), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4294), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4292), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4291), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4290), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4289), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4288), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4287), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4286), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4285), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4845), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4284), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4283), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4282), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4280), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3159), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4279), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4278), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4277), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4276), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4275), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4274), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4273), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4272), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4271), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4270), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3437), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4269), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4268), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4267), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4266), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4265), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4264), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4263), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3820), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4262), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4261), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4260), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4259), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4258), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4257), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4256), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4255), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4846), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4253), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4252), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4853), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4251), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4250), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4249), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4248), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4247), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4245), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4244), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4243), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4242), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4241), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4240), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5017), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5025), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4239), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4238), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4237), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5063), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4236), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4235), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4234), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4387), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4233), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4232), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4231), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4764), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4230), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4229), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4228), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4892), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4227), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4886), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4889), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5192), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4226), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4225), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4224), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4222), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4221), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4220), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4219), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4218), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4217), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4216), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4215), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4214), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4213), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4212), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4211), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4210), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4209), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4208), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4207), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3164), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4206), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4204), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5164), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4203), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4201), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4200), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4199), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4198), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4431), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4499), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4197), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4552), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4196), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4194), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4193), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4192), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4191), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4994), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4190), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4189), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5007), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5009), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4188), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5010), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4187), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4186), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4185), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4183), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4182), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4181), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4180), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4179), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4178), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3162), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4177), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4176), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4175), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4174), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4173), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4171), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4170), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4169), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4168), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4167), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4166), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4165), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4164), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4163), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4630), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4162), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4160), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4159), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4158), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4157), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4156), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4155), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4154), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4153), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4152), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4151), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5034), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4150), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4149), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4148), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4894), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4147), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4146), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4145), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4144), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4143), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4142), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4141), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4140), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4139), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4980), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4137), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4136), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4135), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4134), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4133), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4132), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4130), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4129), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4128), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4127), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4126), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4125), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4124), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4123), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4122), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4121), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4120), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4119), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4118), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4117), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4116), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4115), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4114), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4113), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4112), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4111), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4110), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4109), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4108), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4107), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4105), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4104), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4573), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4102), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4101), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4100), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4099), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4098), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4097), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4096), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4095), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4094), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4093), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4092), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4091), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4090), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4089), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4088), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4087), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4086), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4085), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4084), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4083), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4082), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4081), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4080), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4079), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4078), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4077), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4076), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4075), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4074), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4073), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4072), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4071), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4070), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4069), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4067), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4066), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4065), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4064), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4063), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4062), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4587), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4654), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4061), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4060), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4059), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4058), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4057), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4056), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4458), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5302), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4054), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4053), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4052), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4051), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4050), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4950), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4049), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4048), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4047), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4046), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4045), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4947), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4044), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4043), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4042), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4041), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4040), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4039), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4038), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4037), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[117][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4036), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4034), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4033), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4032), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4031), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4030), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4029), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4028), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4027), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4026), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4025), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4024), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4023), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4022), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4021), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4020), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4018), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4016), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4765), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4015), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4014), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4013), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4012), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4011), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3152), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3157), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4010), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4009), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4008), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4007), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4006), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4005), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4004), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4002), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4001), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4000), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3999), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4429), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3998), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3997), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3995), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3994), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3993), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4131), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3992), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3991), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3990), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5293), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4836), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3989), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4989), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5036), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4019), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3996), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3988), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4106), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4223), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5314), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3987), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3986), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3985), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3984), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3983), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3982), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3981), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3979), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3379), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3978), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3977), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3976), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3975), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3720), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3974), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3973), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5023), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3972), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3971), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3970), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4997), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3969), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5001), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3968), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3967), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3966), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3965), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3964), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3963), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3962), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3961), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3960), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3959), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3958), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3957), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3956), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3955), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3953), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4859), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3952), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3951), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3950), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3949), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4755), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3948), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4442), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4984), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3947), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3946), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3945), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3944), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4435), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3943), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4492), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3942), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5101), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3941), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3940), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3939), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3938), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3937), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3936), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3935), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3934), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3160), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3933), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3161), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3932), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3931), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3930), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3163), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3929), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3928), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3927), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5006), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3926), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5008), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3925), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5011), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3924), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3923), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3922), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3921), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3920), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3919), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3918), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3917), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3916), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3915), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3153), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3914), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3154), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3913), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3156), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3912), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3911), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4068), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3910), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4791), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3909), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4992), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3908), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4996), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3907), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3906), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3905), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3904), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3903), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3902), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3901), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3900), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3899), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3898), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3897), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3896), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3895), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3894), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5030), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5032), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3893), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3892), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3891), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3890), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3889), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3888), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3887), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3886), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4969), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3885), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3884), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3883), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3882), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3881), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3880), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3879), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3878), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3877), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3876), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3875), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3874), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4861), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3873), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3872), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3871), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4943), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3870), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3168), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3869), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3868), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3867), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3866), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3865), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3864), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3863), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3862), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3861), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3860), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3859), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3858), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3857), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3856), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3855), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3854), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3853), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3852), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3851), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3850), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4868), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3849), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3848), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3847), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4665), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3846), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3845), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3844), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4858), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3225), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3843), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4793), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3842), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4352), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4388), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3841), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3840), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3839), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3838), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3837), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3836), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4830), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3835), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4803), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3834), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3166), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5031), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3833), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4986), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3832), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3831), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4860), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3830), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3829), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5185), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3828), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4937), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4885), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3827), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3249), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4205), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3826), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3825), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3824), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3823), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3822), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3821), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3819), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3818), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4975), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4977), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3817), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4750), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3816), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3815), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3814), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4877), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3812), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3811), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4939), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3810), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3809), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4784), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3808), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4918), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3807), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3806), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4759), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3805), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3804), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4826), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4993), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4956), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3803), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3802), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4903), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3801), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3800), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3799), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3798), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3797), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4870), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3796), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4971), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4812), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3795), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3793), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4899), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4906), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4924), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3792), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4921), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3791), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4929), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3790), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4914), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3789), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4842), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4833), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4946), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3787), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3658), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3786), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3155), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3785), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3784), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3783), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3782), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3781), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3780), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3779), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3778), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3777), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3776), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4998), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3775), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5000), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3774), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5020), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3773), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5019), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5021), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3772), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5022), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3771), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3770), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5029), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3769), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3768), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3767), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3766), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3765), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3172), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3764), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3763), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3762), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3761), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3760), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4829), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4828), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4872), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4949), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4923), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4954), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3759), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3758), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3757), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3756), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3755), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3754), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3753), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3752), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3751), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4944), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3749), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4742), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3748), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4281), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3747), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3746), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3745), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3744), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3743), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3742), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3741), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3740), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3739), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3738), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3737), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3736), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3734), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3733), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3732), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3731), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4832), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3730), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3729), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4843), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3728), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3727), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3726), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4928), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3725), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4978), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3724), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3723), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3722), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3721), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3719), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3718), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3717), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3716), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3715), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4801), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4795), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3714), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4999), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3713), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3712), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3711), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4804), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3710), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3709), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3165), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3708), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3707), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3706), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4871), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3705), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3704), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3703), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3702), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3701), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3700), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3699), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3698), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3697), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3696), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4246), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3695), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3694), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3693), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3171), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3692), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4897), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3691), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3690), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3689), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3688), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3687), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3686), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3685), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3684), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3683), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3682), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4935), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3681), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4934), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3680), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3679), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3678), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4966), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3677), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4973), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3675), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4976), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3674), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3673), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3672), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3671), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4788), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3670), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4749), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3669), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4760), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3668), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4778), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4799), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4798), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3667), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4821), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3666), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4827), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4834), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4837), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3665), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3664), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4900), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3663), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3662), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3661), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4905), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3660), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4909), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3659), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4913), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4915), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4917), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4925), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4919), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3657), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3656), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3655), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3654), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4951), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3653), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3652), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3651), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3650), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3649), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4802), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3648), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3647), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3646), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4883), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3644), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4936), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4931), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3643), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3642), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3641), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3640), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3639), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3638), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3637), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4839), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3635), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4850), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3634), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4857), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3633), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3632), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3631), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3630), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3629), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3628), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3627), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3626), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3625), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3624), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3623), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3622), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3621), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3620), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3619), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3618), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3617), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3616), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3615), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4945), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3614), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3613), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3612), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3611), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3610), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3609), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3608), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4756), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3607), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3606), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3605), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3604), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3603), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4805), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3602), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3601), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3600), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3599), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3598), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3597), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3596), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3595), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3593), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4898), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3590), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3589), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3588), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4910), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3586), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3585), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3584), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4922), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3583), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3582), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3581), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3580), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3579), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3578), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3577), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4941), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3576), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3575), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3574), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3573), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3572), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4825), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3571), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3570), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3569), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3568), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3567), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3566), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3565), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3564), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3563), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3562), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3561), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3560), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3559), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3558), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3557), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3556), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3555), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3554), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4831), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3553), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3552), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3551), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3550), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4785), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4864), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3549), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3548), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3547), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3546), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3545), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3544), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3543), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3542), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4841), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3541), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3540), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3539), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3538), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4840), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3537), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4940), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3536), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3535), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3534), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3533), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4902), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3532), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3531), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3530), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4912), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4911), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3529), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3528), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4959), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3527), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4835), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4768), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3526), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3525), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3524), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3523), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3522), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3521), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3520), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3519), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4874), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3518), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3517), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3516), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3515), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3514), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3513), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4952), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3512), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3511), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3510), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3509), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3508), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4953), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3507), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3506), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3505), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4816), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3504), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4771), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3503), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4876), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3502), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4751), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3501), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3500), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3499), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3498), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3497), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3496), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3495), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3494), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4862), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3493), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3492), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3491), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4867), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3490), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4796), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3489), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3488), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3487), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3486), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3485), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3484), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3483), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3482), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3481), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3480), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4960), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3479), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4990), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3478), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4856), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4938), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4882), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3477), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3475), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4933), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3474), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3473), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3472), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3471), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3470), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3468), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3467), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4745), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3465), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4776), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3464), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4792), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3463), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3462), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3461), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3460), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3459), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3458), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3457), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3456), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3455), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3454), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4920), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3453), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3452), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3451), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4991), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3450), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3449), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3448), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3447), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3446), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3444), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3443), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3442), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3441), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3440), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3645), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3438), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4758), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3436), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4865), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3435), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4773), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3434), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3433), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3432), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3431), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3430), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3429), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3428), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3427), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3426), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3425), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4822), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4955), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4774), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3424), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4800), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4763), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4824), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3423), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3422), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3421), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3420), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3419), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3418), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4878), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3417), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3416), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3170), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3415), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4847), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3414), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4852), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3413), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3412), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3411), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3410), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3409), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3407), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3406), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3405), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3404), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3403), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4927), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3182), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4932), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3402), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4907), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4820), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3401), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3400), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3399), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3398), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3397), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3396), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3395), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3394), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3393), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3392), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3391), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3390), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3389), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4926), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4942), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3388), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4908), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3387), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3386), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3385), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3384), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3383), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3382), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3380), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3378), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3377), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4838), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3376), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4854), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3375), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3374), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3372), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3371), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3370), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3369), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3368), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5004), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3367), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3366), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3365), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3364), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3363), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3362), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4916), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3361), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3360), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4781), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3359), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4866), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4103), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3358), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3357), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3356), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3355), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3354), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4855), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3353), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3352), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4948), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3351), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3350), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3349), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3348), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4873), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3788), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3636), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3347), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3594), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3346), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4848), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3345), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4746), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3344), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3343), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3342), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3341), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3340), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3339), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3338), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3337), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3336), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3335), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3334), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3333), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3332), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3331), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3330), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3329), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3328), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3327), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4884), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3326), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3325), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3324), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4904), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3323), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4752), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3322), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4809), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3321), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4844), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3320), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4172), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3319), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4818), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3318), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3317), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3316), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3315), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3314), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3313), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3312), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3311), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3310), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3309), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4815), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3308), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4770), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3307), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4769), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3306), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4772), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3305), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3304), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3303), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3302), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3301), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3300), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3299), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3298), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4819), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3297), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4806), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3296), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4757), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3295), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4787), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3294), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3293), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3292), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3291), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4814), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3290), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3289), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3288), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3287), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3286), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4930), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3285), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4035), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3284), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4055), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3283), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4744), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3282), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3281), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3280), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3279), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3278), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3277), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3276), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3587), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4003), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3275), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3274), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3272), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4851), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3271), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3270), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3269), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3592), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3268), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3591), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3267), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3199), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3266), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4017), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3265), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3264), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3263), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3262), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3261), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3260), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3259), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3258), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3257), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3256), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4875), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3255), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3254), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3253), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3252), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3251), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3250), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3248), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4817), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3247), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4863), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3246), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3245), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3244), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3158), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3243), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3242), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3241), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4901), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3240), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5013), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3239), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3167), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3238), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3237), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3236), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3235), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3234), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3233), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3232), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3231), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3230), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3229), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3228), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3373), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3227), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4810), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4753), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4786), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3226), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5204), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3224), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3223), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3222), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3221), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4780), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3220), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3219), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4766), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4869), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3218), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4743), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3217), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3216), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3215), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3214), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3213), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3212), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3211), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3210), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3209), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3208), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3207), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4694), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3206), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3205), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3203), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3169), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3469), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3202), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3201), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3676), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3200), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3198), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3197), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3196), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3273), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3195), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3173), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3194), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3193), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3192), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3954), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3191), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3190), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4797), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_4849), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3189), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3188), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3187), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3186), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3185), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3184), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3183), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3181), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3180), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3179), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3178), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3177), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3176), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3175), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3174), .Q
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_2405), .Q
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5548), .Q
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6681), .Q
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7336), .Q
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7901), .Q
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8416), .Q
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8602), .Q
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[8]));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1925), .Q (n_94), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_2670), .Q
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5529), .Q
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5884), .Q
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7332), .Q
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[8]));
+  sky130_fd_sc_hd__sdfstp_1 u_soc_u_uart_u_uart_core_rx_clr_reg(.SET_B
+       (io_out[37]), .CLK (wb_clk_i), .D
+       (\u_soc_xbar_to_dccm[a_data] [0]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_clr), .SCE (n_15924), .Q
+       (u_soc_u_uart_u_uart_core_rx_clr));
+  sky130_fd_sc_hd__sdfrtp_1 u_soc_u_uart_u_uart_core_rx_en_reg(.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_rx_en), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [0]), .SCE (n_2176), .Q
+       (u_soc_u_uart_u_uart_core_rx_en));
+  sky130_fd_sc_hd__sdfxtp_1
+       u_soc_u_uart_u_uart_core_rx_fifo_clr_reg(.CLK (wb_clk_i), .D
+       (\u_soc_xbar_to_dccm[a_data] [0]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .SCE (n_2902), .Q
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr));
+  sky130_fd_sc_hd__sdfxtp_1
+       u_soc_u_uart_u_uart_core_rx_fifo_rst_reg(.CLK (wb_clk_i), .D
+       (\u_soc_xbar_to_dccm[a_data] [0]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .SCE (n_2306), .Q
+       (u_soc_u_uart_u_uart_core_rx_fifo_rst));
+  sky130_fd_sc_hd__sdfrtp_1
+       u_soc_u_uart_u_uart_core_rx_status_reg(.RESET_B (io_out[37]),
+       .CLK (wb_clk_i), .D (u_soc_u_uart_u_uart_core_rx_status), .SCD
+       (n_1289), .SCE (n_1468), .Q
+       (u_soc_u_uart_u_uart_core_rx_status));
+  sky130_fd_sc_hd__sdfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1023), .SCD
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .SCE
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[0]), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1731), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1716), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1706), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1717), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1711), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1712), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1732), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1643), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[9] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1663), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[9]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[10] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1695), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[10]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[11] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1660), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[11]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[12] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1661), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[12]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[13] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1664), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[13]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[14] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1666), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[14]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[15] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1633), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[15]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[16] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1718), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[16]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[17] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1626), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[17]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[18] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1627), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[18]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[19] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1687), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[19]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[20] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1691), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[20]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[21] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1703), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[21]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[22] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1708), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[22]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[23] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1707), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[23]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[24] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1646), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[24]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[25] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1697), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[25]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[26] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1719), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[26]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[27] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1678), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[27]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[28] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1720), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[28]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[29] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1630), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[29]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[30] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1705), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[30]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[31] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1657), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[31]));
+  sky130_fd_sc_hd__dfrtp_1
+       u_soc_u_uart_u_uart_core_rx_time_rx_timeout_o_reg(.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1734), .Q
+       (u_soc_intr_u_rx));
+  sky130_fd_sc_hd__dfstp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[0] (.SET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1837), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_timeout[0]));
+  sky130_fd_sc_hd__dfstp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[1] (.SET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1819), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_rx_timeout[1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_rx_time_state_reg_reg[0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1932), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[0]));
+  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[0]
+       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [0]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[0]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[0]));
+  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[1]
+       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [1]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[1]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[1]));
+  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[2]
+       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [2]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[2]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[2]));
+  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[3]
+       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [3]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[3]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[3]));
+  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[4]
+       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [4]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[4]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[4]));
+  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[5]
+       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [5]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[5]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[5]));
+  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[6]
+       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [6]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[6]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[6]));
+  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[7]
+       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [7]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[7]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[7]));
+  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[8]
+       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [8]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[8]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[8]));
+  sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[9]
+       (.CLK (wb_clk_i), .D (\u_soc_xbar_to_dccm[a_data] [9]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[9]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[9]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[10] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [10]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[10]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[10]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[11] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [11]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[11]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[11]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[12] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [12]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[12]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[12]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[13] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [13]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[13]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[13]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[14] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [14]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[14]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[14]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[15] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [15]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[15]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[15]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[16] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [16]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[16]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[16]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[17] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [17]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[17]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[17]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[18] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [18]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[18]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[18]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[19] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [19]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[19]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[19]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[20] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [20]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[20]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[20]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[21] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [21]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[21]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[21]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[22] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [22]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[22]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[22]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[23] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [23]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[23]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[23]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[24] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [24]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[24]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[24]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[25] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [25]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[25]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[25]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[26] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [26]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[26]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[26]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[27] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [27]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[27]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[27]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[28] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [28]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[28]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[28]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[29] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [29]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[29]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[29]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[30] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [30]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[30]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[30]));
+  sky130_fd_sc_hd__sdfxtp_1
+       \u_soc_u_uart_u_uart_core_rx_timeout_reg[31] (.CLK (wb_clk_i),
+       .D (\u_soc_xbar_to_dccm[a_data] [31]), .SCD
+       (u_soc_u_uart_u_uart_core_rx_timeout[31]), .SCE (n_2412), .Q
+       (u_soc_u_uart_u_uart_core_rx_timeout[31]));
+  sky130_fd_sc_hd__sdfrtp_1 u_soc_u_uart_u_uart_core_tx_en_reg(.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D
+       (u_soc_u_uart_u_uart_core_tx_en), .SCD
+       (\u_soc_xbar_to_dccm[a_data] [0]), .SCE (n_1923), .Q
+       (u_soc_u_uart_u_uart_core_tx_en));
+  sky130_fd_sc_hd__dfrtp_1
+       u_soc_u_uart_u_uart_core_tx_fifo_init_reg(.RESET_B (io_out[37]),
+       .CLK (wb_clk_i), .D (n_5148), .Q
+       (u_soc_u_uart_u_uart_core_tx_fifo_init));
+  sky130_fd_sc_hd__sdfrtp_1
+       u_soc_u_uart_u_uart_core_write_fifo_buffer_empty_reg(.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_29), .SCD
+       (u_soc_u_uart_u_uart_core_write_fifo_buffer_empty), .SCE
+       (n_3040), .Q (u_soc_u_uart_u_uart_core_write_fifo_buffer_empty));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6105), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6104), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6103), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6102), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6118), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6125), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6101), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6147), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6100), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6099), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6098), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6097), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6096), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6095), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6094), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6093), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6092), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6091), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6090), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6129), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6121), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6088), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6087), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6086), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6109), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6085), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6084), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6083), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6082), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6081), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6080), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6079), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7003), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7002), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7129), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7063), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7096), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7001), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7000), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6999), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6998), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6997), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6996), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6995), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6994), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6993), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6992), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6991), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6990), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6989), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7006), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6988), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6987), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6986), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6985), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6984), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6983), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6982), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6981), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6980), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6979), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6978), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7005), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6977), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5885), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6077), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6076), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6075), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6074), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6073), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6072), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6473), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6069), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6068), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6067), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6066), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6065), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6400), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6064), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6063), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6120), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6048), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6062), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6061), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6060), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6113), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6059), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6058), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6057), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6056), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6055), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6054), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6053), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6052), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6051), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6050), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6049), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7337), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7431), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7430), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7429), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7428), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7427), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7426), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7425), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7424), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7423), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7422), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7421), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7420), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7419), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7418), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7549), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7417), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7416), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7415), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7452), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7414), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7413), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7412), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7411), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7410), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7409), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7408), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7407), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7406), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7405), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7404), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7477), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7552), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5886), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6047), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6046), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6045), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6044), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6043), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6042), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6041), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6040), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6145), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6039), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6038), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6037), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6266), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6036), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6035), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6034), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6148), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6033), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6032), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6031), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6162), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6030), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6029), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6028), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6027), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6026), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6025), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6024), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6436), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6023), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6071), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6022), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6797), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6976), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6975), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6974), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6973), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6972), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6971), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6970), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6969), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6968), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6967), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6966), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6965), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6964), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6963), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6962), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6961), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6960), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6959), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6958), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6957), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6956), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6955), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6954), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6953), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6952), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6951), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6950), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6949), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6948), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6947), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6946), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6945), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6021), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6020), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6019), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6018), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6017), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6016), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6123), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6283), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6260), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6358), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6362), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6382), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6270), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6383), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6264), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6265), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6398), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6384), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6385), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6468), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6386), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6387), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6388), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6390), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6478), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6391), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6392), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6421), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6174), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6394), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6396), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6397), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7548), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7547), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7546), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7545), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7544), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7543), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7542), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7541), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7540), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7539), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7538), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7537), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7536), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7535), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7534), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7533), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7532), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7531), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7530), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7529), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7528), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7527), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7526), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7525), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7524), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7523), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7522), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7521), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7520), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7519), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7518), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7517), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5875), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6422), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6423), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6424), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6425), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6114), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6426), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6427), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6428), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6127), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6429), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6430), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6431), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6432), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6433), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6434), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6435), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6130), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6437), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6438), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6439), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6134), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6440), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6175), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6441), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6138), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6442), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6443), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6268), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6271), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6445), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6119), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6446), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6901), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7128), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7127), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7126), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7125), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7124), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7123), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7122), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7121), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7120), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7119), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7118), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7117), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7116), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7115), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7114), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7113), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7112), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7111), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7110), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7109), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7108), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7107), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7106), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7105), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7104), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7103), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7102), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7101), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7100), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7099), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7098), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7097), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6128), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6131), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6447), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6448), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6449), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6140), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6450), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6290), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6451), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6158), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6452), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6161), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6453), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6170), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6454), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6455), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6456), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6258), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6457), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6458), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6459), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6269), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6460), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6461), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6462), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6463), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6464), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6465), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6116), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6444), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6466), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6467), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7516), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7515), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7514), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7513), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7512), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7511), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7510), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7509), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7508), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7507), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7506), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7505), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7504), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7503), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7502), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7501), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7500), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7499), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7498), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7497), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7496), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7495), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7494), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7493), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7492), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7491), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7490), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7489), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7488), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7487), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7486), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7485), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5878), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6469), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6262), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6470), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6124), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6471), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6015), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6472), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6122), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6474), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6149), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6475), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6476), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6477), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6070), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6165), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6078), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6089), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6106), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6107), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6479), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6108), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6480), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6481), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6164), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6110), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6112), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6111), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6482), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6115), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6117), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6483), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6484), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6902), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7095), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7094), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7093), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7092), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7091), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7090), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7089), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7088), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7087), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7086), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7085), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7084), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7083), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7082), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7081), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7080), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7079), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7078), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7077), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7076), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7075), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7074), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7004), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7073), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7072), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7071), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7070), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7069), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7068), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7067), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7066), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7065), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6132), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6133), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6485), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6150), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6137), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6135), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6289), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6288), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6146), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6287), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6285), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6284), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6157), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6159), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6282), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6160), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6281), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6163), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6280), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6279), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6278), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6171), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6277), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6176), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6276), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6272), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6275), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6259), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6274), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6263), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6273), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6267), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7453), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7484), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7483), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7482), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7481), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7480), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7479), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7478), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7553), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7476), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7475), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7474), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7473), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7472), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7471), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7470), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7469), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7468), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7467), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7466), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7465), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7464), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7463), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7462), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7461), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7460), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7459), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7458), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [4]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7457), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7456), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7455), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7454), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [8]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_2359), .Q
+       (u_soc_u_uart_u_uart_core_fifo_read_size[0]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5552), .Q
+       (u_soc_u_uart_u_uart_core_fifo_read_size[1]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6393), .Q
+       (u_soc_u_uart_u_uart_core_fifo_read_size[2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6686), .Q
+       (u_soc_u_uart_u_uart_core_fifo_read_size[3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8107), .Q
+       (u_soc_u_uart_u_uart_core_fifo_read_size[6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8536), .Q
+       (u_soc_u_uart_u_uart_core_fifo_read_size[7]));
+  sky130_fd_sc_hd__dlxtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_rdata_o_reg[0] (.GATE
+       (u_soc_u_uart_u_uart_core_write_fifo_n_1309), .D (n_6660), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[2] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5572), .Q
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[2]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6656), .Q
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[3]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7340), .Q
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[5]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7567), .Q
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[6]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8106), .Q
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[7]));
+  sky130_fd_sc_hd__dfrtp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8426), .Q
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[8]));
+  sky130_fd_sc_hd__nand4_1 g430851(.A (n_6302), .B (n_8787), .C
+       (n_3117), .D (n_5646), .Y (n_8790));
+  sky130_fd_sc_hd__o2111ai_1 g430853(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [1]), .A2 (n_1074), .B1 (n_5193), .C1 (n_8786), .D1 (n_5710), .Y
+       (n_8789));
+  sky130_fd_sc_hd__nand4_1 g430854(.A (n_5710), .B (n_8787), .C
+       (n_4650), .D (n_5646), .Y (n_8788));
+  sky130_fd_sc_hd__a222oi_1 g430856(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [2]), .A2 (n_2668), .B1 (n_5649), .B2 (n_8784), .C1 (n_8782),
+       .C2 (n_5651), .Y (n_8786));
+  sky130_fd_sc_hd__a31oi_1 g430857(.A1 (n_3096), .A2 (n_1056), .A3
+       (n_2286), .B1 (n_8785), .Y (n_8787));
+  sky130_fd_sc_hd__o22ai_1 g430858(.A1 (n_8784), .A2 (n_5648), .B1
+       (n_5650), .B2 (n_8782), .Y (n_8785));
+  sky130_fd_sc_hd__o22ai_1 g430860(.A1 (u_soc_u_top_u_core_pc_set), .A2
+       (n_8781), .B1 (n_6), .B2 (n_8780), .Y (n_8783));
+  sky130_fd_sc_hd__o41ai_1 g430861(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [6]), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [7]), .A3
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [31]), .A4 (n_8772), .B1 (n_2286), .Y (n_8784));
+  sky130_fd_sc_hd__nor4_1 g431017(.A (n_13391), .B (n_13390), .C
+       (n_13415), .D (n_8769), .Y (n_8782));
+  sky130_fd_sc_hd__xor2_1 g431252(.A
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [11]), .B (n_8766), .X (n_8781));
+  sky130_fd_sc_hd__xor2_1 g431253(.A (n_13530), .B (n_8767), .X
+       (n_8780));
+  sky130_fd_sc_hd__xor2_1 g431613(.A (n_1306), .B (n_8712), .X
+       (n_8779));
+  sky130_fd_sc_hd__nand2_1 g431614(.A (n_8770), .B (n_8339), .Y
+       (n_8778));
+  sky130_fd_sc_hd__or4_1 g431779(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [3]), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [4]), .C
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [5]), .D (n_8692), .X (n_8772));
+  sky130_fd_sc_hd__nand4_1 g431780(.A (n_8675), .B (n_7622), .C
+       (n_7626), .D (n_7624), .Y (n_8771));
+  sky130_fd_sc_hd__a221oi_1 g431781(.A1 (n_8336), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [21]), .B1 (n_8331), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [5]), .C1 (n_8722), .Y (n_8770));
+  sky130_fd_sc_hd__or4_1 g431782(.A (n_13392), .B (n_13394), .C
+       (n_13393), .D (n_8693), .X (n_8769));
+  sky130_fd_sc_hd__o21ai_1 g431783(.A1 (n_6883), .A2 (n_7796), .B1
+       (n_8764), .Y (n_8768));
+  sky130_fd_sc_hd__nand2_1 g431808(.A (n_8765), .B (n_13529), .Y
+       (n_8767));
+  sky130_fd_sc_hd__nand2_1 g431809(.A (n_8765), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [10]), .Y (n_8766));
+  sky130_fd_sc_hd__nand2_1 g431815(.A (n_8742), .B (n_8664), .Y
+       (n_8777));
+  sky130_fd_sc_hd__nand2_1 g431816(.A (n_8743), .B (n_8664), .Y
+       (n_8776));
+  sky130_fd_sc_hd__nand2_1 g431817(.A (n_8738), .B (n_8664), .Y
+       (n_8775));
+  sky130_fd_sc_hd__nand2_1 g431818(.A (n_8740), .B (n_8664), .Y
+       (n_8774));
+  sky130_fd_sc_hd__nand2_1 g431819(.A (n_8741), .B (n_8664), .Y
+       (n_8773));
+  sky130_fd_sc_hd__inv_1 g431820(.A (n_8712), .Y (n_8765));
+  sky130_fd_sc_hd__a221oi_1 g431821(.A1 (n_8661), .A2 (n_7253), .B1
+       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[25]), .C1
+       (n_8114), .Y (n_8764));
+  sky130_fd_sc_hd__a221o_1 g431822(.A1 (n_8331), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [10]), .B1 (n_6709), .B2
+       (u_soc_u_top_u_core_instr_rdata_id[26]), .C1 (n_8727), .X
+       (n_8763));
+  sky130_fd_sc_hd__o221ai_1 g431823(.A1 (n_3007), .A2 (n_8335), .B1
+       (n_5966), .B2 (n_8500), .C1 (n_8701), .Y (n_8762));
+  sky130_fd_sc_hd__o221ai_1 g431824(.A1 (n_5953), .A2 (n_8550), .B1
+       (n_2991), .B2 (n_8333), .C1 (n_8726), .Y (n_8761));
+  sky130_fd_sc_hd__o2111ai_1 g431825(.A1 (n_6857), .A2 (n_7386), .B1
+       (n_8450), .C1 (n_7924), .D1 (n_8674), .Y (n_8760));
+  sky130_fd_sc_hd__o2111ai_1 g431843(.A1 (n_5994), .A2 (n_5383), .B1
+       (n_2181), .C1 (n_2322), .D1 (n_8631), .Y (n_8744));
+  sky130_fd_sc_hd__a221oi_1 g431844(.A1 (n_7260), .A2 (n_1878), .B1
+       (n_7801), .B2 (n_1872), .C1 (n_8714), .Y (n_8743));
+  sky130_fd_sc_hd__a221oi_1 g431845(.A1 (n_7261), .A2 (n_1878), .B1
+       (n_7258), .B2 (n_1872), .C1 (n_8708), .Y (n_8742));
+  sky130_fd_sc_hd__a221oi_1 g431846(.A1 (n_7804), .A2 (n_1872), .B1
+       (n_7266), .B2 (n_1878), .C1 (n_8707), .Y (n_8741));
+  sky130_fd_sc_hd__a221oi_1 g431847(.A1 (n_7802), .A2 (n_1872), .B1
+       (n_7272), .B2 (n_1874), .C1 (n_8689), .Y (n_8740));
+  sky130_fd_sc_hd__o22ai_1 g431848(.A1 (n_2683), .A2 (n_16010), .B1
+       (n_175), .B2 (n_2682), .Y (n_8739));
+  sky130_fd_sc_hd__a221oi_1 g431849(.A1 (n_7262), .A2 (n_1878), .B1
+       (n_7263), .B2 (n_1872), .C1 (n_8713), .Y (n_8738));
+  sky130_fd_sc_hd__nand3_1 g431850(.A (n_8711), .B (n_8686), .C
+       (n_7435), .Y (n_8759));
+  sky130_fd_sc_hd__nand3_1 g431851(.A (n_8711), .B (n_8678), .C
+       (n_7434), .Y (n_8758));
+  sky130_fd_sc_hd__nand3_1 g431852(.A (n_8711), .B (n_8685), .C
+       (n_8136), .Y (n_8757));
+  sky130_fd_sc_hd__nand4_1 g431853(.A (n_8621), .B (n_7448), .C
+       (n_2403), .D (n_8153), .Y (n_8756));
+  sky130_fd_sc_hd__nand3_1 g431854(.A (n_8711), .B (n_8691), .C
+       (n_7442), .Y (n_8755));
+  sky130_fd_sc_hd__nand4_1 g431855(.A (n_8619), .B (n_7447), .C
+       (n_2343), .D (n_8053), .Y (n_8754));
+  sky130_fd_sc_hd__nand4_1 g431856(.A (n_8618), .B (n_7446), .C
+       (n_2366), .D (n_8148), .Y (n_8753));
+  sky130_fd_sc_hd__nand4_1 g431857(.A (n_8617), .B (n_8055), .C
+       (n_2404), .D (n_8146), .Y (n_8752));
+  sky130_fd_sc_hd__nand4_1 g431858(.A (n_8620), .B (n_8052), .C
+       (n_2350), .D (n_8151), .Y (n_8751));
+  sky130_fd_sc_hd__nand3_1 g431859(.A (n_8711), .B (n_8690), .C
+       (n_7441), .Y (n_8750));
+  sky130_fd_sc_hd__nand3_1 g431860(.A (n_8711), .B (n_8709), .C
+       (n_7440), .Y (n_8749));
+  sky130_fd_sc_hd__nand3_1 g431861(.A (n_8711), .B (n_8680), .C
+       (n_7439), .Y (n_8748));
+  sky130_fd_sc_hd__nand3_1 g431862(.A (n_8711), .B (n_8688), .C
+       (n_7438), .Y (n_8747));
+  sky130_fd_sc_hd__nand3_1 g431863(.A (n_8711), .B (n_8679), .C
+       (n_7436), .Y (n_8746));
+  sky130_fd_sc_hd__nand3_1 g431864(.A (n_8711), .B (n_8687), .C
+       (n_8137), .Y (n_8745));
+  sky130_fd_sc_hd__o2bb2ai_1 g431916(.A1_N
+       (u_soc_u_top_u_core_csr_depc[3]), .A2_N (n_1999), .B1 (n_8629),
+       .B2 (n_1999), .Y (n_8729));
+  sky130_fd_sc_hd__a221o_1 g431917(.A1 (n_8660), .A2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[16]), .B1
+       (n_2421), .B2 (n_13574), .C1 (n_8669), .X (n_8728));
+  sky130_fd_sc_hd__o2111ai_1 g431918(.A1 (n_5830), .A2 (n_8333), .B1
+       (n_8450), .C1 (n_8435), .D1 (n_8597), .Y (n_8727));
+  sky130_fd_sc_hd__a221oi_1 g431919(.A1 (n_8334), .A2 (n_3005), .B1
+       (n_7628), .B2 (n_7915), .C1 (n_8671), .Y (n_8726));
+  sky130_fd_sc_hd__a221o_1 g431920(.A1 (n_8614), .A2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[15]), .B1
+       (n_2421), .B2 (n_13573), .C1 (n_8616), .X (n_8725));
+  sky130_fd_sc_hd__o221ai_1 g431921(.A1 (n_5852), .A2 (n_8335), .B1
+       (n_5836), .B2 (n_8333), .C1 (n_8673), .Y (n_8724));
+  sky130_fd_sc_hd__a221o_1 g431922(.A1 (n_5993), .A2 (n_16012), .B1
+       (n_5700), .B2 (n_13576), .C1 (n_8700), .X (n_8723));
+  sky130_fd_sc_hd__o211ai_1 g431923(.A1 (n_3011), .A2 (n_8335), .B1
+       (n_6891), .C1 (n_8697), .Y (n_8722));
+  sky130_fd_sc_hd__nand4_1 g431924(.A (n_8569), .B (n_7622), .C
+       (n_7982), .D (n_7998), .Y (n_8721));
+  sky130_fd_sc_hd__nand4_1 g431925(.A (n_8587), .B (n_7809), .C
+       (n_7624), .D (n_7977), .Y (n_8720));
+  sky130_fd_sc_hd__nand4_1 g431926(.A (n_8564), .B (n_5583), .C
+       (n_6942), .D (n_5765), .Y (n_8719));
+  sky130_fd_sc_hd__o21a_1 g431927(.A1 (n_1453), .A2 (n_8555), .B1
+       (n_8712), .X (n_8718));
+  sky130_fd_sc_hd__o2111ai_1 g431928(.A1 (n_3001), .A2 (n_8335), .B1
+       (n_8449), .C1 (n_8460), .D1 (n_8582), .Y (n_8717));
+  sky130_fd_sc_hd__o2111ai_1 g431929(.A1 (n_5826), .A2 (n_8333), .B1
+       (n_8339), .C1 (n_8458), .D1 (n_8580), .Y (n_8716));
+  sky130_fd_sc_hd__nand3_1 g431959(.A (n_8711), .B (n_8251), .C
+       (n_7437), .Y (n_8737));
+  sky130_fd_sc_hd__nand3_1 g431960(.A (n_8711), .B (n_8402), .C
+       (n_7433), .Y (n_8736));
+  sky130_fd_sc_hd__nand4_1 g431961(.A (n_8610), .B (n_8039), .C
+       (n_2351), .D (n_8154), .Y (n_8735));
+  sky130_fd_sc_hd__nand3_1 g431962(.A (n_8711), .B (n_8639), .C
+       (n_8138), .Y (n_8734));
+  sky130_fd_sc_hd__nand3_1 g431963(.A (n_8711), .B (n_8530), .C
+       (n_8059), .Y (n_8733));
+  sky130_fd_sc_hd__nand3_1 g431964(.A (n_8711), .B (n_8640), .C
+       (n_7443), .Y (n_8732));
+  sky130_fd_sc_hd__nand2_1 g431965(.A (n_8711), .B (n_8578), .Y
+       (n_8731));
+  sky130_fd_sc_hd__nand3_1 g431966(.A (n_8664), .B (n_8632), .C
+       (n_2344), .Y (n_8730));
+  sky130_fd_sc_hd__nand2_1 g431967(.A (n_8644), .B (n_8141), .Y
+       (n_8714));
+  sky130_fd_sc_hd__nand2_1 g431968(.A (n_8645), .B (n_8142), .Y
+       (n_8713));
+  sky130_fd_sc_hd__nand2_1 g432006(.A (n_8664), .B (n_8576), .Y
+       (n_8715));
+  sky130_fd_sc_hd__a222oi_1 g432007(.A1 (n_2281), .A2 (n_7802), .B1
+       (n_8548), .B2 (n_1852), .C1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[19]), .C2 (n_8), .Y
+       (n_8709));
+  sky130_fd_sc_hd__nand2_1 g432008(.A (n_8642), .B (n_8140), .Y
+       (n_8708));
+  sky130_fd_sc_hd__nand2_1 g432009(.A (n_8641), .B (n_8139), .Y
+       (n_8707));
+  sky130_fd_sc_hd__o2111ai_1 g432010(.A1 (n_5873), .A2 (n_5383), .B1
+       (n_2182), .C1 (n_2328), .D1 (n_8523), .Y (n_8706));
+  sky130_fd_sc_hd__o2111ai_1 g432011(.A1 (n_5867), .A2 (n_5383), .B1
+       (n_2194), .C1 (n_2330), .D1 (n_8524), .Y (n_8705));
+  sky130_fd_sc_hd__nand2_1 g432012(.A (n_8653), .B (n_7620), .Y
+       (n_8704));
+  sky130_fd_sc_hd__nand3_1 g432013(.A (n_8592), .B (n_7387), .C
+       (n_7618), .Y (n_8703));
+  sky130_fd_sc_hd__nand3_1 g432014(.A (n_8567), .B (n_8461), .C
+       (n_8449), .Y (n_8702));
+  sky130_fd_sc_hd__and3_1 g432015(.A (n_8568), .B (n_8459), .C
+       (n_8339), .X (n_8701));
+  sky130_fd_sc_hd__o21ai_1 g432016(.A1 (n_1659), .A2 (n_6503), .B1
+       (n_8630), .Y (n_8700));
+  sky130_fd_sc_hd__nand4_1 g432017(.A (n_8299), .B (n_8527), .C
+       (n_6892), .D (n_7620), .Y (n_8699));
+  sky130_fd_sc_hd__o2bb2ai_1 g432018(.A1_N
+       (u_soc_u_top_u_core_csr_depc[11]), .A2_N (n_1999), .B1 (n_8563),
+       .B2 (n_1999), .Y (n_8698));
+  sky130_fd_sc_hd__a21oi_1 g432019(.A1 (n_8332), .A2 (n_2993), .B1
+       (n_8655), .Y (n_8697));
+  sky130_fd_sc_hd__a221o_1 g432020(.A1 (n_1745), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [17]), .B1 (n_2419), .B2
+       (n_6649), .C1 (n_8557), .X (n_8696));
+  sky130_fd_sc_hd__o211ai_1 g432021(.A1 (n_6298), .A2 (n_3080), .B1
+       (n_2185), .C1 (n_8561), .Y (n_8695));
+  sky130_fd_sc_hd__o211ai_1 g432022(.A1 (n_6299), .A2 (n_3080), .B1
+       (n_2184), .C1 (n_8556), .Y (n_8694));
+  sky130_fd_sc_hd__or4_1 g432023(.A (n_13396), .B (n_13395), .C
+       (n_13397), .D (n_8503), .X (n_8693));
+  sky130_fd_sc_hd__or4_1 g432024(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [8]), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [9]), .C
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [10]), .D (n_8502), .X (n_8692));
+  sky130_fd_sc_hd__a222oi_1 g432025(.A1 (n_2281), .A2 (n_7263), .B1
+       (n_8546), .B2 (n_1852), .C1 (n_8), .C2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[17]), .Y (n_8691));
+  sky130_fd_sc_hd__a222oi_1 g432026(.A1 (n_2281), .A2 (n_7801), .B1
+       (n_8547), .B2 (n_1852), .C1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[18]), .C2 (n_8), .Y
+       (n_8690));
+  sky130_fd_sc_hd__nand2_1 g432027(.A (n_8643), .B (n_7444), .Y
+       (n_8689));
+  sky130_fd_sc_hd__a222oi_1 g432028(.A1 (n_2281), .A2 (n_7804), .B1
+       (n_8545), .B2 (n_1852), .C1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[21]), .C2 (n_8), .Y
+       (n_8688));
+  sky130_fd_sc_hd__a222oi_1 g432029(.A1 (n_1852), .A2 (n_7801), .B1
+       (n_8547), .B2 (n_1849), .C1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[26]), .C2 (n_8), .Y
+       (n_8687));
+  sky130_fd_sc_hd__a222oi_1 g432030(.A1 (n_1852), .A2 (n_7802), .B1
+       (n_8548), .B2 (n_1849), .C1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[27]), .C2 (n_8), .Y
+       (n_8686));
+  sky130_fd_sc_hd__a222oi_1 g432031(.A1 (n_1852), .A2 (n_7804), .B1
+       (n_8545), .B2 (n_1849), .C1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[29]), .C2 (n_8), .Y
+       (n_8685));
+  sky130_fd_sc_hd__a221o_1 g432032(.A1 (n_8553), .A2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[14]), .B1
+       (n_2421), .B2 (n_13572), .C1 (n_8551), .X (n_8684));
+  sky130_fd_sc_hd__a221o_1 g432034(.A1 (n_3075), .A2 (n_13680), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]), .C1
+       (n_8599), .X (n_8682));
+  sky130_fd_sc_hd__a221o_1 g432035(.A1 (n_3074), .A2 (n_13615), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]), .C1
+       (n_8598), .X (n_8681));
+  sky130_fd_sc_hd__a222oi_1 g432036(.A1 (n_1869), .A2 (n_7261), .B1
+       (n_8549), .B2 (n_1852), .C1 (n_1849), .C2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]), .Y (n_8680));
+  sky130_fd_sc_hd__a222oi_1 g432037(.A1 (n_1869), .A2 (n_7975), .B1
+       (n_8546), .B2 (n_1849), .C1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[25]), .C2 (n_8), .Y
+       (n_8679));
+  sky130_fd_sc_hd__a222oi_1 g432038(.A1 (n_1869), .A2 (n_7978), .B1
+       (n_8549), .B2 (n_1849), .C1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[28]), .C2 (n_8), .Y
+       (n_8678));
+  sky130_fd_sc_hd__nand3_1 g432039(.A (n_8591), .B (n_8449), .C
+       (n_7977), .Y (n_8677));
+  sky130_fd_sc_hd__o21ai_1 g432040(.A1
+       (u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]), .A2 (n_8584),
+       .B1 (n_8672), .Y (n_8676));
+  sky130_fd_sc_hd__a221oi_1 g432041(.A1 (n_8331), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [0]), .B1 (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[16]),
+       .C1 (n_8658), .Y (n_8675));
+  sky130_fd_sc_hd__a221oi_1 g432042(.A1 (n_7972), .A2 (n_5965), .B1
+       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[28]), .C1
+       (n_8583), .Y (n_8674));
+  sky130_fd_sc_hd__a21oi_1 g432043(.A1 (n_6709), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[29]), .B1 (n_8670), .Y
+       (n_8673));
+  sky130_fd_sc_hd__nand2_1 g432044(.A (n_8555), .B (n_1453), .Y
+       (n_8712));
+  sky130_fd_sc_hd__o21a_1 g432045(.A1 (n_1280), .A2 (n_8606), .B1
+       (n_8664), .X (n_8711));
+  sky130_fd_sc_hd__nand2_1 g432046(.A (n_8664), .B (n_8566), .Y
+       (n_8710));
+  sky130_fd_sc_hd__o21ai_1 g432047(.A1 (n_8392), .A2 (n_8522), .B1
+       (u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]), .Y (n_8672));
+  sky130_fd_sc_hd__nand2_1 g432048(.A (n_8581), .B (n_8449), .Y
+       (n_8671));
+  sky130_fd_sc_hd__nand2_1 g432049(.A (n_8577), .B (n_8289), .Y
+       (n_8670));
+  sky130_fd_sc_hd__nor2b_1 g432050(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[16]), .B_N
+       (n_8616), .Y (n_8669));
+  sky130_fd_sc_hd__inv_1 g432095(.A (n_8638), .Y (n_8668));
+  sky130_fd_sc_hd__inv_1 g432096(.A (n_8637), .Y (n_8667));
+  sky130_fd_sc_hd__inv_1 g432097(.A (n_8636), .Y (n_8666));
+  sky130_fd_sc_hd__inv_1 g432098(.A (n_8635), .Y (n_8665));
+  sky130_fd_sc_hd__o2111ai_1 g432099(.A1 (n_6871), .A2 (n_7921), .B1
+       (n_8450), .C1 (n_7709), .D1 (n_8513), .Y (n_8663));
+  sky130_fd_sc_hd__nor2_1 g432100(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .B (n_8562), .Y
+       (n_8662));
+  sky130_fd_sc_hd__o211ai_1 g432101(.A1 (n_6883), .A2 (n_7808), .B1
+       (n_7917), .C1 (n_8534), .Y (n_8661));
+  sky130_fd_sc_hd__a21o_1 g432102(.A1 (n_1750), .A2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[15]), .B1
+       (n_8614), .X (n_8660));
+  sky130_fd_sc_hd__nand4_1 g432103(.A (n_8163), .B (n_15908), .C
+       (n_7810), .D (n_8398), .Y (n_8659));
+  sky130_fd_sc_hd__o221ai_1 g432104(.A1 (n_6871), .A2 (n_8453), .B1
+       (n_7925), .B2 (n_7625), .C1 (n_8462), .Y (n_8658));
+  sky130_fd_sc_hd__a21o_1 g432105(.A1 (n_1406), .A2
+       (u_soc_u_top_u_core_exc_cause[3]), .B1 (n_8615), .X (n_8657));
+  sky130_fd_sc_hd__o2bb2ai_1 g432106(.A1_N
+       (u_soc_u_top_u_core_instr_rdata_id[11]), .A2_N (n_6709), .B1
+       (n_6865), .B2 (n_8525), .Y (n_8656));
+  sky130_fd_sc_hd__a21oi_1 g432107(.A1 (n_8550), .A2 (n_7382), .B1
+       (n_5964), .Y (n_8655));
+  sky130_fd_sc_hd__nand4_1 g432108(.A (n_8407), .B (n_7622), .C
+       (n_7273), .D (n_7875), .Y (n_8654));
+  sky130_fd_sc_hd__nor4_1 g432109(.A (n_7641), .B (n_8370), .C
+       (n_7966), .D (n_7630), .Y (n_8653));
+  sky130_fd_sc_hd__o2111ai_1 g432110(.A1 (n_5711), .A2 (n_5383), .B1
+       (n_2193), .C1 (n_5121), .D1 (n_8490), .Y (n_8652));
+  sky130_fd_sc_hd__nand3_1 g432111(.A (n_8510), .B (n_5767), .C
+       (n_5122), .Y (n_8651));
+  sky130_fd_sc_hd__a221o_1 g432113(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[3]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[3]), .C1 (n_8615), .X (n_8649));
+  sky130_fd_sc_hd__a221o_1 g432114(.A1 (n_2683), .A2
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_priv_mode_id[0]), .C1
+       (n_8613), .X (n_8648));
+  sky130_fd_sc_hd__a221o_1 g432115(.A1 (n_2683), .A2
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_priv_mode_id[1]), .C1
+       (n_8613), .X (n_8647));
+  sky130_fd_sc_hd__o2111ai_1 g432116(.A1 (n_5868), .A2 (n_5383), .B1
+       (n_2188), .C1 (n_2318), .D1 (n_8410), .Y (n_8646));
+  sky130_fd_sc_hd__a222oi_1 g432117(.A1
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[17]), .A2
+       (n_1849), .B1 (n_8), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[9]), .C1 (n_8546), .C2
+       (n_1753), .Y (n_8645));
+  sky130_fd_sc_hd__a222oi_1 g432118(.A1
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]), .A2
+       (n_1852), .B1 (n_8), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[10]), .C1 (n_8547), .C2
+       (n_1753), .Y (n_8644));
+  sky130_fd_sc_hd__a222oi_1 g432119(.A1
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]), .A2
+       (n_1852), .B1 (n_8), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[11]), .C1 (n_8548), .C2
+       (n_1753), .Y (n_8643));
+  sky130_fd_sc_hd__a222oi_1 g432120(.A1
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]), .A2
+       (n_1852), .B1 (n_8), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[12]), .C1 (n_8549), .C2
+       (n_1753), .Y (n_8642));
+  sky130_fd_sc_hd__a222oi_1 g432121(.A1
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]), .A2
+       (n_1852), .B1 (n_8), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[13]), .C1 (n_8545), .C2
+       (n_1753), .Y (n_8641));
+  sky130_fd_sc_hd__a222oi_1 g432122(.A1 (n_2281), .A2 (n_7800), .B1
+       (n_8448), .B2 (n_1852), .C1 (n_8), .C2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[16]), .Y (n_8640));
+  sky130_fd_sc_hd__a222oi_1 g432123(.A1 (n_1852), .A2 (n_7800), .B1
+       (n_8448), .B2 (n_1849), .C1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[24]), .C2 (n_8), .Y
+       (n_8639));
+  sky130_fd_sc_hd__a222oi_1 g432124(.A1 (n_13569), .A2 (n_2421), .B1
+       (n_8400), .B2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[11]), .C1
+       (n_8126), .C2 (n_1907), .Y (n_8638));
+  sky130_fd_sc_hd__a222oi_1 g432125(.A1 (n_13570), .A2 (n_2421), .B1
+       (n_8399), .B2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[12]), .C1
+       (n_8126), .C2 (n_2297), .Y (n_8637));
+  sky130_fd_sc_hd__a222oi_1 g432126(.A1 (n_13568), .A2 (n_2421), .B1
+       (n_8415), .B2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[10]), .C1
+       (n_8126), .C2 (n_1550), .Y (n_8636));
+  sky130_fd_sc_hd__a222oi_1 g432127(.A1 (n_13567), .A2 (n_2421), .B1
+       (n_8414), .B2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[9]), .C1
+       (n_8126), .C2 (n_1279), .Y (n_8635));
+  sky130_fd_sc_hd__a221o_1 g432128(.A1 (n_3075), .A2 (n_13688), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]), .C1
+       (n_8538), .X (n_8634));
+  sky130_fd_sc_hd__a221o_1 g432129(.A1 (n_3074), .A2 (n_13623), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]), .C1
+       (n_8537), .X (n_8633));
+  sky130_fd_sc_hd__a21oi_1 g432130(.A1 (n_7974), .A2 (n_1874), .B1
+       (n_8590), .Y (n_8632));
+  sky130_fd_sc_hd__a21oi_1 g432131(.A1 (n_2986), .A2
+       (\u_soc_xbar_to_dccm[a_address] [11]), .B1 (n_8579), .Y
+       (n_8631));
+  sky130_fd_sc_hd__a21oi_1 g432132(.A1 (n_5991), .A2 (n_1952), .B1
+       (n_8585), .Y (n_8630));
+  sky130_fd_sc_hd__a222oi_1 g432133(.A1 (u_soc_u_top_u_core_pc_id[3]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[3]),
+       .C1 (n_8543), .C2 (n_1213), .Y (n_8629));
+  sky130_fd_sc_hd__o21ai_1 g432134(.A1 (n_8544), .A2 (n_2674), .B1
+       (n_5520), .Y (n_8628));
+  sky130_fd_sc_hd__o21ai_1 g432135(.A1 (n_8544), .A2 (n_2680), .B1
+       (n_5488), .Y (n_8627));
+  sky130_fd_sc_hd__a221o_1 g432136(.A1 (n_2421), .A2 (n_13571), .B1
+       (n_8338), .B2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[13]), .C1
+       (n_8541), .X (n_8626));
+  sky130_fd_sc_hd__a221o_1 g432137(.A1 (n_1853), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]), .B1
+       (n_1212), .B2 (u_soc_u_top_u_core_priv_mode_id[1]), .C1
+       (n_8552), .X (n_8625));
+  sky130_fd_sc_hd__o2bb2ai_1 g432138(.A1_N
+       (u_soc_u_top_u_core_csr_depc[17]), .A2_N (n_1999), .B1 (n_8482),
+       .B2 (n_1999), .Y (n_8624));
+  sky130_fd_sc_hd__o2bb2ai_1 g432139(.A1_N
+       (u_soc_u_top_u_core_csr_depc[21]), .A2_N (n_1999), .B1 (n_8481),
+       .B2 (n_1999), .Y (n_8623));
+  sky130_fd_sc_hd__a22o_1 g432140(.A1 (n_8543), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[3]), .X (n_8622));
+  sky130_fd_sc_hd__a22oi_1 g432141(.A1 (n_8546), .A2 (n_1419), .B1
+       (n_1849), .B2 (u_soc_u_top_u_core_load_store_unit_i_rdata_q[9]),
+       .Y (n_8621));
+  sky130_fd_sc_hd__a22oi_1 g432142(.A1 (n_8547), .A2 (n_1419), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[10]), .Y (n_8620));
+  sky130_fd_sc_hd__a22oi_1 g432143(.A1 (n_8548), .A2 (n_1419), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[11]), .Y (n_8619));
+  sky130_fd_sc_hd__a22oi_1 g432144(.A1 (n_8549), .A2 (n_1419), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[12]), .Y (n_8618));
+  sky130_fd_sc_hd__a22oi_1 g432145(.A1 (n_8545), .A2 (n_1419), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[13]), .Y (n_8617));
+  sky130_fd_sc_hd__nand3_1 g432146(.A (n_8501), .B
+       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]), .C
+       (u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q), .Y
+       (n_8664));
+  sky130_fd_sc_hd__a22oi_1 g432212(.A1 (n_8448), .A2 (n_1419), .B1
+       (n_1849), .B2 (u_soc_u_top_u_core_load_store_unit_i_rdata_q[8]),
+       .Y (n_8610));
+  sky130_fd_sc_hd__a22o_1 g432213(.A1 (n_8446), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[11]), .X (n_8609));
+  sky130_fd_sc_hd__o2bb2ai_1 g432214(.A1_N
+       (u_soc_u_top_u_core_csr_depc[30]), .A2_N (n_1999), .B1 (n_8384),
+       .B2 (n_1999), .Y (n_8608));
+  sky130_fd_sc_hd__o2bb2ai_1 g432215(.A1_N
+       (u_soc_u_top_u_core_csr_depc[29]), .A2_N (n_1999), .B1 (n_8385),
+       .B2 (n_1999), .Y (n_8607));
+  sky130_fd_sc_hd__nand2_1 g432216(.A (n_8520), .B
+       (u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q), .Y
+       (n_8606));
+  sky130_fd_sc_hd__o2bb2ai_1 g432217(.A1_N
+       (u_soc_u_top_u_core_csr_depc[27]), .A2_N (n_1999), .B1 (n_8386),
+       .B2 (n_1999), .Y (n_8605));
+  sky130_fd_sc_hd__nand2_1 g432218(.A (n_8512), .B (n_8289), .Y
+       (n_8604));
+  sky130_fd_sc_hd__nand4_1 g432219(.A (n_8156), .B (n_5603), .C
+       (n_2312), .D (n_6941), .Y (n_8603));
+  sky130_fd_sc_hd__nor2_1 g432220(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .B (n_8531), .Y
+       (n_8602));
+  sky130_fd_sc_hd__a2111o_1 g432221(.A1 (n_6709), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[6]), .B1 (n_7628), .C1
+       (n_8323), .D1 (n_7630), .X (n_8601));
+  sky130_fd_sc_hd__o2bb2ai_1 g432222(.A1_N
+       (u_soc_u_top_u_core_csr_depc[20]), .A2_N (n_1999), .B1 (n_8387),
+       .B2 (n_1999), .Y (n_8600));
+  sky130_fd_sc_hd__nor2_1 g432223(.A (n_8544), .B (n_2676), .Y
+       (n_8599));
+  sky130_fd_sc_hd__nor2_1 g432224(.A (n_8544), .B (n_2678), .Y
+       (n_8598));
+  sky130_fd_sc_hd__nor3_1 g432225(.A (n_8246), .B (n_8296), .C
+       (n_8465), .Y (n_8597));
+  sky130_fd_sc_hd__o211ai_1 g432226(.A1 (n_6881), .A2 (n_7796), .B1
+       (n_8359), .C1 (n_7622), .Y (n_8596));
+  sky130_fd_sc_hd__nand4_1 g432227(.A (n_8157), .B (n_5602), .C
+       (n_2313), .D (n_6943), .Y (n_8595));
+  sky130_fd_sc_hd__nand4_1 g432228(.A (n_8159), .B (n_5600), .C
+       (n_2315), .D (n_7051), .Y (n_8594));
+  sky130_fd_sc_hd__a21oi_1 g432229(.A1 (n_8129), .A2 (n_1466), .B1
+       (n_8555), .Y (n_8593));
+  sky130_fd_sc_hd__a21oi_1 g432230(.A1 (n_6709), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[10]), .B1 (n_8499), .Y
+       (n_8592));
+  sky130_fd_sc_hd__a211oi_1 g432231(.A1 (n_6709), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[18]), .B1 (n_7914), .C1
+       (n_8354), .Y (n_8591));
+  sky130_fd_sc_hd__nand2_1 g432232(.A (n_8554), .B (n_8273), .Y
+       (n_8590));
+  sky130_fd_sc_hd__o2bb2ai_1 g432233(.A1_N
+       (u_soc_u_top_u_core_csr_depc[8]), .A2_N (n_1999), .B1 (n_8383),
+       .B2 (n_1999), .Y (n_8589));
+  sky130_fd_sc_hd__a221o_1 g432234(.A1 (n_1853), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]), .B1
+       (n_1212), .B2 (u_soc_u_top_u_core_priv_mode_id[0]), .C1
+       (n_8552), .X (n_8588));
+  sky130_fd_sc_hd__o2111a_1 g432235(.A1 (n_5966), .A2 (n_7970), .B1
+       (n_6888), .C1 (n_8229), .D1 (n_7810), .X (n_8587));
+  sky130_fd_sc_hd__o211ai_1 g432236(.A1 (n_5953), .A2 (n_7387), .B1
+       (n_6890), .C1 (n_8519), .Y (n_8586));
+  sky130_fd_sc_hd__nand4_1 g432237(.A (n_8397), .B (n_6836), .C
+       (n_5652), .D (n_6904), .Y (n_8585));
+  sky130_fd_sc_hd__a311oi_1 g432238(.A1 (n_8132), .A2 (n_7393), .A3
+       (n_6501), .B1 (n_7708), .C1 (n_7904), .Y (n_8584));
+  sky130_fd_sc_hd__a221o_1 g432239(.A1 (n_8336), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [28]), .B1 (n_8331), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [12]), .C1 (n_8464), .X (n_8583));
+  sky130_fd_sc_hd__a222oi_1 g432240(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [3]), .A2 (n_8331), .B1 (n_6709), .B2
+       (u_soc_u_top_u_core_instr_rdata_id[19]), .C1 (n_8336), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [19]), .Y (n_8582));
+  sky130_fd_sc_hd__a222oi_1 g432241(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [4]), .A2 (n_8331), .B1 (n_8336), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [20]), .C1 (n_6709), .C2
+       (u_soc_u_top_u_core_instr_rdata_id[20]), .Y (n_8581));
+  sky130_fd_sc_hd__a221oi_1 g432242(.A1 (n_8334), .A2 (n_5842), .B1
+       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[24]), .C1
+       (n_8535), .Y (n_8580));
+  sky130_fd_sc_hd__o2111ai_1 g432243(.A1 (n_6793), .A2 (n_2420), .B1
+       (n_5129), .C1 (n_8134), .D1 (n_5565), .Y (n_8579));
+  sky130_fd_sc_hd__a221oi_1 g432244(.A1 (n_7797), .A2 (n_1852), .B1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[31]), .B2 (n_8), .C1
+       (n_8469), .Y (n_8578));
+  sky130_fd_sc_hd__a222oi_1 g432245(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [13]), .A2 (n_8331), .B1 (n_7812), .B2 (n_6866), .C1 (n_8336),
+       .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [29]), .Y (n_8577));
+  sky130_fd_sc_hd__a221oi_1 g432246(.A1 (n_1852), .A2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[23]), .C1
+       (n_8470), .Y (n_8576));
+  sky130_fd_sc_hd__a221o_1 g432247(.A1 (n_3075), .A2 (n_13694), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]), .C1
+       (n_8422), .X (n_8575));
+  sky130_fd_sc_hd__a221o_1 g432248(.A1 (n_3075), .A2 (n_13698), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]), .C1
+       (n_8421), .X (n_8574));
+  sky130_fd_sc_hd__a221o_1 g432249(.A1 (n_3074), .A2 (n_13629), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]), .C1
+       (n_8420), .X (n_8573));
+  sky130_fd_sc_hd__a221o_1 g432250(.A1 (n_3074), .A2 (n_13633), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]), .C1
+       (n_8419), .X (n_8572));
+  sky130_fd_sc_hd__a221o_1 g432251(.A1 (n_3075), .A2 (n_13679), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]), .C1
+       (n_8428), .X (n_8571));
+  sky130_fd_sc_hd__a221o_1 g432252(.A1 (n_3074), .A2 (n_13614), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]), .C1
+       (n_8427), .X (n_8570));
+  sky130_fd_sc_hd__a21oi_1 g432253(.A1 (n_7966), .A2 (n_5954), .B1
+       (n_8518), .Y (n_8569));
+  sky130_fd_sc_hd__a222oi_1 g432254(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [6]), .A2 (n_8331), .B1 (n_7388), .B2 (n_5946), .C1 (n_8336),
+       .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [22]), .Y (n_8568));
+  sky130_fd_sc_hd__a222oi_1 g432255(.A1 (\u_soc_iccm_to_xbar[d_data]
+       [1]), .A2 (n_8332), .B1 (n_8331), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [1]), .C1 (n_8452), .C2 (n_6873), .Y (n_8567));
+  sky130_fd_sc_hd__a221oi_1 g432256(.A1 (n_1852), .A2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[22]), .C1
+       (n_8471), .Y (n_8566));
+  sky130_fd_sc_hd__o2bb2ai_1 g432257(.A1_N
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ), .A2_N
+       (n_1415), .B1 (n_8447), .B2 (n_1415), .Y (n_8565));
+  sky130_fd_sc_hd__a31oi_1 g432258(.A1 (n_2984), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[3]), .A3 (n_13498), .B1
+       (n_8528), .Y (n_8564));
+  sky130_fd_sc_hd__a222oi_1 g432259(.A1 (u_soc_u_top_u_core_pc_id[11]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[11]),
+       .C1 (n_8446), .C2 (n_1213), .Y (n_8563));
+  sky130_fd_sc_hd__a2bb2oi_1 g432260(.A1_N
+       (u_soc_u_uart_u_uart_core_fifo_read_size[8]), .A2_N (n_8127),
+       .B1 (u_soc_u_uart_u_uart_core_fifo_read_size[8]), .B2 (n_8127),
+       .Y (n_8562));
+  sky130_fd_sc_hd__a221oi_1 g432261(.A1 (n_2419), .A2 (n_6645), .B1
+       (n_1746), .B2 (\u_soc_lsu_to_xbar[a_address] [20]), .C1
+       (n_8429), .Y (n_8561));
+  sky130_fd_sc_hd__o21ai_1 g432262(.A1 (n_8447), .A2 (n_2674), .B1
+       (n_5511), .Y (n_8560));
+  sky130_fd_sc_hd__o21ai_1 g432263(.A1 (n_8447), .A2 (n_2680), .B1
+       (n_5479), .Y (n_8559));
+  sky130_fd_sc_hd__o21ai_0 g432264(.A1 (n_1848), .A2 (n_8447), .B1
+       (n_2338), .Y (n_8558));
+  sky130_fd_sc_hd__o2111ai_1 g432265(.A1 (n_6317), .A2 (n_3080), .B1
+       (n_2210), .C1 (n_5591), .D1 (n_8215), .Y (n_8557));
+  sky130_fd_sc_hd__a221oi_1 g432266(.A1 (n_2419), .A2 (n_6646), .B1
+       (n_1746), .B2 (\u_soc_lsu_to_xbar[a_address] [19]), .C1
+       (n_8434), .Y (n_8556));
+  sky130_fd_sc_hd__nor2b_1 g432267(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[15]), .B_N
+       (n_8551), .Y (n_8616));
+  sky130_fd_sc_hd__nor2_1 g432268(.A (n_1848), .B (n_8544), .Y
+       (n_8615));
+  sky130_fd_sc_hd__a21o_1 g432269(.A1 (n_1750), .A2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[14]), .B1
+       (n_8553), .X (n_8614));
+  sky130_fd_sc_hd__o21a_1 g432270(.A1 (n_7908), .A2 (n_8446), .B1
+       (n_5857), .X (n_8613));
+  sky130_fd_sc_hd__nand4_1 g432275(.A (n_8057), .B (n_8058), .C
+       (n_2368), .D (n_8143), .Y (n_8612));
+  sky130_fd_sc_hd__nand4_1 g432276(.A (n_8056), .B (n_7445), .C
+       (n_2361), .D (n_8144), .Y (n_8611));
+  sky130_fd_sc_hd__nand2_1 g432277(.A (n_8448), .B (n_1753), .Y
+       (n_8554));
+  sky130_fd_sc_hd__nor2_1 g432372(.A (n_1466), .B (n_8129), .Y
+       (n_8555));
+  sky130_fd_sc_hd__inv_2 g432375(.A (n_8544), .Y (n_8543));
+  sky130_fd_sc_hd__o2111ai_1 g432376(.A1 (n_5872), .A2 (n_5383), .B1
+       (n_2192), .C1 (n_2327), .D1 (n_8116), .Y (n_8542));
+  sky130_fd_sc_hd__a21oi_1 g432377(.A1 (n_8341), .A2 (n_2619), .B1
+       (n_1751), .Y (n_8541));
+  sky130_fd_sc_hd__o2111ai_1 g432378(.A1 (n_6863), .A2 (n_7273), .B1
+       (n_7560), .C1 (n_15908), .D1 (n_8209), .Y (n_8540));
+  sky130_fd_sc_hd__nor2_1 g432379(.A (n_8447), .B (n_2254), .Y
+       (n_8539));
+  sky130_fd_sc_hd__nor2_1 g432380(.A (n_8447), .B (n_2676), .Y
+       (n_8538));
+  sky130_fd_sc_hd__nor2_1 g432381(.A (n_8447), .B (n_2678), .Y
+       (n_8537));
+  sky130_fd_sc_hd__nor2_1 g432382(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .B (n_8275), .Y
+       (n_8536));
+  sky130_fd_sc_hd__o22ai_1 g432383(.A1 (n_5947), .A2 (n_8302), .B1
+       (n_6865), .B2 (n_7571), .Y (n_8535));
+  sky130_fd_sc_hd__o32ai_1 g432384(.A1 (n_7271), .A2 (n_7276), .A3
+       (n_8054), .B1 (n_5952), .B2 (n_8086), .Y (n_8534));
+  sky130_fd_sc_hd__a221oi_1 g432385(.A1 (n_8124), .A2 (n_5952), .B1
+       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[2]), .C1
+       (n_8101), .Y (n_8533));
+  sky130_fd_sc_hd__o2111ai_1 g432386(.A1 (n_5858), .A2 (n_5383), .B1
+       (n_2191), .C1 (n_2323), .D1 (n_8117), .Y (n_8532));
+  sky130_fd_sc_hd__xnor2_1 g432387(.A
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[8]), .B (n_7980), .Y
+       (n_8531));
+  sky130_fd_sc_hd__a32oi_1 g432388(.A1 (n_8089), .A2 (n_1241), .A3
+       (n_1253), .B1 (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]), .Y (n_8530));
+  sky130_fd_sc_hd__a311o_1 g432389(.A1 (n_2285), .A2 (n_1405), .A3
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[7]), .B1
+       (u_soc_u_top_u_core_csr_restore_mret_id), .C1 (n_8231), .X
+       (n_8529));
+  sky130_fd_sc_hd__o21ai_1 g432390(.A1 (n_1182), .A2 (n_5364), .B1
+       (n_8438), .Y (n_8528));
+  sky130_fd_sc_hd__o31ai_1 g432391(.A1 (n_7649), .A2 (n_8086), .A3
+       (n_8062), .B1 (n_7253), .Y (n_8527));
+  sky130_fd_sc_hd__a221o_1 g432392(.A1 (n_8109), .A2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[7]), .B1
+       (n_2421), .B2 (n_13565), .C1 (n_8126), .X (n_8526));
+  sky130_fd_sc_hd__and3_1 g432393(.A (n_15910), .B (n_7982), .C
+       (n_7331), .X (n_8525));
+  sky130_fd_sc_hd__a21oi_1 g432394(.A1 (n_2986), .A2
+       (\u_soc_xbar_to_dccm[a_address] [8]), .B1 (n_8406), .Y (n_8524));
+  sky130_fd_sc_hd__a21oi_1 g432395(.A1 (n_2986), .A2
+       (\u_soc_xbar_to_dccm[a_address] [13]), .B1 (n_8405), .Y
+       (n_8523));
+  sky130_fd_sc_hd__a21oi_1 g432396(.A1 (n_8212), .A2 (n_6863), .B1
+       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .Y (n_8522));
+  sky130_fd_sc_hd__o211ai_1 g432397(.A1 (n_5964), .A2 (n_8123), .B1
+       (n_6887), .C1 (n_7382), .Y (n_8521));
+  sky130_fd_sc_hd__o22ai_1 g432398(.A1 (n_1252), .A2 (n_8288), .B1
+       (n_1249), .B2 (n_8088), .Y (n_8520));
+  sky130_fd_sc_hd__a21oi_1 g432399(.A1 (n_8060), .A2 (n_6856), .B1
+       (n_8353), .Y (n_8519));
+  sky130_fd_sc_hd__a21oi_1 g432400(.A1 (n_8343), .A2 (n_7618), .B1
+       (n_5974), .Y (n_8518));
+  sky130_fd_sc_hd__nand3_1 g432401(.A (n_8274), .B (n_5768), .C
+       (n_5136), .Y (n_8517));
+  sky130_fd_sc_hd__o2111ai_1 g432402(.A1 (n_5712), .A2 (n_5383), .B1
+       (n_2180), .C1 (n_5133), .D1 (n_8213), .Y (n_8516));
+  sky130_fd_sc_hd__nand4_1 g432403(.A (n_8112), .B (n_6227), .C
+       (n_1205), .D (n_5134), .Y (n_8515));
+  sky130_fd_sc_hd__nand3_1 g432404(.A (n_8228), .B (n_5120), .C
+       (n_2356), .Y (n_8514));
+  sky130_fd_sc_hd__a21oi_1 g432405(.A1 (n_6709), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[27]), .B1 (n_8412), .Y
+       (n_8513));
+  sky130_fd_sc_hd__a211oi_1 g432406(.A1 (n_6709), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[30]), .B1 (n_8360), .C1
+       (n_7563), .Y (n_8512));
+  sky130_fd_sc_hd__a221o_1 g432407(.A1 (n_7642), .A2 (n_5965), .B1
+       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[9]), .C1
+       (n_8391), .X (n_8511));
+  sky130_fd_sc_hd__a21oi_1 g432408(.A1 (n_13380), .A2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[2]), .B1 (n_8418), .Y
+       (n_8510));
+  sky130_fd_sc_hd__a221o_1 g432409(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[2]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[2]), .C1 (n_8451), .X (n_8509));
+  sky130_fd_sc_hd__o211ai_1 g432410(.A1 (n_6306), .A2 (n_3080), .B1
+       (n_2183), .C1 (n_8167), .Y (n_8508));
+  sky130_fd_sc_hd__o211ai_1 g432411(.A1 (n_6305), .A2 (n_3080), .B1
+       (n_2190), .C1 (n_8166), .Y (n_8507));
+  sky130_fd_sc_hd__a221o_1 g432412(.A1 (n_1745), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [19]), .B1 (n_2419), .B2
+       (n_6647), .C1 (n_8165), .X (n_8506));
+  sky130_fd_sc_hd__a221o_1 g432413(.A1 (n_1746), .A2
+       (\u_soc_lsu_to_xbar[a_address] [21]), .B1 (n_1745), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [22]), .C1 (n_8404), .X
+       (n_8505));
+  sky130_fd_sc_hd__a221o_1 g432414(.A1 (n_1746), .A2
+       (\u_soc_lsu_to_xbar[a_address] [22]), .B1 (n_1745), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [23]), .C1 (n_8403), .X
+       (n_8504));
+  sky130_fd_sc_hd__or4_1 g432415(.A (n_13399), .B (n_13398), .C
+       (n_13405), .D (n_8121), .X (n_8503));
+  sky130_fd_sc_hd__or4_1 g432416(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [11]), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [12]), .C
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [13]), .D (n_8122), .X (n_8502));
+  sky130_fd_sc_hd__o22ai_1 g432417(.A1 (n_1249), .A2 (n_8288), .B1
+       (n_1252), .B2 (n_8087), .Y (n_8501));
+  sky130_fd_sc_hd__nor3_1 g432418(.A (n_15911), .B (n_7381), .C
+       (n_8344), .Y (n_8500));
+  sky130_fd_sc_hd__a21oi_1 g432419(.A1 (n_15910), .A2 (n_6868), .B1
+       (n_6867), .Y (n_8499));
+  sky130_fd_sc_hd__a221o_1 g432420(.A1 (n_3075), .A2 (n_13697), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]), .C1
+       (n_8312), .X (n_8498));
+  sky130_fd_sc_hd__a221o_1 g432421(.A1 (n_3075), .A2 (n_13704), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]), .C1
+       (n_8311), .X (n_8497));
+  sky130_fd_sc_hd__a221o_1 g432422(.A1 (n_3075), .A2 (n_13706), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]), .C1
+       (n_8310), .X (n_8496));
+  sky130_fd_sc_hd__a221o_1 g432423(.A1 (n_3075), .A2 (n_13707), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]), .C1
+       (n_8309), .X (n_8495));
+  sky130_fd_sc_hd__a221o_1 g432424(.A1 (n_3074), .A2 (n_13632), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]), .C1
+       (n_8308), .X (n_8494));
+  sky130_fd_sc_hd__a221o_1 g432425(.A1 (n_3074), .A2 (n_13641), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]), .C1
+       (n_8306), .X (n_8493));
+  sky130_fd_sc_hd__a221o_1 g432426(.A1 (n_3074), .A2 (n_13642), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]), .C1
+       (n_8305), .X (n_8492));
+  sky130_fd_sc_hd__a221o_1 g432427(.A1 (n_3074), .A2 (n_13639), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]), .C1
+       (n_8307), .X (n_8491));
+  sky130_fd_sc_hd__a221oi_1 g432428(.A1 (n_13380), .A2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[7]), .B1 (n_1746), .B2
+       (\u_soc_xbar_to_dccm[a_address] [6]), .C1 (n_8162), .Y (n_8490));
+  sky130_fd_sc_hd__a221o_1 g432429(.A1 (n_3075), .A2 (n_13685), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]), .C1
+       (n_8318), .X (n_8489));
+  sky130_fd_sc_hd__a221o_1 g432430(.A1 (n_3074), .A2 (n_13620), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]), .C1
+       (n_8317), .X (n_8488));
+  sky130_fd_sc_hd__o2111ai_1 g432431(.A1 (n_5708), .A2 (n_5383), .B1
+       (n_2189), .C1 (n_5135), .D1 (n_8272), .Y (n_8487));
+  sky130_fd_sc_hd__a221o_1 g432432(.A1 (n_3075), .A2 (n_13690), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]), .C1
+       (n_8322), .X (n_8486));
+  sky130_fd_sc_hd__a221o_1 g432433(.A1 (n_3074), .A2 (n_13625), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]), .C1
+       (n_8321), .X (n_8485));
+  sky130_fd_sc_hd__a22o_1 g432434(.A1 (n_8284), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[21]), .X (n_8484));
+  sky130_fd_sc_hd__a22o_1 g432435(.A1 (n_8282), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[17]), .X (n_8483));
+  sky130_fd_sc_hd__a222oi_1 g432436(.A1 (u_soc_u_top_u_core_pc_id[17]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[17]),
+       .C1 (n_8282), .C2 (n_1213), .Y (n_8482));
+  sky130_fd_sc_hd__a222oi_1 g432437(.A1 (u_soc_u_top_u_core_pc_id[21]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[21]),
+       .C1 (n_8284), .C2 (n_1213), .Y (n_8481));
+  sky130_fd_sc_hd__o21ai_1 g432438(.A1 (n_8287), .A2 (n_2674), .B1
+       (n_5521), .Y (n_8480));
+  sky130_fd_sc_hd__o21ai_1 g432439(.A1 (n_8283), .A2 (n_2674), .B1
+       (n_5506), .Y (n_8479));
+  sky130_fd_sc_hd__o21ai_1 g432440(.A1 (n_8285), .A2 (n_2674), .B1
+       (n_5502), .Y (n_8478));
+  sky130_fd_sc_hd__o21ai_1 g432441(.A1 (n_8287), .A2 (n_2680), .B1
+       (n_5489), .Y (n_8477));
+  sky130_fd_sc_hd__o21ai_1 g432442(.A1 (n_8283), .A2 (n_2680), .B1
+       (n_5474), .Y (n_8476));
+  sky130_fd_sc_hd__o21ai_1 g432443(.A1 (n_8285), .A2 (n_2680), .B1
+       (n_5470), .Y (n_8475));
+  sky130_fd_sc_hd__o21ai_0 g432444(.A1 (n_1212), .A2 (n_8287), .B1
+       (n_1948), .Y (n_8474));
+  sky130_fd_sc_hd__o21ai_0 g432445(.A1 (n_1848), .A2 (n_8283), .B1
+       (n_2383), .Y (n_8473));
+  sky130_fd_sc_hd__o21ai_0 g432446(.A1 (n_1848), .A2 (n_8285), .B1
+       (n_2331), .Y (n_8472));
+  sky130_fd_sc_hd__nand3_1 g432447(.A (n_8277), .B (n_7307), .C
+       (n_8091), .Y (n_8471));
+  sky130_fd_sc_hd__nand3_1 g432448(.A (n_8276), .B (n_7306), .C
+       (n_8092), .Y (n_8470));
+  sky130_fd_sc_hd__o32ai_1 g432449(.A1 (n_1249), .A2 (n_1240), .A3
+       (n_8087), .B1 (n_1868), .B2 (n_7968), .Y (n_8469));
+  sky130_fd_sc_hd__a221o_1 g432450(.A1 (n_8338), .A2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[8]), .B1
+       (n_2421), .B2 (n_13566), .C1 (n_8329), .X (n_8468));
+  sky130_fd_sc_hd__o2bb2ai_1 g432451(.A1_N
+       (u_soc_u_top_u_core_debug_single_step), .A2_N (n_1415), .B1
+       (n_8287), .B2 (n_1415), .Y (n_8467));
+  sky130_fd_sc_hd__o2bb2ai_1 g432452(.A1_N
+       (u_soc_u_top_u_core_csr_depc[1]), .A2_N (n_1999), .B1 (n_8210),
+       .B2 (n_1999), .Y (n_8466));
+  sky130_fd_sc_hd__o22ai_1 g432453(.A1 (n_5846), .A2 (n_8335), .B1
+       (n_5953), .B2 (n_7819), .Y (n_8465));
+  sky130_fd_sc_hd__o22ai_1 g432454(.A1 (n_5850), .A2 (n_8335), .B1
+       (n_5834), .B2 (n_8333), .Y (n_8464));
+  sky130_fd_sc_hd__o2bb2ai_1 g432455(.A1_N
+       (u_soc_u_top_u_core_csr_depc[7]), .A2_N (n_1999), .B1 (n_8211),
+       .B2 (n_1999), .Y (n_8463));
+  sky130_fd_sc_hd__a32oi_1 g432456(.A1 (n_8124), .A2 (n_13608), .A3
+       (n_13), .B1 (n_8332), .B2 (\u_soc_iccm_to_xbar[d_data] [0]), .Y
+       (n_8462));
+  sky130_fd_sc_hd__a32oi_1 g432457(.A1 (n_8124), .A2 (n_13609), .A3
+       (n_13), .B1 (n_6709), .B2
+       (u_soc_u_top_u_core_instr_rdata_id[17]), .Y (n_8461));
+  sky130_fd_sc_hd__o22a_1 g432458(.A1 (n_6865), .A2 (n_8128), .B1
+       (n_2989), .B2 (n_8333), .X (n_8460));
+  sky130_fd_sc_hd__a22oi_1 g432459(.A1 (n_8332), .A2 (n_2995), .B1
+       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[22]), .Y
+       (n_8459));
+  sky130_fd_sc_hd__a22oi_1 g432460(.A1 (n_8336), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [24]), .B1 (n_8331), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [8]), .Y (n_8458));
+  sky130_fd_sc_hd__o2bb2ai_1 g432461(.A1_N
+       (u_soc_u_top_u_core_csr_mstatus_tw), .A2_N (n_2285), .B1
+       (n_8285), .B2 (n_2285), .Y (n_8457));
+  sky130_fd_sc_hd__o2bb2ai_1 g432462(.A1_N
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[17]), .A2_N
+       (n_2285), .B1 (n_8283), .B2 (n_2285), .Y (n_8456));
+  sky130_fd_sc_hd__a22o_1 g432463(.A1 (n_8286), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[2]), .X (n_8455));
+  sky130_fd_sc_hd__o21ai_1 g432464(.A1 (n_8340), .A2 (n_1751), .B1
+       (n_3084), .Y (n_8553));
+  sky130_fd_sc_hd__nor3_1 g432465(.A (n_577), .B (n_13484), .C
+       (n_8230), .Y (n_8552));
+  sky130_fd_sc_hd__nor3_1 g432466(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[14]), .B
+       (n_8341), .C (n_1751), .Y (n_8551));
+  sky130_fd_sc_hd__nor3_1 g432467(.A (n_7641), .B (n_7627), .C
+       (n_8344), .Y (n_8550));
+  sky130_fd_sc_hd__nand3_1 g432468(.A (n_4293), .B (n_8147), .C
+       (n_1747), .Y (n_8549));
+  sky130_fd_sc_hd__nand3_1 g432469(.A (n_5306), .B (n_8149), .C
+       (n_1747), .Y (n_8548));
+  sky130_fd_sc_hd__nand3_1 g432470(.A (n_5277), .B (n_8150), .C
+       (n_1747), .Y (n_8547));
+  sky130_fd_sc_hd__nand3_1 g432471(.A (n_5018), .B (n_8152), .C
+       (n_1747), .Y (n_8546));
+  sky130_fd_sc_hd__nand3_1 g432472(.A (n_4254), .B (n_8145), .C
+       (n_1747), .Y (n_8545));
+  sky130_fd_sc_hd__a32oi_1 g432473(.A1 (n_8090), .A2 (n_617), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13498), .B2 (n_1215), .Y
+       (n_8544));
+  sky130_fd_sc_hd__inv_1 g432595(.A (n_8401), .Y (n_8454));
+  sky130_fd_sc_hd__clkinv_1 g432596(.A (n_8452), .Y (n_8453));
+  sky130_fd_sc_hd__inv_2 g432597(.A (n_8447), .Y (n_8446));
+  sky130_fd_sc_hd__o2bb2ai_1 g432598(.A1_N
+       (u_soc_u_top_u_core_csr_depc[23]), .A2_N (n_1999), .B1 (n_8045),
+       .B2 (n_1999), .Y (n_8445));
+  sky130_fd_sc_hd__a22o_1 g432599(.A1 (n_8074), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[30]), .X (n_8444));
+  sky130_fd_sc_hd__a22o_1 g432600(.A1 (n_8082), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[29]), .X (n_8443));
+  sky130_fd_sc_hd__a22o_1 g432601(.A1 (n_8080), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[27]), .X (n_8442));
+  sky130_fd_sc_hd__a22o_1 g432602(.A1 (n_8078), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[20]), .X (n_8441));
+  sky130_fd_sc_hd__a22o_1 g432603(.A1 (n_8076), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[13]), .X (n_8440));
+  sky130_fd_sc_hd__a22o_1 g432604(.A1 (n_8084), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[8]), .X (n_8439));
+  sky130_fd_sc_hd__a22oi_1 g432605(.A1 (n_1217), .A2 (n_8090), .B1
+       (n_2418), .B2 (n_1372), .Y (n_8438));
+  sky130_fd_sc_hd__o2bb2ai_1 g432606(.A1_N
+       (u_soc_u_top_u_core_csr_depc[31]), .A2_N (n_1999), .B1 (n_8040),
+       .B2 (n_1999), .Y (n_8437));
+  sky130_fd_sc_hd__o2bb2ai_1 g432607(.A1_N
+       (u_soc_u_top_u_core_csr_depc[28]), .A2_N (n_1999), .B1 (n_8041),
+       .B2 (n_1999), .Y (n_8436));
+  sky130_fd_sc_hd__nand2_1 g432608(.A (n_8336), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [26]), .Y (n_8435));
+  sky130_fd_sc_hd__nand2_1 g432609(.A (n_8161), .B (n_5594), .Y
+       (n_8434));
+  sky130_fd_sc_hd__nand3_1 g432610(.A (n_8000), .B (n_7640), .C
+       (n_6923), .Y (n_8433));
+  sky130_fd_sc_hd__o2bb2ai_1 g432611(.A1_N
+       (u_soc_u_top_u_core_csr_depc[26]), .A2_N (n_1999), .B1 (n_8042),
+       .B2 (n_1999), .Y (n_8432));
+  sky130_fd_sc_hd__nand4_1 g432612(.A (n_7881), .B (n_5599), .C
+       (n_2316), .D (n_6939), .Y (n_8431));
+  sky130_fd_sc_hd__nand4_1 g432613(.A (n_7884), .B (n_5601), .C
+       (n_2314), .D (n_6940), .Y (n_8430));
+  sky130_fd_sc_hd__nand2_1 g432614(.A (n_8214), .B (n_5595), .Y
+       (n_8429));
+  sky130_fd_sc_hd__nor2_1 g432615(.A (n_8287), .B (n_2676), .Y
+       (n_8428));
+  sky130_fd_sc_hd__nor2_1 g432616(.A (n_8287), .B (n_2678), .Y
+       (n_8427));
+  sky130_fd_sc_hd__nor2_1 g432617(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_8135), .Y
+       (n_8426));
+  sky130_fd_sc_hd__nand4_1 g432618(.A (n_7873), .B (n_5598), .C
+       (n_2308), .D (n_7449), .Y (n_8425));
+  sky130_fd_sc_hd__nor2_1 g432619(.A (n_8283), .B (n_2254), .Y
+       (n_8424));
+  sky130_fd_sc_hd__nor2_1 g432620(.A (n_8285), .B (n_2254), .Y
+       (n_8423));
+  sky130_fd_sc_hd__nor2_1 g432621(.A (n_8283), .B (n_2676), .Y
+       (n_8422));
+  sky130_fd_sc_hd__nor2_1 g432622(.A (n_8285), .B (n_2676), .Y
+       (n_8421));
+  sky130_fd_sc_hd__nor2_1 g432623(.A (n_8283), .B (n_2678), .Y
+       (n_8420));
+  sky130_fd_sc_hd__nor2_1 g432624(.A (n_8285), .B (n_2678), .Y
+       (n_8419));
+  sky130_fd_sc_hd__nand4_1 g432625(.A (n_5535), .B (n_7997), .C
+       (n_7061), .D (n_5197), .Y (n_8418));
+  sky130_fd_sc_hd__o2bb2ai_1 g432626(.A1_N
+       (u_soc_u_top_u_core_csr_depc[25]), .A2_N (n_1999), .B1 (n_8043),
+       .B2 (n_1999), .Y (n_8417));
+  sky130_fd_sc_hd__nor3_1 g432627(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .B (n_7929), .C
+       (n_7980), .Y (n_8416));
+  sky130_fd_sc_hd__o21ai_1 g432628(.A1 (n_1279), .A2 (n_1751), .B1
+       (n_8337), .Y (n_8415));
+  sky130_fd_sc_hd__a21o_1 g432629(.A1 (n_1750), .A2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[8]), .B1
+       (n_8338), .X (n_8414));
+  sky130_fd_sc_hd__a221o_1 g432630(.A1 (n_2984), .A2 (n_1838), .B1
+       (n_13380), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[24]), .C1
+       (n_8002), .X (n_8413));
+  sky130_fd_sc_hd__o22ai_1 g432631(.A1 (n_15914), .A2 (n_8123), .B1
+       (n_5964), .B2 (n_8096), .Y (n_8412));
+  sky130_fd_sc_hd__o2bb2ai_1 g432632(.A1_N
+       (u_soc_u_top_u_core_csr_depc[24]), .A2_N (n_1999), .B1 (n_8044),
+       .B2 (n_1999), .Y (n_8411));
+  sky130_fd_sc_hd__a21oi_1 g432633(.A1 (n_2986), .A2
+       (\u_soc_xbar_to_dccm[a_address] [12]), .B1 (n_8280), .Y
+       (n_8410));
+  sky130_fd_sc_hd__nand4_1 g432634(.A (n_7887), .B (n_5586), .C
+       (n_1194), .D (n_5126), .Y (n_8409));
+  sky130_fd_sc_hd__nand4_1 g432635(.A (n_7886), .B (n_5588), .C
+       (n_1207), .D (n_5125), .Y (n_8408));
+  sky130_fd_sc_hd__a221oi_1 g432636(.A1 (n_7966), .A2 (n_5963), .B1
+       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[13]), .C1
+       (n_8298), .Y (n_8407));
+  sky130_fd_sc_hd__o2111ai_1 g432637(.A1 (n_6795), .A2 (n_2420), .B1
+       (n_5132), .C1 (n_7827), .D1 (n_5568), .Y (n_8406));
+  sky130_fd_sc_hd__o2111ai_1 g432638(.A1 (n_6792), .A2 (n_2420), .B1
+       (n_5127), .C1 (n_7826), .D1 (n_5563), .Y (n_8405));
+  sky130_fd_sc_hd__o21ai_1 g432639(.A1 (n_6606), .A2 (n_2420), .B1
+       (n_8279), .Y (n_8404));
+  sky130_fd_sc_hd__o21ai_1 g432640(.A1 (n_6644), .A2 (n_2420), .B1
+       (n_8278), .Y (n_8403));
+  sky130_fd_sc_hd__a222oi_1 g432641(.A1 (n_1869), .A2 (n_7973), .B1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[30]), .B2 (n_8), .C1
+       (n_7807), .C2 (n_1849), .Y (n_8402));
+  sky130_fd_sc_hd__a222oi_1 g432642(.A1 (n_7824), .A2 (n_1750), .B1
+       (n_7922), .B2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[6]), .C1
+       (n_2421), .C2 (n_13564), .Y (n_8401));
+  sky130_fd_sc_hd__o21ai_1 g432643(.A1 (n_1550), .A2 (n_1751), .B1
+       (n_8337), .Y (n_8400));
+  sky130_fd_sc_hd__o21ai_1 g432644(.A1 (n_1907), .A2 (n_1751), .B1
+       (n_8337), .Y (n_8399));
+  sky130_fd_sc_hd__a21oi_1 g432645(.A1 (n_7818), .A2 (n_5946), .B1
+       (n_8281), .Y (n_8398));
+  sky130_fd_sc_hd__nor4_1 g432646(.A (n_7243), .B (n_7205), .C
+       (n_8093), .D (n_7204), .Y (n_8397));
+  sky130_fd_sc_hd__a221o_1 g432647(.A1 (n_3075), .A2 (n_13684), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]), .C1
+       (n_8104), .X (n_8396));
+  sky130_fd_sc_hd__a221o_1 g432648(.A1 (n_3074), .A2 (n_13619), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]), .C1
+       (n_8105), .X (n_8395));
+  sky130_fd_sc_hd__a221o_1 g432649(.A1 (n_3075), .A2 (n_13678), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]), .C1
+       (n_8102), .X (n_8394));
+  sky130_fd_sc_hd__a221o_1 g432650(.A1 (n_3074), .A2 (n_13613), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]), .C1
+       (n_8103), .X (n_8393));
+  sky130_fd_sc_hd__and3_1 g432651(.A (n_8132), .B (n_7389), .C
+       (n_7257), .X (n_8392));
+  sky130_fd_sc_hd__o21ai_1 g432652(.A1 (n_5947), .A2 (n_7620), .B1
+       (n_8233), .Y (n_8391));
+  sky130_fd_sc_hd__a221o_1 g432653(.A1 (n_3075), .A2 (n_13689), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]), .C1
+       (n_8098), .X (n_8390));
+  sky130_fd_sc_hd__a221o_1 g432654(.A1 (n_3074), .A2 (n_13624), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]), .C1
+       (n_8099), .X (n_8389));
+  sky130_fd_sc_hd__o2bb2ai_1 g432655(.A1_N
+       (u_soc_u_top_u_core_csr_depc[22]), .A2_N (n_1999), .B1 (n_8046),
+       .B2 (n_1999), .Y (n_8388));
+  sky130_fd_sc_hd__a222oi_1 g432656(.A1 (u_soc_u_top_u_core_pc_id[20]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[20]),
+       .C1 (n_8078), .C2 (n_1213), .Y (n_8387));
+  sky130_fd_sc_hd__a222oi_1 g432657(.A1 (u_soc_u_top_u_core_pc_id[27]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[27]),
+       .C1 (n_8080), .C2 (n_1213), .Y (n_8386));
+  sky130_fd_sc_hd__a222oi_1 g432658(.A1 (u_soc_u_top_u_core_pc_id[29]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[29]),
+       .C1 (n_8082), .C2 (n_1213), .Y (n_8385));
+  sky130_fd_sc_hd__a222oi_1 g432659(.A1 (u_soc_u_top_u_core_pc_id[30]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[30]),
+       .C1 (n_8074), .C2 (n_1213), .Y (n_8384));
+  sky130_fd_sc_hd__a222oi_1 g432660(.A1 (u_soc_u_top_u_core_pc_id[8]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[8]),
+       .C1 (n_8084), .C2 (n_1213), .Y (n_8383));
+  sky130_fd_sc_hd__o21ai_1 g432661(.A1 (n_8085), .A2 (n_2674), .B1
+       (n_5515), .Y (n_8382));
+  sky130_fd_sc_hd__o21ai_1 g432662(.A1 (n_8077), .A2 (n_2674), .B1
+       (n_5510), .Y (n_8381));
+  sky130_fd_sc_hd__o21ai_1 g432663(.A1 (n_8079), .A2 (n_2674), .B1
+       (n_5503), .Y (n_8380));
+  sky130_fd_sc_hd__o21ai_1 g432664(.A1 (n_8081), .A2 (n_2674), .B1
+       (n_5496), .Y (n_8379));
+  sky130_fd_sc_hd__o21ai_1 g432665(.A1 (n_8083), .A2 (n_2674), .B1
+       (n_5494), .Y (n_8378));
+  sky130_fd_sc_hd__o21ai_1 g432666(.A1 (n_8075), .A2 (n_2674), .B1
+       (n_5493), .Y (n_8377));
+  sky130_fd_sc_hd__o21ai_1 g432667(.A1 (n_8085), .A2 (n_2680), .B1
+       (n_5483), .Y (n_8376));
+  sky130_fd_sc_hd__o21ai_1 g432668(.A1 (n_8077), .A2 (n_2680), .B1
+       (n_5478), .Y (n_8375));
+  sky130_fd_sc_hd__o21ai_1 g432669(.A1 (n_8079), .A2 (n_2680), .B1
+       (n_5471), .Y (n_8374));
+  sky130_fd_sc_hd__o21ai_1 g432670(.A1 (n_8081), .A2 (n_2680), .B1
+       (n_5540), .Y (n_8373));
+  sky130_fd_sc_hd__o21ai_1 g432671(.A1 (n_8083), .A2 (n_2680), .B1
+       (n_5578), .Y (n_8372));
+  sky130_fd_sc_hd__o21ai_1 g432672(.A1 (n_8075), .A2 (n_2680), .B1
+       (n_5579), .Y (n_8371));
+  sky130_fd_sc_hd__o21ai_1 g432673(.A1 (n_5955), .A2 (n_7796), .B1
+       (n_8303), .Y (n_8370));
+  sky130_fd_sc_hd__o21ai_0 g432674(.A1 (n_1212), .A2 (n_8077), .B1
+       (n_1970), .Y (n_8369));
+  sky130_fd_sc_hd__o21ai_0 g432675(.A1 (n_6871), .A2 (n_8130), .B1
+       (n_7829), .Y (n_8368));
+  sky130_fd_sc_hd__o21ai_0 g432676(.A1 (n_1848), .A2 (n_8085), .B1
+       (n_2371), .Y (n_8367));
+  sky130_fd_sc_hd__o21ai_0 g432677(.A1 (n_1848), .A2 (n_8077), .B1
+       (n_2337), .Y (n_8366));
+  sky130_fd_sc_hd__o21ai_0 g432678(.A1 (n_1848), .A2 (n_8079), .B1
+       (n_2408), .Y (n_8365));
+  sky130_fd_sc_hd__o21ai_0 g432679(.A1 (n_1848), .A2 (n_8081), .B1
+       (n_2406), .Y (n_8364));
+  sky130_fd_sc_hd__o21ai_0 g432680(.A1 (n_1848), .A2 (n_8083), .B1
+       (n_2321), .Y (n_8363));
+  sky130_fd_sc_hd__o21ai_0 g432681(.A1 (n_1848), .A2 (n_8075), .B1
+       (n_2320), .Y (n_8362));
+  sky130_fd_sc_hd__o2bb2ai_1 g432682(.A1_N
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ), .A2_N
+       (n_1415), .B1 (n_8077), .B2 (n_1415), .Y (n_8361));
+  sky130_fd_sc_hd__o22ai_1 g432683(.A1 (n_6867), .A2 (n_8061), .B1
+       (n_6882), .B2 (n_7796), .Y (n_8360));
+  sky130_fd_sc_hd__a21oi_1 g432684(.A1 (n_6709), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[31]), .B1 (n_8328), .Y
+       (n_8359));
+  sky130_fd_sc_hd__o2bb2ai_1 g432685(.A1_N
+       (u_soc_u_top_u_core_csr_depc[15]), .A2_N (n_1999), .B1 (n_8050),
+       .B2 (n_1999), .Y (n_8358));
+  sky130_fd_sc_hd__o2bb2ai_1 g432686(.A1_N
+       (u_soc_u_top_u_core_csr_depc[16]), .A2_N (n_1999), .B1 (n_8049),
+       .B2 (n_1999), .Y (n_8357));
+  sky130_fd_sc_hd__o2bb2ai_1 g432687(.A1_N
+       (u_soc_u_top_u_core_csr_depc[18]), .A2_N (n_1999), .B1 (n_8048),
+       .B2 (n_1999), .Y (n_8356));
+  sky130_fd_sc_hd__o2bb2ai_1 g432688(.A1_N
+       (u_soc_u_top_u_core_csr_depc[19]), .A2_N (n_1999), .B1 (n_8047),
+       .B2 (n_1999), .Y (n_8355));
+  sky130_fd_sc_hd__o22ai_1 g432689(.A1 (n_6867), .A2 (n_8128), .B1
+       (n_5883), .B2 (n_7970), .Y (n_8354));
+  sky130_fd_sc_hd__o22ai_1 g432690(.A1 (n_5974), .A2 (n_8130), .B1
+       (n_6860), .B2 (n_7382), .Y (n_8353));
+  sky130_fd_sc_hd__nand2_1 g432700(.A (n_8343), .B (n_7977), .Y
+       (n_8452));
+  sky130_fd_sc_hd__nor2_1 g432703(.A (n_1848), .B (n_8287), .Y
+       (n_8451));
+  sky130_fd_sc_hd__o21ai_1 g432707(.A1 (n_8086), .A2 (n_7918), .B1
+       (n_7253), .Y (n_8450));
+  sky130_fd_sc_hd__and2_1 g432716(.A (n_7622), .B (n_8339), .X
+       (n_8449));
+  sky130_fd_sc_hd__nand3_1 g432718(.A (n_2955), .B (n_8051), .C
+       (n_1747), .Y (n_8448));
+  sky130_fd_sc_hd__a21oi_1 g432719(.A1 (n_13506), .A2 (n_1215), .B1
+       (n_15937), .Y (n_8447));
+  sky130_fd_sc_hd__inv_1 g432720(.A (n_8330), .Y (n_8352));
+  sky130_fd_sc_hd__inv_1 g432721(.A (n_8327), .Y (n_8351));
+  sky130_fd_sc_hd__inv_1 g432722(.A (n_8326), .Y (n_8350));
+  sky130_fd_sc_hd__inv_1 g432723(.A (n_8325), .Y (n_8349));
+  sky130_fd_sc_hd__inv_1 g432724(.A (n_8319), .Y (n_8348));
+  sky130_fd_sc_hd__inv_1 g432725(.A (n_8304), .Y (n_8347));
+  sky130_fd_sc_hd__inv_1 g432726(.A (n_8295), .Y (n_8346));
+  sky130_fd_sc_hd__inv_1 g432727(.A (n_8294), .Y (n_8345));
+  sky130_fd_sc_hd__clkinv_1 g432728(.A (n_8341), .Y (n_8340));
+  sky130_fd_sc_hd__inv_1 g432729(.A (n_8338), .Y (n_8337));
+  sky130_fd_sc_hd__inv_1 g432730(.A (n_8335), .Y (n_8334));
+  sky130_fd_sc_hd__inv_2 g432731(.A (n_8333), .Y (n_8332));
+  sky130_fd_sc_hd__a222oi_1 g432732(.A1 (\u_soc_iccm_to_xbar[d_data]
+       [0]), .A2 (n_7608), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [0]), .C1 (n_7907), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [0]), .Y (n_8330));
+  sky130_fd_sc_hd__nor2_1 g432733(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[8]), .B (n_8125),
+       .Y (n_8329));
+  sky130_fd_sc_hd__nor2_1 g432734(.A (n_8111), .B (n_7252), .Y
+       (n_8328));
+  sky130_fd_sc_hd__a222oi_1 g432735(.A1
+       (\u_soc_iccm_to_xbar[d_data] [16]), .A2 (n_7606), .B1 (n_7770),
+       .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [16]), .C1 (n_7965), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [16]), .Y (n_8327));
+  sky130_fd_sc_hd__a222oi_1 g432736(.A1 (\u_soc_iccm_to_xbar[d_data]
+       [1]), .A2 (n_7606), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [1]), .C1 (n_7965), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [1]), .Y (n_8326));
+  sky130_fd_sc_hd__a222oi_1 g432737(.A1 (\u_soc_iccm_to_xbar[d_data]
+       [0]), .A2 (n_7606), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [0]), .C1 (n_7965), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [0]), .Y (n_8325));
+  sky130_fd_sc_hd__nand2_1 g432738(.A (n_2253), .B (n_8083), .Y
+       (n_8324));
+  sky130_fd_sc_hd__nor2_1 g432739(.A (n_5947), .B (n_8123), .Y
+       (n_8323));
+  sky130_fd_sc_hd__nor2_1 g432740(.A (n_8077), .B (n_2676), .Y
+       (n_8322));
+  sky130_fd_sc_hd__nor2_1 g432741(.A (n_8077), .B (n_2678), .Y
+       (n_8321));
+  sky130_fd_sc_hd__nor2_1 g432742(.A (n_8077), .B (n_2254), .Y
+       (n_8320));
+  sky130_fd_sc_hd__a222oi_1 g432743(.A1
+       (\u_soc_iccm_to_xbar[d_data] [17]), .A2 (n_7608), .B1 (n_7771),
+       .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [17]), .C1 (n_7907), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [17]), .Y (n_8319));
+  sky130_fd_sc_hd__nor2_1 g432744(.A (n_8085), .B (n_2676), .Y
+       (n_8318));
+  sky130_fd_sc_hd__nor2_1 g432745(.A (n_8085), .B (n_2678), .Y
+       (n_8317));
+  sky130_fd_sc_hd__nor2_1 g432746(.A (n_8085), .B (n_2254), .Y
+       (n_8316));
+  sky130_fd_sc_hd__nor2_1 g432747(.A (n_8079), .B (n_2254), .Y
+       (n_8315));
+  sky130_fd_sc_hd__nor2_1 g432748(.A (n_8081), .B (n_2254), .Y
+       (n_8314));
+  sky130_fd_sc_hd__nor2_1 g432749(.A (n_8075), .B (n_2254), .Y
+       (n_8313));
+  sky130_fd_sc_hd__nor2_1 g432750(.A (n_8079), .B (n_2676), .Y
+       (n_8312));
+  sky130_fd_sc_hd__nor2_1 g432751(.A (n_8081), .B (n_2676), .Y
+       (n_8311));
+  sky130_fd_sc_hd__nor2_1 g432752(.A (n_8083), .B (n_2676), .Y
+       (n_8310));
+  sky130_fd_sc_hd__nor2_1 g432753(.A (n_8075), .B (n_2676), .Y
+       (n_8309));
+  sky130_fd_sc_hd__nor2_1 g432754(.A (n_8079), .B (n_2678), .Y
+       (n_8308));
+  sky130_fd_sc_hd__nor2_1 g432755(.A (n_8081), .B (n_2678), .Y
+       (n_8307));
+  sky130_fd_sc_hd__nor2_1 g432756(.A (n_8083), .B (n_2678), .Y
+       (n_8306));
+  sky130_fd_sc_hd__nor2_1 g432757(.A (n_8075), .B (n_2678), .Y
+       (n_8305));
+  sky130_fd_sc_hd__a222oi_1 g432758(.A1
+       (\u_soc_iccm_to_xbar[d_data] [16]), .A2 (n_7608), .B1 (n_7771),
+       .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [16]), .C1 (n_7907), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [16]), .Y (n_8304));
+  sky130_fd_sc_hd__a21oi_1 g432759(.A1 (n_6709), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[5]), .B1 (n_8097), .Y
+       (n_8303));
+  sky130_fd_sc_hd__a211oi_1 g432760(.A1 (n_7253), .A2 (n_7811), .B1
+       (n_15911), .C1 (n_7972), .Y (n_8302));
+  sky130_fd_sc_hd__a21o_1 g432761(.A1 (n_1406), .A2
+       (u_soc_u_top_u_core_exc_cause[1]), .B1 (n_8131), .X (n_8301));
+  sky130_fd_sc_hd__o21a_1 g432762(.A1 (n_1452), .A2 (n_7633), .B1
+       (n_8129), .X (n_8300));
+  sky130_fd_sc_hd__a31oi_1 g432763(.A1 (n_7388), .A2 (n_6858), .A3
+       (n_6866), .B1 (n_8113), .Y (n_8299));
+  sky130_fd_sc_hd__o32ai_1 g432764(.A1
+       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .A2 (n_6859),
+       .A3 (n_6923), .B1 (n_6865), .B2 (n_7926), .Y (n_8298));
+  sky130_fd_sc_hd__o21a_1 g432766(.A1 (n_7914), .A2 (n_7972), .B1
+       (n_5954), .X (n_8296));
+  sky130_fd_sc_hd__a222oi_1 g432767(.A1 (\u_soc_iccm_to_xbar[d_data]
+       [1]), .A2 (n_7608), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [1]), .C1 (n_7907), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [1]), .Y (n_8295));
+  sky130_fd_sc_hd__a222oi_1 g432768(.A1
+       (\u_soc_iccm_to_xbar[d_data] [17]), .A2 (n_7606), .B1 (n_7770),
+       .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [17]), .C1 (n_7965), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [17]), .Y (n_8294));
+  sky130_fd_sc_hd__nand3_1 g432778(.A (n_7977), .B (n_7401), .C
+       (n_7620), .Y (n_8344));
+  sky130_fd_sc_hd__nor2b_1 g432788(.A (n_7914), .B_N (n_8128), .Y
+       (n_8343));
+  sky130_fd_sc_hd__nand3b_1 g432868(.A_N
+       (u_soc_main_swith_host_lsu_num_req_outstanding[13]), .B
+       (n_7981), .C (n_2297), .Y (n_8341));
+  sky130_fd_sc_hd__nand2_1 g432878(.A (n_7253), .B (n_8086), .Y
+       (n_8339));
+  sky130_fd_sc_hd__o21ai_1 g432880(.A1 (n_7981), .A2 (n_1751), .B1
+       (n_3084), .Y (n_8338));
+  sky130_fd_sc_hd__nor2_1 g432881(.A (n_1025), .B (n_8123), .Y
+       (n_8336));
+  sky130_fd_sc_hd__nand2_1 g432882(.A (n_8124), .B (n_1027), .Y
+       (n_8335));
+  sky130_fd_sc_hd__nand2_1 g432883(.A (n_8124), .B (n_1037), .Y
+       (n_8333));
+  sky130_fd_sc_hd__nor2_1 g432884(.A (n_1036), .B (n_8123), .Y
+       (n_8331));
+  sky130_fd_sc_hd__inv_1 g432885(.A (n_8242), .Y (n_8293));
+  sky130_fd_sc_hd__inv_1 g432886(.A (n_8241), .Y (n_8292));
+  sky130_fd_sc_hd__inv_1 g432887(.A (n_8237), .Y (n_8291));
+  sky130_fd_sc_hd__inv_1 g432888(.A (n_8180), .Y (n_8290));
+  sky130_fd_sc_hd__inv_2 g432889(.A (n_8287), .Y (n_8286));
+  sky130_fd_sc_hd__inv_2 g432890(.A (n_8285), .Y (n_8284));
+  sky130_fd_sc_hd__inv_2 g432891(.A (n_8283), .Y (n_8282));
+  sky130_fd_sc_hd__o22ai_1 g432892(.A1 (n_6857), .A2 (n_7970), .B1
+       (n_6863), .B2 (n_7386), .Y (n_8281));
+  sky130_fd_sc_hd__o2111ai_1 g432893(.A1 (n_6855), .A2 (n_2420), .B1
+       (n_5128), .C1 (n_7721), .D1 (n_5564), .Y (n_8280));
+  sky130_fd_sc_hd__a221oi_1 g432894(.A1 (n_2986), .A2
+       (\u_soc_lsu_to_xbar[a_address] [22]), .B1 (n_13380), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[22]), .C1 (n_8119), .Y
+       (n_8279));
+  sky130_fd_sc_hd__a221oi_1 g432895(.A1 (n_2986), .A2
+       (\u_soc_lsu_to_xbar[a_address] [23]), .B1 (n_13380), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[23]), .C1 (n_8120), .Y
+       (n_8278));
+  sky130_fd_sc_hd__a222oi_1 g432896(.A1 (n_1872), .A2 (n_7269), .B1
+       (n_7807), .B2 (n_1753), .C1 (n_8), .C2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[14]), .Y (n_8277));
+  sky130_fd_sc_hd__a222oi_1 g432897(.A1 (n_1872), .A2 (n_7797), .B1
+       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[15]), .C1
+       (n_7806), .C2 (n_1753), .Y (n_8276));
+  sky130_fd_sc_hd__o21ai_0 g432898(.A1
+       (u_soc_u_uart_u_uart_core_fifo_read_size[7]), .A2 (n_15909), .B1
+       (n_8127), .Y (n_8275));
+  sky130_fd_sc_hd__a21oi_1 g432899(.A1 (n_13380), .A2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[1]), .B1 (n_8110), .Y
+       (n_8274));
+  sky130_fd_sc_hd__a222oi_1 g432900(.A1 (n_1878), .A2 (n_7264), .B1
+       (n_7800), .B2 (n_1872), .C1 (n_8), .C2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[8]), .Y (n_8273));
+  sky130_fd_sc_hd__a221oi_1 g432901(.A1 (n_13380), .A2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[4]), .B1 (n_1746), .B2
+       (\u_soc_xbar_to_dccm[a_address] [3]), .C1 (n_7903), .Y (n_8272));
+  sky130_fd_sc_hd__a221o_1 g432902(.A1 (n_3075), .A2 (n_13692), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]), .C1
+       (n_7946), .X (n_8271));
+  sky130_fd_sc_hd__a221o_1 g432903(.A1 (n_3075), .A2 (n_13693), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]), .C1
+       (n_7947), .X (n_8270));
+  sky130_fd_sc_hd__a221o_1 g432904(.A1 (n_3075), .A2 (n_13695), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]), .C1
+       (n_7948), .X (n_8269));
+  sky130_fd_sc_hd__a221o_1 g432905(.A1 (n_3075), .A2 (n_13696), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]), .C1
+       (n_7949), .X (n_8268));
+  sky130_fd_sc_hd__a221o_1 g432906(.A1 (n_3075), .A2 (n_13702), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]), .C1
+       (n_7953), .X (n_8267));
+  sky130_fd_sc_hd__a221o_1 g432907(.A1 (n_3075), .A2 (n_13699), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]), .C1
+       (n_7951), .X (n_8266));
+  sky130_fd_sc_hd__a221o_1 g432908(.A1 (n_3075), .A2 (n_13703), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]), .C1
+       (n_7954), .X (n_8265));
+  sky130_fd_sc_hd__a221o_1 g432909(.A1 (n_3075), .A2 (n_13700), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]), .C1
+       (n_7950), .X (n_8264));
+  sky130_fd_sc_hd__a221o_1 g432910(.A1 (n_3075), .A2 (n_13701), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]), .C1
+       (n_7952), .X (n_8263));
+  sky130_fd_sc_hd__a221o_1 g432911(.A1 (n_3075), .A2 (n_13705), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]), .C1
+       (n_7955), .X (n_8262));
+  sky130_fd_sc_hd__a221o_1 g432912(.A1 (n_3074), .A2 (n_13627), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]), .C1
+       (n_7956), .X (n_8261));
+  sky130_fd_sc_hd__a221o_1 g432913(.A1 (n_3074), .A2 (n_13628), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]), .C1
+       (n_7957), .X (n_8260));
+  sky130_fd_sc_hd__a221o_1 g432914(.A1 (n_3074), .A2 (n_13630), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]), .C1
+       (n_7958), .X (n_8259));
+  sky130_fd_sc_hd__a221o_1 g432915(.A1 (n_3074), .A2 (n_13631), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]), .C1
+       (n_7959), .X (n_8258));
+  sky130_fd_sc_hd__a221o_1 g432916(.A1 (n_3074), .A2 (n_13635), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]), .C1
+       (n_7961), .X (n_8257));
+  sky130_fd_sc_hd__a221o_1 g432917(.A1 (n_3074), .A2 (n_13634), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]), .C1
+       (n_7960), .X (n_8256));
+  sky130_fd_sc_hd__a221o_1 g432918(.A1 (n_3074), .A2 (n_13636), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]), .C1
+       (n_7962), .X (n_8255));
+  sky130_fd_sc_hd__a221o_1 g432919(.A1 (n_3074), .A2 (n_13637), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]), .C1
+       (n_7963), .X (n_8254));
+  sky130_fd_sc_hd__a221o_1 g432920(.A1 (n_3074), .A2 (n_13638), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]), .C1
+       (n_7964), .X (n_8253));
+  sky130_fd_sc_hd__a221o_1 g432921(.A1 (n_3074), .A2 (n_13640), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]), .C1
+       (n_7905), .X (n_8252));
+  sky130_fd_sc_hd__a222oi_1 g432922(.A1 (n_1869), .A2 (n_7267), .B1
+       (n_7807), .B2 (n_1852), .C1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[22]), .C2 (n_8), .Y
+       (n_8251));
+  sky130_fd_sc_hd__a221o_1 g432923(.A1 (n_3075), .A2 (n_13708), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]), .C1
+       (n_7933), .X (n_8250));
+  sky130_fd_sc_hd__a221o_1 g432924(.A1 (n_3074), .A2 (n_13643), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]), .C1
+       (n_7934), .X (n_8249));
+  sky130_fd_sc_hd__a221o_1 g432925(.A1 (n_3075), .A2 (n_13682), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]), .C1
+       (n_7931), .X (n_8248));
+  sky130_fd_sc_hd__a221o_1 g432926(.A1 (n_3074), .A2 (n_13617), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]), .C1
+       (n_7932), .X (n_8247));
+  sky130_fd_sc_hd__a21oi_1 g432927(.A1 (n_7921), .A2 (n_7382), .B1
+       (n_5974), .Y (n_8246));
+  sky130_fd_sc_hd__a221o_1 g432928(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [2]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [2]), .C1 (n_7719), .X (n_8245));
+  sky130_fd_sc_hd__a221o_1 g432929(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [3]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [3]), .C1 (n_7718), .X (n_8244));
+  sky130_fd_sc_hd__a221o_1 g432930(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [4]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [4]), .C1 (n_7717), .X (n_8243));
+  sky130_fd_sc_hd__a222oi_1 g432931(.A1 (n_2993), .A2 (n_7606), .B1
+       (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [5]), .C1 (n_7965), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [5]), .Y (n_8242));
+  sky130_fd_sc_hd__a222oi_1 g432932(.A1 (n_2995), .A2 (n_7606), .B1
+       (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [6]), .C1 (n_7965), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [6]), .Y (n_8241));
+  sky130_fd_sc_hd__a221o_1 g432933(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [7]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [7]), .C1 (n_7716), .X (n_8240));
+  sky130_fd_sc_hd__a221o_1 g432934(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [18]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [18]), .C1 (n_7715), .X (n_8239));
+  sky130_fd_sc_hd__a221o_1 g432935(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [19]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [19]), .C1 (n_7714), .X (n_8238));
+  sky130_fd_sc_hd__a222oi_1 g432936(.A1 (n_3005), .A2 (n_7606), .B1
+       (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [20]), .C1 (n_7965), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [20]), .Y (n_8237));
+  sky130_fd_sc_hd__a221o_1 g432937(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [21]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [21]), .C1 (n_7713), .X (n_8236));
+  sky130_fd_sc_hd__a221o_1 g432938(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [22]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [22]), .C1 (n_7712), .X (n_8235));
+  sky130_fd_sc_hd__a221o_1 g432939(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [23]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [23]), .C1 (n_7711), .X (n_8234));
+  sky130_fd_sc_hd__o21ai_0 g432940(.A1 (n_7971), .A2 (n_7916), .B1
+       (n_6873), .Y (n_8233));
+  sky130_fd_sc_hd__o2111ai_1 g432941(.A1 (n_1141), .A2 (n_2983), .B1
+       (n_7189), .C1 (n_5530), .D1 (n_7872), .Y (n_8232));
+  sky130_fd_sc_hd__o2bb2ai_1 g432942(.A1_N (n_7910), .A2_N (n_5857),
+       .B1 (n_175), .B2 (n_1405), .Y (n_8231));
+  sky130_fd_sc_hd__o21ai_1 g432943(.A1 (n_7610), .A2 (n_7912), .B1
+       (n_1462), .Y (n_8230));
+  sky130_fd_sc_hd__o21ai_0 g432944(.A1 (n_7256), .A2 (n_7915), .B1
+       (n_7627), .Y (n_8229));
+  sky130_fd_sc_hd__a221oi_1 g432945(.A1 (n_3079), .A2 (n_6616), .B1
+       (n_2418), .B2 (n_13611), .C1 (n_7885), .Y (n_8228));
+  sky130_fd_sc_hd__a221o_1 g432946(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [2]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [2]), .C1 (n_7734), .X (n_8227));
+  sky130_fd_sc_hd__a221o_1 g432947(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [3]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [3]), .C1 (n_7733), .X (n_8226));
+  sky130_fd_sc_hd__a221o_1 g432948(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [4]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [4]), .C1 (n_7732), .X (n_8225));
+  sky130_fd_sc_hd__a221o_1 g432949(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [5]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [5]), .C1 (n_7731), .X (n_8224));
+  sky130_fd_sc_hd__a221o_1 g432950(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [6]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [6]), .C1 (n_7730), .X (n_8223));
+  sky130_fd_sc_hd__a221o_1 g432951(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [7]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [7]), .C1 (n_7729), .X (n_8222));
+  sky130_fd_sc_hd__a221o_1 g432952(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [18]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [18]), .C1 (n_7728), .X (n_8221));
+  sky130_fd_sc_hd__a221o_1 g432953(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [19]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [19]), .C1 (n_7727), .X (n_8220));
+  sky130_fd_sc_hd__a221o_1 g432954(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [20]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [20]), .C1 (n_7726), .X (n_8219));
+  sky130_fd_sc_hd__a221o_1 g432955(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [21]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [21]), .C1 (n_7725), .X (n_8218));
+  sky130_fd_sc_hd__a221o_1 g432956(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [22]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [22]), .C1 (n_7724), .X (n_8217));
+  sky130_fd_sc_hd__a221o_1 g432957(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [23]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [23]), .C1 (n_7723), .X (n_8216));
+  sky130_fd_sc_hd__a221oi_1 g432958(.A1 (n_1217), .A2 (n_7816), .B1
+       (n_13380), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[17]), .C1
+       (n_5923), .Y (n_8215));
+  sky130_fd_sc_hd__a221oi_1 g432959(.A1 (n_1217), .A2 (n_7817), .B1
+       (n_13380), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[21]), .C1
+       (n_5927), .Y (n_8214));
+  sky130_fd_sc_hd__a221oi_1 g432960(.A1 (n_13380), .A2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[6]), .B1 (n_1746), .B2
+       (\u_soc_xbar_to_dccm[a_address] [5]), .C1 (n_7906), .Y (n_8213));
+  sky130_fd_sc_hd__a32oi_1 g432961(.A1 (n_7805), .A2 (n_7254), .A3
+       (n_6858), .B1 (n_7393), .B2 (n_6856), .Y (n_8212));
+  sky130_fd_sc_hd__a222oi_1 g432962(.A1 (u_soc_u_top_u_core_pc_id[7]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[7]),
+       .C1 (n_7910), .C2 (n_1213), .Y (n_8211));
+  sky130_fd_sc_hd__a222oi_1 g432963(.A1 (u_soc_u_top_u_core_pc_id[1]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[1]),
+       .C1 (n_7912), .C2 (n_1213), .Y (n_8210));
+  sky130_fd_sc_hd__a222oi_1 g432964(.A1 (n_6502), .A2 (n_7803), .B1
+       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[14]), .C1
+       (n_7966), .C2 (n_5965), .Y (n_8209));
+  sky130_fd_sc_hd__o21ai_1 g432965(.A1 (n_7913), .A2 (n_2674), .B1
+       (n_5522), .Y (n_8208));
+  sky130_fd_sc_hd__o21ai_1 g432966(.A1 (n_7911), .A2 (n_2674), .B1
+       (n_5516), .Y (n_8207));
+  sky130_fd_sc_hd__o21ai_1 g432967(.A1 (n_7909), .A2 (n_2674), .B1
+       (n_5512), .Y (n_8206));
+  sky130_fd_sc_hd__a221o_1 g432968(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [8]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [8]), .C1 (n_7822), .X (n_8205));
+  sky130_fd_sc_hd__a221o_1 g432969(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [9]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [9]), .C1 (n_7823), .X (n_8204));
+  sky130_fd_sc_hd__a221o_1 g432970(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [10]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [10]), .C1 (n_7690), .X (n_8203));
+  sky130_fd_sc_hd__a221o_1 g432971(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [11]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [11]), .C1 (n_7768), .X (n_8202));
+  sky130_fd_sc_hd__a221o_1 g432972(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [12]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [12]), .C1 (n_7767), .X (n_8201));
+  sky130_fd_sc_hd__a221o_1 g432973(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [13]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [13]), .C1 (n_7766), .X (n_8200));
+  sky130_fd_sc_hd__a221o_1 g432974(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [14]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [14]), .C1 (n_7765), .X (n_8199));
+  sky130_fd_sc_hd__a221o_1 g432975(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [15]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [15]), .C1 (n_7764), .X (n_8198));
+  sky130_fd_sc_hd__a221o_1 g432976(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [24]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [24]), .C1 (n_7763), .X (n_8197));
+  sky130_fd_sc_hd__a221o_1 g432977(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [25]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [25]), .C1 (n_7762), .X (n_8196));
+  sky130_fd_sc_hd__a221o_1 g432978(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [26]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [26]), .C1 (n_7761), .X (n_8195));
+  sky130_fd_sc_hd__a221o_1 g432979(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [27]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [27]), .C1 (n_7760), .X (n_8194));
+  sky130_fd_sc_hd__a221o_1 g432980(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [28]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [28]), .C1 (n_7759), .X (n_8193));
+  sky130_fd_sc_hd__a221o_1 g432981(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [29]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [29]), .C1 (n_7758), .X (n_8192));
+  sky130_fd_sc_hd__a221o_1 g432982(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [30]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [30]), .C1 (n_7757), .X (n_8191));
+  sky130_fd_sc_hd__a221o_1 g432983(.A1 (n_7907), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [31]), .B1 (n_7771), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [31]), .C1 (n_7756), .X (n_8190));
+  sky130_fd_sc_hd__a221o_1 g432984(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [8]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [8]), .C1 (n_7755), .X (n_8189));
+  sky130_fd_sc_hd__a221o_1 g432985(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [9]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [9]), .C1 (n_7754), .X (n_8188));
+  sky130_fd_sc_hd__a221o_1 g432986(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [10]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [10]), .C1 (n_7753), .X (n_8187));
+  sky130_fd_sc_hd__a221o_1 g432987(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [11]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [11]), .C1 (n_7752), .X (n_8186));
+  sky130_fd_sc_hd__a221o_1 g432988(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [12]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [12]), .C1 (n_7751), .X (n_8185));
+  sky130_fd_sc_hd__a221o_1 g432989(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [13]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [13]), .C1 (n_7750), .X (n_8184));
+  sky130_fd_sc_hd__a221o_1 g432990(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [14]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [14]), .C1 (n_7749), .X (n_8183));
+  sky130_fd_sc_hd__a221o_1 g432991(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [15]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [15]), .C1 (n_7748), .X (n_8182));
+  sky130_fd_sc_hd__o21ai_1 g432992(.A1 (n_7913), .A2 (n_2680), .B1
+       (n_5490), .Y (n_8181));
+  sky130_fd_sc_hd__a222oi_1 g432993(.A1 (n_5842), .A2 (n_7606), .B1
+       (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [24]), .C1 (n_7965), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [24]), .Y (n_8180));
+  sky130_fd_sc_hd__a221o_1 g432994(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [25]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [25]), .C1 (n_7747), .X (n_8179));
+  sky130_fd_sc_hd__a221o_1 g432995(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [26]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [26]), .C1 (n_7746), .X (n_8178));
+  sky130_fd_sc_hd__a221o_1 g432996(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [27]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [27]), .C1 (n_7745), .X (n_8177));
+  sky130_fd_sc_hd__a221o_1 g432997(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [28]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [28]), .C1 (n_7744), .X (n_8176));
+  sky130_fd_sc_hd__a221o_1 g432998(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [29]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [29]), .C1 (n_7743), .X (n_8175));
+  sky130_fd_sc_hd__a221o_1 g432999(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [30]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [30]), .C1 (n_7742), .X (n_8174));
+  sky130_fd_sc_hd__a221o_1 g433000(.A1 (n_7965), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2]
+       [31]), .B1 (n_7770), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [31]), .C1 (n_7741), .X (n_8173));
+  sky130_fd_sc_hd__o21ai_1 g433001(.A1 (n_7911), .A2 (n_2680), .B1
+       (n_5484), .Y (n_8172));
+  sky130_fd_sc_hd__o21ai_1 g433002(.A1 (n_7909), .A2 (n_2680), .B1
+       (n_5480), .Y (n_8171));
+  sky130_fd_sc_hd__o21ai_0 g433003(.A1 (n_1212), .A2 (n_7909), .B1
+       (n_1969), .Y (n_8170));
+  sky130_fd_sc_hd__o21ai_1 g433004(.A1 (n_1848), .A2 (n_7911), .B1
+       (n_2311), .Y (n_8169));
+  sky130_fd_sc_hd__o21ai_0 g433005(.A1 (n_1848), .A2 (n_7909), .B1
+       (n_2398), .Y (n_8168));
+  sky130_fd_sc_hd__a221oi_1 g433006(.A1 (n_2419), .A2 (n_6650), .B1
+       (n_1746), .B2 (\u_soc_lsu_to_xbar[a_address] [15]), .C1
+       (n_7927), .Y (n_8167));
+  sky130_fd_sc_hd__a221oi_1 g433007(.A1 (n_2419), .A2 (n_6648), .B1
+       (n_1746), .B2 (\u_soc_lsu_to_xbar[a_address] [17]), .C1
+       (n_7930), .Y (n_8166));
+  sky130_fd_sc_hd__o2111ai_1 g433008(.A1 (n_6316), .A2 (n_3080), .B1
+       (n_2209), .C1 (n_5593), .D1 (n_7707), .Y (n_8165));
+  sky130_fd_sc_hd__o2bb2ai_1 g433009(.A1_N
+       (u_soc_u_top_u_core_debug_ebreaku), .A2_N (n_1415), .B1
+       (n_7909), .B2 (n_1415), .Y (n_8164));
+  sky130_fd_sc_hd__a22oi_1 g433010(.A1 (n_7966), .A2 (n_5952), .B1
+       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[12]), .Y
+       (n_8163));
+  sky130_fd_sc_hd__a221o_1 g433011(.A1 (n_2419), .A2 (n_6639), .B1
+       (n_2986), .B2 (\u_soc_xbar_to_dccm[a_address] [7]), .C1
+       (n_7895), .X (n_8162));
+  sky130_fd_sc_hd__a221oi_1 g433012(.A1 (n_2418), .A2 (n_1398), .B1
+       (n_13380), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[20]), .C1
+       (n_7899), .Y (n_8161));
+  sky130_fd_sc_hd__a22o_1 g433013(.A1 (n_7912), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[1]), .X (n_8160));
+  sky130_fd_sc_hd__a221oi_1 g433014(.A1 (n_2418), .A2 (n_1395), .B1
+       (n_2986), .B2 (\u_soc_lsu_to_xbar[a_address] [27]), .C1
+       (n_7898), .Y (n_8159));
+  sky130_fd_sc_hd__a22o_1 g433015(.A1 (n_7910), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[7]), .X (n_8158));
+  sky130_fd_sc_hd__a221oi_1 g433016(.A1 (n_2418), .A2 (n_1393), .B1
+       (n_2986), .B2 (\u_soc_lsu_to_xbar[a_address] [29]), .C1
+       (n_7897), .Y (n_8157));
+  sky130_fd_sc_hd__a221oi_1 g433017(.A1 (n_2418), .A2 (n_1362), .B1
+       (n_2986), .B2 (\u_soc_lsu_to_xbar[a_address] [30]), .C1
+       (n_7896), .Y (n_8156));
+  sky130_fd_sc_hd__a22o_1 g433018(.A1 (n_7908), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[12]), .X (n_8155));
+  sky130_fd_sc_hd__a22oi_1 g433019(.A1 (n_7974), .A2 (n_1756), .B1
+       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[0]), .Y (n_8154));
+  sky130_fd_sc_hd__a22oi_1 g433020(.A1 (n_7975), .A2 (n_1756), .B1
+       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[1]), .Y (n_8153));
+  sky130_fd_sc_hd__a221oi_1 g433021(.A1 (n_2008), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [2]), .B1 (n_2987), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [2]), .C1 (n_7880), .Y (n_8152));
+  sky130_fd_sc_hd__a22oi_1 g433022(.A1 (n_7976), .A2 (n_1756), .B1
+       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[2]), .Y (n_8151));
+  sky130_fd_sc_hd__a221oi_1 g433023(.A1 (n_2008), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [3]), .B1 (n_2987), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [3]), .C1 (n_7879), .Y (n_8150));
+  sky130_fd_sc_hd__a221oi_1 g433024(.A1 (n_2008), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [4]), .B1 (n_2987), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [4]), .C1 (n_7878), .Y (n_8149));
+  sky130_fd_sc_hd__a22oi_1 g433025(.A1 (n_7978), .A2 (n_1756), .B1
+       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[4]), .Y (n_8148));
+  sky130_fd_sc_hd__a221oi_1 g433026(.A1 (n_2008), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [5]), .B1 (n_2987), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [5]), .C1 (n_7877), .Y (n_8147));
+  sky130_fd_sc_hd__a22oi_1 g433027(.A1 (n_7979), .A2 (n_1756), .B1
+       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[5]), .Y (n_8146));
+  sky130_fd_sc_hd__a221oi_1 g433028(.A1 (n_2008), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [6]), .B1 (n_2987), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [6]), .C1 (n_7876), .Y (n_8145));
+  sky130_fd_sc_hd__a22oi_1 g433029(.A1 (n_7973), .A2 (n_1756), .B1
+       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[6]), .Y (n_8144));
+  sky130_fd_sc_hd__a22oi_1 g433030(.A1 (n_7969), .A2 (n_1756), .B1
+       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[7]), .Y (n_8143));
+  sky130_fd_sc_hd__a22oi_1 g433031(.A1 (n_7975), .A2 (n_1874), .B1
+       (n_1852), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]), .Y (n_8142));
+  sky130_fd_sc_hd__a22oi_1 g433032(.A1 (n_7976), .A2 (n_1874), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[18]), .Y (n_8141));
+  sky130_fd_sc_hd__a22oi_1 g433033(.A1 (n_7978), .A2 (n_1874), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[20]), .Y (n_8140));
+  sky130_fd_sc_hd__a22oi_1 g433034(.A1 (n_7979), .A2 (n_1874), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[21]), .Y (n_8139));
+  sky130_fd_sc_hd__a22oi_1 g433035(.A1 (n_7974), .A2 (n_1869), .B1
+       (n_7264), .B2 (n_2281), .Y (n_8138));
+  sky130_fd_sc_hd__a22oi_1 g433036(.A1 (n_7976), .A2 (n_1869), .B1
+       (n_7260), .B2 (n_2281), .Y (n_8137));
+  sky130_fd_sc_hd__a22oi_1 g433037(.A1 (n_7979), .A2 (n_1869), .B1
+       (n_7266), .B2 (n_2281), .Y (n_8136));
+  sky130_fd_sc_hd__a2bb2oi_1 g433038(.A1_N
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[8]), .A2_N (n_7631),
+       .B1 (u_soc_u_uart_u_uart_core_write_fifo_waddr[8]), .B2
+       (n_7631), .Y (n_8135));
+  sky130_fd_sc_hd__a21oi_1 g433039(.A1 (n_2418), .A2 (n_1402), .B1
+       (n_8094), .Y (n_8134));
+  sky130_fd_sc_hd__a221o_1 g433040(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[1]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[1]), .C1 (n_8131), .X (n_8133));
+  sky130_fd_sc_hd__o21ai_1 g433041(.A1 (n_7813), .A2 (n_7919), .B1
+       (n_7253), .Y (n_8289));
+  sky130_fd_sc_hd__a22oi_1 g433042(.A1 (n_7969), .A2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]), .B1
+       (n_7797), .B2 (n_28), .Y (n_8288));
+  sky130_fd_sc_hd__a32oi_1 g433043(.A1 (n_7820), .A2 (n_110), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13497), .B2 (n_1215), .Y
+       (n_8287));
+  sky130_fd_sc_hd__a32oi_1 g433044(.A1 (n_7817), .A2 (n_623), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13516), .B2 (n_1215), .Y
+       (n_8285));
+  sky130_fd_sc_hd__a32oi_1 g433045(.A1 (n_7816), .A2 (n_131), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13512), .B2 (n_1215), .Y
+       (n_8283));
+  sky130_fd_sc_hd__inv_2 g433046(.A (n_8125), .Y (n_8126));
+  sky130_fd_sc_hd__inv_2 g433047(.A (n_8124), .Y (n_8123));
+  sky130_fd_sc_hd__or4_1 g433048(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [16]), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [15]), .C
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [14]), .D (n_7599), .X (n_8122));
+  sky130_fd_sc_hd__or4_1 g433049(.A (n_13402), .B (n_13404), .C
+       (n_13403), .D (n_7598), .X (n_8121));
+  sky130_fd_sc_hd__o2111ai_1 g433050(.A1 (n_6599), .A2 (n_3080), .B1
+       (n_5123), .C1 (n_7450), .D1 (n_5560), .Y (n_8120));
+  sky130_fd_sc_hd__o2111ai_1 g433051(.A1 (n_6600), .A2 (n_3080), .B1
+       (n_5124), .C1 (n_7451), .D1 (n_5561), .Y (n_8119));
+  sky130_fd_sc_hd__a221o_1 g433052(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[31]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[31]), .C1 (n_7983), .X (n_8118));
+  sky130_fd_sc_hd__a21oi_1 g433053(.A1 (n_2986), .A2
+       (\u_soc_xbar_to_dccm[a_address] [10]), .B1 (n_7888), .Y
+       (n_8117));
+  sky130_fd_sc_hd__a21oi_1 g433054(.A1 (n_2986), .A2
+       (\u_soc_xbar_to_dccm[a_address] [9]), .B1 (n_7889), .Y (n_8116));
+  sky130_fd_sc_hd__nand4_1 g433055(.A (n_7252), .B (n_7640), .C
+       (n_7383), .D (n_7374), .Y (n_8115));
+  sky130_fd_sc_hd__a31oi_1 g433056(.A1 (n_7620), .A2 (n_7387), .A3
+       (n_7626), .B1 (n_6857), .Y (n_8114));
+  sky130_fd_sc_hd__o22ai_1 g433057(.A1 (n_5955), .A2 (n_15907), .B1
+       (n_6002), .B2 (n_7796), .Y (n_8113));
+  sky130_fd_sc_hd__a221oi_1 g433058(.A1 (n_2986), .A2
+       (\u_soc_xbar_to_dccm[a_address] [5]), .B1 (n_1746), .B2
+       (\u_soc_xbar_to_dccm[a_address] [4]), .C1 (n_7831), .Y (n_8112));
+  sky130_fd_sc_hd__a21oi_1 g433059(.A1 (n_7344), .A2 (n_6856), .B1
+       (n_7919), .Y (n_8111));
+  sky130_fd_sc_hd__nand4_1 g433060(.A (n_5538), .B (n_7720), .C
+       (n_6944), .D (n_5223), .Y (n_8110));
+  sky130_fd_sc_hd__a21o_1 g433061(.A1 (n_1750), .A2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[6]), .B1
+       (n_7922), .X (n_8109));
+  sky130_fd_sc_hd__a21o_1 g433062(.A1 (n_1406), .A2
+       (u_soc_u_top_u_core_exc_cause[5]), .B1 (n_7983), .X (n_8108));
+  sky130_fd_sc_hd__nor3_1 g433063(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .B (n_7558), .C
+       (n_15909), .Y (n_8107));
+  sky130_fd_sc_hd__nor2_1 g433064(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_7657), .Y
+       (n_8106));
+  sky130_fd_sc_hd__nor2_1 g433065(.A (n_7911), .B (n_2678), .Y
+       (n_8105));
+  sky130_fd_sc_hd__nor2_1 g433066(.A (n_7911), .B (n_2676), .Y
+       (n_8104));
+  sky130_fd_sc_hd__nor2_1 g433067(.A (n_7913), .B (n_2678), .Y
+       (n_8103));
+  sky130_fd_sc_hd__nor2_1 g433068(.A (n_7913), .B (n_2676), .Y
+       (n_8102));
+  sky130_fd_sc_hd__nor2b_1 g433069(.A (n_7915), .B_N (n_7628), .Y
+       (n_8101));
+  sky130_fd_sc_hd__nor2_1 g433070(.A (n_7909), .B (n_2254), .Y
+       (n_8100));
+  sky130_fd_sc_hd__nor2_1 g433071(.A (n_7909), .B (n_2678), .Y
+       (n_8099));
+  sky130_fd_sc_hd__nor2_1 g433072(.A (n_7909), .B (n_2676), .Y
+       (n_8098));
+  sky130_fd_sc_hd__o21a_1 g433073(.A1 (n_7803), .A2 (n_7818), .B1
+       (n_7265), .X (n_8097));
+  sky130_fd_sc_hd__nor2b_1 g433074(.A (n_7972), .B_N (n_7819), .Y
+       (n_8096));
+  sky130_fd_sc_hd__a221o_1 g433075(.A1 (n_3075), .A2 (n_13677), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]), .C1
+       (n_7696), .X (n_8095));
+  sky130_fd_sc_hd__nor2_1 g433076(.A (n_7920), .B (n_1216), .Y
+       (n_8094));
+  sky130_fd_sc_hd__o2111ai_1 g433077(.A1 (n_1416), .A2 (n_6589), .B1
+       (n_6905), .C1 (n_7349), .D1 (n_7891), .Y (n_8093));
+  sky130_fd_sc_hd__nand2_1 g433078(.A (n_7969), .B (n_1874), .Y
+       (n_8092));
+  sky130_fd_sc_hd__nand2_1 g433079(.A (n_7973), .B (n_1874), .Y
+       (n_8091));
+  sky130_fd_sc_hd__nor3b_1 g433080(.A
+       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .B (n_6856),
+       .C_N (n_7805), .Y (n_8132));
+  sky130_fd_sc_hd__nor2_1 g433086(.A (n_1848), .B (n_7913), .Y
+       (n_8131));
+  sky130_fd_sc_hd__nor2_1 g433099(.A (n_7971), .B (n_7894), .Y
+       (n_8130));
+  sky130_fd_sc_hd__nand2_1 g433101(.A (n_7633), .B (n_1452), .Y
+       (n_8129));
+  sky130_fd_sc_hd__and3_1 g433110(.A (n_7810), .B (n_7687), .C
+       (n_7565), .X (n_8128));
+  sky130_fd_sc_hd__nand2_1 g433118(.A (n_15909), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[7]), .Y (n_8127));
+  sky130_fd_sc_hd__nand2_1 g433145(.A (n_1750), .B (n_7981), .Y
+       (n_8125));
+  sky130_fd_sc_hd__nand2_1 g433146(.A (n_7796), .B (n_7982), .Y
+       (n_8124));
+  sky130_fd_sc_hd__inv_1 g433147(.A (n_8088), .Y (n_8089));
+  sky130_fd_sc_hd__inv_2 g433148(.A (n_8085), .Y (n_8084));
+  sky130_fd_sc_hd__inv_2 g433149(.A (n_8083), .Y (n_8082));
+  sky130_fd_sc_hd__inv_2 g433150(.A (n_8081), .Y (n_8080));
+  sky130_fd_sc_hd__inv_2 g433151(.A (n_8079), .Y (n_8078));
+  sky130_fd_sc_hd__clkinv_1 g433152(.A (n_8077), .Y (n_8076));
+  sky130_fd_sc_hd__inv_2 g433153(.A (n_8075), .Y (n_8074));
+  sky130_fd_sc_hd__o21ai_1 g433154(.A1 (n_7773), .A2 (n_2680), .B1
+       (n_5475), .Y (n_8073));
+  sky130_fd_sc_hd__a221o_1 g433155(.A1 (n_3075), .A2 (n_13687), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]), .C1
+       (n_7694), .X (n_8072));
+  sky130_fd_sc_hd__a221o_1 g433156(.A1 (n_3075), .A2 (n_13691), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]), .C1
+       (n_7693), .X (n_8071));
+  sky130_fd_sc_hd__a221o_1 g433157(.A1 (n_3074), .A2 (n_13612), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]), .C1
+       (n_7692), .X (n_8070));
+  sky130_fd_sc_hd__a221o_1 g433158(.A1 (n_3074), .A2 (n_13621), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]), .C1
+       (n_7691), .X (n_8069));
+  sky130_fd_sc_hd__a221o_1 g433159(.A1 (n_3074), .A2 (n_13622), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]), .C1
+       (n_7769), .X (n_8068));
+  sky130_fd_sc_hd__a221o_1 g433160(.A1 (n_3074), .A2 (n_13626), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]), .C1
+       (n_7689), .X (n_8067));
+  sky130_fd_sc_hd__a221o_1 g433161(.A1 (n_3075), .A2 (n_13681), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]), .C1
+       (n_7702), .X (n_8066));
+  sky130_fd_sc_hd__a221o_1 g433162(.A1 (n_3075), .A2 (n_13683), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]), .C1
+       (n_7701), .X (n_8065));
+  sky130_fd_sc_hd__a221o_1 g433163(.A1 (n_3074), .A2 (n_13616), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]), .C1
+       (n_7700), .X (n_8064));
+  sky130_fd_sc_hd__a221o_1 g433164(.A1 (n_3074), .A2 (n_13618), .B1
+       (n_2679), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]), .C1
+       (n_7699), .X (n_8063));
+  sky130_fd_sc_hd__o21a_1 g433165(.A1 (n_7276), .A2 (n_7811), .B1
+       (n_5954), .X (n_8062));
+  sky130_fd_sc_hd__a22oi_1 g433166(.A1 (n_7619), .A2 (n_7346), .B1
+       (n_7803), .B2 (n_6501), .Y (n_8061));
+  sky130_fd_sc_hd__nand2_1 g433167(.A (n_7902), .B (n_7386), .Y
+       (n_8060));
+  sky130_fd_sc_hd__a22oi_1 g433168(.A1 (n_7797), .A2 (n_2281), .B1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[23]), .B2 (n_8), .Y
+       (n_8059));
+  sky130_fd_sc_hd__a22oi_1 g433169(.A1 (n_7797), .A2 (n_1871), .B1
+       (n_7268), .B2 (n_1873), .Y (n_8058));
+  sky130_fd_sc_hd__a22oi_1 g433170(.A1 (n_7806), .A2 (n_1419), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[15]), .Y (n_8057));
+  sky130_fd_sc_hd__a22oi_1 g433171(.A1 (n_7807), .A2 (n_1419), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[14]), .Y (n_8056));
+  sky130_fd_sc_hd__a22oi_1 g433172(.A1 (n_7804), .A2 (n_1871), .B1
+       (n_7266), .B2 (n_1873), .Y (n_8055));
+  sky130_fd_sc_hd__a21oi_1 g433173(.A1 (n_7798), .A2 (n_6857), .B1
+       (n_7392), .Y (n_8054));
+  sky130_fd_sc_hd__a22oi_1 g433174(.A1 (n_7802), .A2 (n_1871), .B1
+       (n_7259), .B2 (n_1873), .Y (n_8053));
+  sky130_fd_sc_hd__a22oi_1 g433175(.A1 (n_7801), .A2 (n_1871), .B1
+       (n_7260), .B2 (n_1873), .Y (n_8052));
+  sky130_fd_sc_hd__a221oi_1 g433176(.A1 (n_2149), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [1]), .B1 (n_2008), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [1]), .C1 (n_7698), .Y (n_8051));
+  sky130_fd_sc_hd__a222oi_1 g433177(.A1 (u_soc_u_top_u_core_pc_id[15]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[15]),
+       .C1 (n_7782), .C2 (n_1213), .Y (n_8050));
+  sky130_fd_sc_hd__a222oi_1 g433178(.A1 (u_soc_u_top_u_core_pc_id[16]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[16]),
+       .C1 (n_7772), .C2 (n_1213), .Y (n_8049));
+  sky130_fd_sc_hd__a222oi_1 g433179(.A1 (u_soc_u_top_u_core_pc_id[18]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[18]),
+       .C1 (n_7776), .C2 (n_1213), .Y (n_8048));
+  sky130_fd_sc_hd__a222oi_1 g433180(.A1 (u_soc_u_top_u_core_pc_id[19]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[19]),
+       .C1 (n_7778), .C2 (n_1213), .Y (n_8047));
+  sky130_fd_sc_hd__a222oi_1 g433181(.A1 (u_soc_u_top_u_core_pc_id[22]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[22]),
+       .C1 (n_7780), .C2 (n_1213), .Y (n_8046));
+  sky130_fd_sc_hd__a222oi_1 g433182(.A1 (u_soc_u_top_u_core_pc_id[23]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[23]),
+       .C1 (n_7774), .C2 (n_1213), .Y (n_8045));
+  sky130_fd_sc_hd__a222oi_1 g433183(.A1 (u_soc_u_top_u_core_pc_id[24]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[24]),
+       .C1 (n_7786), .C2 (n_1213), .Y (n_8044));
+  sky130_fd_sc_hd__a222oi_1 g433184(.A1 (u_soc_u_top_u_core_pc_id[25]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[25]),
+       .C1 (n_7788), .C2 (n_1213), .Y (n_8043));
+  sky130_fd_sc_hd__a222oi_1 g433185(.A1 (u_soc_u_top_u_core_pc_id[26]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[26]),
+       .C1 (n_7790), .C2 (n_1213), .Y (n_8042));
+  sky130_fd_sc_hd__a222oi_1 g433186(.A1 (u_soc_u_top_u_core_pc_id[28]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[28]),
+       .C1 (n_7784), .C2 (n_1213), .Y (n_8041));
+  sky130_fd_sc_hd__a222oi_1 g433187(.A1 (u_soc_u_top_u_core_pc_id[31]),
+       .A2 (n_1412), .B1 (n_1519), .B2 (u_soc_u_top_u_core_pc_if[31]),
+       .C1 (n_7792), .C2 (n_1213), .Y (n_8040));
+  sky130_fd_sc_hd__a22oi_1 g433188(.A1 (n_7800), .A2 (n_1871), .B1
+       (n_7264), .B2 (n_1873), .Y (n_8039));
+  sky130_fd_sc_hd__o21ai_1 g433189(.A1 (n_7795), .A2 (n_2674), .B1
+       (n_5518), .Y (n_8038));
+  sky130_fd_sc_hd__o21ai_1 g433190(.A1 (n_7783), .A2 (n_2674), .B1
+       (n_5508), .Y (n_8037));
+  sky130_fd_sc_hd__o21ai_1 g433191(.A1 (n_7773), .A2 (n_2674), .B1
+       (n_5507), .Y (n_8036));
+  sky130_fd_sc_hd__o21ai_1 g433192(.A1 (n_7777), .A2 (n_2674), .B1
+       (n_5505), .Y (n_8035));
+  sky130_fd_sc_hd__o21ai_1 g433193(.A1 (n_7779), .A2 (n_2674), .B1
+       (n_5504), .Y (n_8034));
+  sky130_fd_sc_hd__o21ai_1 g433194(.A1 (n_7775), .A2 (n_2674), .B1
+       (n_5500), .Y (n_8033));
+  sky130_fd_sc_hd__o21ai_1 g433195(.A1 (n_7781), .A2 (n_2674), .B1
+       (n_5501), .Y (n_8032));
+  sky130_fd_sc_hd__o21ai_1 g433196(.A1 (n_7787), .A2 (n_2674), .B1
+       (n_5499), .Y (n_8031));
+  sky130_fd_sc_hd__o21ai_1 g433197(.A1 (n_7789), .A2 (n_2674), .B1
+       (n_5498), .Y (n_8030));
+  sky130_fd_sc_hd__o21ai_1 g433198(.A1 (n_7791), .A2 (n_2674), .B1
+       (n_5497), .Y (n_8029));
+  sky130_fd_sc_hd__o21ai_1 g433199(.A1 (n_7785), .A2 (n_2674), .B1
+       (n_5495), .Y (n_8028));
+  sky130_fd_sc_hd__o21ai_1 g433200(.A1 (n_7793), .A2 (n_2674), .B1
+       (n_5492), .Y (n_8027));
+  sky130_fd_sc_hd__o21ai_1 g433201(.A1 (n_7795), .A2 (n_2680), .B1
+       (n_5486), .Y (n_8026));
+  sky130_fd_sc_hd__o21ai_1 g433202(.A1 (n_7783), .A2 (n_2680), .B1
+       (n_5476), .Y (n_8025));
+  sky130_fd_sc_hd__a221o_1 g433203(.A1 (n_3075), .A2 (n_13686), .B1
+       (n_2673), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]), .C1
+       (n_7695), .X (n_8024));
+  sky130_fd_sc_hd__o21ai_1 g433204(.A1 (n_7777), .A2 (n_2680), .B1
+       (n_5473), .Y (n_8023));
+  sky130_fd_sc_hd__o21ai_1 g433205(.A1 (n_7779), .A2 (n_2680), .B1
+       (n_5472), .Y (n_8022));
+  sky130_fd_sc_hd__o21ai_1 g433206(.A1 (n_7775), .A2 (n_2680), .B1
+       (n_5526), .Y (n_8021));
+  sky130_fd_sc_hd__o21ai_1 g433207(.A1 (n_7781), .A2 (n_2680), .B1
+       (n_5469), .Y (n_8020));
+  sky130_fd_sc_hd__o21ai_1 g433208(.A1 (n_7787), .A2 (n_2680), .B1
+       (n_5528), .Y (n_8019));
+  sky130_fd_sc_hd__o21ai_1 g433209(.A1 (n_7789), .A2 (n_2680), .B1
+       (n_5531), .Y (n_8018));
+  sky130_fd_sc_hd__o21ai_1 g433210(.A1 (n_7791), .A2 (n_2680), .B1
+       (n_5536), .Y (n_8017));
+  sky130_fd_sc_hd__o21ai_1 g433211(.A1 (n_7785), .A2 (n_2680), .B1
+       (n_5577), .Y (n_8016));
+  sky130_fd_sc_hd__o21ai_1 g433212(.A1 (n_7793), .A2 (n_2680), .B1
+       (n_5580), .Y (n_8015));
+  sky130_fd_sc_hd__o21ai_0 g433213(.A1 (n_1212), .A2 (n_7795), .B1
+       (n_1963), .Y (n_8014));
+  sky130_fd_sc_hd__o21ai_0 g433214(.A1 (n_1848), .A2 (n_7795), .B1
+       (n_2342), .Y (n_8013));
+  sky130_fd_sc_hd__o21ai_0 g433215(.A1 (n_1848), .A2 (n_7783), .B1
+       (n_2335), .Y (n_8012));
+  sky130_fd_sc_hd__o21ai_0 g433216(.A1 (n_1848), .A2 (n_7773), .B1
+       (n_2334), .Y (n_8011));
+  sky130_fd_sc_hd__o21ai_0 g433217(.A1 (n_1848), .A2 (n_7777), .B1
+       (n_2333), .Y (n_8010));
+  sky130_fd_sc_hd__o21ai_0 g433218(.A1 (n_1848), .A2 (n_7779), .B1
+       (n_2332), .Y (n_8009));
+  sky130_fd_sc_hd__o21ai_0 g433219(.A1 (n_1848), .A2 (n_7781), .B1
+       (n_2384), .Y (n_8008));
+  sky130_fd_sc_hd__o21ai_0 g433220(.A1 (n_1848), .A2 (n_7775), .B1
+       (n_2329), .Y (n_8007));
+  sky130_fd_sc_hd__o21ai_0 g433221(.A1 (n_1848), .A2 (n_7787), .B1
+       (n_2326), .Y (n_8006));
+  sky130_fd_sc_hd__o21ai_0 g433222(.A1 (n_1848), .A2 (n_7789), .B1
+       (n_2325), .Y (n_8005));
+  sky130_fd_sc_hd__o21ai_0 g433223(.A1 (n_1848), .A2 (n_7791), .B1
+       (n_2324), .Y (n_8004));
+  sky130_fd_sc_hd__o21ai_0 g433224(.A1 (n_1848), .A2 (n_7785), .B1
+       (n_2400), .Y (n_8003));
+  sky130_fd_sc_hd__nand4_1 g433225(.A (n_7655), .B (n_5597), .C
+       (n_2187), .D (n_5559), .Y (n_8002));
+  sky130_fd_sc_hd__a221o_1 g433226(.A1 (n_2421), .A2 (n_13563), .B1
+       (n_3085), .B2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[5]), .C1
+       (n_7739), .X (n_8001));
+  sky130_fd_sc_hd__a22oi_1 g433227(.A1 (n_7253), .A2 (n_7808), .B1
+       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[1]), .Y
+       (n_8000));
+  sky130_fd_sc_hd__o2bb2ai_1 g433228(.A1_N
+       (u_soc_u_top_u_core_debug_ebreakm), .A2_N (n_1415), .B1
+       (n_7783), .B2 (n_1415), .Y (n_7999));
+  sky130_fd_sc_hd__a21oi_1 g433229(.A1 (n_6709), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[15]), .B1 (n_7923), .Y
+       (n_7998));
+  sky130_fd_sc_hd__a22oi_1 g433230(.A1 (n_1217), .A2 (n_7820), .B1
+       (n_2418), .B2 (n_1384), .Y (n_7997));
+  sky130_fd_sc_hd__a22o_1 g433231(.A1 (n_7794), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[5]), .X (n_7996));
+  sky130_fd_sc_hd__a22o_1 g433232(.A1 (n_7782), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[15]), .X (n_7995));
+  sky130_fd_sc_hd__a22o_1 g433233(.A1 (n_7772), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[16]), .X (n_7994));
+  sky130_fd_sc_hd__a22o_1 g433234(.A1 (n_7776), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[18]), .X (n_7993));
+  sky130_fd_sc_hd__a22o_1 g433235(.A1 (n_7778), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[19]), .X (n_7992));
+  sky130_fd_sc_hd__a22o_1 g433236(.A1 (n_7780), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[22]), .X (n_7991));
+  sky130_fd_sc_hd__a22o_1 g433237(.A1 (n_7774), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[23]), .X (n_7990));
+  sky130_fd_sc_hd__a22o_1 g433238(.A1 (n_7786), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[24]), .X (n_7989));
+  sky130_fd_sc_hd__a22o_1 g433239(.A1 (n_7788), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[25]), .X (n_7988));
+  sky130_fd_sc_hd__a22o_1 g433240(.A1 (n_7790), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[26]), .X (n_7987));
+  sky130_fd_sc_hd__a22o_1 g433241(.A1 (n_7784), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[28]), .X (n_7986));
+  sky130_fd_sc_hd__a22o_1 g433242(.A1 (n_7792), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[31]), .X (n_7985));
+  sky130_fd_sc_hd__nand4_1 g433243(.A (n_7432), .B (n_1981), .C
+       (n_2663), .D (n_2969), .Y (n_8090));
+  sky130_fd_sc_hd__a22oi_1 g433244(.A1 (n_7806), .A2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]), .B1
+       (n_7268), .B2 (n_28), .Y (n_8088));
+  sky130_fd_sc_hd__a22oi_1 g433245(.A1 (n_7806), .A2 (n_28), .B1
+       (n_7268), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]), .Y
+       (n_8087));
+  sky130_fd_sc_hd__nor3_1 g433246(.A (n_6857), .B (n_7392), .C
+       (n_7799), .Y (n_8086));
+  sky130_fd_sc_hd__a32oi_1 g433247(.A1 (n_7639), .A2 (n_116), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13503), .B2 (n_1215), .Y
+       (n_8085));
+  sky130_fd_sc_hd__a32oi_1 g433248(.A1 (n_7637), .A2 (n_639), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13524), .B2 (n_1215), .Y
+       (n_8083));
+  sky130_fd_sc_hd__a32oi_1 g433249(.A1 (n_7638), .A2 (n_614), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13522), .B2 (n_1215), .Y
+       (n_8081));
+  sky130_fd_sc_hd__a32oi_1 g433250(.A1 (n_7635), .A2 (n_619), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13515), .B2 (n_1215), .Y
+       (n_8079));
+  sky130_fd_sc_hd__a32oi_1 g433251(.A1 (n_7636), .A2 (n_135), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13508), .B2 (n_1215), .Y
+       (n_8077));
+  sky130_fd_sc_hd__a32oi_1 g433252(.A1 (n_7634), .A2 (n_119), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13525), .B2 (n_1215), .Y
+       (n_8075));
+  sky130_fd_sc_hd__clkinv_1 g433253(.A (n_7971), .Y (n_7970));
+  sky130_fd_sc_hd__inv_1 g433254(.A (n_7969), .Y (n_7968));
+  sky130_fd_sc_hd__nor2_1 g433256(.A (n_7791), .B (n_2678), .Y
+       (n_7964));
+  sky130_fd_sc_hd__nor2_1 g433257(.A (n_7789), .B (n_2678), .Y
+       (n_7963));
+  sky130_fd_sc_hd__nor2_1 g433258(.A (n_7787), .B (n_2678), .Y
+       (n_7962));
+  sky130_fd_sc_hd__nor2_1 g433259(.A (n_7775), .B (n_2678), .Y
+       (n_7961));
+  sky130_fd_sc_hd__nor2_1 g433260(.A (n_7781), .B (n_2678), .Y
+       (n_7960));
+  sky130_fd_sc_hd__nor2_1 g433261(.A (n_7779), .B (n_2678), .Y
+       (n_7959));
+  sky130_fd_sc_hd__nor2_1 g433262(.A (n_7777), .B (n_2678), .Y
+       (n_7958));
+  sky130_fd_sc_hd__nor2_1 g433263(.A (n_7773), .B (n_2678), .Y
+       (n_7957));
+  sky130_fd_sc_hd__nor2_1 g433264(.A (n_7783), .B (n_2678), .Y
+       (n_7956));
+  sky130_fd_sc_hd__nor2_1 g433265(.A (n_7785), .B (n_2676), .Y
+       (n_7955));
+  sky130_fd_sc_hd__nor2_1 g433266(.A (n_7791), .B (n_2676), .Y
+       (n_7954));
+  sky130_fd_sc_hd__nor2_1 g433267(.A (n_7789), .B (n_2676), .Y
+       (n_7953));
+  sky130_fd_sc_hd__nor2_1 g433268(.A (n_7787), .B (n_2676), .Y
+       (n_7952));
+  sky130_fd_sc_hd__nor2_1 g433269(.A (n_7781), .B (n_2676), .Y
+       (n_7951));
+  sky130_fd_sc_hd__nor2_1 g433270(.A (n_7775), .B (n_2676), .Y
+       (n_7950));
+  sky130_fd_sc_hd__nor2_1 g433271(.A (n_7779), .B (n_2676), .Y
+       (n_7949));
+  sky130_fd_sc_hd__nor2_1 g433272(.A (n_7777), .B (n_2676), .Y
+       (n_7948));
+  sky130_fd_sc_hd__nor2_1 g433273(.A (n_7773), .B (n_2676), .Y
+       (n_7947));
+  sky130_fd_sc_hd__nor2_1 g433274(.A (n_7783), .B (n_2676), .Y
+       (n_7946));
+  sky130_fd_sc_hd__nor2_1 g433275(.A (n_7793), .B (n_2254), .Y
+       (n_7945));
+  sky130_fd_sc_hd__nor2_1 g433276(.A (n_7785), .B (n_2254), .Y
+       (n_7944));
+  sky130_fd_sc_hd__nor2_1 g433277(.A (n_7791), .B (n_2254), .Y
+       (n_7943));
+  sky130_fd_sc_hd__nor2_1 g433278(.A (n_7789), .B (n_2254), .Y
+       (n_7942));
+  sky130_fd_sc_hd__nor2_1 g433279(.A (n_7787), .B (n_2254), .Y
+       (n_7941));
+  sky130_fd_sc_hd__nor2_1 g433280(.A (n_7775), .B (n_2254), .Y
+       (n_7940));
+  sky130_fd_sc_hd__nor2_1 g433281(.A (n_7781), .B (n_2254), .Y
+       (n_7939));
+  sky130_fd_sc_hd__nor2_1 g433282(.A (n_7779), .B (n_2254), .Y
+       (n_7938));
+  sky130_fd_sc_hd__nor2_1 g433283(.A (n_7777), .B (n_2254), .Y
+       (n_7937));
+  sky130_fd_sc_hd__nor2_1 g433284(.A (n_7773), .B (n_2254), .Y
+       (n_7936));
+  sky130_fd_sc_hd__nor2_1 g433285(.A (n_7783), .B (n_2254), .Y
+       (n_7935));
+  sky130_fd_sc_hd__nor2_1 g433286(.A (n_7793), .B (n_2678), .Y
+       (n_7934));
+  sky130_fd_sc_hd__nor2_1 g433287(.A (n_7793), .B (n_2676), .Y
+       (n_7933));
+  sky130_fd_sc_hd__nor2_1 g433288(.A (n_7795), .B (n_2678), .Y
+       (n_7932));
+  sky130_fd_sc_hd__nor2_1 g433289(.A (n_7795), .B (n_2676), .Y
+       (n_7931));
+  sky130_fd_sc_hd__nand2_1 g433290(.A (n_7705), .B (n_5592), .Y
+       (n_7930));
+  sky130_fd_sc_hd__nor2_1 g433291(.A
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[7]), .B (n_7825), .Y
+       (n_7929));
+  sky130_fd_sc_hd__nand2_1 g433292(.A (n_7654), .B (n_5652), .Y
+       (n_7928));
+  sky130_fd_sc_hd__nand2_1 g433293(.A (n_7703), .B (n_5590), .Y
+       (n_7927));
+  sky130_fd_sc_hd__nand2_1 g433294(.A (n_7803), .B (n_5946), .Y
+       (n_7926));
+  sky130_fd_sc_hd__nor2_1 g433295(.A (n_5946), .B (n_7799), .Y
+       (n_7925));
+  sky130_fd_sc_hd__nand2_1 g433296(.A (n_7812), .B (n_6873), .Y
+       (n_7924));
+  sky130_fd_sc_hd__nor2_1 g433297(.A (n_6861), .B (n_7796), .Y
+       (n_7923));
+  sky130_fd_sc_hd__nor2_1 g433299(.A (n_1848), .B (n_7793), .Y
+       (n_7983));
+  sky130_fd_sc_hd__or2_1 g433301(.A (n_7808), .B (n_7252), .X (n_7982));
+  sky130_fd_sc_hd__nor2b_1 g433302(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[7]), .B_N
+       (n_7824), .Y (n_7981));
+  sky130_fd_sc_hd__nor2b_1 g433303(.A (n_7395), .B_N
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[7]), .Y (n_7980));
+  sky130_fd_sc_hd__nand4_1 g433305(.A (n_7365), .B (n_6404), .C
+       (n_1747), .D (n_6702), .Y (n_7979));
+  sky130_fd_sc_hd__nand4_1 g433306(.A (n_7364), .B (n_6405), .C
+       (n_1747), .D (n_6701), .Y (n_7978));
+  sky130_fd_sc_hd__nor2_1 g433307(.A (n_7803), .B (n_7814), .Y
+       (n_7977));
+  sky130_fd_sc_hd__nand4_1 g433308(.A (n_7363), .B (n_6406), .C
+       (n_1747), .D (n_6699), .Y (n_7976));
+  sky130_fd_sc_hd__nand4_1 g433309(.A (n_7362), .B (n_6689), .C
+       (n_1747), .D (n_6407), .Y (n_7975));
+  sky130_fd_sc_hd__nand4_1 g433310(.A (n_7361), .B (n_6408), .C
+       (n_1747), .D (n_6687), .Y (n_7974));
+  sky130_fd_sc_hd__nand4_1 g433311(.A (n_7366), .B (n_6703), .C
+       (n_1747), .D (n_6403), .Y (n_7973));
+  sky130_fd_sc_hd__nor2_1 g433321(.A (n_7798), .B (n_7625), .Y
+       (n_7972));
+  sky130_fd_sc_hd__nand2_1 g433341(.A (n_7796), .B (n_7618), .Y
+       (n_7971));
+  sky130_fd_sc_hd__nand4_1 g433342(.A (n_7367), .B (n_6704), .C
+       (n_1747), .D (n_6402), .Y (n_7969));
+  sky130_fd_sc_hd__nor2_1 g433343(.A (n_7799), .B (n_7625), .Y
+       (n_7966));
+  sky130_fd_sc_hd__nor2_1 g433348(.A (n_7606), .B (n_7770), .Y
+       (n_7965));
+  sky130_fd_sc_hd__inv_1 g433349(.A (n_7917), .Y (n_7918));
+  sky130_fd_sc_hd__inv_2 g433350(.A (n_7913), .Y (n_7912));
+  sky130_fd_sc_hd__inv_2 g433351(.A (n_7911), .Y (n_7910));
+  sky130_fd_sc_hd__inv_2 g433352(.A (n_7909), .Y (n_7908));
+  sky130_fd_sc_hd__o2111ai_1 g433353(.A1 (n_6604), .A2 (n_2420), .B1
+       (n_5225), .C1 (n_7376), .D1 (n_5570), .Y (n_7906));
+  sky130_fd_sc_hd__nor2_1 g433354(.A (n_7785), .B (n_2678), .Y
+       (n_7905));
+  sky130_fd_sc_hd__o22ai_1 g433355(.A1 (n_7270), .A2 (n_7632), .B1
+       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .B2 (n_7394), .Y
+       (n_7904));
+  sky130_fd_sc_hd__a221o_1 g433356(.A1 (n_2419), .A2 (n_6640), .B1
+       (n_2986), .B2 (\u_soc_xbar_to_dccm[a_address] [4]), .C1
+       (n_7566), .X (n_7903));
+  sky130_fd_sc_hd__nand2b_1 g433357(.A_N (n_7805), .B (n_7628), .Y
+       (n_7902));
+  sky130_fd_sc_hd__nor3_1 g433358(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .B (n_7309), .C
+       (n_7825), .Y (n_7901));
+  sky130_fd_sc_hd__a22o_1 g433359(.A1 (n_7612), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[14]), .X (n_7900));
+  sky130_fd_sc_hd__o2bb2ai_1 g433360(.A1_N (n_7635), .A2_N (n_1217),
+       .B1 (n_1179), .B2 (n_5364), .Y (n_7899));
+  sky130_fd_sc_hd__o2bb2ai_1 g433361(.A1_N (n_7638), .A2_N (n_1217),
+       .B1 (n_1176), .B2 (n_5364), .Y (n_7898));
+  sky130_fd_sc_hd__o2bb2ai_1 g433362(.A1_N (n_7637), .A2_N (n_1217),
+       .B1 (n_1200), .B2 (n_5364), .Y (n_7897));
+  sky130_fd_sc_hd__o2bb2ai_1 g433363(.A1_N (n_7634), .A2_N (n_1217),
+       .B1 (n_1185), .B2 (n_5364), .Y (n_7896));
+  sky130_fd_sc_hd__o221ai_1 g433364(.A1 (n_1021), .A2 (n_5364), .B1
+       (n_7399), .B2 (n_1216), .C1 (n_3049), .Y (n_7895));
+  sky130_fd_sc_hd__o21ai_1 g433365(.A1 (n_7602), .A2 (n_6923), .B1
+       (n_7809), .Y (n_7894));
+  sky130_fd_sc_hd__a22o_1 g433366(.A1 (n_7554), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[10]), .X (n_7893));
+  sky130_fd_sc_hd__a22o_1 g433367(.A1 (n_7556), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[9]), .X (n_7892));
+  sky130_fd_sc_hd__a221oi_1 g433368(.A1 (n_5939), .A2 (n_13417), .B1
+       (n_5938), .B2 (n_13418), .C1 (n_7651), .Y (n_7891));
+  sky130_fd_sc_hd__a221o_1 g433369(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[4]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[4]), .C1 (n_7815), .X (n_7890));
+  sky130_fd_sc_hd__o2111ai_1 g433370(.A1 (n_6794), .A2 (n_2420), .B1
+       (n_5131), .C1 (n_7377), .D1 (n_5567), .Y (n_7889));
+  sky130_fd_sc_hd__o2111ai_1 g433371(.A1 (n_6798), .A2 (n_2420), .B1
+       (n_5130), .C1 (n_7378), .D1 (n_5566), .Y (n_7888));
+  sky130_fd_sc_hd__a221oi_1 g433372(.A1 (n_5384), .A2 (n_5869), .B1
+       (n_1745), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [14]), .C1
+       (n_7653), .Y (n_7887));
+  sky130_fd_sc_hd__a221oi_1 g433373(.A1 (n_5384), .A2 (n_5870), .B1
+       (n_1745), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [15]), .C1
+       (n_7650), .Y (n_7886));
+  sky130_fd_sc_hd__o21ai_1 g433374(.A1 (n_6607), .A2 (n_2420), .B1
+       (n_7656), .Y (n_7885));
+  sky130_fd_sc_hd__a221oi_1 g433375(.A1 (n_2418), .A2 (n_1367), .B1
+       (n_2986), .B2 (\u_soc_lsu_to_xbar[a_address] [28]), .C1
+       (n_7559), .Y (n_7884));
+  sky130_fd_sc_hd__a22o_1 g433376(.A1 (n_7616), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[6]), .X (n_7883));
+  sky130_fd_sc_hd__a22o_1 g433377(.A1 (n_7614), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[4]), .X (n_7882));
+  sky130_fd_sc_hd__a221oi_1 g433378(.A1 (n_2418), .A2 (n_1363), .B1
+       (n_2986), .B2 (\u_soc_lsu_to_xbar[a_address] [26]), .C1
+       (n_7562), .Y (n_7881));
+  sky130_fd_sc_hd__nand4_1 g433379(.A (n_2960), .B (n_2616), .C
+       (n_7354), .D (n_2617), .Y (n_7880));
+  sky130_fd_sc_hd__nand4_1 g433380(.A (n_2961), .B (n_2615), .C
+       (n_7355), .D (n_2634), .Y (n_7879));
+  sky130_fd_sc_hd__nand4_1 g433381(.A (n_2962), .B (n_2614), .C
+       (n_7356), .D (n_2646), .Y (n_7878));
+  sky130_fd_sc_hd__nand4_1 g433382(.A (n_2931), .B (n_2625), .C
+       (n_7357), .D (n_2613), .Y (n_7877));
+  sky130_fd_sc_hd__nand4_1 g433383(.A (n_2933), .B (n_2671), .C
+       (n_7358), .D (n_2628), .Y (n_7876));
+  sky130_fd_sc_hd__a21oi_1 g433384(.A1 (n_7619), .A2 (n_7270), .B1
+       (n_7359), .Y (n_7875));
+  sky130_fd_sc_hd__a22o_1 g433385(.A1 (n_7610), .A2 (n_1405), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_csr_mtval[0]), .X (n_7874));
+  sky130_fd_sc_hd__a221oi_1 g433386(.A1 (n_2418), .A2 (n_1392), .B1
+       (n_2986), .B2 (\u_soc_lsu_to_xbar[a_address] [25]), .C1
+       (n_7564), .Y (n_7873));
+  sky130_fd_sc_hd__a221oi_1 g433387(.A1 (n_5384), .A2 (n_3144), .B1
+       (n_1745), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [0]), .C1
+       (n_7740), .Y (n_7872));
+  sky130_fd_sc_hd__nand3_1 g433388(.A (n_1655), .B (n_1483), .C
+       (n_7579), .Y (n_7871));
+  sky130_fd_sc_hd__nand3_1 g433389(.A (n_1740), .B (n_1508), .C
+       (n_7580), .Y (n_7870));
+  sky130_fd_sc_hd__nand3_1 g433390(.A (n_1668), .B (n_1502), .C
+       (n_7581), .Y (n_7869));
+  sky130_fd_sc_hd__nand3_1 g433391(.A (n_1662), .B (n_1492), .C
+       (n_7582), .Y (n_7868));
+  sky130_fd_sc_hd__nand3_1 g433392(.A (n_1654), .B (n_1484), .C
+       (n_7583), .Y (n_7867));
+  sky130_fd_sc_hd__nand3_1 g433393(.A (n_1667), .B (n_1490), .C
+       (n_7584), .Y (n_7866));
+  sky130_fd_sc_hd__nand3_1 g433394(.A (n_1653), .B (n_1493), .C
+       (n_7585), .Y (n_7865));
+  sky130_fd_sc_hd__nand3_1 g433395(.A (n_1652), .B (n_1501), .C
+       (n_7586), .Y (n_7864));
+  sky130_fd_sc_hd__nand3_1 g433396(.A (n_1651), .B (n_1487), .C
+       (n_7587), .Y (n_7863));
+  sky130_fd_sc_hd__nand3_1 g433397(.A (n_1669), .B (n_1504), .C
+       (n_7588), .Y (n_7862));
+  sky130_fd_sc_hd__nand3_1 g433398(.A (n_1650), .B (n_1505), .C
+       (n_7589), .Y (n_7861));
+  sky130_fd_sc_hd__nand3_1 g433399(.A (n_1640), .B (n_1500), .C
+       (n_7590), .Y (n_7860));
+  sky130_fd_sc_hd__nand3_1 g433400(.A (n_1649), .B (n_1499), .C
+       (n_7591), .Y (n_7859));
+  sky130_fd_sc_hd__nand3_1 g433401(.A (n_1644), .B (n_1498), .C
+       (n_7592), .Y (n_7858));
+  sky130_fd_sc_hd__nand3_1 g433402(.A (n_1648), .B (n_1482), .C
+       (n_7593), .Y (n_7857));
+  sky130_fd_sc_hd__nand3_1 g433403(.A (n_1636), .B (n_1506), .C
+       (n_7594), .Y (n_7856));
+  sky130_fd_sc_hd__nand3_1 g433404(.A (n_1647), .B (n_1497), .C
+       (n_7595), .Y (n_7855));
+  sky130_fd_sc_hd__nand3_1 g433405(.A (n_1645), .B (n_1488), .C
+       (n_7596), .Y (n_7854));
+  sky130_fd_sc_hd__nand3_1 g433406(.A (n_1629), .B (n_1495), .C
+       (n_7597), .Y (n_7853));
+  sky130_fd_sc_hd__o21ai_1 g433407(.A1 (n_7611), .A2 (n_2674), .B1
+       (n_5523), .Y (n_7852));
+  sky130_fd_sc_hd__o21ai_1 g433408(.A1 (n_7615), .A2 (n_2674), .B1
+       (n_5519), .Y (n_7851));
+  sky130_fd_sc_hd__o21ai_1 g433409(.A1 (n_7617), .A2 (n_2674), .B1
+       (n_5517), .Y (n_7850));
+  sky130_fd_sc_hd__o21ai_1 g433410(.A1 (n_7557), .A2 (n_2674), .B1
+       (n_5514), .Y (n_7849));
+  sky130_fd_sc_hd__o21ai_1 g433411(.A1 (n_7555), .A2 (n_2674), .B1
+       (n_5513), .Y (n_7848));
+  sky130_fd_sc_hd__o2111ai_1 g433412(.A1 (n_1374), .A2 (n_6), .B1
+       (n_7351), .C1 (n_7373), .D1 (n_1496), .Y (n_7847));
+  sky130_fd_sc_hd__o21ai_1 g433413(.A1 (n_7613), .A2 (n_2674), .B1
+       (n_5509), .Y (n_7846));
+  sky130_fd_sc_hd__o21ai_1 g433414(.A1 (n_7611), .A2 (n_2680), .B1
+       (n_5491), .Y (n_7845));
+  sky130_fd_sc_hd__o21ai_1 g433415(.A1 (n_7615), .A2 (n_2680), .B1
+       (n_5487), .Y (n_7844));
+  sky130_fd_sc_hd__o21ai_1 g433416(.A1 (n_7617), .A2 (n_2680), .B1
+       (n_5485), .Y (n_7843));
+  sky130_fd_sc_hd__o21ai_1 g433417(.A1 (n_7557), .A2 (n_2680), .B1
+       (n_5482), .Y (n_7842));
+  sky130_fd_sc_hd__o21ai_1 g433418(.A1 (n_7555), .A2 (n_2680), .B1
+       (n_5481), .Y (n_7841));
+  sky130_fd_sc_hd__o21ai_1 g433419(.A1 (n_7613), .A2 (n_2680), .B1
+       (n_5477), .Y (n_7840));
+  sky130_fd_sc_hd__o21ai_0 g433420(.A1 (n_1212), .A2 (n_7615), .B1
+       (n_1962), .Y (n_7839));
+  sky130_fd_sc_hd__o21ai_0 g433421(.A1 (n_1212), .A2 (n_7617), .B1
+       (n_1964), .Y (n_7838));
+  sky130_fd_sc_hd__o21ai_0 g433422(.A1 (n_1212), .A2 (n_7557), .B1
+       (n_1967), .Y (n_7837));
+  sky130_fd_sc_hd__o21ai_0 g433423(.A1 (n_1212), .A2 (n_7555), .B1
+       (n_1968), .Y (n_7836));
+  sky130_fd_sc_hd__o21ai_0 g433424(.A1 (n_1212), .A2 (n_7613), .B1
+       (n_1971), .Y (n_7835));
+  sky130_fd_sc_hd__o21ai_0 g433425(.A1 (n_1848), .A2 (n_7617), .B1
+       (n_2341), .Y (n_7834));
+  sky130_fd_sc_hd__o21ai_0 g433426(.A1 (n_1848), .A2 (n_7557), .B1
+       (n_2340), .Y (n_7833));
+  sky130_fd_sc_hd__o21ai_0 g433427(.A1 (n_1848), .A2 (n_7555), .B1
+       (n_2339), .Y (n_7832));
+  sky130_fd_sc_hd__o21ai_1 g433428(.A1 (n_7038), .A2 (n_2420), .B1
+       (n_7679), .Y (n_7831));
+  sky130_fd_sc_hd__o21ai_0 g433429(.A1 (n_1848), .A2 (n_7613), .B1
+       (n_2336), .Y (n_7830));
+  sky130_fd_sc_hd__a22oi_1 g433430(.A1 (n_7642), .A2 (n_5963), .B1
+       (n_6709), .B2 (u_soc_u_top_u_core_instr_rdata_id[8]), .Y
+       (n_7829));
+  sky130_fd_sc_hd__a22o_1 g433431(.A1 (n_7610), .A2 (n_1847), .B1
+       (n_1406), .B2 (u_soc_u_top_u_core_exc_cause[0]), .X (n_7828));
+  sky130_fd_sc_hd__a22oi_1 g433432(.A1 (n_1217), .A2 (n_7639), .B1
+       (n_2418), .B2 (n_1346), .Y (n_7827));
+  sky130_fd_sc_hd__a22oi_1 g433433(.A1 (n_1217), .A2 (n_7636), .B1
+       (n_2418), .B2 (n_1382), .Y (n_7826));
+  sky130_fd_sc_hd__o21ai_1 g433434(.A1 (n_7277), .A2 (n_1751), .B1
+       (n_3084), .Y (n_7922));
+  sky130_fd_sc_hd__o21a_1 g433435(.A1 (n_6861), .A2 (n_7626), .B1
+       (n_7624), .X (n_7921));
+  sky130_fd_sc_hd__a221oi_1 g433436(.A1 (n_1409), .A2
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[11]), .B1 (n_1214),
+       .B2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[11]), .C1
+       (n_7737), .Y (n_7920));
+  sky130_fd_sc_hd__nor2_1 g433437(.A (n_6857), .B (n_7683), .Y
+       (n_7919));
+  sky130_fd_sc_hd__a21oi_1 g433438(.A1 (n_7254), .A2 (n_6856), .B1
+       (n_7813), .Y (n_7917));
+  sky130_fd_sc_hd__o21ai_1 g433439(.A1 (n_15912), .A2 (n_6923), .B1
+       (n_7809), .Y (n_7916));
+  sky130_fd_sc_hd__nor2b_1 g433440(.A (n_6857), .B_N (n_7805), .Y
+       (n_7915));
+  sky130_fd_sc_hd__o211ai_1 g433441(.A1 (n_7255), .A2 (n_7273), .B1
+       (n_7386), .C1 (n_7620), .Y (n_7914));
+  sky130_fd_sc_hd__a32oi_1 g433442(.A1 (n_7402), .A2 (n_634), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13496), .B2 (n_1215), .Y
+       (n_7913));
+  sky130_fd_sc_hd__a32oi_1 g433443(.A1 (n_7398), .A2 (n_109), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13502), .B2 (n_1215), .Y
+       (n_7911));
+  sky130_fd_sc_hd__a32oi_1 g433444(.A1 (n_7397), .A2 (n_113), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13507), .B2 (n_1215), .Y
+       (n_7909));
+  sky130_fd_sc_hd__nor2_1 g433445(.A (n_7771), .B (n_7608), .Y
+       (n_7907));
+  sky130_fd_sc_hd__inv_1 g433446(.A (n_7395), .Y (n_7825));
+  sky130_fd_sc_hd__nor2_1 g433447(.A (n_5828), .B (n_7609), .Y
+       (n_7823));
+  sky130_fd_sc_hd__nor2_1 g433448(.A (n_5826), .B (n_7609), .Y
+       (n_7822));
+  sky130_fd_sc_hd__o21ai_1 g433449(.A1 (n_7342), .A2
+       (u_soc_u_top_u_core_pc_set), .B1 (n_7338), .Y (n_7821));
+  sky130_fd_sc_hd__nor2b_1 g433578(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[6]), .B_N
+       (n_7277), .Y (n_7824));
+  sky130_fd_sc_hd__inv_1 g433605(.A (n_7799), .Y (n_7798));
+  sky130_fd_sc_hd__clkinv_1 g433606(.A (n_7795), .Y (n_7794));
+  sky130_fd_sc_hd__inv_2 g433607(.A (n_7793), .Y (n_7792));
+  sky130_fd_sc_hd__inv_2 g433608(.A (n_7791), .Y (n_7790));
+  sky130_fd_sc_hd__inv_2 g433609(.A (n_7789), .Y (n_7788));
+  sky130_fd_sc_hd__inv_2 g433610(.A (n_7787), .Y (n_7786));
+  sky130_fd_sc_hd__inv_2 g433611(.A (n_7785), .Y (n_7784));
+  sky130_fd_sc_hd__inv_2 g433612(.A (n_7783), .Y (n_7782));
+  sky130_fd_sc_hd__inv_2 g433613(.A (n_7781), .Y (n_7780));
+  sky130_fd_sc_hd__inv_2 g433614(.A (n_7779), .Y (n_7778));
+  sky130_fd_sc_hd__inv_2 g433615(.A (n_7777), .Y (n_7776));
+  sky130_fd_sc_hd__inv_2 g433616(.A (n_7775), .Y (n_7774));
+  sky130_fd_sc_hd__inv_2 g433617(.A (n_7773), .Y (n_7772));
+  sky130_fd_sc_hd__nor2_1 g433618(.A (n_7555), .B (n_2678), .Y
+       (n_7769));
+  sky130_fd_sc_hd__nor2_1 g433619(.A (n_5832), .B (n_7609), .Y
+       (n_7768));
+  sky130_fd_sc_hd__nor2_1 g433620(.A (n_5834), .B (n_7609), .Y
+       (n_7767));
+  sky130_fd_sc_hd__nor2_1 g433621(.A (n_5836), .B (n_7609), .Y
+       (n_7766));
+  sky130_fd_sc_hd__nor2_1 g433622(.A (n_5838), .B (n_7609), .Y
+       (n_7765));
+  sky130_fd_sc_hd__nor2_1 g433623(.A (n_5840), .B (n_7609), .Y
+       (n_7764));
+  sky130_fd_sc_hd__nor2_1 g433624(.A (n_5841), .B (n_7609), .Y
+       (n_7763));
+  sky130_fd_sc_hd__nor2_1 g433625(.A (n_5844), .B (n_7609), .Y
+       (n_7762));
+  sky130_fd_sc_hd__nor2_1 g433626(.A (n_5846), .B (n_7609), .Y
+       (n_7761));
+  sky130_fd_sc_hd__nor2_1 g433627(.A (n_5848), .B (n_7609), .Y
+       (n_7760));
+  sky130_fd_sc_hd__nor2_1 g433628(.A (n_5850), .B (n_7609), .Y
+       (n_7759));
+  sky130_fd_sc_hd__nor2_1 g433629(.A (n_5852), .B (n_7609), .Y
+       (n_7758));
+  sky130_fd_sc_hd__nor2_1 g433630(.A (n_5854), .B (n_7609), .Y
+       (n_7757));
+  sky130_fd_sc_hd__nor2_1 g433631(.A (n_5856), .B (n_7609), .Y
+       (n_7756));
+  sky130_fd_sc_hd__nor2_1 g433632(.A (n_5826), .B (n_7607), .Y
+       (n_7755));
+  sky130_fd_sc_hd__nor2_1 g433633(.A (n_5828), .B (n_7607), .Y
+       (n_7754));
+  sky130_fd_sc_hd__nor2_1 g433634(.A (n_5830), .B (n_7607), .Y
+       (n_7753));
+  sky130_fd_sc_hd__nor2_1 g433635(.A (n_5832), .B (n_7607), .Y
+       (n_7752));
+  sky130_fd_sc_hd__nor2_1 g433636(.A (n_5834), .B (n_7607), .Y
+       (n_7751));
+  sky130_fd_sc_hd__nor2_1 g433637(.A (n_5836), .B (n_7607), .Y
+       (n_7750));
+  sky130_fd_sc_hd__nor2_1 g433638(.A (n_5838), .B (n_7607), .Y
+       (n_7749));
+  sky130_fd_sc_hd__nor2_1 g433639(.A (n_5840), .B (n_7607), .Y
+       (n_7748));
+  sky130_fd_sc_hd__nor2_1 g433640(.A (n_5844), .B (n_7607), .Y
+       (n_7747));
+  sky130_fd_sc_hd__nor2_1 g433641(.A (n_5846), .B (n_7607), .Y
+       (n_7746));
+  sky130_fd_sc_hd__nor2_1 g433642(.A (n_5848), .B (n_7607), .Y
+       (n_7745));
+  sky130_fd_sc_hd__nor2_1 g433643(.A (n_5850), .B (n_7607), .Y
+       (n_7744));
+  sky130_fd_sc_hd__nor2_1 g433644(.A (n_5852), .B (n_7607), .Y
+       (n_7743));
+  sky130_fd_sc_hd__nor2_1 g433645(.A (n_5854), .B (n_7607), .Y
+       (n_7742));
+  sky130_fd_sc_hd__nor2_1 g433646(.A (n_5856), .B (n_7607), .Y
+       (n_7741));
+  sky130_fd_sc_hd__o2111ai_1 g433647(.A1 (n_6826), .A2 (n_2420), .B1
+       (n_3044), .C1 (n_5582), .D1 (n_7244), .Y (n_7740));
+  sky130_fd_sc_hd__nor2_1 g433648(.A (n_7339), .B (n_1751), .Y
+       (n_7739));
+  sky130_fd_sc_hd__nand4_1 g433649(.A (n_7164), .B (n_6773), .C
+       (n_5652), .D (n_6242), .Y (n_7738));
+  sky130_fd_sc_hd__a221o_1 g433650(.A1 (n_1518), .A2
+       (u_soc_u_top_u_core_csr_mepc[11]), .B1 (n_1516), .B2
+       (u_soc_u_top_u_core_csr_depc[11]), .C1 (n_7352), .X (n_7737));
+  sky130_fd_sc_hd__nand2_1 g433651(.A (n_1656), .B (n_7601), .Y
+       (n_7736));
+  sky130_fd_sc_hd__nand4_1 g433652(.A (n_7167), .B (n_6771), .C
+       (n_5652), .D (n_6240), .Y (n_7735));
+  sky130_fd_sc_hd__nor2_1 g433653(.A (n_3013), .B (n_7609), .Y
+       (n_7734));
+  sky130_fd_sc_hd__nor2_1 g433654(.A (n_2989), .B (n_7609), .Y
+       (n_7733));
+  sky130_fd_sc_hd__nor2_1 g433655(.A (n_2991), .B (n_7609), .Y
+       (n_7732));
+  sky130_fd_sc_hd__nor2_1 g433656(.A (n_2992), .B (n_7609), .Y
+       (n_7731));
+  sky130_fd_sc_hd__nor2_1 g433657(.A (n_2994), .B (n_7609), .Y
+       (n_7730));
+  sky130_fd_sc_hd__nor2_1 g433658(.A (n_2997), .B (n_7609), .Y
+       (n_7729));
+  sky130_fd_sc_hd__nor2_1 g433659(.A (n_2999), .B (n_7609), .Y
+       (n_7728));
+  sky130_fd_sc_hd__nor2_1 g433660(.A (n_3001), .B (n_7609), .Y
+       (n_7727));
+  sky130_fd_sc_hd__nor2_1 g433661(.A (n_3004), .B (n_7609), .Y
+       (n_7726));
+  sky130_fd_sc_hd__nor2_1 g433662(.A (n_3011), .B (n_7609), .Y
+       (n_7725));
+  sky130_fd_sc_hd__nor2_1 g433663(.A (n_3007), .B (n_7609), .Y
+       (n_7724));
+  sky130_fd_sc_hd__nor2_1 g433664(.A (n_3009), .B (n_7609), .Y
+       (n_7723));
+  sky130_fd_sc_hd__nand4_1 g433665(.A (n_7172), .B (n_6769), .C
+       (n_5652), .D (n_6238), .Y (n_7722));
+  sky130_fd_sc_hd__a22oi_1 g433666(.A1 (n_1217), .A2 (n_7397), .B1
+       (n_2418), .B2 (n_1378), .Y (n_7721));
+  sky130_fd_sc_hd__a22oi_1 g433667(.A1 (n_1217), .A2 (n_7402), .B1
+       (n_2418), .B2 (n_1344), .Y (n_7720));
+  sky130_fd_sc_hd__nor2_1 g433668(.A (n_3013), .B (n_7607), .Y
+       (n_7719));
+  sky130_fd_sc_hd__nor2_1 g433669(.A (n_2989), .B (n_7607), .Y
+       (n_7718));
+  sky130_fd_sc_hd__nor2_1 g433670(.A (n_2991), .B (n_7607), .Y
+       (n_7717));
+  sky130_fd_sc_hd__nor2_1 g433671(.A (n_2997), .B (n_7607), .Y
+       (n_7716));
+  sky130_fd_sc_hd__nor2_1 g433672(.A (n_2999), .B (n_7607), .Y
+       (n_7715));
+  sky130_fd_sc_hd__nor2_1 g433673(.A (n_3001), .B (n_7607), .Y
+       (n_7714));
+  sky130_fd_sc_hd__nor2_1 g433674(.A (n_3011), .B (n_7607), .Y
+       (n_7713));
+  sky130_fd_sc_hd__nor2_1 g433675(.A (n_3007), .B (n_7607), .Y
+       (n_7712));
+  sky130_fd_sc_hd__nor2_1 g433676(.A (n_3009), .B (n_7607), .Y
+       (n_7711));
+  sky130_fd_sc_hd__nand4_1 g433677(.A (n_7163), .B (n_6243), .C
+       (n_5652), .D (n_6575), .Y (n_7710));
+  sky130_fd_sc_hd__nand2_1 g433678(.A (n_7630), .B (n_5946), .Y
+       (n_7709));
+  sky130_fd_sc_hd__nor3_1 g433679(.A (n_7256), .B (n_6856), .C
+       (n_7392), .Y (n_7708));
+  sky130_fd_sc_hd__a221oi_1 g433680(.A1 (n_1217), .A2 (n_7294), .B1
+       (n_13380), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[19]), .C1
+       (n_5926), .Y (n_7707));
+  sky130_fd_sc_hd__nand4_1 g433681(.A (n_7175), .B (n_6766), .C
+       (n_5652), .D (n_6236), .Y (n_7706));
+  sky130_fd_sc_hd__a221oi_1 g433682(.A1 (n_1217), .A2 (n_7284), .B1
+       (n_13380), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[18]), .C1
+       (n_5925), .Y (n_7705));
+  sky130_fd_sc_hd__nand4_1 g433683(.A (n_7202), .B (n_6787), .C
+       (n_5652), .D (n_6256), .Y (n_7704));
+  sky130_fd_sc_hd__a221oi_1 g433684(.A1 (n_1217), .A2 (n_7285), .B1
+       (n_13380), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[16]), .C1
+       (n_5918), .Y (n_7703));
+  sky130_fd_sc_hd__nor2_1 g433685(.A (n_7615), .B (n_2676), .Y
+       (n_7702));
+  sky130_fd_sc_hd__nor2_1 g433686(.A (n_7617), .B (n_2676), .Y
+       (n_7701));
+  sky130_fd_sc_hd__nor2_1 g433687(.A (n_7615), .B (n_2678), .Y
+       (n_7700));
+  sky130_fd_sc_hd__nor2_1 g433688(.A (n_7617), .B (n_2678), .Y
+       (n_7699));
+  sky130_fd_sc_hd__nand4_1 g433689(.A (n_5606), .B (n_2909), .C
+       (n_7014), .D (n_6693), .Y (n_7698));
+  sky130_fd_sc_hd__nand4_1 g433690(.A (n_7179), .B (n_6764), .C
+       (n_5652), .D (n_6234), .Y (n_7697));
+  sky130_fd_sc_hd__nor2_1 g433691(.A (n_7611), .B (n_2676), .Y
+       (n_7696));
+  sky130_fd_sc_hd__nor2_1 g433692(.A (n_7557), .B (n_2676), .Y
+       (n_7695));
+  sky130_fd_sc_hd__nor2_1 g433693(.A (n_7555), .B (n_2676), .Y
+       (n_7694));
+  sky130_fd_sc_hd__nor2_1 g433694(.A (n_7613), .B (n_2676), .Y
+       (n_7693));
+  sky130_fd_sc_hd__nor2_1 g433695(.A (n_7611), .B (n_2678), .Y
+       (n_7692));
+  sky130_fd_sc_hd__nor2_1 g433696(.A (n_7557), .B (n_2678), .Y
+       (n_7691));
+  sky130_fd_sc_hd__nor2_1 g433697(.A (n_5830), .B (n_7609), .Y
+       (n_7690));
+  sky130_fd_sc_hd__nor2_1 g433698(.A (n_7613), .B (n_2678), .Y
+       (n_7689));
+  sky130_fd_sc_hd__nand4_1 g433699(.A (n_7166), .B (n_6241), .C
+       (n_5652), .D (n_6573), .Y (n_7688));
+  sky130_fd_sc_hd__o21ai_0 g433700(.A1 (n_6856), .A2 (n_7257), .B1
+       (n_7627), .Y (n_7687));
+  sky130_fd_sc_hd__nor2_1 g433701(.A (n_7557), .B (n_2254), .Y
+       (n_7686));
+  sky130_fd_sc_hd__nor2_1 g433702(.A (n_7555), .B (n_2254), .Y
+       (n_7685));
+  sky130_fd_sc_hd__nor2_1 g433703(.A (n_7613), .B (n_2254), .Y
+       (n_7684));
+  sky130_fd_sc_hd__nor3_1 g433704(.A (n_7271), .B (n_7254), .C
+       (n_7391), .Y (n_7683));
+  sky130_fd_sc_hd__nand4_1 g433706(.A (n_7201), .B (n_6786), .C
+       (n_5652), .D (n_6254), .Y (n_7681));
+  sky130_fd_sc_hd__nand4_1 g433707(.A (n_7169), .B (n_6239), .C
+       (n_5652), .D (n_6571), .Y (n_7680));
+  sky130_fd_sc_hd__a221oi_1 g433708(.A1 (n_1217), .A2 (n_7288), .B1
+       (n_2418), .B2 (n_1345), .C1 (n_5539), .Y (n_7679));
+  sky130_fd_sc_hd__nand4_1 g433709(.A (n_7188), .B (n_6761), .C
+       (n_5652), .D (n_6232), .Y (n_7678));
+  sky130_fd_sc_hd__nand4_1 g433710(.A (n_7246), .B (n_6257), .C
+       (n_5652), .D (n_6587), .Y (n_7677));
+  sky130_fd_sc_hd__nand4_1 g433711(.A (n_7145), .B (n_6255), .C
+       (n_5652), .D (n_6585), .Y (n_7676));
+  sky130_fd_sc_hd__nand4_1 g433712(.A (n_7144), .B (n_6784), .C
+       (n_5652), .D (n_6252), .Y (n_7675));
+  sky130_fd_sc_hd__nand4_1 g433713(.A (n_7143), .B (n_6251), .C
+       (n_5652), .D (n_6582), .Y (n_7674));
+  sky130_fd_sc_hd__nand4_1 g433714(.A (n_7142), .B (n_6781), .C
+       (n_5652), .D (n_6250), .Y (n_7673));
+  sky130_fd_sc_hd__nand4_1 g433715(.A (n_7141), .B (n_6249), .C
+       (n_5652), .D (n_6581), .Y (n_7672));
+  sky130_fd_sc_hd__nand4_1 g433716(.A (n_7140), .B (n_6778), .C
+       (n_5652), .D (n_6580), .Y (n_7671));
+  sky130_fd_sc_hd__nand4_1 g433717(.A (n_7139), .B (n_6247), .C
+       (n_5652), .D (n_6579), .Y (n_7670));
+  sky130_fd_sc_hd__nand4_1 g433718(.A (n_7146), .B (n_6777), .C
+       (n_5652), .D (n_6246), .Y (n_7669));
+  sky130_fd_sc_hd__nand4_1 g433719(.A (n_7160), .B (n_6245), .C
+       (n_5652), .D (n_6577), .Y (n_7668));
+  sky130_fd_sc_hd__nand4_1 g433720(.A (n_7161), .B (n_6775), .C
+       (n_5652), .D (n_6244), .Y (n_7667));
+  sky130_fd_sc_hd__nand4_1 g433721(.A (n_7173), .B (n_6237), .C
+       (n_5652), .D (n_6569), .Y (n_7666));
+  sky130_fd_sc_hd__nand4_1 g433722(.A (n_7177), .B (n_6235), .C
+       (n_5652), .D (n_6568), .Y (n_7665));
+  sky130_fd_sc_hd__nand4_1 g433723(.A (n_7181), .B (n_6233), .C
+       (n_5652), .D (n_6566), .Y (n_7664));
+  sky130_fd_sc_hd__nand4_1 g433724(.A (n_7190), .B (n_6231), .C
+       (n_5652), .D (n_6564), .Y (n_7663));
+  sky130_fd_sc_hd__nand4_1 g433725(.A (n_7192), .B (n_6759), .C
+       (n_5652), .D (n_6230), .Y (n_7662));
+  sky130_fd_sc_hd__nand4_1 g433726(.A (n_7193), .B (n_6229), .C
+       (n_5652), .D (n_6562), .Y (n_7661));
+  sky130_fd_sc_hd__nand4_1 g433727(.A (n_7197), .B (n_6228), .C
+       (n_5652), .D (n_6560), .Y (n_7660));
+  sky130_fd_sc_hd__a21oi_1 g433729(.A1 (n_6928), .A2 (n_1465), .B1
+       (n_7633), .Y (n_7658));
+  sky130_fd_sc_hd__o21ai_0 g433730(.A1
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[7]), .A2 (n_6924),
+       .B1 (n_7631), .Y (n_7657));
+  sky130_fd_sc_hd__a221oi_1 g433731(.A1 (n_2986), .A2
+       (\u_soc_lsu_to_xbar[a_address] [31]), .B1 (n_1746), .B2
+       (\u_soc_lsu_to_xbar[a_address] [30]), .C1 (n_7568), .Y (n_7656));
+  sky130_fd_sc_hd__a221oi_1 g433732(.A1 (n_1217), .A2 (n_7281), .B1
+       (n_2418), .B2 (n_1839), .C1 (n_7379), .Y (n_7655));
+  sky130_fd_sc_hd__a221oi_1 g433733(.A1 (n_6493), .A2
+       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .B1 (n_6492), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [1]), .C1 (n_7551), .Y
+       (n_7654));
+  sky130_fd_sc_hd__o21ai_1 g433734(.A1 (n_6825), .A2 (n_2420), .B1
+       (n_7600), .Y (n_7653));
+  sky130_fd_sc_hd__o21ai_1 g433735(.A1 (n_7341), .A2
+       (u_soc_u_top_u_core_pc_set), .B1 (n_7353), .Y (n_7652));
+  sky130_fd_sc_hd__o2111ai_1 g433736(.A1 (n_1846), .A2 (n_3055), .B1
+       (n_6360), .C1 (n_6837), .D1 (n_7350), .Y (n_7651));
+  sky130_fd_sc_hd__o221ai_1 g433737(.A1 (n_6805), .A2 (n_2420), .B1
+       (n_7287), .B2 (n_1216), .C1 (n_5899), .Y (n_7650));
+  sky130_fd_sc_hd__and3_1 g433738(.A (n_7389), .B (n_7187), .C
+       (n_7265), .X (n_7649));
+  sky130_fd_sc_hd__nand4_1 g433739(.A (n_7240), .B (n_2939), .C
+       (n_1503), .D (n_1985), .Y (n_7820));
+  sky130_fd_sc_hd__or2_2 g433740(.A (n_6860), .B (n_7626), .X (n_7819));
+  sky130_fd_sc_hd__nor2_1 g433741(.A (n_5955), .B (n_7618), .Y
+       (n_7818));
+  sky130_fd_sc_hd__nand4_1 g433742(.A (n_7062), .B (n_2355), .C
+       (n_2655), .D (n_2915), .Y (n_7817));
+  sky130_fd_sc_hd__nand4_1 g433743(.A (n_7064), .B (n_2392), .C
+       (n_2633), .D (n_2927), .Y (n_7816));
+  sky130_fd_sc_hd__nor2_1 g433744(.A (n_1848), .B (n_7615), .Y
+       (n_7815));
+  sky130_fd_sc_hd__nor2_1 g433745(.A (n_7265), .B (n_7618), .Y
+       (n_7814));
+  sky130_fd_sc_hd__nor2b_1 g433746(.A (n_7632), .B_N (n_7270), .Y
+       (n_7813));
+  sky130_fd_sc_hd__nand2_1 g433747(.A (n_7382), .B (n_7624), .Y
+       (n_7812));
+  sky130_fd_sc_hd__o21ai_1 g433748(.A1 (n_7265), .A2 (n_7390), .B1
+       (n_7255), .Y (n_7811));
+  sky130_fd_sc_hd__nand2_1 g433749(.A (n_7627), .B (n_6861), .Y
+       (n_7810));
+  sky130_fd_sc_hd__and2_1 g433750(.A (n_7625), .B (n_7401), .X
+       (n_7809));
+  sky130_fd_sc_hd__nand2b_1 g433751(.A_N (n_7632), .B (n_7265), .Y
+       (n_7808));
+  sky130_fd_sc_hd__nand4_1 g433752(.A (n_5626), .B (n_5252), .C
+       (n_1747), .D (n_7132), .Y (n_7807));
+  sky130_fd_sc_hd__nand4_1 g433753(.A (n_5634), .B (n_5248), .C
+       (n_1747), .D (n_7131), .Y (n_7806));
+  sky130_fd_sc_hd__nor4_1 g433754(.A (n_6866), .B (n_6870), .C
+       (n_7297), .D (n_6864), .Y (n_7805));
+  sky130_fd_sc_hd__nand4_1 g433755(.A (n_5625), .B (n_5254), .C
+       (n_1747), .D (n_7133), .Y (n_7804));
+  sky130_fd_sc_hd__nor2_1 g433756(.A (n_6856), .B (n_7618), .Y
+       (n_7803));
+  sky130_fd_sc_hd__nand4_1 g433757(.A (n_5614), .B (n_5263), .C
+       (n_1747), .D (n_7134), .Y (n_7802));
+  sky130_fd_sc_hd__nand4_1 g433758(.A (n_5611), .B (n_5267), .C
+       (n_1747), .D (n_7135), .Y (n_7801));
+  sky130_fd_sc_hd__nand4_1 g433759(.A (n_5605), .B (n_5272), .C
+       (n_1747), .D (n_7136), .Y (n_7800));
+  sky130_fd_sc_hd__nor4_1 g433760(.A (n_6864), .B (n_6866), .C
+       (n_6871), .D (n_7297), .Y (n_7799));
+  sky130_fd_sc_hd__nand4_1 g433761(.A (n_5636), .B (n_5245), .C
+       (n_1747), .D (n_7130), .Y (n_7797));
+  sky130_fd_sc_hd__nor2_1 g433762(.A (n_7396), .B (n_7561), .Y
+       (n_7796));
+  sky130_fd_sc_hd__a32oi_1 g433763(.A1 (n_7288), .A2 (n_112), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13500), .B2 (n_1215), .Y
+       (n_7795));
+  sky130_fd_sc_hd__a32oi_1 g433764(.A1 (n_7293), .A2 (n_141), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13526), .B2 (n_1215), .Y
+       (n_7793));
+  sky130_fd_sc_hd__a32oi_1 g433765(.A1 (n_7291), .A2 (n_622), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13521), .B2 (n_1215), .Y
+       (n_7791));
+  sky130_fd_sc_hd__a32oi_1 g433766(.A1 (n_7280), .A2 (n_128), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13520), .B2 (n_1215), .Y
+       (n_7789));
+  sky130_fd_sc_hd__a32oi_1 g433767(.A1 (n_7281), .A2 (n_635), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13519), .B2 (n_1215), .Y
+       (n_7787));
+  sky130_fd_sc_hd__a32oi_1 g433768(.A1 (n_7292), .A2 (n_134), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13523), .B2 (n_1215), .Y
+       (n_7785));
+  sky130_fd_sc_hd__a32oi_1 g433769(.A1 (n_7286), .A2 (n_625), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13510), .B2 (n_1215), .Y
+       (n_7783));
+  sky130_fd_sc_hd__a32oi_1 g433770(.A1 (n_7283), .A2 (n_624), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13517), .B2 (n_1215), .Y
+       (n_7781));
+  sky130_fd_sc_hd__a32oi_1 g433771(.A1 (n_7294), .A2 (n_638), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13514), .B2 (n_1215), .Y
+       (n_7779));
+  sky130_fd_sc_hd__a32oi_1 g433772(.A1 (n_7284), .A2 (n_637), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13513), .B2 (n_1215), .Y
+       (n_7777));
+  sky130_fd_sc_hd__a32oi_1 g433773(.A1 (n_7282), .A2 (n_620), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13518), .B2 (n_1215), .Y
+       (n_7775));
+  sky130_fd_sc_hd__a32oi_1 g433774(.A1 (n_7285), .A2 (n_130), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13511), .B2 (n_1215), .Y
+       (n_7773));
+  sky130_fd_sc_hd__a22o_1 g433775(.A1 (n_7347), .A2 (n_47), .B1
+       (n_6920), .B2 (n_5707), .X (n_7771));
+  sky130_fd_sc_hd__a21bo_2 g433776(.A1 (n_7348), .A2 (n_661), .B1_N
+       (n_7289), .X (n_7770));
+  sky130_fd_sc_hd__inv_1 g433777(.A (n_7578), .Y (n_7648));
+  sky130_fd_sc_hd__inv_1 g433778(.A (n_7577), .Y (n_7647));
+  sky130_fd_sc_hd__inv_1 g433779(.A (n_7576), .Y (n_7646));
+  sky130_fd_sc_hd__inv_1 g433780(.A (n_7575), .Y (n_7645));
+  sky130_fd_sc_hd__inv_1 g433781(.A (n_7574), .Y (n_7644));
+  sky130_fd_sc_hd__inv_1 g433782(.A (n_7572), .Y (n_7643));
+  sky130_fd_sc_hd__inv_2 g433785(.A (n_7619), .Y (n_7618));
+  sky130_fd_sc_hd__clkinv_1 g433786(.A (n_7617), .Y (n_7616));
+  sky130_fd_sc_hd__clkinv_1 g433787(.A (n_7615), .Y (n_7614));
+  sky130_fd_sc_hd__clkinv_1 g433788(.A (n_7613), .Y (n_7612));
+  sky130_fd_sc_hd__inv_2 g433789(.A (n_7611), .Y (n_7610));
+  sky130_fd_sc_hd__inv_2 g433790(.A (n_7609), .Y (n_7608));
+  sky130_fd_sc_hd__inv_2 g433791(.A (n_7607), .Y (n_7606));
+  sky130_fd_sc_hd__nand2_1 g433792(.A (n_1295), .B (n_7370), .Y
+       (n_7605));
+  sky130_fd_sc_hd__nand2_1 g433793(.A (n_1296), .B (n_7369), .Y
+       (n_7604));
+  sky130_fd_sc_hd__nand4_1 g433794(.A (n_6785), .B (n_6253), .C
+       (n_5652), .D (n_6852), .Y (n_7603));
+  sky130_fd_sc_hd__a21oi_1 g433795(.A1 (n_7256), .A2 (n_6859), .B1
+       (n_6861), .Y (n_7602));
+  sky130_fd_sc_hd__a222oi_1 g433796(.A1 (n_13577), .A2 (n_6915), .B1
+       (n_1220), .B2 (u_soc_u_top_u_core_csr_depc[1]), .C1 (n_6919),
+       .C2 (u_soc_u_top_u_core_pc_if[1]), .Y (n_7601));
+  sky130_fd_sc_hd__a221oi_1 g433797(.A1 (n_1217), .A2 (n_6932), .B1
+       (n_2418), .B2 (n_1388), .C1 (n_5562), .Y (n_7600));
+  sky130_fd_sc_hd__or4_1 g433798(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [17]), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [19]), .C
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [18]), .D (n_6822), .X (n_7599));
+  sky130_fd_sc_hd__or4_1 g433799(.A (n_13401), .B (n_13400), .C
+       (n_13406), .D (n_6823), .X (n_7598));
+  sky130_fd_sc_hd__a222oi_1 g433800(.A1 (u_soc_u_top_u_core_pc_if[31]),
+       .A2 (n_6919), .B1 (n_13607), .B2 (n_6915), .C1 (n_1220), .C2
+       (u_soc_u_top_u_core_csr_depc[31]), .Y (n_7597));
+  sky130_fd_sc_hd__a222oi_1 g433801(.A1 (u_soc_u_top_u_core_pc_if[30]),
+       .A2 (n_6919), .B1 (n_1220), .B2
+       (u_soc_u_top_u_core_csr_depc[30]), .C1 (n_13606), .C2 (n_6915),
+       .Y (n_7596));
+  sky130_fd_sc_hd__a222oi_1 g433802(.A1 (u_soc_u_top_u_core_pc_if[28]),
+       .A2 (n_6919), .B1 (n_13604), .B2 (n_6915), .C1 (n_1220), .C2
+       (u_soc_u_top_u_core_csr_depc[28]), .Y (n_7595));
+  sky130_fd_sc_hd__a222oi_1 g433803(.A1 (u_soc_u_top_u_core_pc_if[27]),
+       .A2 (n_6919), .B1 (n_1220), .B2
+       (u_soc_u_top_u_core_csr_depc[27]), .C1 (n_13603), .C2 (n_6915),
+       .Y (n_7594));
+  sky130_fd_sc_hd__a222oi_1 g433804(.A1 (u_soc_u_top_u_core_pc_if[26]),
+       .A2 (n_6919), .B1 (n_13602), .B2 (n_6915), .C1 (n_1220), .C2
+       (u_soc_u_top_u_core_csr_depc[26]), .Y (n_7593));
+  sky130_fd_sc_hd__a222oi_1 g433805(.A1 (u_soc_u_top_u_core_pc_if[25]),
+       .A2 (n_6919), .B1 (n_13601), .B2 (n_6915), .C1 (n_1220), .C2
+       (u_soc_u_top_u_core_csr_depc[25]), .Y (n_7592));
+  sky130_fd_sc_hd__a222oi_1 g433806(.A1 (u_soc_u_top_u_core_pc_if[24]),
+       .A2 (n_6919), .B1 (n_13600), .B2 (n_6915), .C1 (n_1220), .C2
+       (u_soc_u_top_u_core_csr_depc[24]), .Y (n_7591));
+  sky130_fd_sc_hd__a222oi_1 g433807(.A1 (u_soc_u_top_u_core_pc_if[23]),
+       .A2 (n_6919), .B1 (n_1220), .B2
+       (u_soc_u_top_u_core_csr_depc[23]), .C1 (n_13599), .C2 (n_6915),
+       .Y (n_7590));
+  sky130_fd_sc_hd__a222oi_1 g433808(.A1 (u_soc_u_top_u_core_pc_if[22]),
+       .A2 (n_6919), .B1 (n_1220), .B2
+       (u_soc_u_top_u_core_csr_depc[22]), .C1 (n_13598), .C2 (n_6915),
+       .Y (n_7589));
+  sky130_fd_sc_hd__a222oi_1 g433809(.A1 (u_soc_u_top_u_core_pc_if[21]),
+       .A2 (n_6919), .B1 (n_1220), .B2
+       (u_soc_u_top_u_core_csr_depc[21]), .C1 (n_13597), .C2 (n_6915),
+       .Y (n_7588));
+  sky130_fd_sc_hd__a222oi_1 g433810(.A1 (u_soc_u_top_u_core_pc_if[20]),
+       .A2 (n_6919), .B1 (n_1220), .B2
+       (u_soc_u_top_u_core_csr_depc[20]), .C1 (n_13596), .C2 (n_6915),
+       .Y (n_7587));
+  sky130_fd_sc_hd__a222oi_1 g433811(.A1 (u_soc_u_top_u_core_pc_if[19]),
+       .A2 (n_6919), .B1 (n_13595), .B2 (n_6915), .C1 (n_1220), .C2
+       (u_soc_u_top_u_core_csr_depc[19]), .Y (n_7586));
+  sky130_fd_sc_hd__a222oi_1 g433812(.A1 (u_soc_u_top_u_core_pc_if[18]),
+       .A2 (n_6919), .B1 (n_13594), .B2 (n_6915), .C1 (n_1220), .C2
+       (u_soc_u_top_u_core_csr_depc[18]), .Y (n_7585));
+  sky130_fd_sc_hd__a222oi_1 g433813(.A1 (u_soc_u_top_u_core_pc_if[17]),
+       .A2 (n_6919), .B1 (n_1220), .B2
+       (u_soc_u_top_u_core_csr_depc[17]), .C1 (n_13593), .C2 (n_6915),
+       .Y (n_7584));
+  sky130_fd_sc_hd__a222oi_1 g433814(.A1 (u_soc_u_top_u_core_pc_if[16]),
+       .A2 (n_6919), .B1 (n_1220), .B2
+       (u_soc_u_top_u_core_csr_depc[16]), .C1 (n_13592), .C2 (n_6915),
+       .Y (n_7583));
+  sky130_fd_sc_hd__a222oi_1 g433815(.A1 (u_soc_u_top_u_core_pc_if[15]),
+       .A2 (n_6919), .B1 (n_13591), .B2 (n_6915), .C1 (n_1220), .C2
+       (u_soc_u_top_u_core_csr_depc[15]), .Y (n_7582));
+  sky130_fd_sc_hd__a222oi_1 g433816(.A1 (u_soc_u_top_u_core_pc_if[14]),
+       .A2 (n_6919), .B1 (n_13590), .B2 (n_6915), .C1 (n_1220), .C2
+       (u_soc_u_top_u_core_csr_depc[14]), .Y (n_7581));
+  sky130_fd_sc_hd__a222oi_1 g433817(.A1 (u_soc_u_top_u_core_pc_if[13]),
+       .A2 (n_6919), .B1 (n_1220), .B2
+       (u_soc_u_top_u_core_csr_depc[13]), .C1 (n_13589), .C2 (n_6915),
+       .Y (n_7580));
+  sky130_fd_sc_hd__a222oi_1 g433818(.A1 (u_soc_u_top_u_core_pc_if[12]),
+       .A2 (n_6919), .B1 (n_1220), .B2
+       (u_soc_u_top_u_core_csr_depc[12]), .C1 (n_13588), .C2 (n_6915),
+       .Y (n_7579));
+  sky130_fd_sc_hd__a222oi_1 g433819(.A1 (u_soc_u_top_u_core_pc_if[11]),
+       .A2 (n_6919), .B1 (u_soc_u_top_u_core_pc_set), .B2 (n_13530),
+       .C1 (n_13587), .C2 (n_6915), .Y (n_7578));
+  sky130_fd_sc_hd__a222oi_1 g433820(.A1 (u_soc_u_top_u_core_pc_if[10]),
+       .A2 (n_6919), .B1 (u_soc_u_top_u_core_pc_set), .B2 (n_13529),
+       .C1 (n_13586), .C2 (n_6915), .Y (n_7577));
+  sky130_fd_sc_hd__a222oi_1 g433821(.A1 (u_soc_u_top_u_core_pc_if[8]),
+       .A2 (n_6919), .B1 (u_soc_u_top_u_core_pc_set), .B2 (n_13527),
+       .C1 (n_13584), .C2 (n_6915), .Y (n_7576));
+  sky130_fd_sc_hd__a222oi_1 g433822(.A1 (n_13582), .A2 (n_6915), .B1
+       (u_soc_u_top_u_core_pc_set), .B2 (n_13533), .C1 (n_6919), .C2
+       (u_soc_u_top_u_core_pc_if[6]), .Y (n_7575));
+  sky130_fd_sc_hd__a222oi_1 g433823(.A1 (n_13580), .A2 (n_6915), .B1
+       (u_soc_u_top_u_core_pc_set), .B2 (n_13532), .C1 (n_6919), .C2
+       (u_soc_u_top_u_core_pc_if[4]), .Y (n_7574));
+  sky130_fd_sc_hd__nand2_1 g433824(.A (n_1294), .B (n_7371), .Y
+       (n_7573));
+  sky130_fd_sc_hd__a222oi_1 g433825(.A1 (n_13578), .A2 (n_6915), .B1
+       (u_soc_u_top_u_core_pc_set), .B2 (n_13531), .C1 (n_6919), .C2
+       (u_soc_u_top_u_core_pc_if[2]), .Y (n_7572));
+  sky130_fd_sc_hd__nor2_1 g433826(.A (n_7388), .B (n_7381), .Y
+       (n_7571));
+  sky130_fd_sc_hd__nand2_1 g433827(.A (n_1298), .B (n_7372), .Y
+       (n_7570));
+  sky130_fd_sc_hd__a211oi_1 g433828(.A1 (n_5989), .A2 (n_143), .B1
+       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .C1 (n_6927), .Y
+       (n_7569));
+  sky130_fd_sc_hd__o2bb2ai_1 g433829(.A1_N (n_7293), .A2_N (n_1217),
+       .B1 (n_1209), .B2 (n_5364), .Y (n_7568));
+  sky130_fd_sc_hd__nor3_1 g433830(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6886), .C
+       (n_6924), .Y (n_7567));
+  sky130_fd_sc_hd__o21ai_1 g433831(.A1 (n_1178), .A2 (n_5364), .B1
+       (n_7375), .Y (n_7566));
+  sky130_fd_sc_hd__nand2_1 g433832(.A (n_7253), .B (n_7393), .Y
+       (n_7565));
+  sky130_fd_sc_hd__o2bb2ai_1 g433833(.A1_N (n_7280), .A2_N (n_1217),
+       .B1 (n_1173), .B2 (n_5364), .Y (n_7564));
+  sky130_fd_sc_hd__nor2_1 g433834(.A (n_6871), .B (n_7382), .Y
+       (n_7563));
+  sky130_fd_sc_hd__o2bb2ai_1 g433835(.A1_N (n_7291), .A2_N (n_1217),
+       .B1 (n_1177), .B2 (n_5364), .Y (n_7562));
+  sky130_fd_sc_hd__nor2_1 g433836(.A (n_7394), .B (n_6868), .Y
+       (n_7561));
+  sky130_fd_sc_hd__nand2_1 g433837(.A (n_7396), .B (n_6858), .Y
+       (n_7560));
+  sky130_fd_sc_hd__o2bb2ai_1 g433838(.A1_N (n_7292), .A2_N (n_1217),
+       .B1 (n_1175), .B2 (n_5364), .Y (n_7559));
+  sky130_fd_sc_hd__nor2_1 g433839(.A
+       (u_soc_u_uart_u_uart_core_fifo_read_size[6]), .B (n_6927), .Y
+       (n_7558));
+  sky130_fd_sc_hd__nand2_1 g433872(.A (n_7386), .B (n_7387), .Y
+       (n_7642));
+  sky130_fd_sc_hd__nor2_1 g433875(.A (n_6861), .B (n_7383), .Y
+       (n_7641));
+  sky130_fd_sc_hd__nand2_1 g433877(.A (n_6869), .B (n_7394), .Y
+       (n_7640));
+  sky130_fd_sc_hd__nand4_1 g433878(.A (n_6840), .B (n_2930), .C
+       (n_2546), .D (n_1799), .Y (n_7639));
+  sky130_fd_sc_hd__nand3_1 g433881(.A (n_7207), .B (n_2906), .C
+       (n_2641), .Y (n_7638));
+  sky130_fd_sc_hd__nand3_1 g433882(.A (n_7208), .B (n_2904), .C
+       (n_2637), .Y (n_7637));
+  sky130_fd_sc_hd__nand4_1 g433883(.A (n_6854), .B (n_2929), .C
+       (n_2136), .D (n_1807), .Y (n_7636));
+  sky130_fd_sc_hd__nand4_1 g433884(.A (n_6853), .B (n_2917), .C
+       (n_2546), .D (n_2657), .Y (n_7635));
+  sky130_fd_sc_hd__nand4_1 g433886(.A (n_6849), .B (n_2903), .C
+       (n_2546), .D (n_2635), .Y (n_7634));
+  sky130_fd_sc_hd__nor2_1 g433890(.A (n_1465), .B (n_6928), .Y
+       (n_7633));
+  sky130_fd_sc_hd__nand2_1 g433891(.A (n_7389), .B (n_6856), .Y
+       (n_7632));
+  sky130_fd_sc_hd__nand2_1 g433893(.A (n_6924), .B
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[7]), .Y (n_7631));
+  sky130_fd_sc_hd__nand2_1 g433894(.A (n_7382), .B (n_7386), .Y
+       (n_7630));
+  sky130_fd_sc_hd__nor2b_1 g433896(.A (n_7383), .B_N (n_15912), .Y
+       (n_7628));
+  sky130_fd_sc_hd__nor2_1 g433897(.A (n_6858), .B (n_7383), .Y
+       (n_7627));
+  sky130_fd_sc_hd__nand2_1 g433901(.A (n_15911), .B (n_6858), .Y
+       (n_7626));
+  sky130_fd_sc_hd__nand2_1 g433903(.A (n_7253), .B (n_7391), .Y
+       (n_7625));
+  sky130_fd_sc_hd__nand2_1 g433931(.A (n_6869), .B (n_7393), .Y
+       (n_7624));
+  sky130_fd_sc_hd__nand2_1 g433934(.A (n_7381), .B (n_6856), .Y
+       (n_7622));
+  sky130_fd_sc_hd__nand3_1 g433935(.A (n_6869), .B (n_7271), .C
+       (n_6863), .Y (n_7620));
+  sky130_fd_sc_hd__nor2_1 g433936(.A (n_7390), .B (n_7252), .Y
+       (n_7619));
+  sky130_fd_sc_hd__a32oi_1 g433937(.A1 (n_6884), .A2 (n_118), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13501), .B2 (n_1215), .Y
+       (n_7617));
+  sky130_fd_sc_hd__a32oi_1 g433938(.A1 (n_6930), .A2 (n_117), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13499), .B2 (n_1215), .Y
+       (n_7615));
+  sky130_fd_sc_hd__a32oi_1 g433939(.A1 (n_6932), .A2 (n_616), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13509), .B2 (n_1215), .Y
+       (n_7613));
+  sky130_fd_sc_hd__a32oi_1 g433940(.A1 (n_6933), .A2 (n_157), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13495), .B2 (n_1215), .Y
+       (n_7611));
+  sky130_fd_sc_hd__nand2_1 g433942(.A (n_7360), .B (n_47), .Y (n_7609));
+  sky130_fd_sc_hd__a211o_1 g433943(.A1 (n_6921), .A2 (n_5693), .B1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
+       [1]), .C1 (n_7290), .X (n_7607));
+  sky130_fd_sc_hd__clkinv_1 g433944(.A (n_7557), .Y (n_7556));
+  sky130_fd_sc_hd__clkinv_1 g433945(.A (n_7555), .Y (n_7554));
+  sky130_fd_sc_hd__a22o_1 g433946(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [1]), .X
+       (n_7553));
+  sky130_fd_sc_hd__a22o_1 g433947(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [8]), .X
+       (n_7552));
+  sky130_fd_sc_hd__o2111ai_1 g433948(.A1 (n_669), .A2 (n_5713), .B1
+       (n_5898), .C1 (n_5775), .D1 (n_6846), .Y (n_7551));
+  sky130_fd_sc_hd__a221o_1 g433949(.A1 (n_2421), .A2 (n_13562), .B1
+       (n_3085), .B2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[4]), .C1
+       (n_7186), .X (n_7550));
+  sky130_fd_sc_hd__a22o_1 g433950(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [7]), .X
+       (n_7549));
+  sky130_fd_sc_hd__a22o_1 g433951(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [1]), .X
+       (n_7548));
+  sky130_fd_sc_hd__a22o_1 g433952(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [2]), .X
+       (n_7547));
+  sky130_fd_sc_hd__a22o_1 g433953(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [3]), .X
+       (n_7546));
+  sky130_fd_sc_hd__a22o_1 g433954(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [4]), .X
+       (n_7545));
+  sky130_fd_sc_hd__a22o_1 g433955(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [5]), .X
+       (n_7544));
+  sky130_fd_sc_hd__a22o_1 g433956(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [6]), .X
+       (n_7543));
+  sky130_fd_sc_hd__a22o_1 g433957(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [7]), .X
+       (n_7542));
+  sky130_fd_sc_hd__a22o_1 g433958(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [8]), .X
+       (n_7541));
+  sky130_fd_sc_hd__a22o_1 g433959(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [1]), .X
+       (n_7540));
+  sky130_fd_sc_hd__a22o_1 g433960(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [2]), .X
+       (n_7539));
+  sky130_fd_sc_hd__a22o_1 g433961(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [3]), .X
+       (n_7538));
+  sky130_fd_sc_hd__a22o_1 g433962(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [4]), .X
+       (n_7537));
+  sky130_fd_sc_hd__a22o_1 g433963(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [5]), .X
+       (n_7536));
+  sky130_fd_sc_hd__a22o_1 g433964(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [6]), .X
+       (n_7535));
+  sky130_fd_sc_hd__a22o_1 g433965(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [7]), .X
+       (n_7534));
+  sky130_fd_sc_hd__a22o_1 g433966(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [8]), .X
+       (n_7533));
+  sky130_fd_sc_hd__a22o_1 g433967(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [1]), .X
+       (n_7532));
+  sky130_fd_sc_hd__a22o_1 g433968(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [2]), .X
+       (n_7531));
+  sky130_fd_sc_hd__a22o_1 g433969(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [3]), .X
+       (n_7530));
+  sky130_fd_sc_hd__a22o_1 g433970(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [4]), .X
+       (n_7529));
+  sky130_fd_sc_hd__a22o_1 g433971(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [5]), .X
+       (n_7528));
+  sky130_fd_sc_hd__a22o_1 g433972(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [6]), .X
+       (n_7527));
+  sky130_fd_sc_hd__a22o_1 g433973(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [7]), .X
+       (n_7526));
+  sky130_fd_sc_hd__a22o_1 g433974(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [8]), .X
+       (n_7525));
+  sky130_fd_sc_hd__a22o_1 g433975(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [1]), .X
+       (n_7524));
+  sky130_fd_sc_hd__a22o_1 g433976(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [2]), .X
+       (n_7523));
+  sky130_fd_sc_hd__a22o_1 g433977(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [3]), .X
+       (n_7522));
+  sky130_fd_sc_hd__a22o_1 g433978(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [4]), .X
+       (n_7521));
+  sky130_fd_sc_hd__a22o_1 g433979(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [5]), .X
+       (n_7520));
+  sky130_fd_sc_hd__a22o_1 g433980(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [6]), .X
+       (n_7519));
+  sky130_fd_sc_hd__a22o_1 g433981(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [7]), .X
+       (n_7518));
+  sky130_fd_sc_hd__a22o_1 g433982(.A1 (n_6918), .A2
+       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_7247), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [8]), .X
+       (n_7517));
+  sky130_fd_sc_hd__a22o_1 g433983(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [1]), .X
+       (n_7516));
+  sky130_fd_sc_hd__a22o_1 g433984(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [2]), .X
+       (n_7515));
+  sky130_fd_sc_hd__a22o_1 g433985(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [3]), .X
+       (n_7514));
+  sky130_fd_sc_hd__a22o_1 g433986(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [4]), .X
+       (n_7513));
+  sky130_fd_sc_hd__a22o_1 g433987(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [5]), .X
+       (n_7512));
+  sky130_fd_sc_hd__a22o_1 g433988(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [6]), .X
+       (n_7511));
+  sky130_fd_sc_hd__a22o_1 g433989(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [7]), .X
+       (n_7510));
+  sky130_fd_sc_hd__a22o_1 g433990(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [8]), .X
+       (n_7509));
+  sky130_fd_sc_hd__a22o_1 g433991(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [1]), .X
+       (n_7508));
+  sky130_fd_sc_hd__a22o_1 g433992(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [2]), .X
+       (n_7507));
+  sky130_fd_sc_hd__a22o_1 g433993(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [3]), .X
+       (n_7506));
+  sky130_fd_sc_hd__a22o_1 g433994(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [4]), .X
+       (n_7505));
+  sky130_fd_sc_hd__a22o_1 g433995(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [5]), .X
+       (n_7504));
+  sky130_fd_sc_hd__a22o_1 g433996(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [6]), .X
+       (n_7503));
+  sky130_fd_sc_hd__a22o_1 g433997(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [7]), .X
+       (n_7502));
+  sky130_fd_sc_hd__a22o_1 g433998(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [8]), .X
+       (n_7501));
+  sky130_fd_sc_hd__a22o_1 g433999(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [1]), .X
+       (n_7500));
+  sky130_fd_sc_hd__a22o_1 g434000(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [2]), .X
+       (n_7499));
+  sky130_fd_sc_hd__a22o_1 g434001(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [3]), .X
+       (n_7498));
+  sky130_fd_sc_hd__a22o_1 g434002(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [4]), .X
+       (n_7497));
+  sky130_fd_sc_hd__a22o_1 g434003(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [5]), .X
+       (n_7496));
+  sky130_fd_sc_hd__a22o_1 g434004(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [6]), .X
+       (n_7495));
+  sky130_fd_sc_hd__a22o_1 g434005(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [7]), .X
+       (n_7494));
+  sky130_fd_sc_hd__a22o_1 g434006(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [8]), .X
+       (n_7493));
+  sky130_fd_sc_hd__a22o_1 g434007(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [1]), .X
+       (n_7492));
+  sky130_fd_sc_hd__a22o_1 g434008(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [2]), .X
+       (n_7491));
+  sky130_fd_sc_hd__a22o_1 g434009(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [3]), .X
+       (n_7490));
+  sky130_fd_sc_hd__a22o_1 g434010(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [4]), .X
+       (n_7489));
+  sky130_fd_sc_hd__a22o_1 g434011(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [5]), .X
+       (n_7488));
+  sky130_fd_sc_hd__a22o_1 g434012(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [6]), .X
+       (n_7487));
+  sky130_fd_sc_hd__a22o_1 g434013(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [7]), .X
+       (n_7486));
+  sky130_fd_sc_hd__a22o_1 g434014(.A1 (n_6917), .A2
+       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_7251), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [8]), .X
+       (n_7485));
+  sky130_fd_sc_hd__a22o_1 g434015(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [2]), .X
+       (n_7484));
+  sky130_fd_sc_hd__a22o_1 g434016(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [3]), .X
+       (n_7483));
+  sky130_fd_sc_hd__a22o_1 g434017(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [4]), .X
+       (n_7482));
+  sky130_fd_sc_hd__a22o_1 g434018(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [5]), .X
+       (n_7481));
+  sky130_fd_sc_hd__a22o_1 g434019(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [6]), .X
+       (n_7480));
+  sky130_fd_sc_hd__a22o_1 g434020(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [7]), .X
+       (n_7479));
+  sky130_fd_sc_hd__a22o_1 g434021(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [8]), .X
+       (n_7478));
+  sky130_fd_sc_hd__a22o_1 g434022(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [7]), .X
+       (n_7477));
+  sky130_fd_sc_hd__a22o_1 g434023(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [2]), .X
+       (n_7476));
+  sky130_fd_sc_hd__a22o_1 g434024(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [3]), .X
+       (n_7475));
+  sky130_fd_sc_hd__a22o_1 g434025(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [4]), .X
+       (n_7474));
+  sky130_fd_sc_hd__a22o_1 g434026(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [5]), .X
+       (n_7473));
+  sky130_fd_sc_hd__a22o_1 g434027(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [6]), .X
+       (n_7472));
+  sky130_fd_sc_hd__a22o_1 g434028(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [7]), .X
+       (n_7471));
+  sky130_fd_sc_hd__a22o_1 g434029(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [8]), .X
+       (n_7470));
+  sky130_fd_sc_hd__a22o_1 g434030(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [1]), .X
+       (n_7469));
+  sky130_fd_sc_hd__a22o_1 g434031(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [2]), .X
+       (n_7468));
+  sky130_fd_sc_hd__a22o_1 g434032(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [3]), .X
+       (n_7467));
+  sky130_fd_sc_hd__a22o_1 g434033(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [4]), .X
+       (n_7466));
+  sky130_fd_sc_hd__a22o_1 g434034(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [5]), .X
+       (n_7465));
+  sky130_fd_sc_hd__a22o_1 g434035(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [6]), .X
+       (n_7464));
+  sky130_fd_sc_hd__a22o_1 g434036(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [7]), .X
+       (n_7463));
+  sky130_fd_sc_hd__a22o_1 g434037(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [8]), .X
+       (n_7462));
+  sky130_fd_sc_hd__a22o_1 g434038(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [1]), .X
+       (n_7461));
+  sky130_fd_sc_hd__a22o_1 g434039(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [2]), .X
+       (n_7460));
+  sky130_fd_sc_hd__a22o_1 g434040(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [3]), .X
+       (n_7459));
+  sky130_fd_sc_hd__a22o_1 g434041(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [4]), .X
+       (n_7458));
+  sky130_fd_sc_hd__a22o_1 g434042(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [5]), .X
+       (n_7457));
+  sky130_fd_sc_hd__a22o_1 g434043(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [6]), .X
+       (n_7456));
+  sky130_fd_sc_hd__a22o_1 g434044(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [7]), .X
+       (n_7455));
+  sky130_fd_sc_hd__a22o_1 g434045(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [8]), .X
+       (n_7454));
+  sky130_fd_sc_hd__a22o_1 g434046(.A1 (n_6916), .A2
+       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_7248), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [1]), .X
+       (n_7453));
+  sky130_fd_sc_hd__a22o_1 g434047(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [3]), .X
+       (n_7452));
+  sky130_fd_sc_hd__a22oi_1 g434048(.A1 (n_1217), .A2 (n_7283), .B1
+       (n_2418), .B2 (n_1355), .Y (n_7451));
+  sky130_fd_sc_hd__a22oi_1 g434049(.A1 (n_1217), .A2 (n_7282), .B1
+       (n_2418), .B2 (n_1390), .Y (n_7450));
+  sky130_fd_sc_hd__a2bb2oi_1 g434050(.A1_N (n_7137), .A2_N (n_3080),
+       .B1 (n_6661), .B2 (n_2419), .Y (n_7449));
+  sky130_fd_sc_hd__a22oi_1 g434051(.A1 (n_7262), .A2 (n_1873), .B1
+       (n_7263), .B2 (n_1871), .Y (n_7448));
+  sky130_fd_sc_hd__a22oi_1 g434052(.A1 (n_7272), .A2 (n_1756), .B1
+       (n_8), .B2 (u_soc_u_top_u_core_rf_wdata_fwd_wb[3]), .Y (n_7447));
+  sky130_fd_sc_hd__a22oi_1 g434053(.A1 (n_7261), .A2 (n_1873), .B1
+       (n_7258), .B2 (n_1871), .Y (n_7446));
+  sky130_fd_sc_hd__a22oi_1 g434054(.A1 (n_7267), .A2 (n_1873), .B1
+       (n_7269), .B2 (n_1871), .Y (n_7445));
+  sky130_fd_sc_hd__a22oi_1 g434055(.A1 (n_7259), .A2 (n_1878), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[19]), .Y (n_7444));
+  sky130_fd_sc_hd__a22oi_1 g434056(.A1 (n_7264), .A2 (n_1869), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]), .Y (n_7443));
+  sky130_fd_sc_hd__a22oi_1 g434057(.A1 (n_7262), .A2 (n_1869), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]), .Y (n_7442));
+  sky130_fd_sc_hd__a22oi_1 g434058(.A1 (n_7260), .A2 (n_1869), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]), .Y (n_7441));
+  sky130_fd_sc_hd__a22oi_1 g434059(.A1 (n_7259), .A2 (n_1869), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]), .Y (n_7440));
+  sky130_fd_sc_hd__a22oi_1 g434060(.A1 (n_7258), .A2 (n_2281), .B1
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[20]), .B2 (n_8), .Y
+       (n_7439));
+  sky130_fd_sc_hd__a22oi_1 g434061(.A1 (n_7266), .A2 (n_1869), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]), .Y (n_7438));
+  sky130_fd_sc_hd__a22oi_1 g434062(.A1 (n_7269), .A2 (n_2281), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]), .Y (n_7437));
+  sky130_fd_sc_hd__a22oi_1 g434063(.A1 (n_7263), .A2 (n_1852), .B1
+       (n_7262), .B2 (n_2281), .Y (n_7436));
+  sky130_fd_sc_hd__a22oi_1 g434064(.A1 (n_7272), .A2 (n_1869), .B1
+       (n_7259), .B2 (n_2281), .Y (n_7435));
+  sky130_fd_sc_hd__a22oi_1 g434065(.A1 (n_7258), .A2 (n_1852), .B1
+       (n_7261), .B2 (n_2281), .Y (n_7434));
+  sky130_fd_sc_hd__a22oi_1 g434066(.A1 (n_7269), .A2 (n_1852), .B1
+       (n_7267), .B2 (n_2281), .Y (n_7433));
+  sky130_fd_sc_hd__a221oi_1 g434067(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[3]), .B1
+       (n_1884), .B2 (u_soc_u_top_u_core_csr_mstatus_mie), .C1
+       (n_7199), .Y (n_7432));
+  sky130_fd_sc_hd__a22o_1 g434068(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [1]), .X
+       (n_7431));
+  sky130_fd_sc_hd__a22o_1 g434069(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [2]), .X
+       (n_7430));
+  sky130_fd_sc_hd__a22o_1 g434070(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [3]), .X
+       (n_7429));
+  sky130_fd_sc_hd__a22o_1 g434071(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [4]), .X
+       (n_7428));
+  sky130_fd_sc_hd__a22o_1 g434072(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [5]), .X
+       (n_7427));
+  sky130_fd_sc_hd__a22o_1 g434073(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [6]), .X
+       (n_7426));
+  sky130_fd_sc_hd__a22o_1 g434074(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [7]), .X
+       (n_7425));
+  sky130_fd_sc_hd__a22o_1 g434075(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [8]), .X
+       (n_7424));
+  sky130_fd_sc_hd__a22o_1 g434076(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [1]), .X
+       (n_7423));
+  sky130_fd_sc_hd__a22o_1 g434077(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [2]), .X
+       (n_7422));
+  sky130_fd_sc_hd__a22o_1 g434078(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [3]), .X
+       (n_7421));
+  sky130_fd_sc_hd__a22o_1 g434079(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [4]), .X
+       (n_7420));
+  sky130_fd_sc_hd__a22o_1 g434080(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [5]), .X
+       (n_7419));
+  sky130_fd_sc_hd__a22o_1 g434081(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [6]), .X
+       (n_7418));
+  sky130_fd_sc_hd__a22o_1 g434082(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [8]), .X
+       (n_7417));
+  sky130_fd_sc_hd__a22o_1 g434083(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [1]), .X
+       (n_7416));
+  sky130_fd_sc_hd__a22o_1 g434084(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [2]), .X
+       (n_7415));
+  sky130_fd_sc_hd__a22o_1 g434085(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [4]), .X
+       (n_7414));
+  sky130_fd_sc_hd__a22o_1 g434086(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [5]), .X
+       (n_7413));
+  sky130_fd_sc_hd__a22o_1 g434087(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [6]), .X
+       (n_7412));
+  sky130_fd_sc_hd__a22o_1 g434088(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [7]), .X
+       (n_7411));
+  sky130_fd_sc_hd__a22o_1 g434089(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [8]), .X
+       (n_7410));
+  sky130_fd_sc_hd__a22o_1 g434090(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [1]), .X
+       (n_7409));
+  sky130_fd_sc_hd__a22o_1 g434091(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [2]), .X
+       (n_7408));
+  sky130_fd_sc_hd__a22o_1 g434092(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [3]), .X
+       (n_7407));
+  sky130_fd_sc_hd__a22o_1 g434093(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [4]), .X
+       (n_7406));
+  sky130_fd_sc_hd__a22o_1 g434094(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [5]), .X
+       (n_7405));
+  sky130_fd_sc_hd__a22o_1 g434095(.A1 (n_7249), .A2
+       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_7250), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [6]), .X
+       (n_7404));
+  sky130_fd_sc_hd__a32oi_1 g434096(.A1 (n_6931), .A2 (n_127), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13504), .B2 (n_1215), .Y
+       (n_7557));
+  sky130_fd_sc_hd__a32oi_1 g434097(.A1 (n_6929), .A2 (n_133), .A3
+       (u_soc_u_top_u_core_csr_op[1]), .B1 (n_13505), .B2 (n_1215), .Y
+       (n_7555));
+  sky130_fd_sc_hd__inv_1 g434268(.A (n_7398), .Y (n_7399));
+  sky130_fd_sc_hd__inv_2 g434269(.A (n_7392), .Y (n_7391));
+  sky130_fd_sc_hd__inv_1 g434270(.A (n_7390), .Y (n_7389));
+  sky130_fd_sc_hd__clkinv_1 g434271(.A (n_7388), .Y (n_7387));
+  sky130_fd_sc_hd__clkinv_1 g434273(.A (n_15911), .Y (n_7383));
+  sky130_fd_sc_hd__inv_2 g434274(.A (n_7382), .Y (n_7381));
+  sky130_fd_sc_hd__nand2_1 g434275(.A (n_5744), .B (n_7218), .Y
+       (n_7380));
+  sky130_fd_sc_hd__o22ai_1 g434276(.A1 (n_6824), .A2 (n_3080), .B1
+       (n_6662), .B2 (n_2420), .Y (n_7379));
+  sky130_fd_sc_hd__a22oi_1 g434277(.A1 (n_1217), .A2 (n_6929), .B1
+       (n_2418), .B2 (n_1365), .Y (n_7378));
+  sky130_fd_sc_hd__a22oi_1 g434278(.A1 (n_1217), .A2 (n_6931), .B1
+       (n_2418), .B2 (n_1357), .Y (n_7377));
+  sky130_fd_sc_hd__a22oi_1 g434279(.A1 (n_1217), .A2 (n_6884), .B1
+       (n_2418), .B2 (n_1403), .Y (n_7376));
+  sky130_fd_sc_hd__a22oi_1 g434280(.A1 (n_1217), .A2 (n_6930), .B1
+       (n_2418), .B2 (n_1351), .Y (n_7375));
+  sky130_fd_sc_hd__a22oi_1 g434281(.A1 (n_6922), .A2
+       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .B1 (n_6709),
+       .B2 (u_soc_u_top_u_core_instr_rdata_id[0]), .Y (n_7374));
+  sky130_fd_sc_hd__a22oi_1 g434282(.A1 (n_13605), .A2 (n_6915), .B1
+       (n_1221), .B2 (u_soc_u_top_u_core_csr_mtvec[29]), .Y (n_7373));
+  sky130_fd_sc_hd__a22oi_1 g434283(.A1 (n_13585), .A2 (n_6915), .B1
+       (n_6919), .B2 (u_soc_u_top_u_core_pc_if[9]), .Y (n_7372));
+  sky130_fd_sc_hd__a22oi_1 g434284(.A1 (n_13583), .A2 (n_6915), .B1
+       (n_6919), .B2 (u_soc_u_top_u_core_pc_if[7]), .Y (n_7371));
+  sky130_fd_sc_hd__a22oi_1 g434285(.A1 (n_6915), .A2 (n_13581), .B1
+       (n_6919), .B2 (u_soc_u_top_u_core_pc_if[5]), .Y (n_7370));
+  sky130_fd_sc_hd__a22oi_1 g434286(.A1 (n_6915), .A2 (n_13579), .B1
+       (n_6919), .B2 (u_soc_u_top_u_core_pc_if[3]), .Y (n_7369));
+  sky130_fd_sc_hd__a21oi_1 g434287(.A1 (n_13575), .A2 (n_5703), .B1
+       (n_7242), .Y (n_7368));
+  sky130_fd_sc_hd__a221oi_1 g434288(.A1 (n_5956), .A2
+       (u_soc_u_dccm_rdata3[31]), .B1 (n_2008), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [32]), .C1 (n_7147), .Y (n_7367));
+  sky130_fd_sc_hd__a221oi_1 g434289(.A1 (n_5956), .A2
+       (u_soc_u_dccm_rdata3[30]), .B1 (n_2008), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [31]), .C1 (n_7148), .Y (n_7366));
+  sky130_fd_sc_hd__a221oi_1 g434290(.A1 (n_5958), .A2
+       (u_soc_u_dccm_rdata4[29]), .B1 (n_2008), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [30]), .C1 (n_7149), .Y (n_7365));
+  sky130_fd_sc_hd__a221oi_1 g434291(.A1 (n_5956), .A2
+       (u_soc_u_dccm_rdata3[28]), .B1 (n_2008), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [29]), .C1 (n_7150), .Y (n_7364));
+  sky130_fd_sc_hd__a221oi_1 g434292(.A1 (n_5958), .A2
+       (u_soc_u_dccm_rdata4[26]), .B1 (n_2008), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [27]), .C1 (n_7151), .Y (n_7363));
+  sky130_fd_sc_hd__a221oi_1 g434293(.A1 (n_5958), .A2
+       (u_soc_u_dccm_rdata4[25]), .B1 (n_2008), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [26]), .C1 (n_7152), .Y (n_7362));
+  sky130_fd_sc_hd__a221oi_1 g434294(.A1 (n_5958), .A2
+       (u_soc_u_dccm_rdata4[24]), .B1 (n_2008), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [25]), .C1 (n_7153), .Y (n_7361));
+  sky130_fd_sc_hd__o22ai_1 g434295(.A1 (n_5691), .A2 (n_6920), .B1
+       (n_5707), .B2 (n_6921), .Y (n_7360));
+  sky130_fd_sc_hd__a21oi_1 g434296(.A1 (n_6868), .A2 (n_6923), .B1
+       (n_6863), .Y (n_7359));
+  sky130_fd_sc_hd__a222oi_1 g434297(.A1 (u_soc_u_tcam_rdata[5]), .A2
+       (n_6498), .B1 (n_5382), .B2 (n_6727), .C1 (n_2985), .C2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [6]), .Y (n_7358));
+  sky130_fd_sc_hd__a222oi_1 g434298(.A1 (u_soc_u_tcam_rdata[4]), .A2
+       (n_6498), .B1 (n_5382), .B2 (n_6737), .C1 (n_2985), .C2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [5]), .Y (n_7357));
+  sky130_fd_sc_hd__a222oi_1 g434299(.A1 (u_soc_u_tcam_rdata[3]), .A2
+       (n_6498), .B1 (n_5382), .B2 (n_6736), .C1 (n_2985), .C2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [4]), .Y (n_7356));
+  sky130_fd_sc_hd__a222oi_1 g434300(.A1 (u_soc_u_tcam_rdata[2]), .A2
+       (n_6498), .B1 (n_5382), .B2 (n_6735), .C1 (n_2985), .C2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [3]), .Y (n_7355));
+  sky130_fd_sc_hd__a222oi_1 g434301(.A1 (u_soc_u_tcam_rdata[1]), .A2
+       (n_6498), .B1 (n_5382), .B2 (n_6734), .C1 (n_2985), .C2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [2]), .Y (n_7354));
+  sky130_fd_sc_hd__o21ai_1 g434302(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
+       [0]), .A2 (n_5692), .B1 (n_7275), .Y (n_7353));
+  sky130_fd_sc_hd__nand4_1 g434303(.A (n_6663), .B (n_2390), .C
+       (n_2136), .D (n_1511), .Y (n_7352));
+  sky130_fd_sc_hd__a222oi_1 g434304(.A1 (n_13771), .A2 (n_1218), .B1
+       (n_1219), .B2 (u_soc_u_top_u_core_csr_mepc[29]), .C1 (n_6919),
+       .C2 (u_soc_u_top_u_core_pc_if[29]), .Y (n_7351));
+  sky130_fd_sc_hd__a311oi_1 g434305(.A1 (n_5982), .A2 (n_1422), .A3
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [9]), .B1 (n_6356), .C1
+       (n_7206), .Y (n_7350));
+  sky130_fd_sc_hd__a41oi_1 g434306(.A1 (n_5673), .A2 (n_1439), .A3
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [4]), .A4 (\u_soc_u_top_u_core_imd_val_q_ex[1] [27]), .B1
+       (n_7245), .Y (n_7349));
+  sky130_fd_sc_hd__o21ai_0 g434307(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
+       [0]), .A2 (n_6920), .B1 (n_5391), .Y (n_7348));
+  sky130_fd_sc_hd__o21ai_0 g434308(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
+       [0]), .A2 (n_6920), .B1 (n_5391), .Y (n_7347));
+  sky130_fd_sc_hd__o21ai_0 g434309(.A1 (n_6882), .A2 (n_6857), .B1
+       (n_6864), .Y (n_7346));
+  sky130_fd_sc_hd__a21oi_1 g434310(.A1 (n_5693), .A2 (n_661), .B1
+       (n_7274), .Y (n_7345));
+  sky130_fd_sc_hd__o21bai_1 g434311(.A1 (n_6881), .A2 (n_6865), .B1_N
+       (n_7270), .Y (n_7344));
+  sky130_fd_sc_hd__o221ai_1 g434312(.A1 (n_1911), .A2 (n_5393), .B1
+       (n_11), .B2 (n_6005), .C1 (n_3088), .Y (n_7343));
+  sky130_fd_sc_hd__a21oi_1 g434313(.A1 (n_6921), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
+       [0]), .B1 (n_5692), .Y (n_7342));
+  sky130_fd_sc_hd__a21boi_1 g434314(.A1 (n_6921), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
+       [1]), .B1_N (n_5693), .Y (n_7341));
+  sky130_fd_sc_hd__a21oi_1 g434315(.A1 (n_6788), .A2 (n_1042), .B1
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .Y (n_7340));
+  sky130_fd_sc_hd__a21oi_1 g434316(.A1 (n_6505), .A2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[5]), .B1
+       (n_7277), .Y (n_7339));
+  sky130_fd_sc_hd__o21ai_1 g434317(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
+       [0]), .A2 (n_5391), .B1 (n_7275), .Y (n_7338));
+  sky130_fd_sc_hd__nor2_1 g434318(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_7191), .Y
+       (n_7337));
+  sky130_fd_sc_hd__nor3_1 g434319(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .B (n_15915), .C
+       (n_6738), .Y (n_7336));
+  sky130_fd_sc_hd__nor2_1 g434320(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_7194), .Y
+       (n_7335));
+  sky130_fd_sc_hd__nor2_1 g434321(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_7195), .Y
+       (n_7334));
+  sky130_fd_sc_hd__nor2_1 g434322(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_7196), .Y
+       (n_7333));
+  sky130_fd_sc_hd__nor2_1 g434323(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_6938), .Y
+       (n_7332));
+  sky130_fd_sc_hd__nand2_1 g434324(.A (n_6869), .B (n_7255), .Y
+       (n_7331));
+  sky130_fd_sc_hd__nand2_1 g434325(.A (n_7241), .B (n_5824), .Y
+       (n_7330));
+  sky130_fd_sc_hd__nand2_1 g434326(.A (n_5724), .B (n_7238), .Y
+       (n_7329));
+  sky130_fd_sc_hd__nand2_1 g434327(.A (n_7237), .B (n_5725), .Y
+       (n_7328));
+  sky130_fd_sc_hd__nand2_1 g434328(.A (n_7236), .B (n_5726), .Y
+       (n_7327));
+  sky130_fd_sc_hd__nand2_1 g434329(.A (n_7235), .B (n_5727), .Y
+       (n_7326));
+  sky130_fd_sc_hd__nand2_1 g434330(.A (n_5728), .B (n_7234), .Y
+       (n_7325));
+  sky130_fd_sc_hd__nand2_1 g434331(.A (n_5729), .B (n_7233), .Y
+       (n_7324));
+  sky130_fd_sc_hd__nand2_1 g434332(.A (n_5730), .B (n_7232), .Y
+       (n_7323));
+  sky130_fd_sc_hd__nand2_1 g434333(.A (n_5731), .B (n_7231), .Y
+       (n_7322));
+  sky130_fd_sc_hd__nand2_1 g434334(.A (n_7230), .B (n_5732), .Y
+       (n_7321));
+  sky130_fd_sc_hd__nand2_1 g434335(.A (n_5733), .B (n_7229), .Y
+       (n_7320));
+  sky130_fd_sc_hd__nand2_1 g434336(.A (n_5734), .B (n_7228), .Y
+       (n_7319));
+  sky130_fd_sc_hd__nand2_1 g434337(.A (n_7227), .B (n_5735), .Y
+       (n_7318));
+  sky130_fd_sc_hd__nand2_1 g434338(.A (n_5736), .B (n_7226), .Y
+       (n_7317));
+  sky130_fd_sc_hd__nand2_1 g434339(.A (n_7225), .B (n_5737), .Y
+       (n_7316));
+  sky130_fd_sc_hd__nand2_1 g434340(.A (n_7224), .B (n_5738), .Y
+       (n_7315));
+  sky130_fd_sc_hd__nand2_1 g434341(.A (n_5739), .B (n_7223), .Y
+       (n_7314));
+  sky130_fd_sc_hd__nand2_1 g434342(.A (n_5740), .B (n_7222), .Y
+       (n_7313));
+  sky130_fd_sc_hd__nand2_1 g434343(.A (n_5741), .B (n_7221), .Y
+       (n_7312));
+  sky130_fd_sc_hd__nand2_1 g434344(.A (n_7220), .B (n_5742), .Y
+       (n_7311));
+  sky130_fd_sc_hd__nand2_1 g434345(.A (n_7219), .B (n_5743), .Y
+       (n_7310));
+  sky130_fd_sc_hd__nor2_1 g434346(.A
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[6]), .B (n_6738), .Y
+       (n_7309));
+  sky130_fd_sc_hd__nand2_1 g434347(.A (n_7217), .B (n_5745), .Y
+       (n_7308));
+  sky130_fd_sc_hd__nand2_1 g434348(.A (n_7267), .B (n_1878), .Y
+       (n_7307));
+  sky130_fd_sc_hd__nand2_1 g434349(.A (n_7268), .B (n_1878), .Y
+       (n_7306));
+  sky130_fd_sc_hd__nand2_1 g434350(.A (n_7210), .B (n_5753), .Y
+       (n_7305));
+  sky130_fd_sc_hd__nand2_1 g434351(.A (n_7209), .B (n_5754), .Y
+       (n_7304));
+  sky130_fd_sc_hd__nand2_1 g434352(.A (n_7211), .B (n_5751), .Y
+       (n_7303));
+  sky130_fd_sc_hd__nand2_1 g434353(.A (n_7212), .B (n_5750), .Y
+       (n_7302));
+  sky130_fd_sc_hd__nand2_1 g434354(.A (n_7213), .B (n_5749), .Y
+       (n_7301));
+  sky130_fd_sc_hd__nand2_1 g434355(.A (n_5748), .B (n_7214), .Y
+       (n_7300));
+  sky130_fd_sc_hd__nand2_1 g434356(.A (n_5747), .B (n_7215), .Y
+       (n_7299));
+  sky130_fd_sc_hd__nand2_1 g434357(.A (n_5746), .B (n_7216), .Y
+       (n_7298));
+  sky130_fd_sc_hd__nand4_1 g434372(.A (n_6706), .B (n_1984), .C
+       (n_1975), .D (n_1806), .Y (n_7402));
+  sky130_fd_sc_hd__nand2_1 g434385(.A (n_7253), .B (n_7254), .Y
+       (n_7401));
+  sky130_fd_sc_hd__nand4_1 g434392(.A (n_6682), .B (n_2966), .C
+       (n_2136), .D (n_2652), .Y (n_7398));
+  sky130_fd_sc_hd__nand3_1 g434394(.A (n_6839), .B (n_2971), .C
+       (n_5975), .Y (n_7397));
+  sky130_fd_sc_hd__nor2_1 g434402(.A (n_7295), .B (n_6923), .Y
+       (n_7396));
+  sky130_fd_sc_hd__nand2_1 g434403(.A (n_6738), .B
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[6]), .Y (n_7395));
+  sky130_fd_sc_hd__nor2_1 g434404(.A (n_6862), .B (n_7279), .Y
+       (n_7394));
+  sky130_fd_sc_hd__nor2_1 g434411(.A (n_6858), .B (n_7255), .Y
+       (n_7393));
+  sky130_fd_sc_hd__nand3_1 g434438(.A (n_6862), .B (n_6858), .C
+       (n_6861), .Y (n_7392));
+  sky130_fd_sc_hd__nand2_1 g434439(.A (n_7279), .B (n_6863), .Y
+       (n_7390));
+  sky130_fd_sc_hd__nor2_1 g434440(.A (n_7255), .B (n_6868), .Y
+       (n_7388));
+  sky130_fd_sc_hd__nand2_1 g434441(.A (n_7253), .B (n_7271), .Y
+       (n_7386));
+  sky130_fd_sc_hd__nand2_1 g434443(.A (n_7253), .B (n_7276), .Y
+       (n_7382));
+  sky130_fd_sc_hd__clkinv_1 g434445(.A (n_7289), .Y (n_7290));
+  sky130_fd_sc_hd__inv_1 g434446(.A (n_7286), .Y (n_7287));
+  sky130_fd_sc_hd__inv_1 g434448(.A (n_7274), .Y (n_7275));
+  sky130_fd_sc_hd__clkinv_1 g434449(.A (n_7257), .Y (n_7256));
+  sky130_fd_sc_hd__inv_2 g434450(.A (n_7255), .Y (n_7254));
+  sky130_fd_sc_hd__clkinv_1 g434451(.A (n_7253), .Y (n_7252));
+  sky130_fd_sc_hd__a221oi_1 g434452(.A1 (n_6493), .A2
+       (\u_soc_xbar_to_dccm[a_address] [2]), .B1 (n_6492), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [2]), .C1 (n_6586), .Y
+       (n_7246));
+  sky130_fd_sc_hd__o22ai_1 g434453(.A1 (n_1521), .A2 (n_6588), .B1
+       (n_1420), .B2 (n_6418), .Y (n_7245));
+  sky130_fd_sc_hd__a32oi_1 g434454(.A1 (n_5364), .A2 (n_2417), .A3
+       (n_6359), .B1 (n_13380), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[0]), .Y (n_7244));
+  sky130_fd_sc_hd__nand4_1 g434455(.A (n_6652), .B (n_6651), .C
+       (n_6375), .D (n_6376), .Y (n_7243));
+  sky130_fd_sc_hd__o211ai_1 g434456(.A1 (n_1245), .A2 (n_5401), .B1
+       (n_6559), .C1 (n_6619), .Y (n_7242));
+  sky130_fd_sc_hd__a222oi_1 g434457(.A1
+       (\u_soc_xbar_to_dccm[a_address] [2]), .A2 (n_5360), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [2]), .C1 (n_5362), .C2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [3]), .Y (n_7241));
+  sky130_fd_sc_hd__a21oi_1 g434458(.A1 (n_2246), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [2]), .B1 (n_6810), .Y (n_7240));
+  sky130_fd_sc_hd__a221o_1 g434459(.A1
+       (\u_soc_lsu_to_xbar[a_address] [31]), .A2 (n_5360), .B1
+       (n_5366), .B2 (n_13415), .C1 (n_6845), .X (n_7239));
+  sky130_fd_sc_hd__a222oi_1 g434460(.A1 (n_13415), .A2 (n_5358), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [30]), .C1 (n_5366), .C2 (n_13414), .Y (n_7238));
+  sky130_fd_sc_hd__a222oi_1 g434461(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [30]), .A2 (n_5362), .B1 (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [29]), .C1 (\u_soc_lsu_to_xbar[a_address] [29]), .C2 (n_5360),
+       .Y (n_7237));
+  sky130_fd_sc_hd__a222oi_1 g434462(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [28]), .A2 (n_6490), .B1 (n_5358), .B2 (n_13413), .C1
+       (\u_soc_lsu_to_xbar[a_address] [28]), .C2 (n_5360), .Y (n_7236));
+  sky130_fd_sc_hd__a222oi_1 g434463(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [27]), .A2 (n_6490), .B1 (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [28]), .C1 (\u_soc_lsu_to_xbar[a_address] [27]), .C2 (n_5360),
+       .Y (n_7235));
+  sky130_fd_sc_hd__a222oi_1 g434464(.A1 (n_13411), .A2 (n_5358), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [26]), .C1 (n_5366), .C2 (n_13410), .Y (n_7234));
+  sky130_fd_sc_hd__a222oi_1 g434465(.A1 (n_13409), .A2 (n_5366), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [25]), .C1 (n_5362), .C2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [26]), .Y (n_7233));
+  sky130_fd_sc_hd__a222oi_1 g434466(.A1 (n_13409), .A2 (n_5358), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [24]), .C1 (n_5366), .C2 (n_13408), .Y (n_7232));
+  sky130_fd_sc_hd__a222oi_1 g434467(.A1 (n_13408), .A2 (n_5358), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [23]), .C1 (n_5366), .C2 (n_13407), .Y (n_7231));
+  sky130_fd_sc_hd__a222oi_1 g434468(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [22]), .A2 (n_6490), .B1 (n_5366), .B2 (n_13406), .C1
+       (\u_soc_lsu_to_xbar[a_address] [22]), .C2 (n_5360), .Y (n_7230));
+  sky130_fd_sc_hd__a222oi_1 g434469(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [22]), .A2 (n_5362), .B1 (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [21]), .C1 (n_5366), .C2 (n_13405), .Y (n_7229));
+  sky130_fd_sc_hd__a222oi_1 g434470(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [21]), .A2 (n_5362), .B1 (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [20]), .C1 (n_5358), .C2 (n_13405), .Y (n_7228));
+  sky130_fd_sc_hd__a222oi_1 g434471(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [20]), .A2 (n_5362), .B1 (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [19]), .C1 (\u_soc_lsu_to_xbar[a_address] [19]), .C2 (n_5360),
+       .Y (n_7227));
+  sky130_fd_sc_hd__a222oi_1 g434472(.A1 (n_13402), .A2 (n_5366), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [18]), .C1 (n_5358), .C2 (n_13403), .Y (n_7226));
+  sky130_fd_sc_hd__a222oi_1 g434473(.A1 (n_13402), .A2 (n_5358), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [17]), .C1 (\u_soc_lsu_to_xbar[a_address] [17]), .C2 (n_5360),
+       .Y (n_7225));
+  sky130_fd_sc_hd__a222oi_1 g434474(.A1 (n_13401), .A2 (n_5358), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [16]), .C1 (\u_soc_lsu_to_xbar[a_address] [16]), .C2 (n_5360),
+       .Y (n_7224));
+  sky130_fd_sc_hd__a222oi_1 g434475(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [16]), .A2 (n_5362), .B1 (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [15]), .C1 (n_5358), .C2 (n_13400), .Y (n_7223));
+  sky130_fd_sc_hd__a222oi_1 g434476(.A1 (n_13398), .A2 (n_5366), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [14]), .C1 (n_5362), .C2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [15]), .Y (n_7222));
+  sky130_fd_sc_hd__a222oi_1 g434477(.A1 (n_13397), .A2 (n_5366), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [13]), .C1 (n_5362), .C2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [14]), .Y (n_7221));
+  sky130_fd_sc_hd__a222oi_1 g434478(.A1 (n_13397), .A2 (n_5358), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [12]), .C1 (\u_soc_xbar_to_dccm[a_address] [12]), .C2 (n_5360),
+       .Y (n_7220));
+  sky130_fd_sc_hd__a222oi_1 g434479(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [11]), .A2 (n_6490), .B1 (n_5366), .B2 (n_13395), .C1
+       (\u_soc_xbar_to_dccm[a_address] [11]), .C2 (n_5360), .Y
+       (n_7219));
+  sky130_fd_sc_hd__a222oi_1 g434480(.A1 (n_13394), .A2 (n_5366), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [10]), .C1 (n_5358), .C2 (n_13395), .Y (n_7218));
+  sky130_fd_sc_hd__a222oi_1 g434481(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [9]), .A2 (n_6490), .B1 (n_5358), .B2 (n_13394), .C1
+       (\u_soc_xbar_to_dccm[a_address] [9]), .C2 (n_5360), .Y (n_7217));
+  sky130_fd_sc_hd__a222oi_1 g434482(.A1 (n_13392), .A2 (n_5366), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [8]), .C1 (n_5362), .C2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [9]), .Y (n_7216));
+  sky130_fd_sc_hd__a222oi_1 g434483(.A1 (n_13391), .A2 (n_5366), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [7]), .C1 (n_5358), .C2 (n_13392), .Y (n_7215));
+  sky130_fd_sc_hd__a222oi_1 g434484(.A1 (n_13391), .A2 (n_5358), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [6]), .C1 (n_5366), .C2 (n_13390), .Y (n_7214));
+  sky130_fd_sc_hd__a222oi_1 g434485(.A1 (n_13390), .A2 (n_5358), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [5]), .C1 (\u_soc_xbar_to_dccm[a_address] [5]), .C2 (n_5360), .Y
+       (n_7213));
+  sky130_fd_sc_hd__a222oi_1 g434486(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [4]), .A2 (n_6490), .B1 (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [5]), .C1 (\u_soc_xbar_to_dccm[a_address] [4]), .C2 (n_5360), .Y
+       (n_7212));
+  sky130_fd_sc_hd__a222oi_1 g434487(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [4]), .A2 (n_5362), .B1 (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [3]), .C1 (n_5366), .C2 (n_13387), .Y (n_7211));
+  sky130_fd_sc_hd__a222oi_1 g434488(.A1 (n_13416), .A2 (n_5366), .B1
+       (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [1]), .C1 (n_5360), .C2
+       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .Y (n_7210));
+  sky130_fd_sc_hd__a222oi_1 g434489(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [1]), .A2 (n_5362), .B1 (n_6490), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [0]), .C1 (n_5366), .C2 (n_15946), .Y (n_7209));
+  sky130_fd_sc_hd__a221oi_1 g434490(.A1 (n_1413), .A2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]), .B1
+       (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[29]), .C1
+       (n_6850), .Y (n_7208));
+  sky130_fd_sc_hd__a221oi_1 g434491(.A1 (n_1413), .A2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]), .B1
+       (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[27]), .C1
+       (n_6851), .Y (n_7207));
+  sky130_fd_sc_hd__o221ai_1 g434492(.A1 (n_1257), .A2 (n_6696), .B1
+       (n_1526), .B2 (n_6417), .C1 (n_6624), .Y (n_7206));
+  sky130_fd_sc_hd__nand4_1 g434493(.A (n_6630), .B (n_6654), .C
+       (n_6655), .D (n_6657), .Y (n_7205));
+  sky130_fd_sc_hd__o2111ai_1 g434494(.A1 (n_1912), .A2 (n_2563), .B1
+       (n_6419), .C1 (n_6420), .D1 (n_6629), .Y (n_7204));
+  sky130_fd_sc_hd__a221oi_1 g434496(.A1 (n_5939), .A2 (n_13420), .B1
+       (n_5938), .B2 (n_13421), .C1 (n_6847), .Y (n_7202));
+  sky130_fd_sc_hd__a221oi_1 g434497(.A1 (n_5939), .A2 (n_13422), .B1
+       (n_5938), .B2 (n_13423), .C1 (n_6844), .Y (n_7201));
+  sky130_fd_sc_hd__o21a_1 g434498(.A1 (n_1451), .A2 (n_5988), .B1
+       (n_6928), .X (n_7200));
+  sky130_fd_sc_hd__a221o_1 g434499(.A1 (n_1527), .A2
+       (u_soc_u_top_u_core_cs_registers_i_mcause_q[3]), .B1 (n_1409),
+       .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[3]), .C1
+       (n_6843), .X (n_7199));
+  sky130_fd_sc_hd__a221oi_1 g434501(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [31]), .B1 (n_5937), .B2
+       (\u_soc_lsu_to_xbar[a_address] [30]), .C1 (n_6757), .Y (n_7197));
+  sky130_fd_sc_hd__nor2_1 g434502(.A
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [0]), .B
+       (n_6934), .Y (n_7196));
+  sky130_fd_sc_hd__nor2_1 g434503(.A
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [0]), .B
+       (n_6935), .Y (n_7195));
+  sky130_fd_sc_hd__nor2_1 g434504(.A
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [0]), .B
+       (n_6937), .Y (n_7194));
+  sky130_fd_sc_hd__a221oi_1 g434505(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [30]), .B1 (n_6492), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [30]), .C1 (n_6561), .Y
+       (n_7193));
+  sky130_fd_sc_hd__a221oi_1 g434506(.A1 (n_5939), .A2 (n_13446), .B1
+       (n_5938), .B2 (n_13447), .C1 (n_6758), .Y (n_7192));
+  sky130_fd_sc_hd__nor2_1 g434507(.A
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [0]), .B
+       (n_6926), .Y (n_7191));
+  sky130_fd_sc_hd__a221oi_1 g434508(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [28]), .B1 (n_6492), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [28]), .C1 (n_6563), .Y
+       (n_7190));
+  sky130_fd_sc_hd__nand2_1 g434509(.A (n_1217), .B (n_6933), .Y
+       (n_7189));
+  sky130_fd_sc_hd__a221oi_1 g434510(.A1 (n_5939), .A2 (n_13444), .B1
+       (n_5938), .B2 (n_13445), .C1 (n_6760), .Y (n_7188));
+  sky130_fd_sc_hd__nand2_1 g434511(.A (n_6856), .B (n_6002), .Y
+       (n_7187));
+  sky130_fd_sc_hd__a21oi_1 g434512(.A1 (n_6505), .A2 (n_15916), .B1
+       (n_1751), .Y (n_7186));
+  sky130_fd_sc_hd__nand2_1 g434513(.A (n_6821), .B (n_6213), .Y
+       (n_7185));
+  sky130_fd_sc_hd__nand2_1 g434514(.A (n_6820), .B (n_6211), .Y
+       (n_7184));
+  sky130_fd_sc_hd__nand2_1 g434515(.A (n_6819), .B (n_6209), .Y
+       (n_7183));
+  sky130_fd_sc_hd__nand2_1 g434516(.A (n_6818), .B (n_6194), .Y
+       (n_7182));
+  sky130_fd_sc_hd__a221oi_1 g434517(.A1 (n_5939), .A2 (n_13443), .B1
+       (n_5938), .B2 (n_13444), .C1 (n_6762), .Y (n_7181));
+  sky130_fd_sc_hd__nand2_1 g434518(.A (n_6809), .B (n_6202), .Y
+       (n_7180));
+  sky130_fd_sc_hd__a221oi_1 g434519(.A1 (n_5939), .A2 (n_13442), .B1
+       (n_5938), .B2 (n_13443), .C1 (n_6763), .Y (n_7179));
+  sky130_fd_sc_hd__nand2_1 g434520(.A (n_6808), .B (n_6196), .Y
+       (n_7178));
+  sky130_fd_sc_hd__a221oi_1 g434521(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [24]), .B1 (n_6492), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [24]), .C1 (n_6567), .Y
+       (n_7177));
+  sky130_fd_sc_hd__nand2_1 g434522(.A (n_6807), .B (n_6198), .Y
+       (n_7176));
+  sky130_fd_sc_hd__a221oi_1 g434523(.A1 (n_5939), .A2 (n_13440), .B1
+       (n_5938), .B2 (n_13441), .C1 (n_6765), .Y (n_7175));
+  sky130_fd_sc_hd__nand2_1 g434524(.A (n_6806), .B (n_6204), .Y
+       (n_7174));
+  sky130_fd_sc_hd__a221oi_1 g434525(.A1 (n_5939), .A2 (n_13439), .B1
+       (n_5938), .B2 (n_13440), .C1 (n_6767), .Y (n_7173));
+  sky130_fd_sc_hd__a221oi_1 g434526(.A1 (n_5939), .A2 (n_13438), .B1
+       (n_5938), .B2 (n_13439), .C1 (n_6768), .Y (n_7172));
+  sky130_fd_sc_hd__nand2_1 g434527(.A (n_6803), .B (n_6200), .Y
+       (n_7171));
+  sky130_fd_sc_hd__nand2_1 g434528(.A (n_6802), .B (n_6206), .Y
+       (n_7170));
+  sky130_fd_sc_hd__a221oi_1 g434529(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [20]), .B1 (n_6492), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [20]), .C1 (n_6570), .Y
+       (n_7169));
+  sky130_fd_sc_hd__nand2_1 g434530(.A (n_6801), .B (n_6186), .Y
+       (n_7168));
+  sky130_fd_sc_hd__a221oi_1 g434531(.A1 (n_5939), .A2 (n_13436), .B1
+       (n_5938), .B2 (n_13437), .C1 (n_6770), .Y (n_7167));
+  sky130_fd_sc_hd__a221oi_1 g434532(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [18]), .B1 (n_6492), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [18]), .C1 (n_6572), .Y
+       (n_7166));
+  sky130_fd_sc_hd__nand2_1 g434533(.A (n_6804), .B (n_6189), .Y
+       (n_7165));
+  sky130_fd_sc_hd__a221oi_1 g434534(.A1 (n_5939), .A2 (n_13434), .B1
+       (n_5938), .B2 (n_13435), .C1 (n_6772), .Y (n_7164));
+  sky130_fd_sc_hd__a221oi_1 g434535(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [16]), .B1 (n_6492), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [16]), .C1 (n_6574), .Y
+       (n_7163));
+  sky130_fd_sc_hd__nand2_1 g434536(.A (n_6800), .B (n_6188), .Y
+       (n_7162));
+  sky130_fd_sc_hd__a221oi_1 g434537(.A1 (n_5939), .A2 (n_13432), .B1
+       (n_5938), .B2 (n_13433), .C1 (n_6774), .Y (n_7161));
+  sky130_fd_sc_hd__a221oi_1 g434538(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [14]), .B1 (n_6492), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [14]), .C1 (n_6576), .Y
+       (n_7160));
+  sky130_fd_sc_hd__nand2_1 g434539(.A (n_6799), .B (n_6207), .Y
+       (n_7159));
+  sky130_fd_sc_hd__nor2_1 g434540(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6789), .Y
+       (n_7158));
+  sky130_fd_sc_hd__nand2_1 g434541(.A (n_6796), .B (n_6190), .Y
+       (n_7157));
+  sky130_fd_sc_hd__nand2_1 g434542(.A (n_6889), .B (n_6936), .Y
+       (n_7156));
+  sky130_fd_sc_hd__a21o_1 g434543(.A1 (n_6709), .A2
+       (u_soc_u_top_u_core_instr_rdata_c_id[1]), .B1 (n_6922), .X
+       (n_7155));
+  sky130_fd_sc_hd__nand2_1 g434544(.A (n_6791), .B (n_6192), .Y
+       (n_7154));
+  sky130_fd_sc_hd__nand2_1 g434545(.A (n_5262), .B (n_6817), .Y
+       (n_7153));
+  sky130_fd_sc_hd__nand2_1 g434546(.A (n_5269), .B (n_6816), .Y
+       (n_7152));
+  sky130_fd_sc_hd__nand2_1 g434547(.A (n_5266), .B (n_6815), .Y
+       (n_7151));
+  sky130_fd_sc_hd__nand2_1 g434548(.A (n_5258), .B (n_6814), .Y
+       (n_7150));
+  sky130_fd_sc_hd__nand2_1 g434549(.A (n_5253), .B (n_6813), .Y
+       (n_7149));
+  sky130_fd_sc_hd__nand2_1 g434550(.A (n_5249), .B (n_6812), .Y
+       (n_7148));
+  sky130_fd_sc_hd__nand2_1 g434551(.A (n_5247), .B (n_6811), .Y
+       (n_7147));
+  sky130_fd_sc_hd__a221oi_1 g434552(.A1 (n_5939), .A2 (n_13430), .B1
+       (n_5938), .B2 (n_13431), .C1 (n_6776), .Y (n_7146));
+  sky130_fd_sc_hd__a221oi_1 g434553(.A1 (n_6493), .A2
+       (\u_soc_xbar_to_dccm[a_address] [4]), .B1 (n_6492), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [4]), .C1 (n_6584), .Y
+       (n_7145));
+  sky130_fd_sc_hd__a221oi_1 g434554(.A1 (n_5939), .A2 (n_13424), .B1
+       (n_5938), .B2 (n_13425), .C1 (n_6783), .Y (n_7144));
+  sky130_fd_sc_hd__a221oi_1 g434555(.A1 (n_5939), .A2 (n_13425), .B1
+       (n_5938), .B2 (n_13426), .C1 (n_6782), .Y (n_7143));
+  sky130_fd_sc_hd__a221oi_1 g434556(.A1 (n_5939), .A2 (n_13426), .B1
+       (n_5938), .B2 (n_13427), .C1 (n_6780), .Y (n_7142));
+  sky130_fd_sc_hd__a221oi_1 g434557(.A1 (n_5939), .A2 (n_13427), .B1
+       (n_5938), .B2 (n_13428), .C1 (n_6779), .Y (n_7141));
+  sky130_fd_sc_hd__a221oi_1 g434558(.A1 (n_6493), .A2
+       (\u_soc_xbar_to_dccm[a_address] [11]), .B1 (n_5937), .B2
+       (\u_soc_xbar_to_dccm[a_address] [10]), .C1 (n_6248), .Y
+       (n_7140));
+  sky130_fd_sc_hd__a221oi_1 g434559(.A1 (n_6493), .A2
+       (\u_soc_xbar_to_dccm[a_address] [12]), .B1 (n_6492), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [12]), .C1 (n_6578), .Y
+       (n_7139));
+  sky130_fd_sc_hd__nand2_1 g434573(.A (n_6872), .B (n_5974), .Y
+       (n_7297));
+  sky130_fd_sc_hd__nor2_1 g434574(.A
+       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .B (n_6862), .Y
+       (n_7295));
+  sky130_fd_sc_hd__nand3_1 g434587(.A (n_6632), .B (n_2919), .C
+       (n_2659), .Y (n_7294));
+  sky130_fd_sc_hd__nand3_1 g434588(.A (n_6620), .B (n_2177), .C
+       (n_1959), .Y (n_7293));
+  sky130_fd_sc_hd__nand3_1 g434589(.A (n_6621), .B (n_2905), .C
+       (n_2639), .Y (n_7292));
+  sky130_fd_sc_hd__nand3_1 g434590(.A (n_6622), .B (n_2908), .C
+       (n_2643), .Y (n_7291));
+  sky130_fd_sc_hd__o21ai_1 g434593(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
+       [0]), .A2 (n_5691), .B1 (n_6920), .Y (n_7289));
+  sky130_fd_sc_hd__nand4_1 g434596(.A (n_6346), .B (n_2654), .C
+       (n_2136), .D (n_1949), .Y (n_7288));
+  sky130_fd_sc_hd__nand3_1 g434598(.A (n_6635), .B (n_2179), .C
+       (n_1960), .Y (n_7286));
+  sky130_fd_sc_hd__nand3_1 g434599(.A (n_6634), .B (n_2935), .C
+       (n_2664), .Y (n_7285));
+  sky130_fd_sc_hd__nand3_1 g434600(.A (n_6633), .B (n_2920), .C
+       (n_2630), .Y (n_7284));
+  sky130_fd_sc_hd__nand3_1 g434601(.A (n_6631), .B (n_2913), .C
+       (n_2653), .Y (n_7283));
+  sky130_fd_sc_hd__nand3_1 g434602(.A (n_6627), .B (n_2912), .C
+       (n_2650), .Y (n_7282));
+  sky130_fd_sc_hd__nand3_1 g434604(.A (n_6707), .B (n_2911), .C
+       (n_2648), .Y (n_7281));
+  sky130_fd_sc_hd__nand3_1 g434605(.A (n_6623), .B (n_2910), .C
+       (n_2645), .Y (n_7280));
+  sky130_fd_sc_hd__nor2_1 g434606(.A (n_6858), .B (n_6861), .Y
+       (n_7279));
+  sky130_fd_sc_hd__nor2_1 g434607(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[5]), .B (n_6505),
+       .Y (n_7277));
+  sky130_fd_sc_hd__nor2_1 g434608(.A (n_6863), .B (n_6858), .Y
+       (n_7276));
+  sky130_fd_sc_hd__nand2_1 g434609(.A (n_6), .B (n_6920), .Y (n_7274));
+  sky130_fd_sc_hd__nand2_1 g434610(.A (n_6869), .B (n_6858), .Y
+       (n_7273));
+  sky130_fd_sc_hd__nand4_1 g434612(.A (n_5612), .B (n_5265), .C
+       (n_1747), .D (n_6313), .Y (n_7272));
+  sky130_fd_sc_hd__nor2_1 g434617(.A (n_6859), .B (n_6861), .Y
+       (n_7271));
+  sky130_fd_sc_hd__nor2_1 g434620(.A (n_6866), .B (n_6865), .Y
+       (n_7270));
+  sky130_fd_sc_hd__nand4_1 g434630(.A (n_5627), .B (n_5251), .C
+       (n_1747), .D (n_6291), .Y (n_7269));
+  sky130_fd_sc_hd__nand4_1 g434631(.A (n_5635), .B (n_5246), .C
+       (n_1747), .D (n_6311), .Y (n_7268));
+  sky130_fd_sc_hd__nand4_1 g434632(.A (n_5628), .B (n_5250), .C
+       (n_1747), .D (n_6301), .Y (n_7267));
+  sky130_fd_sc_hd__nand4_1 g434633(.A (n_5620), .B (n_5239), .C
+       (n_1747), .D (n_6292), .Y (n_7266));
+  sky130_fd_sc_hd__nor2_1 g434634(.A (n_6867), .B (n_6865), .Y
+       (n_7265));
+  sky130_fd_sc_hd__nand4_1 g434635(.A (n_5604), .B (n_5273), .C
+       (n_1747), .D (n_6297), .Y (n_7264));
+  sky130_fd_sc_hd__nand4_1 g434636(.A (n_5607), .B (n_5271), .C
+       (n_1747), .D (n_6296), .Y (n_7263));
+  sky130_fd_sc_hd__nand4_1 g434637(.A (n_5608), .B (n_5270), .C
+       (n_1747), .D (n_6315), .Y (n_7262));
+  sky130_fd_sc_hd__nand4_1 g434638(.A (n_5619), .B (n_5259), .C
+       (n_1747), .D (n_6293), .Y (n_7261));
+  sky130_fd_sc_hd__nand4_1 g434639(.A (n_5609), .B (n_5268), .C
+       (n_1747), .D (n_6314), .Y (n_7260));
+  sky130_fd_sc_hd__nand4_1 g434640(.A (n_5613), .B (n_5264), .C
+       (n_1747), .D (n_6295), .Y (n_7259));
+  sky130_fd_sc_hd__nand4_1 g434641(.A (n_5616), .B (n_5261), .C
+       (n_1747), .D (n_6294), .Y (n_7258));
+  sky130_fd_sc_hd__nor4_1 g434643(.A (n_5963), .B (n_5952), .C
+       (n_5965), .D (n_6502), .Y (n_7257));
+  sky130_fd_sc_hd__nand2_1 g434644(.A (n_6863), .B (n_6861), .Y
+       (n_7255));
+  sky130_fd_sc_hd__nor2_1 g434647(.A
+       (u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]), .B (n_6936),
+       .Y (n_7253));
+  sky130_fd_sc_hd__nor2_1 g434648(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6935), .Y
+       (n_7251));
+  sky130_fd_sc_hd__nor2_1 g434649(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6926), .Y
+       (n_7250));
+  sky130_fd_sc_hd__nor2_1 g434650(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6925), .Y
+       (n_7249));
+  sky130_fd_sc_hd__nor2_1 g434651(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6937), .Y
+       (n_7248));
+  sky130_fd_sc_hd__nor2_1 g434652(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6934), .Y
+       (n_7247));
+  sky130_fd_sc_hd__o21ai_1 g434653(.A1 (n_6718), .A2 (n_5945), .B1
+       (n_5913), .Y (n_7138));
+  sky130_fd_sc_hd__a221oi_1 g434654(.A1 (n_5385), .A2 (n_2566), .B1
+       (n_3077), .B2 (n_5458), .C1 (n_6667), .Y (n_7137));
+  sky130_fd_sc_hd__a222oi_1 g434655(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [9]), .A2 (n_2008), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [8]), .C1 (n_5382), .C2 (n_6717),
+       .Y (n_7136));
+  sky130_fd_sc_hd__a222oi_1 g434656(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [11]), .A2 (n_2008), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [10]), .C1 (n_5382), .C2 (n_6719),
+       .Y (n_7135));
+  sky130_fd_sc_hd__a222oi_1 g434657(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [12]), .A2 (n_2008), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [11]), .C1 (n_5382), .C2 (n_6725),
+       .Y (n_7134));
+  sky130_fd_sc_hd__a222oi_1 g434658(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [14]), .A2 (n_2009), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [13]), .C1 (n_5382), .C2 (n_6730),
+       .Y (n_7133));
+  sky130_fd_sc_hd__a222oi_1 g434659(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [7]), .A2 (n_2008), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [6]), .C1 (n_5382), .C2 (n_6721),
+       .Y (n_7132));
+  sky130_fd_sc_hd__a222oi_1 g434660(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [8]), .A2 (n_2008), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [7]), .C1 (n_5382), .C2 (n_6723),
+       .Y (n_7131));
+  sky130_fd_sc_hd__a222oi_1 g434661(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [16]), .A2 (n_2008), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [15]), .C1 (n_5382), .C2 (n_6728),
+       .Y (n_7130));
+  sky130_fd_sc_hd__a22o_1 g434662(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [3]), .X
+       (n_7129));
+  sky130_fd_sc_hd__a22o_1 g434663(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [1]), .X
+       (n_7128));
+  sky130_fd_sc_hd__a22o_1 g434664(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [2]), .X
+       (n_7127));
+  sky130_fd_sc_hd__a22o_1 g434665(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [3]), .X
+       (n_7126));
+  sky130_fd_sc_hd__a22o_1 g434666(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [4]), .X
+       (n_7125));
+  sky130_fd_sc_hd__a22o_1 g434667(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [5]), .X
+       (n_7124));
+  sky130_fd_sc_hd__a22o_1 g434668(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [6]), .X
+       (n_7123));
+  sky130_fd_sc_hd__a22o_1 g434669(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [7]), .X
+       (n_7122));
+  sky130_fd_sc_hd__a22o_1 g434670(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [8]), .X
+       (n_7121));
+  sky130_fd_sc_hd__a22o_1 g434671(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [1]), .X
+       (n_7120));
+  sky130_fd_sc_hd__a22o_1 g434672(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [2]), .X
+       (n_7119));
+  sky130_fd_sc_hd__a22o_1 g434673(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [3]), .X
+       (n_7118));
+  sky130_fd_sc_hd__a22o_1 g434674(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [4]), .X
+       (n_7117));
+  sky130_fd_sc_hd__a22o_1 g434675(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [5]), .X
+       (n_7116));
+  sky130_fd_sc_hd__a22o_1 g434676(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [6]), .X
+       (n_7115));
+  sky130_fd_sc_hd__a22o_1 g434677(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [7]), .X
+       (n_7114));
+  sky130_fd_sc_hd__a22o_1 g434678(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [8]), .X
+       (n_7113));
+  sky130_fd_sc_hd__a22o_1 g434679(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [1]), .X
+       (n_7112));
+  sky130_fd_sc_hd__a22o_1 g434680(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [2]), .X
+       (n_7111));
+  sky130_fd_sc_hd__a22o_1 g434681(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [3]), .X
+       (n_7110));
+  sky130_fd_sc_hd__a22o_1 g434682(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [4]), .X
+       (n_7109));
+  sky130_fd_sc_hd__a22o_1 g434683(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [5]), .X
+       (n_7108));
+  sky130_fd_sc_hd__a22o_1 g434684(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [6]), .X
+       (n_7107));
+  sky130_fd_sc_hd__a22o_1 g434685(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [7]), .X
+       (n_7106));
+  sky130_fd_sc_hd__a22o_1 g434686(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [8]), .X
+       (n_7105));
+  sky130_fd_sc_hd__a22o_1 g434687(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [1]), .X
+       (n_7104));
+  sky130_fd_sc_hd__a22o_1 g434688(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [2]), .X
+       (n_7103));
+  sky130_fd_sc_hd__a22o_1 g434689(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [3]), .X
+       (n_7102));
+  sky130_fd_sc_hd__a22o_1 g434690(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [4]), .X
+       (n_7101));
+  sky130_fd_sc_hd__a22o_1 g434691(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [5]), .X
+       (n_7100));
+  sky130_fd_sc_hd__a22o_1 g434692(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [6]), .X
+       (n_7099));
+  sky130_fd_sc_hd__a22o_1 g434693(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [7]), .X
+       (n_7098));
+  sky130_fd_sc_hd__a22o_1 g434694(.A1 (n_6491), .A2
+       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_6710), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [8]), .X
+       (n_7097));
+  sky130_fd_sc_hd__a22o_1 g434695(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [5]), .X
+       (n_7096));
+  sky130_fd_sc_hd__a22o_1 g434696(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [1]), .X
+       (n_7095));
+  sky130_fd_sc_hd__a22o_1 g434697(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [2]), .X
+       (n_7094));
+  sky130_fd_sc_hd__a22o_1 g434698(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [3]), .X
+       (n_7093));
+  sky130_fd_sc_hd__a22o_1 g434699(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [4]), .X
+       (n_7092));
+  sky130_fd_sc_hd__a22o_1 g434700(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [5]), .X
+       (n_7091));
+  sky130_fd_sc_hd__a22o_1 g434701(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [6]), .X
+       (n_7090));
+  sky130_fd_sc_hd__a22o_1 g434702(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [7]), .X
+       (n_7089));
+  sky130_fd_sc_hd__a22o_1 g434703(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [8]), .X
+       (n_7088));
+  sky130_fd_sc_hd__a22o_1 g434704(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [1]), .X
+       (n_7087));
+  sky130_fd_sc_hd__a22o_1 g434705(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [2]), .X
+       (n_7086));
+  sky130_fd_sc_hd__a22o_1 g434706(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [3]), .X
+       (n_7085));
+  sky130_fd_sc_hd__a22o_1 g434707(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [4]), .X
+       (n_7084));
+  sky130_fd_sc_hd__a22o_1 g434708(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [5]), .X
+       (n_7083));
+  sky130_fd_sc_hd__a22o_1 g434709(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [6]), .X
+       (n_7082));
+  sky130_fd_sc_hd__a22o_1 g434710(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [7]), .X
+       (n_7081));
+  sky130_fd_sc_hd__a22o_1 g434711(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [8]), .X
+       (n_7080));
+  sky130_fd_sc_hd__a22o_1 g434712(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [1]), .X
+       (n_7079));
+  sky130_fd_sc_hd__a22o_1 g434713(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [2]), .X
+       (n_7078));
+  sky130_fd_sc_hd__a22o_1 g434714(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [3]), .X
+       (n_7077));
+  sky130_fd_sc_hd__a22o_1 g434715(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [4]), .X
+       (n_7076));
+  sky130_fd_sc_hd__a22o_1 g434716(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [5]), .X
+       (n_7075));
+  sky130_fd_sc_hd__a22o_1 g434717(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [6]), .X
+       (n_7074));
+  sky130_fd_sc_hd__a22o_1 g434718(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [8]), .X
+       (n_7073));
+  sky130_fd_sc_hd__a22o_1 g434719(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [1]), .X
+       (n_7072));
+  sky130_fd_sc_hd__a22o_1 g434720(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [2]), .X
+       (n_7071));
+  sky130_fd_sc_hd__a22o_1 g434721(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [3]), .X
+       (n_7070));
+  sky130_fd_sc_hd__a22o_1 g434722(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [4]), .X
+       (n_7069));
+  sky130_fd_sc_hd__a22o_1 g434723(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [5]), .X
+       (n_7068));
+  sky130_fd_sc_hd__a22o_1 g434724(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [6]), .X
+       (n_7067));
+  sky130_fd_sc_hd__a22o_1 g434725(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [7]), .X
+       (n_7066));
+  sky130_fd_sc_hd__a22o_1 g434726(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [8]), .X
+       (n_7065));
+  sky130_fd_sc_hd__a221oi_1 g434727(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[17]), .B1
+       (n_1884), .B2
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[17]), .C1
+       (n_6680), .Y (n_7064));
+  sky130_fd_sc_hd__a22o_1 g434728(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [4]), .X
+       (n_7063));
+  sky130_fd_sc_hd__a221oi_1 g434729(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[21]), .B1
+       (n_1884), .B2 (u_soc_u_top_u_core_csr_mstatus_tw), .C1 (n_6679),
+       .Y (n_7062));
+  sky130_fd_sc_hd__a22oi_1 g434730(.A1 (n_2419), .A2 (n_6642), .B1
+       (n_1746), .B2 (u_soc_u_top_u_core_alu_adder_result_ex[1]), .Y
+       (n_7061));
+  sky130_fd_sc_hd__a22o_1 g434731(.A1 (n_6494), .A2 (n_6736), .B1
+       (n_16007), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [4]), .X (n_7060));
+  sky130_fd_sc_hd__a22o_1 g434732(.A1 (n_6494), .A2 (n_6737), .B1
+       (n_16007), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [5]), .X (n_7059));
+  sky130_fd_sc_hd__a22o_1 g434733(.A1 (n_6494), .A2 (n_6735), .B1
+       (n_16007), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [3]), .X (n_7058));
+  sky130_fd_sc_hd__o21ai_0 g434734(.A1 (n_6733), .A2 (n_6495), .B1
+       (n_6416), .Y (n_7057));
+  sky130_fd_sc_hd__a22o_1 g434735(.A1 (n_6494), .A2 (n_6727), .B1
+       (n_16007), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [6]), .X (n_7056));
+  sky130_fd_sc_hd__o21ai_0 g434736(.A1 (n_6722), .A2 (n_6495), .B1
+       (n_6415), .Y (n_7055));
+  sky130_fd_sc_hd__a22o_1 g434737(.A1 (n_6494), .A2 (n_6734), .B1
+       (n_16007), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [2]), .X (n_7054));
+  sky130_fd_sc_hd__o21ai_0 g434738(.A1 (n_6724), .A2 (n_6495), .B1
+       (n_6414), .Y (n_7053));
+  sky130_fd_sc_hd__o21ai_1 g434739(.A1 (n_6718), .A2 (n_6495), .B1
+       (n_6413), .Y (n_7052));
+  sky130_fd_sc_hd__a22oi_1 g434740(.A1 (n_3079), .A2 (n_6637), .B1
+       (n_2419), .B2 (n_6658), .Y (n_7051));
+  sky130_fd_sc_hd__o21ai_1 g434741(.A1 (n_6720), .A2 (n_6495), .B1
+       (n_6412), .Y (n_7050));
+  sky130_fd_sc_hd__o21ai_1 g434742(.A1 (n_6726), .A2 (n_6495), .B1
+       (n_6411), .Y (n_7049));
+  sky130_fd_sc_hd__o21ai_1 g434743(.A1 (n_6731), .A2 (n_6495), .B1
+       (n_6410), .Y (n_7048));
+  sky130_fd_sc_hd__o21ai_1 g434744(.A1 (n_6729), .A2 (n_6495), .B1
+       (n_6409), .Y (n_7047));
+  sky130_fd_sc_hd__o21ai_0 g434745(.A1 (n_6733), .A2 (n_5945), .B1
+       (n_5916), .Y (n_7046));
+  sky130_fd_sc_hd__a22o_1 g434746(.A1 (n_5944), .A2 (n_6734), .B1
+       (n_5642), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [2]), .X (n_7045));
+  sky130_fd_sc_hd__a22o_1 g434747(.A1 (n_5944), .A2 (n_6735), .B1
+       (n_5642), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [3]), .X (n_7044));
+  sky130_fd_sc_hd__a22o_1 g434748(.A1 (n_5944), .A2 (n_6736), .B1
+       (n_5642), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [4]), .X (n_7043));
+  sky130_fd_sc_hd__a22o_1 g434749(.A1 (n_5944), .A2 (n_6737), .B1
+       (n_5642), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [5]), .X (n_7042));
+  sky130_fd_sc_hd__a22o_1 g434750(.A1 (n_5944), .A2 (n_6727), .B1
+       (n_5642), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [6]), .X (n_7041));
+  sky130_fd_sc_hd__o21ai_0 g434751(.A1 (n_6722), .A2 (n_5945), .B1
+       (n_5915), .Y (n_7040));
+  sky130_fd_sc_hd__o21ai_0 g434752(.A1 (n_6724), .A2 (n_5945), .B1
+       (n_5914), .Y (n_7039));
+  sky130_fd_sc_hd__a221oi_1 g434753(.A1 (n_3077), .A2 (n_5441), .B1
+       (n_5385), .B2 (n_2561), .C1 (n_6665), .Y (n_7038));
+  sky130_fd_sc_hd__o21ai_1 g434754(.A1 (n_6726), .A2 (n_5945), .B1
+       (n_5911), .Y (n_7037));
+  sky130_fd_sc_hd__o21ai_1 g434755(.A1 (n_6720), .A2 (n_5945), .B1
+       (n_5912), .Y (n_7036));
+  sky130_fd_sc_hd__o21ai_1 g434756(.A1 (n_6731), .A2 (n_5945), .B1
+       (n_5910), .Y (n_7035));
+  sky130_fd_sc_hd__o21ai_1 g434757(.A1 (n_6729), .A2 (n_5945), .B1
+       (n_5909), .Y (n_7034));
+  sky130_fd_sc_hd__o2bb2ai_1 g434758(.A1_N
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [1]), .A2_N (n_5643), .B1 (n_6733), .B2 (n_5940), .Y (n_7033));
+  sky130_fd_sc_hd__a22o_1 g434759(.A1 (n_5941), .A2 (n_6734), .B1
+       (n_5643), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [2]), .X (n_7032));
+  sky130_fd_sc_hd__a22o_1 g434760(.A1 (n_5941), .A2 (n_6735), .B1
+       (n_5643), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [3]), .X (n_7031));
+  sky130_fd_sc_hd__a22o_1 g434761(.A1 (n_5941), .A2 (n_6736), .B1
+       (n_5643), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [4]), .X (n_7030));
+  sky130_fd_sc_hd__a22o_1 g434762(.A1 (n_5941), .A2 (n_6737), .B1
+       (n_5643), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [5]), .X (n_7029));
+  sky130_fd_sc_hd__a22o_1 g434763(.A1 (n_5941), .A2 (n_6727), .B1
+       (n_5643), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [6]), .X (n_7028));
+  sky130_fd_sc_hd__o2bb2ai_1 g434764(.A1_N
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [7]), .A2_N (n_5643), .B1 (n_6722), .B2 (n_5940), .Y (n_7027));
+  sky130_fd_sc_hd__o2bb2ai_1 g434765(.A1_N
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [8]), .A2_N (n_5643), .B1 (n_6724), .B2 (n_5940), .Y (n_7026));
+  sky130_fd_sc_hd__o2bb2ai_1 g434766(.A1_N
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [9]), .A2_N (n_5643), .B1 (n_6718), .B2 (n_5940), .Y (n_7025));
+  sky130_fd_sc_hd__o2bb2ai_1 g434767(.A1_N
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [11]), .A2_N (n_5643), .B1 (n_6720), .B2 (n_5940), .Y (n_7024));
+  sky130_fd_sc_hd__o2bb2ai_1 g434768(.A1_N
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [12]), .A2_N (n_5643), .B1 (n_6726), .B2 (n_5940), .Y (n_7023));
+  sky130_fd_sc_hd__o2bb2ai_1 g434769(.A1_N
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [14]), .A2_N (n_5643), .B1 (n_6731), .B2 (n_5940), .Y (n_7022));
+  sky130_fd_sc_hd__o2bb2ai_1 g434770(.A1_N
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [16]), .A2_N (n_5643), .B1 (n_6729), .B2 (n_5940), .Y (n_7021));
+  sky130_fd_sc_hd__o21ai_0 g434771(.A1 (n_6733), .A2 (n_5943), .B1
+       (n_5908), .Y (n_7020));
+  sky130_fd_sc_hd__a22o_1 g434772(.A1 (n_5942), .A2 (n_6734), .B1
+       (n_5644), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [2]), .X (n_7019));
+  sky130_fd_sc_hd__a22o_1 g434773(.A1 (n_5942), .A2 (n_6735), .B1
+       (n_5644), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [3]), .X (n_7018));
+  sky130_fd_sc_hd__a22o_1 g434774(.A1 (n_5942), .A2 (n_6736), .B1
+       (n_5644), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [4]), .X (n_7017));
+  sky130_fd_sc_hd__a22o_1 g434775(.A1 (n_5942), .A2 (n_6737), .B1
+       (n_5644), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [5]), .X (n_7016));
+  sky130_fd_sc_hd__a22o_1 g434776(.A1 (n_5942), .A2 (n_6727), .B1
+       (n_5644), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [6]), .X (n_7015));
+  sky130_fd_sc_hd__a22oi_1 g434777(.A1 (n_5382), .A2 (n_6732), .B1
+       (n_2534), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [1]), .Y (n_7014));
+  sky130_fd_sc_hd__o21ai_0 g434778(.A1 (n_6724), .A2 (n_5943), .B1
+       (n_5906), .Y (n_7013));
+  sky130_fd_sc_hd__o21ai_0 g434779(.A1 (n_6722), .A2 (n_5943), .B1
+       (n_5907), .Y (n_7012));
+  sky130_fd_sc_hd__o21ai_1 g434780(.A1 (n_6718), .A2 (n_5943), .B1
+       (n_5905), .Y (n_7011));
+  sky130_fd_sc_hd__o21ai_1 g434781(.A1 (n_6720), .A2 (n_5943), .B1
+       (n_5904), .Y (n_7010));
+  sky130_fd_sc_hd__o21ai_1 g434782(.A1 (n_6726), .A2 (n_5943), .B1
+       (n_5903), .Y (n_7009));
+  sky130_fd_sc_hd__o21ai_1 g434783(.A1 (n_6731), .A2 (n_5943), .B1
+       (n_5902), .Y (n_7008));
+  sky130_fd_sc_hd__o21ai_1 g434784(.A1 (n_6729), .A2 (n_5943), .B1
+       (n_5901), .Y (n_7007));
+  sky130_fd_sc_hd__a22o_1 g434785(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [3]), .X
+       (n_7006));
+  sky130_fd_sc_hd__a22o_1 g434786(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [7]), .X
+       (n_7005));
+  sky130_fd_sc_hd__a22o_1 g434787(.A1 (n_6488), .A2
+       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_6712), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [7]), .X
+       (n_7004));
+  sky130_fd_sc_hd__a22o_1 g434788(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [1]), .X
+       (n_7003));
+  sky130_fd_sc_hd__a22o_1 g434789(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [2]), .X
+       (n_7002));
+  sky130_fd_sc_hd__a22o_1 g434790(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [6]), .X
+       (n_7001));
+  sky130_fd_sc_hd__a22o_1 g434791(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [7]), .X
+       (n_7000));
+  sky130_fd_sc_hd__a22o_1 g434792(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [8]), .X
+       (n_6999));
+  sky130_fd_sc_hd__a22o_1 g434793(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [1]), .X
+       (n_6998));
+  sky130_fd_sc_hd__a22o_1 g434794(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [2]), .X
+       (n_6997));
+  sky130_fd_sc_hd__a22o_1 g434795(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [3]), .X
+       (n_6996));
+  sky130_fd_sc_hd__a22o_1 g434796(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [4]), .X
+       (n_6995));
+  sky130_fd_sc_hd__a22o_1 g434797(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [5]), .X
+       (n_6994));
+  sky130_fd_sc_hd__a22o_1 g434798(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [6]), .X
+       (n_6993));
+  sky130_fd_sc_hd__a22o_1 g434799(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [7]), .X
+       (n_6992));
+  sky130_fd_sc_hd__a22o_1 g434800(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [8]), .X
+       (n_6991));
+  sky130_fd_sc_hd__a22o_1 g434801(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [1]), .X
+       (n_6990));
+  sky130_fd_sc_hd__a22o_1 g434802(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [2]), .X
+       (n_6989));
+  sky130_fd_sc_hd__a22o_1 g434803(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [4]), .X
+       (n_6988));
+  sky130_fd_sc_hd__a22o_1 g434804(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [5]), .X
+       (n_6987));
+  sky130_fd_sc_hd__a22o_1 g434805(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [6]), .X
+       (n_6986));
+  sky130_fd_sc_hd__a22o_1 g434806(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [7]), .X
+       (n_6985));
+  sky130_fd_sc_hd__a22o_1 g434807(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [8]), .X
+       (n_6984));
+  sky130_fd_sc_hd__a22o_1 g434808(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [1]), .X
+       (n_6983));
+  sky130_fd_sc_hd__a22o_1 g434809(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [2]), .X
+       (n_6982));
+  sky130_fd_sc_hd__a22o_1 g434810(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [3]), .X
+       (n_6981));
+  sky130_fd_sc_hd__a22o_1 g434811(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [4]), .X
+       (n_6980));
+  sky130_fd_sc_hd__a22o_1 g434812(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [5]), .X
+       (n_6979));
+  sky130_fd_sc_hd__a22o_1 g434813(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [6]), .X
+       (n_6978));
+  sky130_fd_sc_hd__a22o_1 g434814(.A1 (n_6714), .A2
+       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_6713), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [8]), .X
+       (n_6977));
+  sky130_fd_sc_hd__a22o_1 g434815(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [1]), .X
+       (n_6976));
+  sky130_fd_sc_hd__a22o_1 g434816(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [2]), .X
+       (n_6975));
+  sky130_fd_sc_hd__a22o_1 g434817(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [3]), .X
+       (n_6974));
+  sky130_fd_sc_hd__a22o_1 g434818(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [4]), .X
+       (n_6973));
+  sky130_fd_sc_hd__a22o_1 g434819(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [5]), .X
+       (n_6972));
+  sky130_fd_sc_hd__a22o_1 g434820(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [6]), .X
+       (n_6971));
+  sky130_fd_sc_hd__a22o_1 g434821(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [7]), .X
+       (n_6970));
+  sky130_fd_sc_hd__a22o_1 g434822(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [8]), .X
+       (n_6969));
+  sky130_fd_sc_hd__a22o_1 g434823(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [1]), .X
+       (n_6968));
+  sky130_fd_sc_hd__a22o_1 g434824(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [2]), .X
+       (n_6967));
+  sky130_fd_sc_hd__a22o_1 g434825(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [3]), .X
+       (n_6966));
+  sky130_fd_sc_hd__a22o_1 g434826(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [4]), .X
+       (n_6965));
+  sky130_fd_sc_hd__a22o_1 g434827(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [5]), .X
+       (n_6964));
+  sky130_fd_sc_hd__a22o_1 g434828(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [6]), .X
+       (n_6963));
+  sky130_fd_sc_hd__a22o_1 g434829(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [7]), .X
+       (n_6962));
+  sky130_fd_sc_hd__a22o_1 g434830(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [8]), .X
+       (n_6961));
+  sky130_fd_sc_hd__a22o_1 g434831(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [1]), .X
+       (n_6960));
+  sky130_fd_sc_hd__a22o_1 g434832(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [2]), .X
+       (n_6959));
+  sky130_fd_sc_hd__a22o_1 g434833(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [3]), .X
+       (n_6958));
+  sky130_fd_sc_hd__a22o_1 g434834(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [4]), .X
+       (n_6957));
+  sky130_fd_sc_hd__a22o_1 g434835(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [5]), .X
+       (n_6956));
+  sky130_fd_sc_hd__a22o_1 g434836(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [6]), .X
+       (n_6955));
+  sky130_fd_sc_hd__a22o_1 g434837(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [7]), .X
+       (n_6954));
+  sky130_fd_sc_hd__a22o_1 g434838(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [8]), .X
+       (n_6953));
+  sky130_fd_sc_hd__a22o_1 g434839(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [1]), .X
+       (n_6952));
+  sky130_fd_sc_hd__a22o_1 g434840(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [2]), .X
+       (n_6951));
+  sky130_fd_sc_hd__a22o_1 g434841(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [3]), .X
+       (n_6950));
+  sky130_fd_sc_hd__a22o_1 g434842(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [4]), .X
+       (n_6949));
+  sky130_fd_sc_hd__a22o_1 g434843(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [5]), .X
+       (n_6948));
+  sky130_fd_sc_hd__a22o_1 g434844(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [6]), .X
+       (n_6947));
+  sky130_fd_sc_hd__a22o_1 g434845(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [7]), .X
+       (n_6946));
+  sky130_fd_sc_hd__a22o_1 g434846(.A1 (n_6487), .A2
+       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_6711), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [8]), .X
+       (n_6945));
+  sky130_fd_sc_hd__a22oi_1 g434847(.A1 (n_2419), .A2 (n_6618), .B1
+       (n_1746), .B2 (n_16012), .Y (n_6944));
+  sky130_fd_sc_hd__a22oi_1 g434848(.A1 (n_3079), .A2 (n_6611), .B1
+       (n_2419), .B2 (n_6653), .Y (n_6943));
+  sky130_fd_sc_hd__a22oi_1 g434849(.A1 (n_2419), .A2 (n_6641), .B1
+       (n_1746), .B2 (\u_soc_xbar_to_dccm[a_address] [2]), .Y (n_6942));
+  sky130_fd_sc_hd__a22oi_1 g434850(.A1 (n_3079), .A2 (n_6617), .B1
+       (n_2419), .B2 (n_6609), .Y (n_6941));
+  sky130_fd_sc_hd__a22oi_1 g434851(.A1 (n_3079), .A2 (n_6636), .B1
+       (n_2419), .B2 (n_6613), .Y (n_6940));
+  sky130_fd_sc_hd__a22oi_1 g434852(.A1 (n_3079), .A2 (n_6638), .B1
+       (n_2419), .B2 (n_6612), .Y (n_6939));
+  sky130_fd_sc_hd__xnor2_1 g434853(.A
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[8]), .B (n_5990), .Y
+       (n_6938));
+  sky130_fd_sc_hd__inv_2 g434854(.A (n_6925), .Y (n_6926));
+  sky130_fd_sc_hd__inv_1 g434855(.A (n_6923), .Y (n_6922));
+  sky130_fd_sc_hd__inv_2 g434856(.A (n_6921), .Y (n_6920));
+  sky130_fd_sc_hd__nand2_1 g434858(.A (n_6592), .B (n_6185), .Y
+       (n_6913));
+  sky130_fd_sc_hd__nand2_1 g434859(.A (n_6593), .B (n_6187), .Y
+       (n_6912));
+  sky130_fd_sc_hd__nand2_1 g434860(.A (n_6594), .B (n_6191), .Y
+       (n_6911));
+  sky130_fd_sc_hd__nand2_1 g434861(.A (n_6595), .B (n_6197), .Y
+       (n_6910));
+  sky130_fd_sc_hd__nand2_1 g434862(.A (n_6596), .B (n_6199), .Y
+       (n_6909));
+  sky130_fd_sc_hd__nand2_1 g434863(.A (n_6597), .B (n_6203), .Y
+       (n_6908));
+  sky130_fd_sc_hd__nand2_1 g434864(.A (n_6598), .B (n_6205), .Y
+       (n_6907));
+  sky130_fd_sc_hd__nand2_1 g434865(.A (n_6367), .B (n_3088), .Y
+       (n_6906));
+  sky130_fd_sc_hd__a41oi_1 g434866(.A1 (n_5673), .A2 (n_1533), .A3
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [4]), .A4 (\u_soc_u_top_u_core_imd_val_q_ex[1] [29]), .B1
+       (n_6628), .Y (n_6905));
+  sky130_fd_sc_hd__nand4_1 g434867(.A (n_5991), .B (n_1059), .C
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [1]), .D
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [0]), .Y (n_6904));
+  sky130_fd_sc_hd__nor2_1 g434868(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .B (n_6312), .Y
+       (n_6903));
+  sky130_fd_sc_hd__nor2_1 g434869(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6697), .Y
+       (n_6902));
+  sky130_fd_sc_hd__nor2_1 g434870(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6698), .Y
+       (n_6901));
+  sky130_fd_sc_hd__nand2_1 g434871(.A (n_6601), .B (n_6195), .Y
+       (n_6900));
+  sky130_fd_sc_hd__nand2_1 g434872(.A (n_6602), .B (n_6201), .Y
+       (n_6899));
+  sky130_fd_sc_hd__nand2_1 g434873(.A (n_6603), .B (n_6193), .Y
+       (n_6898));
+  sky130_fd_sc_hd__nand2_1 g434874(.A (n_6605), .B (n_6212), .Y
+       (n_6897));
+  sky130_fd_sc_hd__nand2_1 g434875(.A (n_6608), .B (n_6210), .Y
+       (n_6896));
+  sky130_fd_sc_hd__nand2_1 g434876(.A (n_6610), .B (n_6208), .Y
+       (n_6895));
+  sky130_fd_sc_hd__o211ai_1 g434877(.A1 (n_1526), .A2 (n_5951), .B1
+       (n_5274), .C1 (n_6614), .Y (n_6894));
+  sky130_fd_sc_hd__o211ai_1 g434878(.A1 (n_2286), .A2 (n_5398), .B1
+       (n_5917), .C1 (n_6615), .Y (n_6893));
+  sky130_fd_sc_hd__nand2_1 g434879(.A (n_6709), .B
+       (u_soc_u_top_u_core_instr_rdata_id[23]), .Y (n_6892));
+  sky130_fd_sc_hd__nand2_1 g434880(.A (n_6709), .B
+       (u_soc_u_top_u_core_instr_rdata_id[21]), .Y (n_6891));
+  sky130_fd_sc_hd__nand2_1 g434881(.A (n_6709), .B
+       (u_soc_u_top_u_core_instr_rdata_id[7]), .Y (n_6890));
+  sky130_fd_sc_hd__nand2_1 g434882(.A (n_6709), .B
+       (u_soc_u_top_u_core_instr_rdata_c_id[0]), .Y (n_6889));
+  sky130_fd_sc_hd__nand2_1 g434883(.A (n_6709), .B
+       (u_soc_u_top_u_core_instr_rdata_id[4]), .Y (n_6888));
+  sky130_fd_sc_hd__nand2_1 g434884(.A (n_6709), .B
+       (u_soc_u_top_u_core_instr_rdata_id[3]), .Y (n_6887));
+  sky130_fd_sc_hd__nor2_1 g434885(.A
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[6]), .B (n_6739), .Y
+       (n_6886));
+  sky130_fd_sc_hd__nor2_1 g434952(.A (n_1248), .B (n_6716), .Y
+       (n_6937));
+  sky130_fd_sc_hd__nand2_1 g434953(.A (n_6708), .B
+       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .Y (n_6936));
+  sky130_fd_sc_hd__nor2_1 g434958(.A (n_1042), .B (n_6716), .Y
+       (n_6935));
+  sky130_fd_sc_hd__nor2_1 g434961(.A (n_1046), .B (n_6716), .Y
+       (n_6934));
+  sky130_fd_sc_hd__nand4_1 g434965(.A (n_5782), .B (n_1748), .C
+       (n_1813), .D (n_2907), .Y (n_6933));
+  sky130_fd_sc_hd__nand4_1 g434966(.A (n_5785), .B (n_2629), .C
+       (n_2136), .D (n_2973), .Y (n_6932));
+  sky130_fd_sc_hd__nand4_1 g434967(.A (n_5786), .B (n_2914), .C
+       (n_2136), .D (n_2620), .Y (n_6931));
+  sky130_fd_sc_hd__nand4_1 g434968(.A (n_5781), .B (n_2972), .C
+       (n_2136), .D (n_2665), .Y (n_6930));
+  sky130_fd_sc_hd__nand4_1 g434969(.A (n_5780), .B (n_2631), .C
+       (n_2136), .D (n_2967), .Y (n_6929));
+  sky130_fd_sc_hd__nand2_1 g434975(.A (n_5988), .B (n_1451), .Y
+       (n_6928));
+  sky130_fd_sc_hd__nor2_1 g434979(.A (n_143), .B (n_5989), .Y (n_6927));
+  sky130_fd_sc_hd__nand2_1 g434980(.A (n_6715), .B (n_1262), .Y
+       (n_6925));
+  sky130_fd_sc_hd__and2_1 g434981(.A (n_6739), .B
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[6]), .X (n_6924));
+  sky130_fd_sc_hd__nand2_1 g434986(.A (n_6708), .B
+       (u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]), .Y (n_6923));
+  sky130_fd_sc_hd__a21oi_1 g434987(.A1 (n_1189), .A2 (n_13), .B1
+       (n_6709), .Y (n_6921));
+  sky130_fd_sc_hd__and2_0 g434988(.A (n_6), .B (n_6709), .X (n_6919));
+  sky130_fd_sc_hd__nor2_1 g434989(.A (n_1436), .B (n_6716), .Y
+       (n_6918));
+  sky130_fd_sc_hd__nor2_1 g434990(.A (n_15933), .B (n_6716), .Y
+       (n_6917));
+  sky130_fd_sc_hd__nor2_1 g434991(.A (n_15929), .B (n_6716), .Y
+       (n_6916));
+  sky130_fd_sc_hd__nor2_1 g434992(.A (n_6709), .B
+       (u_soc_u_top_u_core_pc_set), .Y (n_6915));
+  sky130_fd_sc_hd__clkinv_1 g434994(.A (n_6872), .Y (n_6873));
+  sky130_fd_sc_hd__inv_1 g434995(.A (n_6871), .Y (n_6870));
+  sky130_fd_sc_hd__clkinv_1 g434996(.A (n_6869), .Y (n_6868));
+  sky130_fd_sc_hd__inv_2 g434997(.A (n_6867), .Y (n_6866));
+  sky130_fd_sc_hd__inv_2 g434998(.A (n_6865), .Y (n_6864));
+  sky130_fd_sc_hd__inv_2 g434999(.A (n_6863), .Y (n_6862));
+  sky130_fd_sc_hd__inv_1 g435000(.A (n_6861), .Y (n_6860));
+  sky130_fd_sc_hd__inv_2 g435001(.A (n_6859), .Y (n_6858));
+  sky130_fd_sc_hd__inv_2 g435002(.A (n_6857), .Y (n_6856));
+  sky130_fd_sc_hd__a222oi_1 g435003(.A1 (n_5440), .A2 (n_3078), .B1
+       (n_5998), .B2 (n_2414), .C1 (n_3077), .C2 (n_5439), .Y (n_6855));
+  sky130_fd_sc_hd__a221oi_1 g435004(.A1 (n_2246), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [13]), .B1 (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[13]), .C1
+       (n_6591), .Y (n_6854));
+  sky130_fd_sc_hd__a221oi_1 g435005(.A1 (n_1413), .A2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]), .B1
+       (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[20]), .C1
+       (n_6590), .Y (n_6853));
+  sky130_fd_sc_hd__a221oi_1 g435006(.A1 (n_5939), .A2 (n_13423), .B1
+       (n_5938), .B2 (n_13424), .C1 (n_6583), .Y (n_6852));
+  sky130_fd_sc_hd__nand4_1 g435007(.A (n_3059), .B (n_5110), .C
+       (n_5975), .D (n_2640), .Y (n_6851));
+  sky130_fd_sc_hd__nand4_1 g435008(.A (n_3062), .B (n_5108), .C
+       (n_5975), .D (n_2636), .Y (n_6850));
+  sky130_fd_sc_hd__a221oi_1 g435009(.A1 (n_1518), .A2
+       (u_soc_u_top_u_core_csr_mepc[30]), .B1 (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[30]), .C1
+       (n_6565), .Y (n_6849));
+  sky130_fd_sc_hd__a222oi_1 g435010(.A1 (n_1037), .A2 (n_5831), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [27]), .C1 (n_5847), .C2 (n_1027), .Y (n_6848));
+  sky130_fd_sc_hd__a22o_1 g435011(.A1 (n_6493), .A2
+       (\u_soc_xbar_to_dccm[a_address] [3]), .B1 (n_5937), .B2
+       (\u_soc_xbar_to_dccm[a_address] [2]), .X (n_6847));
+  sky130_fd_sc_hd__a222oi_1 g435012(.A1 (n_2287), .A2 (n_2162), .B1
+       (n_5938), .B2 (n_13419), .C1 (n_2564), .C2 (n_5396), .Y
+       (n_6846));
+  sky130_fd_sc_hd__o22ai_1 g435013(.A1 (n_153), .A2 (n_6489), .B1
+       (n_1263), .B2 (n_5357), .Y (n_6845));
+  sky130_fd_sc_hd__a22o_1 g435014(.A1 (n_6493), .A2
+       (\u_soc_xbar_to_dccm[a_address] [5]), .B1 (n_5937), .B2
+       (\u_soc_xbar_to_dccm[a_address] [4]), .X (n_6844));
+  sky130_fd_sc_hd__a221o_1 g435015(.A1 (n_1516), .A2
+       (u_soc_u_top_u_core_csr_depc[3]), .B1 (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[3]), .C1 (n_6399),
+       .X (n_6843));
+  sky130_fd_sc_hd__a22o_1 g435016(.A1 (n_6497), .A2
+       (u_soc_u_tcam_rdata[5]), .B1 (n_5670), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [6]), .X (n_6842));
+  sky130_fd_sc_hd__a21oi_1 g435017(.A1 (n_6504), .A2 (n_468), .B1
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .Y (n_6841));
+  sky130_fd_sc_hd__a221oi_1 g435018(.A1 (n_2244), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]), .B1
+       (n_1513), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[8]), .C1
+       (n_6626), .Y (n_6840));
+  sky130_fd_sc_hd__a21oi_1 g435019(.A1 (n_1410), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[12]), .B1
+       (n_6688), .Y (n_6839));
+  sky130_fd_sc_hd__a211oi_1 g435020(.A1 (n_3138), .A2 (n_497), .B1
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .C1 (n_5990), .Y
+       (n_6838));
+  sky130_fd_sc_hd__a41oi_1 g435021(.A1 (n_5673), .A2 (n_1439), .A3
+       (n_11), .A4 (\u_soc_u_top_u_core_imd_val_q_ex[1] [11]), .B1
+       (n_6625), .Y (n_6837));
+  sky130_fd_sc_hd__nand3b_1 g435022(.A_N (n_6503), .B (n_1264), .C
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [0]), .Y (n_6836));
+  sky130_fd_sc_hd__a22o_1 g435023(.A1 (n_6497), .A2
+       (u_soc_u_tcam_rdata[2]), .B1 (n_5670), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [3]), .X (n_6835));
+  sky130_fd_sc_hd__a22o_1 g435024(.A1 (n_6497), .A2
+       (u_soc_u_tcam_rdata[4]), .B1 (n_5670), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [5]), .X (n_6834));
+  sky130_fd_sc_hd__a22o_1 g435025(.A1 (n_6497), .A2
+       (u_soc_u_tcam_rdata[3]), .B1 (n_5670), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [4]), .X (n_6833));
+  sky130_fd_sc_hd__a22o_1 g435026(.A1 (n_6497), .A2
+       (u_soc_u_tcam_rdata[1]), .B1 (n_5670), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [2]), .X (n_6832));
+  sky130_fd_sc_hd__a22o_1 g435027(.A1 (n_6497), .A2
+       (u_soc_u_tcam_rdata[0]), .B1 (n_5670), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [1]), .X (n_6831));
+  sky130_fd_sc_hd__a22o_1 g435028(.A1 (n_6496), .A2
+       (u_soc_u_tcam_rdata[5]), .B1 (n_5668), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [6]), .X (n_6830));
+  sky130_fd_sc_hd__a22o_1 g435029(.A1 (n_6496), .A2
+       (u_soc_u_tcam_rdata[4]), .B1 (n_5668), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [5]), .X (n_6829));
+  sky130_fd_sc_hd__a22o_1 g435030(.A1 (n_6496), .A2
+       (u_soc_u_tcam_rdata[3]), .B1 (n_5668), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [4]), .X (n_6828));
+  sky130_fd_sc_hd__a22o_1 g435031(.A1 (n_6496), .A2
+       (u_soc_u_tcam_rdata[2]), .B1 (n_5668), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [3]), .X (n_6827));
+  sky130_fd_sc_hd__a221oi_1 g435032(.A1 (n_3077), .A2 (n_5428), .B1
+       (n_5385), .B2 (n_2925), .C1 (n_6664), .Y (n_6826));
+  sky130_fd_sc_hd__a222oi_1 g435033(.A1 (n_5445), .A2 (n_3078), .B1
+       (n_3077), .B2 (n_5444), .C1 (n_6001), .C2 (n_2414), .Y (n_6825));
+  sky130_fd_sc_hd__a221oi_1 g435034(.A1 (n_3077), .A2 (n_5457), .B1
+       (n_5385), .B2 (n_2567), .C1 (n_6666), .Y (n_6824));
+  sky130_fd_sc_hd__or4_1 g435035(.A (n_13408), .B (n_13407), .C
+       (n_13409), .D (n_5919), .X (n_6823));
+  sky130_fd_sc_hd__or4_1 g435036(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [20]), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [22]), .C
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [21]), .D (n_5921), .X (n_6822));
+  sky130_fd_sc_hd__a222oi_1 g435037(.A1 (n_13418), .A2 (n_5651), .B1
+       (n_5960), .B2 (n_1422), .C1 (n_2982), .C2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [2]), .Y (n_6821));
+  sky130_fd_sc_hd__a222oi_1 g435038(.A1 (n_13420), .A2 (n_5651), .B1
+       (n_5960), .B2 (n_1423), .C1 (n_2982), .C2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [4]), .Y (n_6820));
+  sky130_fd_sc_hd__a222oi_1 g435039(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [5]), .A2 (n_5649), .B1 (n_5960), .B2 (n_1524), .C1 (n_5647),
+       .C2 (n_13423), .Y (n_6819));
+  sky130_fd_sc_hd__a222oi_1 g435040(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [21]), .A2 (n_5649), .B1 (n_5960), .B2 (n_1523), .C1 (n_2982),
+       .C2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [22]), .Y (n_6818));
+  sky130_fd_sc_hd__a222oi_1 g435041(.A1
+       (\u_soc_uart_to_xbar[d_data] [24]), .A2 (n_1411), .B1 (n_5956),
+       .B2 (u_soc_u_dccm_rdata3[24]), .C1 (n_2987), .C2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [25]), .Y (n_6817));
+  sky130_fd_sc_hd__a222oi_1 g435042(.A1
+       (\u_soc_uart_to_xbar[d_data] [25]), .A2 (n_1411), .B1 (n_5956),
+       .B2 (u_soc_u_dccm_rdata3[25]), .C1 (n_2987), .C2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [26]), .Y (n_6816));
+  sky130_fd_sc_hd__a222oi_1 g435043(.A1
+       (\u_soc_uart_to_xbar[d_data] [26]), .A2 (n_1411), .B1 (n_5956),
+       .B2 (u_soc_u_dccm_rdata3[26]), .C1 (n_2987), .C2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [27]), .Y (n_6815));
+  sky130_fd_sc_hd__a222oi_1 g435044(.A1
+       (\u_soc_uart_to_xbar[d_data] [28]), .A2 (n_1411), .B1 (n_5958),
+       .B2 (u_soc_u_dccm_rdata4[28]), .C1 (n_2987), .C2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [29]), .Y (n_6814));
+  sky130_fd_sc_hd__a222oi_1 g435045(.A1
+       (\u_soc_uart_to_xbar[d_data] [29]), .A2 (n_1411), .B1 (n_5956),
+       .B2 (u_soc_u_dccm_rdata3[29]), .C1 (n_2987), .C2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [30]), .Y (n_6813));
+  sky130_fd_sc_hd__a222oi_1 g435046(.A1
+       (\u_soc_uart_to_xbar[d_data] [30]), .A2 (n_1411), .B1 (n_5958),
+       .B2 (u_soc_u_dccm_rdata4[30]), .C1 (n_2987), .C2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [31]), .Y (n_6812));
+  sky130_fd_sc_hd__a222oi_1 g435047(.A1
+       (\u_soc_uart_to_xbar[d_data] [31]), .A2 (n_1411), .B1 (n_5958),
+       .B2 (u_soc_u_dccm_rdata4[31]), .C1 (n_2987), .C2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [32]), .Y (n_6811));
+  sky130_fd_sc_hd__o2111ai_1 g435048(.A1 (n_1428), .A2 (n_13478), .B1
+       (n_1986), .C1 (n_2965), .D1 (n_5791), .Y (n_6810));
+  sky130_fd_sc_hd__a222oi_1 g435049(.A1 (n_13430), .A2 (n_5651), .B1
+       (n_5949), .B2 (n_1524), .C1 (n_2982), .C2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [14]), .Y (n_6809));
+  sky130_fd_sc_hd__a222oi_1 g435050(.A1 (n_13437), .A2 (n_5647), .B1
+       (n_5960), .B2 (n_1421), .C1 (n_2982), .C2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [20]), .Y (n_6808));
+  sky130_fd_sc_hd__a222oi_1 g435051(.A1 (n_13435), .A2 (n_5647), .B1
+       (n_5960), .B2 (n_1417), .C1 (n_5651), .C2 (n_13434), .Y
+       (n_6807));
+  sky130_fd_sc_hd__a222oi_1 g435052(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [11]), .A2 (n_5649), .B1 (n_5949), .B2 (n_1423), .C1 (n_5647),
+       .C2 (n_13429), .Y (n_6806));
+  sky130_fd_sc_hd__a222oi_1 g435053(.A1 (n_5448), .A2 (n_3078), .B1
+       (n_3077), .B2 (n_5447), .C1 (n_5874), .C2 (n_2414), .Y (n_6805));
+  sky130_fd_sc_hd__a222oi_1 g435054(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [26]), .A2 (n_5649), .B1 (n_5950), .B2 (n_1417), .C1 (n_5647),
+       .C2 (n_13444), .Y (n_6804));
+  sky130_fd_sc_hd__a222oi_1 g435055(.A1 (n_13432), .A2 (n_5651), .B1
+       (n_5960), .B2 (n_1522), .C1 (n_2982), .C2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [16]), .Y (n_6803));
+  sky130_fd_sc_hd__a222oi_1 g435056(.A1 (n_13427), .A2 (n_5647), .B1
+       (n_5949), .B2 (n_1422), .C1 (n_5649), .C2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [9]), .Y (n_6802));
+  sky130_fd_sc_hd__a222oi_1 g435057(.A1 (n_13446), .A2 (n_5651), .B1
+       (n_5949), .B2 (n_1523), .C1 (n_2982), .C2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [30]), .Y (n_6801));
+  sky130_fd_sc_hd__a222oi_1 g435058(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [27]), .A2 (n_5649), .B1 (n_5949), .B2 (n_1421), .C1 (n_2982),
+       .C2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [28]), .Y (n_6800));
+  sky130_fd_sc_hd__a222oi_1 g435059(.A1 (n_13424), .A2 (n_5651), .B1
+       (n_5949), .B2 (n_1525), .C1 (n_2982), .C2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [8]), .Y (n_6799));
+  sky130_fd_sc_hd__a222oi_1 g435060(.A1 (n_5434), .A2 (n_3077), .B1
+       (n_6000), .B2 (n_2414), .C1 (n_3078), .C2 (n_5435), .Y (n_6798));
+  sky130_fd_sc_hd__nor2_1 g435061(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6694), .Y
+       (n_6797));
+  sky130_fd_sc_hd__a222oi_1 g435062(.A1 (n_13442), .A2 (n_5651), .B1
+       (n_5949), .B2 (n_1417), .C1 (n_2982), .C2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [26]), .Y (n_6796));
+  sky130_fd_sc_hd__a222oi_1 g435063(.A1 (n_2414), .A2 (n_6006), .B1
+       (n_3078), .B2 (n_5428), .C1 (n_3077), .C2 (n_5430), .Y (n_6795));
+  sky130_fd_sc_hd__a222oi_1 g435064(.A1 (n_5433), .A2 (n_3078), .B1
+       (n_6008), .B2 (n_2414), .C1 (n_3077), .C2 (n_5431), .Y (n_6794));
+  sky130_fd_sc_hd__a222oi_1 g435065(.A1 (n_5436), .A2 (n_3077), .B1
+       (n_6004), .B2 (n_2414), .C1 (n_3078), .C2 (n_5437), .Y (n_6793));
+  sky130_fd_sc_hd__a222oi_1 g435066(.A1 (n_5441), .A2 (n_3078), .B1
+       (n_5996), .B2 (n_2414), .C1 (n_3077), .C2 (n_5442), .Y (n_6792));
+  sky130_fd_sc_hd__a222oi_1 g435067(.A1 (n_13440), .A2 (n_5651), .B1
+       (n_5949), .B2 (n_1522), .C1 (n_2982), .C2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [24]), .Y (n_6791));
+  sky130_fd_sc_hd__a221o_1 g435068(.A1 (n_2421), .A2 (n_13561), .B1
+       (n_3085), .B2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[3]), .C1
+       (n_6395), .X (n_6790));
+  sky130_fd_sc_hd__xor2_1 g435069(.A
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[4]), .B (n_5671), .X
+       (n_6789));
+  sky130_fd_sc_hd__a2bb2oi_1 g435070(.A1_N (n_1046), .A2_N (n_5671),
+       .B1 (u_soc_u_uart_u_uart_core_write_fifo_waddr[5]), .B2
+       (n_5671), .Y (n_6788));
+  sky130_fd_sc_hd__a22oi_1 g435071(.A1 (n_6492), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [3]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [3]), .Y (n_6787));
+  sky130_fd_sc_hd__a22oi_1 g435072(.A1 (n_6492), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [5]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [5]), .Y (n_6786));
+  sky130_fd_sc_hd__a22oi_1 g435073(.A1 (n_6493), .A2
+       (\u_soc_xbar_to_dccm[a_address] [6]), .B1 (n_6492), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [6]), .Y (n_6785));
+  sky130_fd_sc_hd__a22oi_1 g435074(.A1 (n_6492), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [7]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [7]), .Y (n_6784));
+  sky130_fd_sc_hd__a22o_1 g435075(.A1 (n_6493), .A2
+       (\u_soc_xbar_to_dccm[a_address] [7]), .B1 (n_5937), .B2
+       (\u_soc_xbar_to_dccm[a_address] [6]), .X (n_6783));
+  sky130_fd_sc_hd__a22o_1 g435076(.A1 (n_6493), .A2
+       (\u_soc_xbar_to_dccm[a_address] [8]), .B1 (n_6492), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [8]), .X (n_6782));
+  sky130_fd_sc_hd__a22oi_1 g435077(.A1 (n_6492), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [9]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [9]), .Y (n_6781));
+  sky130_fd_sc_hd__a22o_1 g435078(.A1 (n_6493), .A2
+       (\u_soc_xbar_to_dccm[a_address] [9]), .B1 (n_5937), .B2
+       (\u_soc_xbar_to_dccm[a_address] [8]), .X (n_6780));
+  sky130_fd_sc_hd__a22o_1 g435079(.A1 (n_6493), .A2
+       (\u_soc_xbar_to_dccm[a_address] [10]), .B1 (n_6492), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [10]), .X (n_6779));
+  sky130_fd_sc_hd__a22oi_1 g435080(.A1 (n_6492), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [11]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [11]), .Y (n_6778));
+  sky130_fd_sc_hd__a22oi_1 g435081(.A1 (n_6492), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [13]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [13]), .Y (n_6777));
+  sky130_fd_sc_hd__a22o_1 g435082(.A1 (n_6493), .A2
+       (\u_soc_xbar_to_dccm[a_address] [13]), .B1 (n_5937), .B2
+       (\u_soc_xbar_to_dccm[a_address] [12]), .X (n_6776));
+  sky130_fd_sc_hd__a22oi_1 g435083(.A1 (n_6492), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [15]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [15]), .Y (n_6775));
+  sky130_fd_sc_hd__a22o_1 g435084(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [15]), .B1 (n_5937), .B2
+       (\u_soc_lsu_to_xbar[a_address] [14]), .X (n_6774));
+  sky130_fd_sc_hd__a22oi_1 g435085(.A1 (n_6492), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [17]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [17]), .Y (n_6773));
+  sky130_fd_sc_hd__a22o_1 g435086(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [17]), .B1 (n_5937), .B2
+       (\u_soc_lsu_to_xbar[a_address] [16]), .X (n_6772));
+  sky130_fd_sc_hd__a22oi_1 g435087(.A1 (n_6492), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [19]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [19]), .Y (n_6771));
+  sky130_fd_sc_hd__a22o_1 g435088(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [19]), .B1 (n_5937), .B2
+       (\u_soc_lsu_to_xbar[a_address] [18]), .X (n_6770));
+  sky130_fd_sc_hd__a22oi_1 g435089(.A1 (n_6492), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [21]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [21]), .Y (n_6769));
+  sky130_fd_sc_hd__a22o_1 g435090(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [21]), .B1 (n_5937), .B2
+       (\u_soc_lsu_to_xbar[a_address] [20]), .X (n_6768));
+  sky130_fd_sc_hd__a22o_1 g435091(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [22]), .B1 (n_6492), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [22]), .X (n_6767));
+  sky130_fd_sc_hd__a22oi_1 g435092(.A1 (n_6492), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [23]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [23]), .Y (n_6766));
+  sky130_fd_sc_hd__a22o_1 g435093(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [23]), .B1 (n_5937), .B2
+       (\u_soc_lsu_to_xbar[a_address] [22]), .X (n_6765));
+  sky130_fd_sc_hd__a22oi_1 g435094(.A1 (n_6492), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [25]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [25]), .Y (n_6764));
+  sky130_fd_sc_hd__a22o_1 g435095(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [25]), .B1 (n_5937), .B2
+       (\u_soc_lsu_to_xbar[a_address] [24]), .X (n_6763));
+  sky130_fd_sc_hd__a22o_1 g435096(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [26]), .B1 (n_6492), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [26]), .X (n_6762));
+  sky130_fd_sc_hd__a22oi_1 g435097(.A1 (n_6492), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [27]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [27]), .Y (n_6761));
+  sky130_fd_sc_hd__a22o_1 g435098(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [27]), .B1 (n_5937), .B2
+       (\u_soc_lsu_to_xbar[a_address] [26]), .X (n_6760));
+  sky130_fd_sc_hd__a22oi_1 g435099(.A1 (n_6492), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [29]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [29]), .Y (n_6759));
+  sky130_fd_sc_hd__a22o_1 g435100(.A1 (n_6493), .A2
+       (\u_soc_lsu_to_xbar[a_address] [29]), .B1 (n_5937), .B2
+       (\u_soc_lsu_to_xbar[a_address] [28]), .X (n_6758));
+  sky130_fd_sc_hd__a22o_1 g435101(.A1 (n_6492), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [31]), .B1 (n_5663), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [30]), .X (n_6757));
+  sky130_fd_sc_hd__a22o_1 g435102(.A1 (n_6494), .A2 (n_5687), .B1
+       (n_16007), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [18]), .X (n_6756));
+  sky130_fd_sc_hd__a22o_1 g435103(.A1 (n_6494), .A2 (n_5686), .B1
+       (n_16007), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [19]), .X (n_6755));
+  sky130_fd_sc_hd__a22o_1 g435104(.A1 (n_6494), .A2 (n_5684), .B1
+       (n_16007), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [28]), .X (n_6754));
+  sky130_fd_sc_hd__a22o_1 g435105(.A1 (n_6500), .A2
+       (u_soc_u_tcam_rdata[0]), .B1 (n_5957), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [1]), .X (n_6753));
+  sky130_fd_sc_hd__a22o_1 g435106(.A1 (n_6500), .A2
+       (u_soc_u_tcam_rdata[1]), .B1 (n_5957), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [2]), .X (n_6752));
+  sky130_fd_sc_hd__a22o_1 g435107(.A1 (n_6500), .A2
+       (u_soc_u_tcam_rdata[2]), .B1 (n_5957), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [3]), .X (n_6751));
+  sky130_fd_sc_hd__a22o_1 g435108(.A1 (n_6500), .A2
+       (u_soc_u_tcam_rdata[5]), .B1 (n_5957), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [6]), .X (n_6750));
+  sky130_fd_sc_hd__a22o_1 g435109(.A1 (n_6500), .A2
+       (u_soc_u_tcam_rdata[3]), .B1 (n_5957), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [4]), .X (n_6749));
+  sky130_fd_sc_hd__a22o_1 g435110(.A1 (n_6500), .A2
+       (u_soc_u_tcam_rdata[4]), .B1 (n_5957), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [5]), .X (n_6748));
+  sky130_fd_sc_hd__a22o_1 g435111(.A1 (n_6499), .A2
+       (u_soc_u_tcam_rdata[0]), .B1 (n_5669), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [1]), .X (n_6747));
+  sky130_fd_sc_hd__a22o_1 g435112(.A1 (n_6499), .A2
+       (u_soc_u_tcam_rdata[2]), .B1 (n_5669), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [3]), .X (n_6746));
+  sky130_fd_sc_hd__a22o_1 g435113(.A1 (n_6499), .A2
+       (u_soc_u_tcam_rdata[1]), .B1 (n_5669), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [2]), .X (n_6745));
+  sky130_fd_sc_hd__a22o_1 g435114(.A1 (n_6499), .A2
+       (u_soc_u_tcam_rdata[3]), .B1 (n_5669), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [4]), .X (n_6744));
+  sky130_fd_sc_hd__a22o_1 g435115(.A1 (n_6499), .A2
+       (u_soc_u_tcam_rdata[4]), .B1 (n_5669), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [5]), .X (n_6743));
+  sky130_fd_sc_hd__a22o_1 g435116(.A1 (n_6499), .A2
+       (u_soc_u_tcam_rdata[5]), .B1 (n_5669), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [6]), .X (n_6742));
+  sky130_fd_sc_hd__a22o_1 g435117(.A1 (n_6496), .A2
+       (u_soc_u_tcam_rdata[0]), .B1 (n_5668), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [1]), .X (n_6741));
+  sky130_fd_sc_hd__a22o_1 g435118(.A1 (n_6496), .A2
+       (u_soc_u_tcam_rdata[1]), .B1 (n_5668), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [2]), .X (n_6740));
+  sky130_fd_sc_hd__nand4_1 g435119(.A (n_5787), .B (n_2623), .C
+       (n_5975), .D (n_2963), .Y (n_6884));
+  sky130_fd_sc_hd__a221oi_1 g435120(.A1 (n_5827), .A2 (n_1037), .B1
+       (n_1035), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [9]), .C1 (n_6548), .Y (n_6883));
+  sky130_fd_sc_hd__a221oi_1 g435121(.A1 (n_5837), .A2 (n_1037), .B1
+       (n_1035), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [14]), .C1 (n_6547), .Y (n_6882));
+  sky130_fd_sc_hd__a221oi_1 g435122(.A1 (n_5839), .A2 (n_1037), .B1
+       (n_1035), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [15]), .C1 (n_6546), .Y (n_6881));
+  sky130_fd_sc_hd__a221o_1 g435123(.A1 (n_5971), .A2
+       (u_soc_u_dccm_rdata1[24]), .B1 (n_5962), .B2
+       (u_soc_u_dccm_rdata2[24]), .C1 (n_6668), .X (n_6880));
+  sky130_fd_sc_hd__a221o_1 g435124(.A1 (n_5971), .A2
+       (u_soc_u_dccm_rdata1[25]), .B1 (n_5962), .B2
+       (u_soc_u_dccm_rdata2[25]), .C1 (n_6669), .X (n_6879));
+  sky130_fd_sc_hd__a221o_1 g435125(.A1 (n_5971), .A2
+       (u_soc_u_dccm_rdata1[26]), .B1 (n_5962), .B2
+       (u_soc_u_dccm_rdata2[26]), .C1 (n_6670), .X (n_6878));
+  sky130_fd_sc_hd__a221o_1 g435126(.A1 (n_5971), .A2
+       (u_soc_u_dccm_rdata1[28]), .B1 (n_5962), .B2
+       (u_soc_u_dccm_rdata2[28]), .C1 (n_6671), .X (n_6877));
+  sky130_fd_sc_hd__a221o_1 g435127(.A1 (n_5971), .A2
+       (u_soc_u_dccm_rdata1[29]), .B1 (n_5962), .B2
+       (u_soc_u_dccm_rdata2[29]), .C1 (n_6672), .X (n_6876));
+  sky130_fd_sc_hd__a221o_1 g435128(.A1 (n_5971), .A2
+       (u_soc_u_dccm_rdata1[30]), .B1 (n_5962), .B2
+       (u_soc_u_dccm_rdata2[30]), .C1 (n_6673), .X (n_6875));
+  sky130_fd_sc_hd__a221o_1 g435129(.A1 (n_5971), .A2
+       (u_soc_u_dccm_rdata1[31]), .B1 (n_5962), .B2
+       (u_soc_u_dccm_rdata2[31]), .C1 (n_6674), .X (n_6874));
+  sky130_fd_sc_hd__a221oi_1 g435130(.A1 (n_5843), .A2 (n_1029), .B1
+       (n_1030), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [25]), .C1 (n_6549), .Y (n_6872));
+  sky130_fd_sc_hd__a221oi_1 g435131(.A1 (n_5842), .A2 (n_1029), .B1
+       (n_1030), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [24]), .C1 (n_6550), .Y (n_6871));
+  sky130_fd_sc_hd__nor3_1 g435132(.A
+       (u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]), .B
+       (u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]), .C (n_6709),
+       .Y (n_6869));
+  sky130_fd_sc_hd__a221oi_1 g435133(.A1 (n_5845), .A2 (n_1029), .B1
+       (n_1030), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [26]), .C1 (n_6551), .Y (n_6867));
+  sky130_fd_sc_hd__a221oi_1 g435134(.A1 (n_5847), .A2 (n_1029), .B1
+       (n_1030), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [27]), .C1 (n_6552), .Y (n_6865));
+  sky130_fd_sc_hd__nor2_1 g435135(.A (n_6558), .B (n_6557), .Y
+       (n_6863));
+  sky130_fd_sc_hd__nor2_1 g435136(.A (n_6556), .B (n_6555), .Y
+       (n_6861));
+  sky130_fd_sc_hd__a221oi_1 g435137(.A1 (n_5853), .A2 (n_1029), .B1
+       (n_1030), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [30]), .C1 (n_6554), .Y (n_6859));
+  sky130_fd_sc_hd__a221oi_1 g435138(.A1 (n_5849), .A2 (n_1029), .B1
+       (n_1030), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [28]), .C1 (n_6553), .Y (n_6857));
+  sky130_fd_sc_hd__inv_2 g435396(.A (n_6733), .Y (n_6732));
+  sky130_fd_sc_hd__inv_2 g435397(.A (n_6731), .Y (n_6730));
+  sky130_fd_sc_hd__inv_2 g435398(.A (n_6729), .Y (n_6728));
+  sky130_fd_sc_hd__inv_2 g435399(.A (n_6726), .Y (n_6725));
+  sky130_fd_sc_hd__inv_2 g435400(.A (n_6724), .Y (n_6723));
+  sky130_fd_sc_hd__inv_2 g435401(.A (n_6722), .Y (n_6721));
+  sky130_fd_sc_hd__inv_2 g435402(.A (n_6720), .Y (n_6719));
+  sky130_fd_sc_hd__inv_2 g435403(.A (n_6718), .Y (n_6717));
+  sky130_fd_sc_hd__inv_2 g435404(.A (n_6715), .Y (n_6716));
+  sky130_fd_sc_hd__inv_1 g435405(.A (n_6709), .Y (n_6708));
+  sky130_fd_sc_hd__a221oi_1 g435406(.A1 (n_1413), .A2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]), .B1
+       (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[24]), .C1
+       (n_6373), .Y (n_6707));
+  sky130_fd_sc_hd__a221oi_1 g435407(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[1]), .B1
+       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[1]),
+       .C1 (n_5790), .Y (n_6706));
+  sky130_fd_sc_hd__o2bb2ai_1 g435408(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .A2_N (n_5407), .B1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .B2 (n_5407), .Y (n_6705));
+  sky130_fd_sc_hd__a22oi_1 g435409(.A1 (n_5959), .A2
+       (u_soc_u_dccm_rdata2[31]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [32]), .Y (n_6704));
+  sky130_fd_sc_hd__a22oi_1 g435410(.A1 (n_5959), .A2
+       (u_soc_u_dccm_rdata2[30]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [31]), .Y (n_6703));
+  sky130_fd_sc_hd__a22oi_1 g435411(.A1 (n_5972), .A2
+       (u_soc_u_dccm_rdata1[29]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [30]), .Y (n_6702));
+  sky130_fd_sc_hd__a22oi_1 g435412(.A1 (n_5972), .A2
+       (u_soc_u_dccm_rdata1[28]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [29]), .Y (n_6701));
+  sky130_fd_sc_hd__a22o_1 g435413(.A1 (n_5942), .A2 (n_5684), .B1
+       (n_5644), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [28]), .X (n_6700));
+  sky130_fd_sc_hd__a22oi_1 g435414(.A1 (n_5972), .A2
+       (u_soc_u_dccm_rdata1[26]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [27]), .Y (n_6699));
+  sky130_fd_sc_hd__nor2_1 g435415(.A
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [0]), .B
+       (n_6508), .Y (n_6698));
+  sky130_fd_sc_hd__nor2_1 g435416(.A
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [0]), .B
+       (n_6506), .Y (n_6697));
+  sky130_fd_sc_hd__nand2b_1 g435417(.A_N (n_6503), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [6]), .Y (n_6696));
+  sky130_fd_sc_hd__nor2_1 g435419(.A
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [0]), .B
+       (n_6507), .Y (n_6694));
+  sky130_fd_sc_hd__nand2_1 g435420(.A (n_6498), .B
+       (u_soc_u_tcam_rdata[0]), .Y (n_6693));
+  sky130_fd_sc_hd__a22o_1 g435421(.A1 (n_5942), .A2 (n_5686), .B1
+       (n_5644), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [19]), .X (n_6692));
+  sky130_fd_sc_hd__nor2_1 g435422(.A (n_3099), .B (n_6326), .Y
+       (n_6691));
+  sky130_fd_sc_hd__a22o_1 g435423(.A1 (n_5942), .A2 (n_5687), .B1
+       (n_5644), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [18]), .X (n_6690));
+  sky130_fd_sc_hd__a22oi_1 g435424(.A1 (n_5959), .A2
+       (u_soc_u_dccm_rdata2[25]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [26]), .Y (n_6689));
+  sky130_fd_sc_hd__o41ai_1 g435425(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .A3
+       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .A4 (n_2382), .B1
+       (n_6357), .Y (n_6688));
+  sky130_fd_sc_hd__a22oi_1 g435426(.A1 (n_5972), .A2
+       (u_soc_u_dccm_rdata1[24]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [25]), .Y (n_6687));
+  sky130_fd_sc_hd__a21oi_1 g435427(.A1 (n_5776), .A2 (n_13327), .B1
+       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .Y (n_6686));
+  sky130_fd_sc_hd__a22o_1 g435428(.A1 (n_5941), .A2 (n_5684), .B1
+       (n_5643), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [28]), .X (n_6685));
+  sky130_fd_sc_hd__a22o_1 g435429(.A1 (n_5941), .A2 (n_5686), .B1
+       (n_5643), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [19]), .X (n_6684));
+  sky130_fd_sc_hd__a22o_1 g435430(.A1 (n_5941), .A2 (n_5687), .B1
+       (n_5643), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [18]), .X (n_6683));
+  sky130_fd_sc_hd__a221oi_1 g435431(.A1 (n_2246), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [7]), .B1 (n_1884), .B2
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[7]), .C1
+       (n_6364), .Y (n_6682));
+  sky130_fd_sc_hd__nor2_1 g435432(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .B (n_5922), .Y
+       (n_6681));
+  sky130_fd_sc_hd__a221o_1 g435433(.A1 (n_1518), .A2
+       (u_soc_u_top_u_core_csr_mepc[17]), .B1 (n_1409), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[17]), .C1 (n_6286),
+       .X (n_6680));
+  sky130_fd_sc_hd__a221o_1 g435434(.A1 (n_1518), .A2
+       (u_soc_u_top_u_core_csr_mepc[21]), .B1 (n_1409), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[21]), .C1 (n_6261),
+       .X (n_6679));
+  sky130_fd_sc_hd__a211o_1 g435435(.A1 (n_3100), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q
+       [0]), .B1 (n_5770), .C1 (n_5411), .X (n_6678));
+  sky130_fd_sc_hd__a22o_1 g435436(.A1 (n_5944), .A2 (n_5684), .B1
+       (n_5642), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [28]), .X (n_6677));
+  sky130_fd_sc_hd__a22o_1 g435437(.A1 (n_5944), .A2 (n_5686), .B1
+       (n_5642), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [19]), .X (n_6676));
+  sky130_fd_sc_hd__a22o_1 g435438(.A1 (n_5944), .A2 (n_5687), .B1
+       (n_5642), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [18]), .X (n_6675));
+  sky130_fd_sc_hd__a22o_1 g435439(.A1 (n_5967), .A2
+       (u_soc_u_dccm_rdata3[31]), .B1 (n_5968), .B2
+       (u_soc_u_dccm_rdata4[31]), .X (n_6674));
+  sky130_fd_sc_hd__a22o_1 g435440(.A1 (n_5967), .A2
+       (u_soc_u_dccm_rdata3[30]), .B1 (n_5968), .B2
+       (u_soc_u_dccm_rdata4[30]), .X (n_6673));
+  sky130_fd_sc_hd__a22o_1 g435441(.A1 (n_5967), .A2
+       (u_soc_u_dccm_rdata3[29]), .B1 (n_5968), .B2
+       (u_soc_u_dccm_rdata4[29]), .X (n_6672));
+  sky130_fd_sc_hd__a22o_1 g435442(.A1 (n_5967), .A2
+       (u_soc_u_dccm_rdata3[28]), .B1 (n_5968), .B2
+       (u_soc_u_dccm_rdata4[28]), .X (n_6671));
+  sky130_fd_sc_hd__a22o_1 g435443(.A1 (n_5967), .A2
+       (u_soc_u_dccm_rdata3[26]), .B1 (n_5968), .B2
+       (u_soc_u_dccm_rdata4[26]), .X (n_6670));
+  sky130_fd_sc_hd__a22o_1 g435444(.A1 (n_5967), .A2
+       (u_soc_u_dccm_rdata3[25]), .B1 (n_5968), .B2
+       (u_soc_u_dccm_rdata4[25]), .X (n_6669));
+  sky130_fd_sc_hd__a22o_1 g435445(.A1 (n_5967), .A2
+       (u_soc_u_dccm_rdata3[24]), .B1 (n_5968), .B2
+       (u_soc_u_dccm_rdata4[24]), .X (n_6668));
+  sky130_fd_sc_hd__o22ai_1 g435446(.A1 (n_2413), .A2 (n_5872), .B1
+       (n_2558), .B2 (n_5388), .Y (n_6667));
+  sky130_fd_sc_hd__o22ai_1 g435447(.A1 (n_2413), .A2 (n_5867), .B1
+       (n_2569), .B2 (n_5388), .Y (n_6666));
+  sky130_fd_sc_hd__o22ai_1 g435448(.A1 (n_2413), .A2 (n_5864), .B1
+       (n_2589), .B2 (n_5388), .Y (n_6665));
+  sky130_fd_sc_hd__o22ai_1 g435449(.A1 (n_2413), .A2 (n_5859), .B1
+       (n_2597), .B2 (n_5388), .Y (n_6664));
+  sky130_fd_sc_hd__a221oi_1 g435450(.A1 (n_1884), .A2
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]), .B1
+       (n_1413), .B2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]), .C1
+       (n_6126), .Y (n_6663));
+  sky130_fd_sc_hd__a21oi_1 g435451(.A1 (n_6006), .A2 (n_2413), .B1
+       (n_3081), .Y (n_6662));
+  sky130_fd_sc_hd__o21ai_1 g435452(.A1 (n_2414), .A2 (n_6007), .B1
+       (n_3082), .Y (n_6661));
+  sky130_fd_sc_hd__o31ai_1 g435453(.A1
+       (u_soc_u_uart_u_uart_core_fifo_read_size[5]), .A2 (n_152), .A3
+       (n_1683), .B1 (n_6300), .Y (n_6660));
+  sky130_fd_sc_hd__nand4_1 g435454(.A (n_5697), .B (n_5617), .C
+       (n_1257), .D (n_3088), .Y (n_6659));
+  sky130_fd_sc_hd__o21ai_1 g435455(.A1 (n_2414), .A2 (n_6003), .B1
+       (n_3082), .Y (n_6658));
+  sky130_fd_sc_hd__nand4_1 g435456(.A (n_5679), .B (n_1417), .C
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [17]), .D
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [0]), .Y (n_6657));
+  sky130_fd_sc_hd__nor2_1 g435457(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5929), .Y
+       (n_6656));
+  sky130_fd_sc_hd__nand4_1 g435458(.A (n_5679), .B (n_1522), .C
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [15]), .D
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [0]), .Y (n_6655));
+  sky130_fd_sc_hd__nand3_1 g435459(.A (n_5980), .B (n_1522), .C
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [16]), .Y (n_6654));
+  sky130_fd_sc_hd__o21ai_1 g435460(.A1 (n_2414), .A2 (n_5995), .B1
+       (n_3082), .Y (n_6653));
+  sky130_fd_sc_hd__nand3_1 g435461(.A (n_5980), .B (n_1417), .C
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [18]), .Y (n_6652));
+  sky130_fd_sc_hd__nand3_1 g435462(.A (n_5980), .B (n_1421), .C
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [20]), .Y (n_6651));
+  sky130_fd_sc_hd__o21ai_1 g435463(.A1 (n_2414), .A2 (n_5859), .B1
+       (n_3082), .Y (n_6650));
+  sky130_fd_sc_hd__o21ai_1 g435464(.A1 (n_2414), .A2 (n_5860), .B1
+       (n_3082), .Y (n_6649));
+  sky130_fd_sc_hd__o21ai_1 g435465(.A1 (n_2414), .A2 (n_5861), .B1
+       (n_3082), .Y (n_6648));
+  sky130_fd_sc_hd__o21ai_1 g435466(.A1 (n_2414), .A2 (n_5862), .B1
+       (n_3082), .Y (n_6647));
+  sky130_fd_sc_hd__o21ai_1 g435467(.A1 (n_2414), .A2 (n_5863), .B1
+       (n_3082), .Y (n_6646));
+  sky130_fd_sc_hd__o21ai_1 g435468(.A1 (n_2414), .A2 (n_5864), .B1
+       (n_3082), .Y (n_6645));
+  sky130_fd_sc_hd__a21oi_1 g435469(.A1 (n_5866), .A2 (n_2413), .B1
+       (n_3081), .Y (n_6644));
+  sky130_fd_sc_hd__a221o_1 g435470(.A1 (n_2982), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [32]), .B1 (n_5651), .B2 (n_13448), .C1 (n_6184), .X (n_6643));
+  sky130_fd_sc_hd__o221ai_1 g435471(.A1 (n_2413), .A2 (n_5861), .B1
+       (n_2584), .B2 (n_5388), .C1 (n_5766), .Y (n_6642));
+  sky130_fd_sc_hd__o221ai_1 g435472(.A1 (n_2413), .A2 (n_5862), .B1
+       (n_2577), .B2 (n_5388), .C1 (n_5764), .Y (n_6641));
+  sky130_fd_sc_hd__o221ai_1 g435473(.A1 (n_2413), .A2 (n_5863), .B1
+       (n_2598), .B2 (n_5388), .C1 (n_5763), .Y (n_6640));
+  sky130_fd_sc_hd__o221ai_1 g435474(.A1 (n_2413), .A2 (n_5865), .B1
+       (n_2551), .B2 (n_5388), .C1 (n_5761), .Y (n_6639));
+  sky130_fd_sc_hd__o221ai_1 g435475(.A1 (n_2413), .A2 (n_5858), .B1
+       (n_2557), .B2 (n_5388), .C1 (n_5758), .Y (n_6638));
+  sky130_fd_sc_hd__o221ai_1 g435476(.A1 (n_2413), .A2 (n_5994), .B1
+       (n_2568), .B2 (n_5388), .C1 (n_5757), .Y (n_6637));
+  sky130_fd_sc_hd__o21ai_1 g435477(.A1 (n_2413), .A2 (n_5868), .B1
+       (n_6011), .Y (n_6636));
+  sky130_fd_sc_hd__a221oi_1 g435478(.A1 (n_1516), .A2
+       (u_soc_u_top_u_core_csr_depc[15]), .B1 (n_1233), .B2
+       (u_soc_u_top_u_core_debug_ebreakm), .C1 (n_6366), .Y (n_6635));
+  sky130_fd_sc_hd__a221oi_1 g435479(.A1 (n_1413), .A2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]), .B1
+       (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[16]), .C1
+       (n_6379), .Y (n_6634));
+  sky130_fd_sc_hd__a221oi_1 g435480(.A1 (n_1413), .A2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]), .B1
+       (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[18]), .C1
+       (n_6365), .Y (n_6633));
+  sky130_fd_sc_hd__a221oi_1 g435481(.A1 (n_1413), .A2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]), .B1
+       (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[19]), .C1
+       (n_6378), .Y (n_6632));
+  sky130_fd_sc_hd__a221oi_1 g435482(.A1 (n_1413), .A2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]), .B1
+       (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[22]), .C1
+       (n_6377), .Y (n_6631));
+  sky130_fd_sc_hd__nand3_1 g435483(.A (n_5948), .B (n_1524), .C
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [14]), .Y (n_6630));
+  sky130_fd_sc_hd__nand3_1 g435484(.A (n_5980), .B (n_1523), .C
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [22]), .Y (n_6629));
+  sky130_fd_sc_hd__and3_1 g435485(.A (n_5948), .B (n_1523), .C
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [30]), .X (n_6628));
+  sky130_fd_sc_hd__a221oi_1 g435486(.A1 (n_1413), .A2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]), .B1
+       (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[23]), .C1
+       (n_6374), .Y (n_6627));
+  sky130_fd_sc_hd__a221o_1 g435487(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]), .B1
+       (n_2243), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]), .C1
+       (n_5792), .X (n_6626));
+  sky130_fd_sc_hd__and3_1 g435488(.A (n_5948), .B (n_1422), .C
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [10]), .X (n_6625));
+  sky130_fd_sc_hd__nand3_1 g435489(.A (n_5948), .B (n_1423), .C
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [12]), .Y (n_6624));
+  sky130_fd_sc_hd__a221oi_1 g435490(.A1 (n_1413), .A2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]), .B1
+       (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[25]), .C1
+       (n_6372), .Y (n_6623));
+  sky130_fd_sc_hd__a221oi_1 g435491(.A1 (n_1413), .A2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]), .B1
+       (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[26]), .C1
+       (n_6371), .Y (n_6622));
+  sky130_fd_sc_hd__a221oi_1 g435492(.A1 (n_1413), .A2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]), .B1
+       (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[28]), .C1
+       (n_6370), .Y (n_6621));
+  sky130_fd_sc_hd__a221oi_1 g435493(.A1 (n_1516), .A2
+       (u_soc_u_top_u_core_csr_depc[31]), .B1 (n_1409), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[31]), .C1 (n_6369),
+       .Y (n_6620));
+  sky130_fd_sc_hd__a222oi_1 g435494(.A1
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [31]), .A2 (n_5663), .B1
+       (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [32]), .C1 (n_5937), .C2 (\u_soc_lsu_to_xbar[a_address] [31]),
+       .Y (n_6619));
+  sky130_fd_sc_hd__o21ai_1 g435495(.A1 (n_2413), .A2 (n_5860), .B1
+       (n_6013), .Y (n_6618));
+  sky130_fd_sc_hd__a221o_1 g435496(.A1 (n_5869), .A2 (n_2414), .B1
+       (n_5387), .B2 (n_2565), .C1 (n_5756), .X (n_6617));
+  sky130_fd_sc_hd__a221o_1 g435497(.A1 (n_5870), .A2 (n_2414), .B1
+       (n_5387), .B2 (n_2581), .C1 (n_5755), .X (n_6616));
+  sky130_fd_sc_hd__a222oi_1 g435498(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [1]), .A2 (n_2982), .B1 (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [0]), .C1 (n_5647), .C2 (n_13418), .Y (n_6615));
+  sky130_fd_sc_hd__a222oi_1 g435499(.A1 (n_13426), .A2 (n_5647), .B1
+       (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [8]), .C1 (n_5651), .C2 (n_13425), .Y (n_6614));
+  sky130_fd_sc_hd__o21ai_1 g435500(.A1 (n_2414), .A2 (n_5997), .B1
+       (n_3082), .Y (n_6613));
+  sky130_fd_sc_hd__o21ai_1 g435501(.A1 (n_2414), .A2 (n_5999), .B1
+       (n_3082), .Y (n_6612));
+  sky130_fd_sc_hd__o21ai_1 g435502(.A1 (n_2413), .A2 (n_5873), .B1
+       (n_6010), .Y (n_6611));
+  sky130_fd_sc_hd__a222oi_1 g435503(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [7]), .A2 (n_2982), .B1 (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [6]), .C1 (n_5961), .C2 (n_1524), .Y (n_6610));
+  sky130_fd_sc_hd__nand2_1 g435504(.A (n_6389), .B (n_3082), .Y
+       (n_6609));
+  sky130_fd_sc_hd__a222oi_1 g435505(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [5]), .A2 (n_2982), .B1 (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [4]), .C1 (n_5961), .C2 (n_1423), .Y (n_6608));
+  sky130_fd_sc_hd__a21oi_1 g435506(.A1 (n_5874), .A2 (n_2413), .B1
+       (n_3081), .Y (n_6607));
+  sky130_fd_sc_hd__a21oi_1 g435507(.A1 (n_5871), .A2 (n_2413), .B1
+       (n_3081), .Y (n_6606));
+  sky130_fd_sc_hd__a222oi_1 g435508(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [3]), .A2 (n_2982), .B1 (n_5647), .B2 (n_13420), .C1 (n_5961),
+       .C2 (n_1422), .Y (n_6605));
+  sky130_fd_sc_hd__a21oi_1 g435509(.A1 (n_5871), .A2 (n_2414), .B1
+       (n_6012), .Y (n_6604));
+  sky130_fd_sc_hd__a222oi_1 g435510(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [23]), .A2 (n_2982), .B1 (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [22]), .C1 (n_5961), .C2 (n_1523), .Y (n_6603));
+  sky130_fd_sc_hd__a222oi_1 g435511(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [15]), .A2 (n_2982), .B1 (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [14]), .C1 (n_5950), .C2 (n_1524), .Y (n_6602));
+  sky130_fd_sc_hd__a222oi_1 g435512(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [21]), .A2 (n_2982), .B1 (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [20]), .C1 (n_5961), .C2 (n_1421), .Y (n_6601));
+  sky130_fd_sc_hd__a221oi_1 g435513(.A1 (n_3077), .A2 (n_5455), .B1
+       (n_3078), .B2 (n_5463), .C1 (n_5895), .Y (n_6600));
+  sky130_fd_sc_hd__a221oi_1 g435514(.A1 (n_3077), .A2 (n_5456), .B1
+       (n_3078), .B2 (n_5464), .C1 (n_5894), .Y (n_6599));
+  sky130_fd_sc_hd__a222oi_1 g435515(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [11]), .A2 (n_2982), .B1 (n_5647), .B2 (n_13428), .C1 (n_5950),
+       .C2 (n_1422), .Y (n_6598));
+  sky130_fd_sc_hd__a222oi_1 g435516(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [13]), .A2 (n_2982), .B1 (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [12]), .C1 (n_5950), .C2 (n_1423), .Y (n_6597));
+  sky130_fd_sc_hd__a222oi_1 g435517(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [17]), .A2 (n_2982), .B1 (n_5647), .B2 (n_13434), .C1 (n_5961),
+       .C2 (n_1522), .Y (n_6596));
+  sky130_fd_sc_hd__a222oi_1 g435518(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [19]), .A2 (n_2982), .B1 (n_5647), .B2 (n_13436), .C1 (n_5961),
+       .C2 (n_1417), .Y (n_6595));
+  sky130_fd_sc_hd__a222oi_1 g435519(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [25]), .A2 (n_2982), .B1 (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [24]), .C1 (n_5950), .C2 (n_1522), .Y (n_6594));
+  sky130_fd_sc_hd__a222oi_1 g435520(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [29]), .A2 (n_2982), .B1 (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [28]), .C1 (n_5950), .C2 (n_1421), .Y (n_6593));
+  sky130_fd_sc_hd__a222oi_1 g435521(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [31]), .A2 (n_2982), .B1 (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [30]), .C1 (n_5950), .C2 (n_1523), .Y (n_6592));
+  sky130_fd_sc_hd__a221o_1 g435522(.A1 (n_2242), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]), .B1
+       (n_2241), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]), .C1
+       (n_5789), .X (n_6591));
+  sky130_fd_sc_hd__a221o_1 g435523(.A1 (n_1409), .A2
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[20]), .B1 (n_1518),
+       .B2 (u_soc_u_top_u_core_csr_mepc[20]), .C1 (n_5793), .X
+       (n_6590));
+  sky130_fd_sc_hd__a22oi_1 g435524(.A1 (n_5982), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [25]), .B1 (n_5948), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [26]), .Y (n_6589));
+  sky130_fd_sc_hd__a22oi_1 g435525(.A1 (n_5982), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [23]), .B1 (n_5948), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [24]), .Y (n_6588));
+  sky130_fd_sc_hd__a22oi_1 g435526(.A1 (n_5939), .A2 (n_13419), .B1
+       (n_5938), .B2 (n_13420), .Y (n_6587));
+  sky130_fd_sc_hd__a22o_1 g435527(.A1 (n_5937), .A2
+       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [2]), .X (n_6586));
+  sky130_fd_sc_hd__a22oi_1 g435528(.A1 (n_5939), .A2 (n_13421), .B1
+       (n_5938), .B2 (n_13422), .Y (n_6585));
+  sky130_fd_sc_hd__a22o_1 g435529(.A1 (n_5937), .A2
+       (\u_soc_xbar_to_dccm[a_address] [3]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [4]), .X (n_6584));
+  sky130_fd_sc_hd__a22o_1 g435530(.A1 (n_5937), .A2
+       (\u_soc_xbar_to_dccm[a_address] [5]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [6]), .X (n_6583));
+  sky130_fd_sc_hd__a22oi_1 g435531(.A1 (n_5937), .A2
+       (\u_soc_xbar_to_dccm[a_address] [7]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [8]), .Y (n_6582));
+  sky130_fd_sc_hd__a22oi_1 g435532(.A1 (n_5937), .A2
+       (\u_soc_xbar_to_dccm[a_address] [9]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [10]), .Y (n_6581));
+  sky130_fd_sc_hd__a22oi_1 g435533(.A1 (n_5939), .A2 (n_13428), .B1
+       (n_5938), .B2 (n_13429), .Y (n_6580));
+  sky130_fd_sc_hd__a22oi_1 g435534(.A1 (n_5939), .A2 (n_13429), .B1
+       (n_5938), .B2 (n_13430), .Y (n_6579));
+  sky130_fd_sc_hd__a22o_1 g435535(.A1 (n_5937), .A2
+       (\u_soc_xbar_to_dccm[a_address] [11]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [12]), .X (n_6578));
+  sky130_fd_sc_hd__a22oi_1 g435536(.A1 (n_5939), .A2 (n_13431), .B1
+       (n_5938), .B2 (n_13432), .Y (n_6577));
+  sky130_fd_sc_hd__a22o_1 g435537(.A1 (n_5937), .A2
+       (\u_soc_xbar_to_dccm[a_address] [13]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [14]), .X (n_6576));
+  sky130_fd_sc_hd__a22oi_1 g435538(.A1 (n_5939), .A2 (n_13433), .B1
+       (n_5938), .B2 (n_13434), .Y (n_6575));
+  sky130_fd_sc_hd__a22o_1 g435539(.A1 (n_5937), .A2
+       (\u_soc_lsu_to_xbar[a_address] [15]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [16]), .X (n_6574));
+  sky130_fd_sc_hd__a22oi_1 g435540(.A1 (n_5939), .A2 (n_13435), .B1
+       (n_5938), .B2 (n_13436), .Y (n_6573));
+  sky130_fd_sc_hd__a22o_1 g435541(.A1 (n_5937), .A2
+       (\u_soc_lsu_to_xbar[a_address] [17]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [18]), .X (n_6572));
+  sky130_fd_sc_hd__a22oi_1 g435542(.A1 (n_5939), .A2 (n_13437), .B1
+       (n_5938), .B2 (n_13438), .Y (n_6571));
+  sky130_fd_sc_hd__a22o_1 g435543(.A1 (n_5937), .A2
+       (\u_soc_lsu_to_xbar[a_address] [19]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [20]), .X (n_6570));
+  sky130_fd_sc_hd__a22oi_1 g435544(.A1 (n_5937), .A2
+       (\u_soc_lsu_to_xbar[a_address] [21]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [22]), .Y (n_6569));
+  sky130_fd_sc_hd__a22oi_1 g435545(.A1 (n_5939), .A2 (n_13441), .B1
+       (n_5938), .B2 (n_13442), .Y (n_6568));
+  sky130_fd_sc_hd__a22o_1 g435546(.A1 (n_5937), .A2
+       (\u_soc_lsu_to_xbar[a_address] [23]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [24]), .X (n_6567));
+  sky130_fd_sc_hd__a22oi_1 g435547(.A1 (n_5937), .A2
+       (\u_soc_lsu_to_xbar[a_address] [25]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [26]), .Y (n_6566));
+  sky130_fd_sc_hd__a221o_1 g435548(.A1 (n_2246), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [30]), .B1 (n_1413), .B2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]), .C1
+       (n_15931), .X (n_6565));
+  sky130_fd_sc_hd__a22oi_1 g435549(.A1 (n_5939), .A2 (n_13445), .B1
+       (n_5938), .B2 (n_13446), .Y (n_6564));
+  sky130_fd_sc_hd__a22o_1 g435550(.A1 (n_5937), .A2
+       (\u_soc_lsu_to_xbar[a_address] [27]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [28]), .X (n_6563));
+  sky130_fd_sc_hd__a22oi_1 g435551(.A1 (n_5939), .A2 (n_13447), .B1
+       (n_5938), .B2 (n_13448), .Y (n_6562));
+  sky130_fd_sc_hd__a22o_1 g435552(.A1 (n_5937), .A2
+       (\u_soc_lsu_to_xbar[a_address] [29]), .B1 (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [30]), .X (n_6561));
+  sky130_fd_sc_hd__a22oi_1 g435553(.A1 (n_5939), .A2 (n_13448), .B1
+       (n_1845), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [31]), .Y (n_6560));
+  sky130_fd_sc_hd__a22oi_1 g435554(.A1 (n_5992), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [32]), .B1 (n_5412), .B2
+       (n_1563), .Y (n_6559));
+  sky130_fd_sc_hd__a22o_1 g435555(.A1 (n_5851), .A2 (n_1029), .B1
+       (n_1030), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [29]), .X (n_6558));
+  sky130_fd_sc_hd__a22o_1 g435556(.A1 (n_5835), .A2 (n_1027), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [13]), .X (n_6557));
+  sky130_fd_sc_hd__a22o_1 g435557(.A1 (n_5855), .A2 (n_1029), .B1
+       (n_1030), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [31]), .X (n_6556));
+  sky130_fd_sc_hd__a22o_1 g435558(.A1 (n_5839), .A2 (n_1027), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [15]), .X (n_6555));
+  sky130_fd_sc_hd__a22o_1 g435559(.A1 (n_5837), .A2 (n_1027), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [14]), .X (n_6554));
+  sky130_fd_sc_hd__a22o_1 g435560(.A1 (n_5833), .A2 (n_1027), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [12]), .X (n_6553));
+  sky130_fd_sc_hd__a22o_1 g435561(.A1 (n_5831), .A2 (n_1027), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [11]), .X (n_6552));
+  sky130_fd_sc_hd__a22o_1 g435562(.A1 (n_5829), .A2 (n_1027), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [10]), .X (n_6551));
+  sky130_fd_sc_hd__a22o_1 g435563(.A1 (n_5825), .A2 (n_1027), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [8]), .X (n_6550));
+  sky130_fd_sc_hd__a22o_1 g435564(.A1 (n_5827), .A2 (n_1027), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [9]), .X (n_6549));
+  sky130_fd_sc_hd__a22o_1 g435565(.A1 (n_5843), .A2 (n_1027), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [25]), .X (n_6548));
+  sky130_fd_sc_hd__a22o_1 g435566(.A1 (n_5853), .A2 (n_1027), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [30]), .X (n_6547));
+  sky130_fd_sc_hd__a22o_1 g435567(.A1 (n_5855), .A2 (n_1027), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [31]), .X (n_6546));
+  sky130_fd_sc_hd__nor2_1 g435619(.A (n_1248), .B (n_5671), .Y
+       (n_6739));
+  sky130_fd_sc_hd__nor2b_1 g435638(.A (n_5696), .B_N
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[5]), .Y (n_6738));
+  sky130_fd_sc_hd__a221o_1 g435640(.A1 (n_5666), .A2
+       (u_soc_u_dccm_rdata3[4]), .B1 (n_5665), .B2
+       (u_soc_u_dccm_rdata4[4]), .C1 (n_6222), .X (n_6737));
+  sky130_fd_sc_hd__a221o_1 g435641(.A1 (n_5666), .A2
+       (u_soc_u_dccm_rdata3[3]), .B1 (n_5665), .B2
+       (u_soc_u_dccm_rdata4[3]), .C1 (n_6223), .X (n_6736));
+  sky130_fd_sc_hd__a221o_1 g435642(.A1 (n_5666), .A2
+       (u_soc_u_dccm_rdata3[2]), .B1 (n_5665), .B2
+       (u_soc_u_dccm_rdata4[2]), .C1 (n_6224), .X (n_6735));
+  sky130_fd_sc_hd__a221o_1 g435643(.A1 (n_5666), .A2
+       (u_soc_u_dccm_rdata3[1]), .B1 (n_5665), .B2
+       (u_soc_u_dccm_rdata4[1]), .C1 (n_6225), .X (n_6734));
+  sky130_fd_sc_hd__a221oi_1 g435644(.A1 (n_5667), .A2
+       (u_soc_u_dccm_rdata2[0]), .B1 (n_5665), .B2
+       (u_soc_u_dccm_rdata4[0]), .C1 (n_6226), .Y (n_6733));
+  sky130_fd_sc_hd__a221oi_1 g435645(.A1 (n_5688), .A2
+       (u_soc_u_dccm_rdata2[13]), .B1 (n_5682), .B2
+       (u_soc_u_dccm_rdata4[13]), .C1 (n_6215), .Y (n_6731));
+  sky130_fd_sc_hd__a221oi_1 g435646(.A1 (n_5688), .A2
+       (u_soc_u_dccm_rdata2[15]), .B1 (n_5682), .B2
+       (u_soc_u_dccm_rdata4[15]), .C1 (n_6214), .Y (n_6729));
+  sky130_fd_sc_hd__a221o_1 g435647(.A1 (n_5666), .A2
+       (u_soc_u_dccm_rdata3[5]), .B1 (n_5665), .B2
+       (u_soc_u_dccm_rdata4[5]), .C1 (n_6221), .X (n_6727));
+  sky130_fd_sc_hd__a221oi_1 g435648(.A1 (n_5688), .A2
+       (u_soc_u_dccm_rdata2[11]), .B1 (n_5682), .B2
+       (u_soc_u_dccm_rdata4[11]), .C1 (n_6216), .Y (n_6726));
+  sky130_fd_sc_hd__a221oi_1 g435649(.A1 (n_5667), .A2
+       (u_soc_u_dccm_rdata2[7]), .B1 (n_5665), .B2
+       (u_soc_u_dccm_rdata4[7]), .C1 (n_6219), .Y (n_6724));
+  sky130_fd_sc_hd__a221oi_1 g435650(.A1 (n_5667), .A2
+       (u_soc_u_dccm_rdata2[6]), .B1 (n_5665), .B2
+       (u_soc_u_dccm_rdata4[6]), .C1 (n_6220), .Y (n_6722));
+  sky130_fd_sc_hd__a221oi_1 g435652(.A1 (n_5688), .A2
+       (u_soc_u_dccm_rdata2[10]), .B1 (n_5682), .B2
+       (u_soc_u_dccm_rdata4[10]), .C1 (n_6217), .Y (n_6720));
+  sky130_fd_sc_hd__a221oi_1 g435653(.A1 (n_5688), .A2
+       (u_soc_u_dccm_rdata2[8]), .B1 (n_5682), .B2
+       (u_soc_u_dccm_rdata4[8]), .C1 (n_6218), .Y (n_6718));
+  sky130_fd_sc_hd__nor2_1 g435655(.A (n_1446), .B (n_5671), .Y
+       (n_6715));
+  sky130_fd_sc_hd__nor2_1 g435658(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6504), .Y
+       (n_6714));
+  sky130_fd_sc_hd__nor2b_1 g435659(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B_N (n_6504), .Y
+       (n_6713));
+  sky130_fd_sc_hd__nor2_1 g435660(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6506), .Y
+       (n_6712));
+  sky130_fd_sc_hd__nor2_1 g435661(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6507), .Y
+       (n_6711));
+  sky130_fd_sc_hd__nor2_1 g435662(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_6508), .Y
+       (n_6710));
+  sky130_fd_sc_hd__a21bo_2 g435663(.A1 (n_5769), .A2 (n_1025), .B1_N
+       (u_soc_u_top_u_core_id_in_ready), .X (n_6709));
+  sky130_fd_sc_hd__inv_1 g435665(.A (n_6486), .Y (n_6544));
+  sky130_fd_sc_hd__inv_1 g435666(.A (n_6355), .Y (n_6543));
+  sky130_fd_sc_hd__inv_1 g435667(.A (n_6353), .Y (n_6542));
+  sky130_fd_sc_hd__inv_1 g435668(.A (n_6352), .Y (n_6541));
+  sky130_fd_sc_hd__inv_1 g435669(.A (n_6351), .Y (n_6540));
+  sky130_fd_sc_hd__inv_1 g435670(.A (n_6350), .Y (n_6539));
+  sky130_fd_sc_hd__inv_1 g435671(.A (n_6349), .Y (n_6538));
+  sky130_fd_sc_hd__inv_1 g435672(.A (n_6348), .Y (n_6537));
+  sky130_fd_sc_hd__inv_1 g435673(.A (n_6347), .Y (n_6536));
+  sky130_fd_sc_hd__inv_1 g435674(.A (n_6345), .Y (n_6535));
+  sky130_fd_sc_hd__inv_1 g435675(.A (n_6344), .Y (n_6534));
+  sky130_fd_sc_hd__inv_1 g435676(.A (n_6343), .Y (n_6533));
+  sky130_fd_sc_hd__inv_1 g435677(.A (n_6342), .Y (n_6532));
+  sky130_fd_sc_hd__inv_1 g435678(.A (n_6341), .Y (n_6531));
+  sky130_fd_sc_hd__inv_1 g435679(.A (n_6340), .Y (n_6530));
+  sky130_fd_sc_hd__inv_1 g435680(.A (n_6339), .Y (n_6529));
+  sky130_fd_sc_hd__inv_1 g435681(.A (n_6338), .Y (n_6528));
+  sky130_fd_sc_hd__inv_1 g435682(.A (n_6337), .Y (n_6527));
+  sky130_fd_sc_hd__inv_1 g435683(.A (n_6336), .Y (n_6526));
+  sky130_fd_sc_hd__inv_1 g435684(.A (n_6335), .Y (n_6525));
+  sky130_fd_sc_hd__inv_1 g435685(.A (n_6334), .Y (n_6524));
+  sky130_fd_sc_hd__inv_1 g435686(.A (n_6333), .Y (n_6523));
+  sky130_fd_sc_hd__inv_1 g435687(.A (n_6332), .Y (n_6522));
+  sky130_fd_sc_hd__inv_1 g435688(.A (n_6331), .Y (n_6521));
+  sky130_fd_sc_hd__inv_1 g435689(.A (n_6330), .Y (n_6520));
+  sky130_fd_sc_hd__inv_1 g435690(.A (n_6329), .Y (n_6519));
+  sky130_fd_sc_hd__inv_1 g435691(.A (n_6328), .Y (n_6518));
+  sky130_fd_sc_hd__inv_1 g435692(.A (n_6327), .Y (n_6517));
+  sky130_fd_sc_hd__inv_1 g435693(.A (n_6325), .Y (n_6516));
+  sky130_fd_sc_hd__inv_1 g435694(.A (n_6324), .Y (n_6515));
+  sky130_fd_sc_hd__inv_1 g435695(.A (n_6323), .Y (n_6514));
+  sky130_fd_sc_hd__inv_1 g435696(.A (n_6322), .Y (n_6513));
+  sky130_fd_sc_hd__inv_1 g435697(.A (n_6321), .Y (n_6512));
+  sky130_fd_sc_hd__inv_1 g435698(.A (n_6320), .Y (n_6511));
+  sky130_fd_sc_hd__inv_1 g435699(.A (n_6319), .Y (n_6510));
+  sky130_fd_sc_hd__inv_1 g435700(.A (n_6318), .Y (n_6509));
+  sky130_fd_sc_hd__inv_1 g435701(.A (n_6502), .Y (n_6501));
+  sky130_fd_sc_hd__inv_2 g435702(.A (n_6495), .Y (n_6494));
+  sky130_fd_sc_hd__inv_1 g435703(.A (n_6490), .Y (n_6489));
+  sky130_fd_sc_hd__a222oi_1 g435704(.A1 (n_13429), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [12]), .C1
+       (\u_soc_xbar_to_dccm[a_address] [12]), .C2 (n_5374), .Y
+       (n_6486));
+  sky130_fd_sc_hd__a22o_1 g435705(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [3]), .X
+       (n_6485));
+  sky130_fd_sc_hd__a22o_1 g435706(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [8]), .X
+       (n_6484));
+  sky130_fd_sc_hd__a22o_1 g435707(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [7]), .X
+       (n_6483));
+  sky130_fd_sc_hd__a22o_1 g435708(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [4]), .X
+       (n_6482));
+  sky130_fd_sc_hd__a22o_1 g435709(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [7]), .X
+       (n_6481));
+  sky130_fd_sc_hd__a22o_1 g435710(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [6]), .X
+       (n_6480));
+  sky130_fd_sc_hd__a22o_1 g435711(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [4]), .X
+       (n_6479));
+  sky130_fd_sc_hd__a22o_1 g435712(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [1]), .X
+       (n_6478));
+  sky130_fd_sc_hd__a22o_1 g435713(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [5]), .X
+       (n_6477));
+  sky130_fd_sc_hd__a22o_1 g435714(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [4]), .X
+       (n_6476));
+  sky130_fd_sc_hd__a22o_1 g435715(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [3]), .X
+       (n_6475));
+  sky130_fd_sc_hd__a22o_1 g435716(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [1]), .X
+       (n_6474));
+  sky130_fd_sc_hd__a22o_1 g435717(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [7]), .X
+       (n_6473));
+  sky130_fd_sc_hd__a22o_1 g435718(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [7]), .X
+       (n_6472));
+  sky130_fd_sc_hd__a22o_1 g435719(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [5]), .X
+       (n_6471));
+  sky130_fd_sc_hd__a22o_1 g435720(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [3]), .X
+       (n_6470));
+  sky130_fd_sc_hd__a22o_1 g435721(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [1]), .X
+       (n_6469));
+  sky130_fd_sc_hd__a22o_1 g435722(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [4]), .X
+       (n_6468));
+  sky130_fd_sc_hd__a22o_1 g435723(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [8]), .X
+       (n_6467));
+  sky130_fd_sc_hd__a22o_1 g435724(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [7]), .X
+       (n_6466));
+  sky130_fd_sc_hd__a22o_1 g435725(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [4]), .X
+       (n_6465));
+  sky130_fd_sc_hd__a22o_1 g435726(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [3]), .X
+       (n_6464));
+  sky130_fd_sc_hd__a22o_1 g435727(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [2]), .X
+       (n_6463));
+  sky130_fd_sc_hd__a22o_1 g435728(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [1]), .X
+       (n_6462));
+  sky130_fd_sc_hd__a22o_1 g435729(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [8]), .X
+       (n_6461));
+  sky130_fd_sc_hd__a22o_1 g435730(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [7]), .X
+       (n_6460));
+  sky130_fd_sc_hd__a22o_1 g435731(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [5]), .X
+       (n_6459));
+  sky130_fd_sc_hd__a22o_1 g435732(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [4]), .X
+       (n_6458));
+  sky130_fd_sc_hd__a22o_1 g435733(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [3]), .X
+       (n_6457));
+  sky130_fd_sc_hd__a22o_1 g435734(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [1]), .X
+       (n_6456));
+  sky130_fd_sc_hd__a22o_1 g435735(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [8]), .X
+       (n_6455));
+  sky130_fd_sc_hd__a22o_1 g435736(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [7]), .X
+       (n_6454));
+  sky130_fd_sc_hd__a22o_1 g435737(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [5]), .X
+       (n_6453));
+  sky130_fd_sc_hd__a22o_1 g435738(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [3]), .X
+       (n_6452));
+  sky130_fd_sc_hd__a22o_1 g435739(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [1]), .X
+       (n_6451));
+  sky130_fd_sc_hd__a22o_1 g435740(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [7]), .X
+       (n_6450));
+  sky130_fd_sc_hd__a22o_1 g435741(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [5]), .X
+       (n_6449));
+  sky130_fd_sc_hd__a22o_1 g435742(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [4]), .X
+       (n_6448));
+  sky130_fd_sc_hd__a22o_1 g435743(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [3]), .X
+       (n_6447));
+  sky130_fd_sc_hd__a22o_1 g435744(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [8]), .X
+       (n_6446));
+  sky130_fd_sc_hd__a22o_1 g435745(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [6]), .X
+       (n_6445));
+  sky130_fd_sc_hd__a22o_1 g435746(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [6]), .X
+       (n_6444));
+  sky130_fd_sc_hd__a22o_1 g435747(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [3]), .X
+       (n_6443));
+  sky130_fd_sc_hd__a22o_1 g435748(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [2]), .X
+       (n_6442));
+  sky130_fd_sc_hd__a22o_1 g435749(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [8]), .X
+       (n_6441));
+  sky130_fd_sc_hd__a22o_1 g435750(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [6]), .X
+       (n_6440));
+  sky130_fd_sc_hd__a22o_1 g435751(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [4]), .X
+       (n_6439));
+  sky130_fd_sc_hd__a22o_1 g435752(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [3]), .X
+       (n_6438));
+  sky130_fd_sc_hd__a22o_1 g435753(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [2]), .X
+       (n_6437));
+  sky130_fd_sc_hd__a22o_1 g435754(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [5]), .X
+       (n_6436));
+  sky130_fd_sc_hd__a22o_1 g435755(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [8]), .X
+       (n_6435));
+  sky130_fd_sc_hd__a22o_1 g435756(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [7]), .X
+       (n_6434));
+  sky130_fd_sc_hd__a22o_1 g435757(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [6]), .X
+       (n_6433));
+  sky130_fd_sc_hd__a22o_1 g435758(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [5]), .X
+       (n_6432));
+  sky130_fd_sc_hd__a22o_1 g435759(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [4]), .X
+       (n_6431));
+  sky130_fd_sc_hd__a22o_1 g435760(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [3]), .X
+       (n_6430));
+  sky130_fd_sc_hd__a22o_1 g435761(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [2]), .X
+       (n_6429));
+  sky130_fd_sc_hd__a22o_1 g435762(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [8]), .X
+       (n_6428));
+  sky130_fd_sc_hd__a22o_1 g435763(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [7]), .X
+       (n_6427));
+  sky130_fd_sc_hd__a22o_1 g435764(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [6]), .X
+       (n_6426));
+  sky130_fd_sc_hd__a22o_1 g435765(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [4]), .X
+       (n_6425));
+  sky130_fd_sc_hd__a22o_1 g435766(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [3]), .X
+       (n_6424));
+  sky130_fd_sc_hd__a22o_1 g435767(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [2]), .X
+       (n_6423));
+  sky130_fd_sc_hd__a22o_1 g435768(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [1]), .X
+       (n_6422));
+  sky130_fd_sc_hd__a22o_1 g435769(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [4]), .X
+       (n_6421));
+  sky130_fd_sc_hd__nand2_1 g435770(.A (n_5876), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [31]), .Y (n_6420));
+  sky130_fd_sc_hd__nand2_1 g435771(.A (n_5992), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [0]), .Y (n_6419));
+  sky130_fd_sc_hd__nand2_1 g435772(.A (n_5948), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [28]), .Y (n_6418));
+  sky130_fd_sc_hd__nand2_1 g435773(.A (n_5982), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [7]), .Y (n_6417));
+  sky130_fd_sc_hd__nand2_1 g435774(.A (n_16007), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [1]), .Y (n_6416));
+  sky130_fd_sc_hd__nand2_1 g435775(.A (n_16007), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [7]), .Y (n_6415));
+  sky130_fd_sc_hd__nand2_1 g435776(.A (n_16007), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [8]), .Y (n_6414));
+  sky130_fd_sc_hd__nand2_1 g435777(.A (n_16007), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [9]), .Y (n_6413));
+  sky130_fd_sc_hd__nand2_1 g435778(.A (n_16007), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [11]), .Y (n_6412));
+  sky130_fd_sc_hd__nand2_1 g435779(.A (n_16007), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [12]), .Y (n_6411));
+  sky130_fd_sc_hd__nand2_1 g435780(.A (n_16007), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [14]), .Y (n_6410));
+  sky130_fd_sc_hd__nand2_1 g435781(.A (n_16007), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [16]), .Y (n_6409));
+  sky130_fd_sc_hd__nand2_1 g435782(.A (n_5959), .B
+       (u_soc_u_dccm_rdata2[24]), .Y (n_6408));
+  sky130_fd_sc_hd__nand2_1 g435783(.A (n_5972), .B
+       (u_soc_u_dccm_rdata1[25]), .Y (n_6407));
+  sky130_fd_sc_hd__nand2_1 g435784(.A (n_5959), .B
+       (u_soc_u_dccm_rdata2[26]), .Y (n_6406));
+  sky130_fd_sc_hd__nand2_1 g435785(.A (n_5959), .B
+       (u_soc_u_dccm_rdata2[28]), .Y (n_6405));
+  sky130_fd_sc_hd__nand2_1 g435786(.A (n_5959), .B
+       (u_soc_u_dccm_rdata2[29]), .Y (n_6404));
+  sky130_fd_sc_hd__nand2_1 g435787(.A (n_5972), .B
+       (u_soc_u_dccm_rdata1[30]), .Y (n_6403));
+  sky130_fd_sc_hd__nand2_1 g435788(.A (n_5972), .B
+       (u_soc_u_dccm_rdata1[31]), .Y (n_6402));
+  sky130_fd_sc_hd__a22o_1 g435790(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [5]), .X
+       (n_6400));
+  sky130_fd_sc_hd__nand2_1 g435791(.A (n_5087), .B (n_5975), .Y
+       (n_6399));
+  sky130_fd_sc_hd__a22o_1 g435792(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [1]), .X
+       (n_6398));
+  sky130_fd_sc_hd__a22o_1 g435793(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [8]), .X
+       (n_6397));
+  sky130_fd_sc_hd__a22o_1 g435794(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [7]), .X
+       (n_6396));
+  sky130_fd_sc_hd__nor2_1 g435795(.A (n_5542), .B (n_1751), .Y
+       (n_6395));
+  sky130_fd_sc_hd__a22o_1 g435796(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [6]), .X
+       (n_6394));
+  sky130_fd_sc_hd__nor2_1 g435797(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .B (n_5777), .Y
+       (n_6393));
+  sky130_fd_sc_hd__a22o_1 g435798(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [3]), .X
+       (n_6392));
+  sky130_fd_sc_hd__a22o_1 g435799(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [2]), .X
+       (n_6391));
+  sky130_fd_sc_hd__a22o_1 g435800(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [8]), .X
+       (n_6390));
+  sky130_fd_sc_hd__nand2_1 g435801(.A (n_6001), .B (n_2413), .Y
+       (n_6389));
+  sky130_fd_sc_hd__a22o_1 g435802(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [7]), .X
+       (n_6388));
+  sky130_fd_sc_hd__a22o_1 g435803(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [6]), .X
+       (n_6387));
+  sky130_fd_sc_hd__a22o_1 g435804(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [5]), .X
+       (n_6386));
+  sky130_fd_sc_hd__a22o_1 g435805(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [3]), .X
+       (n_6385));
+  sky130_fd_sc_hd__a22o_1 g435806(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [2]), .X
+       (n_6384));
+  sky130_fd_sc_hd__a22o_1 g435807(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [6]), .X
+       (n_6383));
+  sky130_fd_sc_hd__a22o_1 g435808(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [4]), .X
+       (n_6382));
+  sky130_fd_sc_hd__nand2_1 g435809(.A (n_5882), .B (n_3066), .Y
+       (n_6381));
+  sky130_fd_sc_hd__nand2_1 g435810(.A (n_5889), .B (n_1181), .Y
+       (n_6380));
+  sky130_fd_sc_hd__nand4_1 g435811(.A (n_3071), .B (n_5092), .C
+       (n_2136), .D (n_2627), .Y (n_6379));
+  sky130_fd_sc_hd__nand4_1 g435812(.A (n_3048), .B (n_5119), .C
+       (n_2136), .D (n_2658), .Y (n_6378));
+  sky130_fd_sc_hd__nand4_1 g435813(.A (n_3073), .B (n_5115), .C
+       (n_2136), .D (n_2651), .Y (n_6377));
+  sky130_fd_sc_hd__nand4_1 g435814(.A (n_5679), .B (n_1533), .C
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [21]), .D
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [4]), .Y (n_6376));
+  sky130_fd_sc_hd__nand4_1 g435815(.A (n_5679), .B (n_1439), .C
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [19]), .D
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [4]), .Y (n_6375));
+  sky130_fd_sc_hd__nand4_1 g435816(.A (n_3051), .B (n_5114), .C
+       (n_2136), .D (n_2649), .Y (n_6374));
+  sky130_fd_sc_hd__nand4_1 g435817(.A (n_3053), .B (n_5113), .C
+       (n_2136), .D (n_2647), .Y (n_6373));
+  sky130_fd_sc_hd__nand4_1 g435818(.A (n_3056), .B (n_5112), .C
+       (n_2136), .D (n_2644), .Y (n_6372));
+  sky130_fd_sc_hd__nand4_1 g435819(.A (n_3058), .B (n_5111), .C
+       (n_2136), .D (n_2642), .Y (n_6371));
+  sky130_fd_sc_hd__nand4_1 g435820(.A (n_3060), .B (n_5109), .C
+       (n_2136), .D (n_2638), .Y (n_6370));
+  sky130_fd_sc_hd__nand4_1 g435821(.A (n_5170), .B (n_5107), .C
+       (n_1794), .D (n_2136), .Y (n_6369));
+  sky130_fd_sc_hd__a21oi_1 g435822(.A1 (n_3141), .A2 (n_1467), .B1
+       (n_5988), .Y (n_6368));
+  sky130_fd_sc_hd__a21oi_1 g435823(.A1 (n_5697), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [3]), .B1 (n_6005), .Y (n_6367));
+  sky130_fd_sc_hd__nand4_1 g435824(.A (n_5096), .B (n_5106), .C
+       (n_2136), .D (n_1973), .Y (n_6366));
+  sky130_fd_sc_hd__nand4_1 g435825(.A (n_3050), .B (n_5104), .C
+       (n_2136), .D (n_2624), .Y (n_6365));
+  sky130_fd_sc_hd__a21o_1 g435826(.A1 (n_1518), .A2
+       (u_soc_u_top_u_core_csr_mepc[7]), .B1 (n_5778), .X (n_6364));
+  sky130_fd_sc_hd__o21ai_1 g435827(.A1 (n_437), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B1 (n_5932), .Y (n_6363));
+  sky130_fd_sc_hd__a22o_1 g435828(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [3]), .X
+       (n_6362));
+  sky130_fd_sc_hd__o211ai_1 g435829(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [1]), .A2 (n_5393), .B1 (n_3088), .C1 (n_5615), .Y (n_6361));
+  sky130_fd_sc_hd__nand4_1 g435830(.A (n_5673), .B (n_1533), .C
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [13]), .D (n_11), .Y
+       (n_6360));
+  sky130_fd_sc_hd__nor4_1 g435831(.A (n_13452), .B (n_5143), .C
+       (n_2419), .D (n_2984), .Y (n_6359));
+  sky130_fd_sc_hd__a22o_1 g435832(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [2]), .X
+       (n_6358));
+  sky130_fd_sc_hd__a221oi_1 g435833(.A1 (n_2244), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]), .B1
+       (n_1884), .B2
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]), .C1
+       (n_5788), .Y (n_6357));
+  sky130_fd_sc_hd__and3_1 g435834(.A (n_5948), .B (n_1525), .C
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [8]), .X (n_6356));
+  sky130_fd_sc_hd__a222oi_1 g435835(.A1 (n_16012), .A2 (n_5374), .B1
+       (n_5376), .B2 (n_13417), .C1 (n_3076), .C2
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [0]), .Y (n_6355));
+  sky130_fd_sc_hd__o21ai_1 g435836(.A1 (n_669), .A2 (n_5375), .B1
+       (n_5774), .Y (n_6354));
+  sky130_fd_sc_hd__a222oi_1 g435837(.A1 (n_13421), .A2 (n_5376), .B1
+       (\u_soc_xbar_to_dccm[a_address] [4]), .B2 (n_5374), .C1
+       (n_3076), .C2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [4]), .Y
+       (n_6353));
+  sky130_fd_sc_hd__a222oi_1 g435838(.A1 (n_13423), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [6]), .C1
+       (\u_soc_xbar_to_dccm[a_address] [6]), .C2 (n_5374), .Y (n_6352));
+  sky130_fd_sc_hd__a222oi_1 g435839(.A1 (n_13424), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [7]), .C1
+       (\u_soc_xbar_to_dccm[a_address] [7]), .C2 (n_5374), .Y (n_6351));
+  sky130_fd_sc_hd__a222oi_1 g435840(.A1 (n_13425), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [8]), .C1
+       (\u_soc_xbar_to_dccm[a_address] [8]), .C2 (n_5374), .Y (n_6350));
+  sky130_fd_sc_hd__a222oi_1 g435841(.A1 (n_13426), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [9]), .C1
+       (\u_soc_xbar_to_dccm[a_address] [9]), .C2 (n_5374), .Y (n_6349));
+  sky130_fd_sc_hd__a222oi_1 g435842(.A1 (n_13427), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [10]), .C1
+       (\u_soc_xbar_to_dccm[a_address] [10]), .C2 (n_5374), .Y
+       (n_6348));
+  sky130_fd_sc_hd__a222oi_1 g435843(.A1 (n_13428), .A2 (n_5376), .B1
+       (\u_soc_xbar_to_dccm[a_address] [11]), .B2 (n_5374), .C1
+       (n_3076), .C2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [11]), .Y
+       (n_6347));
+  sky130_fd_sc_hd__a221oi_1 g435844(.A1 (n_1409), .A2
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[5]), .B1 (n_1214),
+       .B2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[5]), .C1
+       (n_5779), .Y (n_6346));
+  sky130_fd_sc_hd__a222oi_1 g435845(.A1 (n_13430), .A2 (n_5376), .B1
+       (\u_soc_xbar_to_dccm[a_address] [13]), .B2 (n_5374), .C1
+       (n_3076), .C2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [13]), .Y
+       (n_6345));
+  sky130_fd_sc_hd__a222oi_1 g435846(.A1 (n_13431), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [14]), .C1
+       (\u_soc_lsu_to_xbar[a_address] [14]), .C2 (n_5374), .Y (n_6344));
+  sky130_fd_sc_hd__a222oi_1 g435847(.A1 (n_13432), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [15]), .C1
+       (\u_soc_lsu_to_xbar[a_address] [15]), .C2 (n_5374), .Y (n_6343));
+  sky130_fd_sc_hd__a222oi_1 g435848(.A1 (n_13433), .A2 (n_5376), .B1
+       (\u_soc_lsu_to_xbar[a_address] [16]), .B2 (n_5374), .C1
+       (n_3076), .C2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [16]), .Y
+       (n_6342));
+  sky130_fd_sc_hd__a222oi_1 g435849(.A1 (n_13434), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [17]), .C1
+       (\u_soc_lsu_to_xbar[a_address] [17]), .C2 (n_5374), .Y (n_6341));
+  sky130_fd_sc_hd__a222oi_1 g435850(.A1 (n_13435), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [18]), .C1
+       (\u_soc_lsu_to_xbar[a_address] [18]), .C2 (n_5374), .Y (n_6340));
+  sky130_fd_sc_hd__a222oi_1 g435851(.A1 (n_13436), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [19]), .C1
+       (\u_soc_lsu_to_xbar[a_address] [19]), .C2 (n_5374), .Y (n_6339));
+  sky130_fd_sc_hd__a222oi_1 g435852(.A1 (n_13437), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [20]), .C1
+       (\u_soc_lsu_to_xbar[a_address] [20]), .C2 (n_5374), .Y (n_6338));
+  sky130_fd_sc_hd__a222oi_1 g435853(.A1 (n_13438), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [21]), .C1
+       (\u_soc_lsu_to_xbar[a_address] [21]), .C2 (n_5374), .Y (n_6337));
+  sky130_fd_sc_hd__a222oi_1 g435854(.A1 (n_13439), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [22]), .C1
+       (\u_soc_lsu_to_xbar[a_address] [22]), .C2 (n_5374), .Y (n_6336));
+  sky130_fd_sc_hd__a222oi_1 g435855(.A1 (n_13440), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [23]), .C1
+       (\u_soc_lsu_to_xbar[a_address] [23]), .C2 (n_5374), .Y (n_6335));
+  sky130_fd_sc_hd__a222oi_1 g435856(.A1 (n_13441), .A2 (n_5376), .B1
+       (\u_soc_lsu_to_xbar[a_address] [24]), .B2 (n_5374), .C1
+       (n_3076), .C2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [24]), .Y
+       (n_6334));
+  sky130_fd_sc_hd__a222oi_1 g435857(.A1 (n_13442), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [25]), .C1
+       (\u_soc_lsu_to_xbar[a_address] [25]), .C2 (n_5374), .Y (n_6333));
+  sky130_fd_sc_hd__a222oi_1 g435858(.A1 (n_13443), .A2 (n_5376), .B1
+       (\u_soc_lsu_to_xbar[a_address] [26]), .B2 (n_5374), .C1
+       (n_3076), .C2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [26]), .Y
+       (n_6332));
+  sky130_fd_sc_hd__a222oi_1 g435859(.A1 (n_13444), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [27]), .C1
+       (\u_soc_lsu_to_xbar[a_address] [27]), .C2 (n_5374), .Y (n_6331));
+  sky130_fd_sc_hd__a222oi_1 g435860(.A1 (n_13445), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [28]), .C1
+       (\u_soc_lsu_to_xbar[a_address] [28]), .C2 (n_5374), .Y (n_6330));
+  sky130_fd_sc_hd__a222oi_1 g435861(.A1 (n_13446), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [29]), .C1
+       (\u_soc_lsu_to_xbar[a_address] [29]), .C2 (n_5374), .Y (n_6329));
+  sky130_fd_sc_hd__a222oi_1 g435862(.A1 (n_13447), .A2 (n_5376), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [30]), .C1
+       (\u_soc_lsu_to_xbar[a_address] [30]), .C2 (n_5374), .Y (n_6328));
+  sky130_fd_sc_hd__a222oi_1 g435863(.A1 (n_13448), .A2 (n_5376), .B1
+       (\u_soc_lsu_to_xbar[a_address] [31]), .B2 (n_5374), .C1
+       (n_3076), .C2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [31]), .Y
+       (n_6327));
+  sky130_fd_sc_hd__a221oi_1 g435864(.A1 (n_5411), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
+       [0]), .B1 (u_soc_u_top_u_core_pc_set), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
+       [1]), .C1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q
+       [1]), .Y (n_6326));
+  sky130_fd_sc_hd__a222oi_1 g435865(.A1 (n_13531), .A2 (n_5389), .B1
+       (n_5390), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [2]), .C1 (n_3083), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [2]), .Y (n_6325));
+  sky130_fd_sc_hd__a222oi_1 g435866(.A1 (n_13348), .A2 (n_5389), .B1
+       (n_5390), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [3]), .C1 (n_3083), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [3]), .Y (n_6324));
+  sky130_fd_sc_hd__a222oi_1 g435867(.A1 (n_13532), .A2 (n_5389), .B1
+       (n_3083), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [4]), .C1 (n_5390), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [4]), .Y (n_6323));
+  sky130_fd_sc_hd__a222oi_1 g435868(.A1 (n_13349), .A2 (n_5389), .B1
+       (n_5390), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [5]), .C1 (n_3083), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [5]), .Y (n_6322));
+  sky130_fd_sc_hd__a222oi_1 g435869(.A1 (n_13533), .A2 (n_5389), .B1
+       (n_5390), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [6]), .C1 (n_3083), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [6]), .Y (n_6321));
+  sky130_fd_sc_hd__a222oi_1 g435870(.A1 (n_13347), .A2 (n_5389), .B1
+       (n_3083), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [7]), .C1 (n_5390), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [7]), .Y (n_6320));
+  sky130_fd_sc_hd__a222oi_1 g435871(.A1 (n_13527), .A2 (n_5389), .B1
+       (n_3083), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [8]), .C1 (n_5390), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [8]), .Y (n_6319));
+  sky130_fd_sc_hd__a222oi_1 g435872(.A1 (n_13528), .A2 (n_5389), .B1
+       (n_3083), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q
+       [9]), .C1 (n_5390), .C2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [9]), .Y (n_6318));
+  sky130_fd_sc_hd__a222oi_1 g435873(.A1 (n_5458), .A2 (n_3078), .B1
+       (n_3142), .B2 (n_2414), .C1 (n_3077), .C2 (n_5450), .Y (n_6317));
+  sky130_fd_sc_hd__a222oi_1 g435874(.A1 (n_5460), .A2 (n_3078), .B1
+       (n_3077), .B2 (n_5452), .C1 (n_5421), .C2 (n_2414), .Y (n_6316));
+  sky130_fd_sc_hd__a222oi_1 g435875(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [18]), .A2 (n_2008), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [17]), .C1 (n_5382), .C2 (n_5687),
+       .Y (n_6315));
+  sky130_fd_sc_hd__a222oi_1 g435876(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [19]), .A2 (n_2009), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [18]), .C1 (n_5382), .C2 (n_5686),
+       .Y (n_6314));
+  sky130_fd_sc_hd__a222oi_1 g435877(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [28]), .A2 (n_2008), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [27]), .C1 (n_5382), .C2 (n_5684),
+       .Y (n_6313));
+  sky130_fd_sc_hd__o21ai_0 g435878(.A1
+       (u_soc_u_uart_u_uart_core_fifo_read_size[4]), .A2 (n_5709), .B1
+       (n_5989), .Y (n_6312));
+  sky130_fd_sc_hd__a222oi_1 g435879(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [24]), .A2 (n_2008), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [23]), .C1 (n_5677), .C2 (n_2171),
+       .Y (n_6311));
+  sky130_fd_sc_hd__o21ai_1 g435880(.A1 (n_534), .A2 (n_5373), .B1
+       (n_5773), .Y (n_6310));
+  sky130_fd_sc_hd__o21ai_0 g435881(.A1 (n_5373), .A2 (n_555), .B1
+       (n_5771), .Y (n_6309));
+  sky130_fd_sc_hd__o21ai_1 g435882(.A1 (n_5373), .A2 (n_480), .B1
+       (n_5772), .Y (n_6308));
+  sky130_fd_sc_hd__o2bb2ai_1 g435883(.A1_N (n_32), .A2_N (n_3118), .B1
+       (n_3118), .B2 (n_5541), .Y (n_6307));
+  sky130_fd_sc_hd__a222oi_1 g435884(.A1 (n_5457), .A2 (n_3078), .B1
+       (n_3144), .B2 (n_2414), .C1 (n_3077), .C2 (n_5449), .Y (n_6306));
+  sky130_fd_sc_hd__a222oi_1 g435885(.A1 (n_2414), .A2 (n_5427), .B1
+       (n_3078), .B2 (n_5459), .C1 (n_3077), .C2 (n_5451), .Y (n_6305));
+  sky130_fd_sc_hd__a221o_1 g435886(.A1 (n_5647), .A2 (n_13417), .B1
+       (n_2982), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [0]), .C1 (n_5585), .X (n_6304));
+  sky130_fd_sc_hd__mux2i_1 g435887(.A0 (n_5569), .A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .S (n_3129), .Y (n_6303));
+  sky130_fd_sc_hd__a222oi_1 g435888(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [0]), .A2 (n_2282), .B1 (n_13376), .B2 (n_5422), .C1 (n_2283),
+       .C2 (n_1551), .Y (n_6302));
+  sky130_fd_sc_hd__a222oi_1 g435889(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [23]), .A2 (n_2008), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [22]), .C1 (n_5677), .C2 (n_2170),
+       .Y (n_6301));
+  sky130_fd_sc_hd__a32oi_1 g435890(.A1 (n_1938), .A2
+       (u_soc_u_uart_u_uart_core_fifo_read_size[4]), .A3
+       (u_soc_u_uart_u_uart_core_fifo_read_size[5]), .B1 (n_5524), .B2
+       (n_152), .Y (n_6300));
+  sky130_fd_sc_hd__a221oi_1 g435891(.A1 (n_3078), .A2 (n_5461), .B1
+       (n_3077), .B2 (n_5453), .C1 (n_5896), .Y (n_6299));
+  sky130_fd_sc_hd__a222oi_1 g435892(.A1 (n_5462), .A2 (n_3078), .B1
+       (n_3077), .B2 (n_5454), .C1 (n_5705), .C2 (n_2414), .Y (n_6298));
+  sky130_fd_sc_hd__a222oi_1 g435893(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [17]), .A2 (n_2008), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [16]), .C1 (n_5677), .C2 (n_2172),
+       .Y (n_6297));
+  sky130_fd_sc_hd__a222oi_1 g435894(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [10]), .A2 (n_2009), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [9]), .C1 (n_5694), .C2 (n_2175),
+       .Y (n_6296));
+  sky130_fd_sc_hd__a222oi_1 g435895(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [20]), .A2 (n_2008), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [19]), .C1 (n_5677), .C2 (n_2165),
+       .Y (n_6295));
+  sky130_fd_sc_hd__a222oi_1 g435896(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [13]), .A2 (n_2008), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [12]), .C1 (n_5694), .C2 (n_2174),
+       .Y (n_6294));
+  sky130_fd_sc_hd__a222oi_1 g435897(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [21]), .A2 (n_2008), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [20]), .C1 (n_5677), .C2 (n_2164),
+       .Y (n_6293));
+  sky130_fd_sc_hd__a222oi_1 g435898(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [22]), .A2 (n_2008), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [21]), .C1 (n_5677), .C2 (n_2166),
+       .Y (n_6292));
+  sky130_fd_sc_hd__a222oi_1 g435899(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [15]), .A2 (n_2008), .B1 (n_1411), .B2
+       (\u_soc_uart_to_xbar[d_data] [14]), .C1 (n_5694), .C2 (n_2173),
+       .Y (n_6291));
+  sky130_fd_sc_hd__nor2_1 g435909(.A (n_1042), .B (n_5970), .Y
+       (n_6508));
+  sky130_fd_sc_hd__nor2_1 g435910(.A (n_1046), .B (n_5970), .Y
+       (n_6507));
+  sky130_fd_sc_hd__nor2_1 g435915(.A (n_1248), .B (n_5970), .Y
+       (n_6506));
+  sky130_fd_sc_hd__nand2b_1 g435955(.A_N
+       (u_soc_main_swith_host_lsu_num_req_outstanding[4]), .B (n_5408),
+       .Y (n_6505));
+  sky130_fd_sc_hd__nand2_1 g435957(.A (n_5969), .B (n_1262), .Y
+       (n_6504));
+  sky130_fd_sc_hd__nand2_1 g435958(.A (n_5991), .B (n_479), .Y
+       (n_6503));
+  sky130_fd_sc_hd__nand2_1 g435964(.A (n_5947), .B (n_5955), .Y
+       (n_6502));
+  sky130_fd_sc_hd__nor2_1 g435968(.A (n_5983), .B (n_5957), .Y
+       (n_6500));
+  sky130_fd_sc_hd__nor2_1 g435969(.A (n_5983), .B (n_5669), .Y
+       (n_6499));
+  sky130_fd_sc_hd__nor3_1 g435970(.A (n_1924), .B (n_5701), .C
+       (n_1429), .Y (n_6498));
+  sky130_fd_sc_hd__nor2_1 g435971(.A (n_5983), .B (n_5670), .Y
+       (n_6497));
+  sky130_fd_sc_hd__nor2_1 g435972(.A (n_5983), .B (n_5668), .Y
+       (n_6496));
+  sky130_fd_sc_hd__nand2_1 g435977(.A (n_5935), .B (io_out[37]), .Y
+       (n_6495));
+  sky130_fd_sc_hd__o21bai_1 g435979(.A1 (n_1912), .A2 (n_1754), .B1_N
+       (n_5993), .Y (n_6493));
+  sky130_fd_sc_hd__o21bai_1 g435980(.A1 (n_1912), .A2 (n_1755), .B1_N
+       (n_5992), .Y (n_6492));
+  sky130_fd_sc_hd__nor2_1 g435981(.A (n_15933), .B (n_5970), .Y
+       (n_6491));
+  sky130_fd_sc_hd__nor2_1 g435982(.A (n_5358), .B (n_15918), .Y
+       (n_6490));
+  sky130_fd_sc_hd__nor2_1 g435983(.A (n_15929), .B (n_5970), .Y
+       (n_6488));
+  sky130_fd_sc_hd__nor2_1 g435984(.A (n_1436), .B (n_5970), .Y
+       (n_6487));
+  sky130_fd_sc_hd__a22o_1 g435985(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [8]), .X
+       (n_6290));
+  sky130_fd_sc_hd__a22o_1 g435986(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [7]), .X
+       (n_6289));
+  sky130_fd_sc_hd__a22o_1 g435987(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [8]), .X
+       (n_6288));
+  sky130_fd_sc_hd__a22o_1 g435988(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [2]), .X
+       (n_6287));
+  sky130_fd_sc_hd__nand4_1 g435989(.A (n_5099), .B (n_1800), .C
+       (n_2136), .D (n_1512), .Y (n_6286));
+  sky130_fd_sc_hd__a22o_1 g435990(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [3]), .X
+       (n_6285));
+  sky130_fd_sc_hd__a22o_1 g435991(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [4]), .X
+       (n_6284));
+  sky130_fd_sc_hd__a22o_1 g435992(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [8]), .X
+       (n_6283));
+  sky130_fd_sc_hd__a22o_1 g435993(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [7]), .X
+       (n_6282));
+  sky130_fd_sc_hd__a22o_1 g435994(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [1]), .X
+       (n_6281));
+  sky130_fd_sc_hd__a22o_1 g435995(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [3]), .X
+       (n_6280));
+  sky130_fd_sc_hd__a22o_1 g435996(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [4]), .X
+       (n_6279));
+  sky130_fd_sc_hd__a22o_1 g435997(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [5]), .X
+       (n_6278));
+  sky130_fd_sc_hd__a22o_1 g435998(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [7]), .X
+       (n_6277));
+  sky130_fd_sc_hd__a22o_1 g435999(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [1]), .X
+       (n_6276));
+  sky130_fd_sc_hd__a22o_1 g436000(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [3]), .X
+       (n_6275));
+  sky130_fd_sc_hd__a22o_1 g436001(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [5]), .X
+       (n_6274));
+  sky130_fd_sc_hd__a22o_1 g436002(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [7]), .X
+       (n_6273));
+  sky130_fd_sc_hd__a22o_1 g436003(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [2]), .X
+       (n_6272));
+  sky130_fd_sc_hd__a22o_1 g436004(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [5]), .X
+       (n_6271));
+  sky130_fd_sc_hd__a22o_1 g436005(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [5]), .X
+       (n_6270));
+  sky130_fd_sc_hd__a22o_1 g436006(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [6]), .X
+       (n_6269));
+  sky130_fd_sc_hd__a22o_1 g436007(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [4]), .X
+       (n_6268));
+  sky130_fd_sc_hd__a22o_1 g436008(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [8]), .X
+       (n_6267));
+  sky130_fd_sc_hd__a22o_1 g436009(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [5]), .X
+       (n_6266));
+  sky130_fd_sc_hd__a22o_1 g436010(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [8]), .X
+       (n_6265));
+  sky130_fd_sc_hd__a22o_1 g436011(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [7]), .X
+       (n_6264));
+  sky130_fd_sc_hd__a22o_1 g436012(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [6]), .X
+       (n_6263));
+  sky130_fd_sc_hd__a22o_1 g436013(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [2]), .X
+       (n_6262));
+  sky130_fd_sc_hd__nand4_1 g436014(.A (n_5116), .B (n_1796), .C
+       (n_2136), .D (n_1507), .Y (n_6261));
+  sky130_fd_sc_hd__a22o_1 g436015(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [1]), .X
+       (n_6260));
+  sky130_fd_sc_hd__a22o_1 g436016(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [4]), .X
+       (n_6259));
+  sky130_fd_sc_hd__a22o_1 g436017(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [2]), .X
+       (n_6258));
+  sky130_fd_sc_hd__a22oi_1 g436018(.A1 (n_3094), .A2 (n_1422), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [1]), .Y
+       (n_6257));
+  sky130_fd_sc_hd__a22oi_1 g436019(.A1 (n_3091), .A2 (n_1422), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [2]), .Y
+       (n_6256));
+  sky130_fd_sc_hd__a22oi_1 g436020(.A1 (n_3094), .A2 (n_1423), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [3]), .Y
+       (n_6255));
+  sky130_fd_sc_hd__a22oi_1 g436021(.A1 (n_3091), .A2 (n_1423), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [4]), .Y
+       (n_6254));
+  sky130_fd_sc_hd__a22oi_1 g436022(.A1 (n_3094), .A2 (n_1524), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [5]), .Y
+       (n_6253));
+  sky130_fd_sc_hd__a22oi_1 g436023(.A1 (n_3091), .A2 (n_1524), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [6]), .Y
+       (n_6252));
+  sky130_fd_sc_hd__a22oi_1 g436024(.A1 (n_3086), .A2 (n_1525), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [7]), .Y
+       (n_6251));
+  sky130_fd_sc_hd__a22oi_1 g436025(.A1 (n_3087), .A2 (n_1525), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [8]), .Y
+       (n_6250));
+  sky130_fd_sc_hd__a22oi_1 g436026(.A1 (n_3086), .A2 (n_1422), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [9]), .Y
+       (n_6249));
+  sky130_fd_sc_hd__a22o_1 g436027(.A1 (n_3087), .A2 (n_1422), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [10]), .X
+       (n_6248));
+  sky130_fd_sc_hd__a22oi_1 g436028(.A1 (n_3086), .A2 (n_1423), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [11]), .Y
+       (n_6247));
+  sky130_fd_sc_hd__a22oi_1 g436029(.A1 (n_3087), .A2 (n_1423), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [12]), .Y
+       (n_6246));
+  sky130_fd_sc_hd__a22oi_1 g436030(.A1 (n_3086), .A2 (n_1524), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [13]), .Y
+       (n_6245));
+  sky130_fd_sc_hd__a22oi_1 g436031(.A1 (n_3087), .A2 (n_1524), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [14]), .Y
+       (n_6244));
+  sky130_fd_sc_hd__a22oi_1 g436032(.A1 (n_3094), .A2 (n_1522), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [15]), .Y
+       (n_6243));
+  sky130_fd_sc_hd__a22oi_1 g436033(.A1 (n_3091), .A2 (n_1522), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [16]), .Y
+       (n_6242));
+  sky130_fd_sc_hd__a22oi_1 g436034(.A1 (n_3094), .A2 (n_1417), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [17]), .Y
+       (n_6241));
+  sky130_fd_sc_hd__a22oi_1 g436035(.A1 (n_3091), .A2 (n_1417), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [18]), .Y
+       (n_6240));
+  sky130_fd_sc_hd__a22oi_1 g436036(.A1 (n_3094), .A2 (n_1421), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [19]), .Y
+       (n_6239));
+  sky130_fd_sc_hd__a22oi_1 g436037(.A1 (n_3091), .A2 (n_1421), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [20]), .Y
+       (n_6238));
+  sky130_fd_sc_hd__a22oi_1 g436038(.A1 (n_3094), .A2 (n_1523), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [21]), .Y
+       (n_6237));
+  sky130_fd_sc_hd__a22oi_1 g436039(.A1 (n_3091), .A2 (n_1523), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [22]), .Y
+       (n_6236));
+  sky130_fd_sc_hd__a22oi_1 g436040(.A1 (n_3086), .A2 (n_1522), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [23]), .Y
+       (n_6235));
+  sky130_fd_sc_hd__a22oi_1 g436041(.A1 (n_3087), .A2 (n_1522), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [24]), .Y
+       (n_6234));
+  sky130_fd_sc_hd__a22oi_1 g436042(.A1 (n_3086), .A2 (n_1417), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [25]), .Y
+       (n_6233));
+  sky130_fd_sc_hd__a22oi_1 g436043(.A1 (n_3087), .A2 (n_1417), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [26]), .Y
+       (n_6232));
+  sky130_fd_sc_hd__a22oi_1 g436044(.A1 (n_3086), .A2 (n_1421), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [27]), .Y
+       (n_6231));
+  sky130_fd_sc_hd__a22oi_1 g436045(.A1 (n_3087), .A2 (n_1421), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [28]), .Y
+       (n_6230));
+  sky130_fd_sc_hd__a22oi_1 g436046(.A1 (n_3086), .A2 (n_1523), .B1
+       (n_5663), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [29]), .Y
+       (n_6229));
+  sky130_fd_sc_hd__a22oi_1 g436047(.A1 (n_3087), .A2 (n_1523), .B1
+       (n_5699), .B2 (n_1563), .Y (n_6228));
+  sky130_fd_sc_hd__a22oi_1 g436048(.A1 (n_5384), .A2 (n_5705), .B1
+       (n_1745), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [5]), .Y
+       (n_6227));
+  sky130_fd_sc_hd__a22o_1 g436049(.A1 (n_5664), .A2
+       (u_soc_u_dccm_rdata1[0]), .B1 (n_5666), .B2
+       (u_soc_u_dccm_rdata3[0]), .X (n_6226));
+  sky130_fd_sc_hd__a22o_1 g436050(.A1 (n_5664), .A2
+       (u_soc_u_dccm_rdata1[1]), .B1 (n_5667), .B2
+       (u_soc_u_dccm_rdata2[1]), .X (n_6225));
+  sky130_fd_sc_hd__a22o_1 g436051(.A1 (n_5664), .A2
+       (u_soc_u_dccm_rdata1[2]), .B1 (n_5667), .B2
+       (u_soc_u_dccm_rdata2[2]), .X (n_6224));
+  sky130_fd_sc_hd__a22o_1 g436052(.A1 (n_5664), .A2
+       (u_soc_u_dccm_rdata1[3]), .B1 (n_5667), .B2
+       (u_soc_u_dccm_rdata2[3]), .X (n_6223));
+  sky130_fd_sc_hd__a22o_1 g436053(.A1 (n_5664), .A2
+       (u_soc_u_dccm_rdata1[4]), .B1 (n_5667), .B2
+       (u_soc_u_dccm_rdata2[4]), .X (n_6222));
+  sky130_fd_sc_hd__a22o_1 g436054(.A1 (n_5664), .A2
+       (u_soc_u_dccm_rdata1[5]), .B1 (n_5667), .B2
+       (u_soc_u_dccm_rdata2[5]), .X (n_6221));
+  sky130_fd_sc_hd__a22o_1 g436055(.A1 (n_5664), .A2
+       (u_soc_u_dccm_rdata1[6]), .B1 (n_5666), .B2
+       (u_soc_u_dccm_rdata3[6]), .X (n_6220));
+  sky130_fd_sc_hd__a22o_1 g436056(.A1 (n_5664), .A2
+       (u_soc_u_dccm_rdata1[7]), .B1 (n_5666), .B2
+       (u_soc_u_dccm_rdata3[7]), .X (n_6219));
+  sky130_fd_sc_hd__a22o_1 g436057(.A1 (n_5685), .A2
+       (u_soc_u_dccm_rdata1[8]), .B1 (n_5683), .B2
+       (u_soc_u_dccm_rdata3[8]), .X (n_6218));
+  sky130_fd_sc_hd__a22o_1 g436058(.A1 (n_5685), .A2
+       (u_soc_u_dccm_rdata1[10]), .B1 (n_5683), .B2
+       (u_soc_u_dccm_rdata3[10]), .X (n_6217));
+  sky130_fd_sc_hd__a22o_1 g436059(.A1 (n_5685), .A2
+       (u_soc_u_dccm_rdata1[11]), .B1 (n_5683), .B2
+       (u_soc_u_dccm_rdata3[11]), .X (n_6216));
+  sky130_fd_sc_hd__a22o_1 g436060(.A1 (n_5685), .A2
+       (u_soc_u_dccm_rdata1[13]), .B1 (n_5683), .B2
+       (u_soc_u_dccm_rdata3[13]), .X (n_6215));
+  sky130_fd_sc_hd__a22o_1 g436061(.A1 (n_5685), .A2
+       (u_soc_u_dccm_rdata1[15]), .B1 (n_5683), .B2
+       (u_soc_u_dccm_rdata3[15]), .X (n_6214));
+  sky130_fd_sc_hd__a22oi_1 g436062(.A1 (n_5647), .A2 (n_13419), .B1
+       (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [1]), .Y (n_6213));
+  sky130_fd_sc_hd__a22oi_1 g436063(.A1 (n_5651), .A2 (n_13419), .B1
+       (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [2]), .Y (n_6212));
+  sky130_fd_sc_hd__a22oi_1 g436064(.A1 (n_5647), .A2 (n_13421), .B1
+       (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [3]), .Y (n_6211));
+  sky130_fd_sc_hd__a22oi_1 g436065(.A1 (n_5651), .A2 (n_13421), .B1
+       (n_5647), .B2 (n_13422), .Y (n_6210));
+  sky130_fd_sc_hd__a22oi_1 g436066(.A1 (n_2982), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [6]), .B1 (n_5651), .B2 (n_13422), .Y (n_6209));
+  sky130_fd_sc_hd__a22oi_1 g436067(.A1 (n_5651), .A2 (n_13423), .B1
+       (n_5647), .B2 (n_13424), .Y (n_6208));
+  sky130_fd_sc_hd__a22oi_1 g436068(.A1 (n_5647), .A2 (n_13425), .B1
+       (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [7]), .Y (n_6207));
+  sky130_fd_sc_hd__a22oi_1 g436069(.A1 (n_2982), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [10]), .B1 (n_5651), .B2 (n_13426), .Y (n_6206));
+  sky130_fd_sc_hd__a22oi_1 g436070(.A1 (n_5651), .A2 (n_13427), .B1
+       (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [10]), .Y (n_6205));
+  sky130_fd_sc_hd__a22oi_1 g436071(.A1 (n_2982), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [12]), .B1 (n_5651), .B2 (n_13428), .Y (n_6204));
+  sky130_fd_sc_hd__a22oi_1 g436072(.A1 (n_5651), .A2 (n_13429), .B1
+       (n_5647), .B2 (n_13430), .Y (n_6203));
+  sky130_fd_sc_hd__a22oi_1 g436073(.A1 (n_5647), .A2 (n_13431), .B1
+       (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [13]), .Y (n_6202));
+  sky130_fd_sc_hd__a22oi_1 g436074(.A1 (n_5651), .A2 (n_13431), .B1
+       (n_5647), .B2 (n_13432), .Y (n_6201));
+  sky130_fd_sc_hd__a22oi_1 g436075(.A1 (n_5647), .A2 (n_13433), .B1
+       (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [15]), .Y (n_6200));
+  sky130_fd_sc_hd__a22oi_1 g436076(.A1 (n_5651), .A2 (n_13433), .B1
+       (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [16]), .Y (n_6199));
+  sky130_fd_sc_hd__a22oi_1 g436077(.A1 (n_2982), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [18]), .B1 (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [17]), .Y (n_6198));
+  sky130_fd_sc_hd__a22oi_1 g436078(.A1 (n_5651), .A2 (n_13435), .B1
+       (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [18]), .Y (n_6197));
+  sky130_fd_sc_hd__a22oi_1 g436079(.A1 (n_5651), .A2 (n_13436), .B1
+       (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [19]), .Y (n_6196));
+  sky130_fd_sc_hd__a22oi_1 g436080(.A1 (n_5651), .A2 (n_13437), .B1
+       (n_5647), .B2 (n_13438), .Y (n_6195));
+  sky130_fd_sc_hd__a22oi_1 g436081(.A1 (n_5651), .A2 (n_13438), .B1
+       (n_5647), .B2 (n_13439), .Y (n_6194));
+  sky130_fd_sc_hd__a22oi_1 g436082(.A1 (n_5651), .A2 (n_13439), .B1
+       (n_5647), .B2 (n_13440), .Y (n_6193));
+  sky130_fd_sc_hd__a22oi_1 g436083(.A1 (n_5647), .A2 (n_13441), .B1
+       (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [23]), .Y (n_6192));
+  sky130_fd_sc_hd__a22oi_1 g436084(.A1 (n_5651), .A2 (n_13441), .B1
+       (n_5647), .B2 (n_13442), .Y (n_6191));
+  sky130_fd_sc_hd__a22oi_1 g436085(.A1 (n_5647), .A2 (n_13443), .B1
+       (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [25]), .Y (n_6190));
+  sky130_fd_sc_hd__a22oi_1 g436086(.A1 (n_2982), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [27]), .B1 (n_5651), .B2 (n_13443), .Y (n_6189));
+  sky130_fd_sc_hd__a22oi_1 g436087(.A1 (n_5651), .A2 (n_13444), .B1
+       (n_5647), .B2 (n_13445), .Y (n_6188));
+  sky130_fd_sc_hd__a22oi_1 g436088(.A1 (n_5651), .A2 (n_13445), .B1
+       (n_5647), .B2 (n_13446), .Y (n_6187));
+  sky130_fd_sc_hd__a22oi_1 g436089(.A1 (n_5647), .A2 (n_13447), .B1
+       (n_5649), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [29]), .Y (n_6186));
+  sky130_fd_sc_hd__a22oi_1 g436090(.A1 (n_5651), .A2 (n_13447), .B1
+       (n_5647), .B2 (n_13448), .Y (n_6185));
+  sky130_fd_sc_hd__o2bb2ai_1 g436091(.A1_N
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [31]), .A2_N (n_5649), .B1 (n_1245), .B2 (n_5646), .Y (n_6184));
+  sky130_fd_sc_hd__o2bb2ai_1 g436092(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .A2_N (n_3137), .B1
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .B2 (n_3137), .Y (n_6183));
+  sky130_fd_sc_hd__o2bb2ai_1 g436093(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [3]), .A2_N (n_2981), .B1 (n_1771), .B2 (n_5676), .Y (n_6182));
+  sky130_fd_sc_hd__o2bb2ai_1 g436094(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [4]), .A2_N (n_2981), .B1 (n_1767), .B2 (n_5676), .Y (n_6181));
+  sky130_fd_sc_hd__o2bb2ai_1 g436095(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [5]), .A2_N (n_2981), .B1 (n_1775), .B2 (n_5676), .Y (n_6180));
+  sky130_fd_sc_hd__o2bb2ai_1 g436096(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [6]), .A2_N (n_2981), .B1 (n_1773), .B2 (n_5676), .Y (n_6179));
+  sky130_fd_sc_hd__o2bb2ai_1 g436097(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [7]), .A2_N (n_2981), .B1 (n_1769), .B2 (n_5676), .Y (n_6178));
+  sky130_fd_sc_hd__o2bb2ai_1 g436098(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [8]), .A2_N (n_2981), .B1 (n_1766), .B2 (n_5676), .Y (n_6177));
+  sky130_fd_sc_hd__a22o_1 g436099(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [8]), .X
+       (n_6176));
+  sky130_fd_sc_hd__a22o_1 g436100(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [7]), .X
+       (n_6175));
+  sky130_fd_sc_hd__a22o_1 g436101(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [5]), .X
+       (n_6174));
+  sky130_fd_sc_hd__o2bb2ai_1 g436102(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [19]), .A2_N (n_2981), .B1 (n_1763), .B2 (n_5675), .Y (n_6173));
+  sky130_fd_sc_hd__o2bb2ai_1 g436103(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [20]), .A2_N (n_2981), .B1 (n_1772), .B2 (n_5675), .Y (n_6172));
+  sky130_fd_sc_hd__a22o_1 g436104(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [6]), .X
+       (n_6171));
+  sky130_fd_sc_hd__a22o_1 g436105(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [6]), .X
+       (n_6170));
+  sky130_fd_sc_hd__o2bb2ai_1 g436106(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [21]), .A2_N (n_2981), .B1 (n_1774), .B2 (n_5675), .Y (n_6169));
+  sky130_fd_sc_hd__o2bb2ai_1 g436107(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [22]), .A2_N (n_2981), .B1 (n_1770), .B2 (n_5675), .Y (n_6168));
+  sky130_fd_sc_hd__o2bb2ai_1 g436108(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [23]), .A2_N (n_2981), .B1 (n_1765), .B2 (n_5675), .Y (n_6167));
+  sky130_fd_sc_hd__o2bb2ai_1 g436109(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [24]), .A2_N (n_2981), .B1 (n_1764), .B2 (n_5675), .Y (n_6166));
+  sky130_fd_sc_hd__a22o_1 g436110(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [7]), .X
+       (n_6165));
+  sky130_fd_sc_hd__a22o_1 g436111(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [8]), .X
+       (n_6164));
+  sky130_fd_sc_hd__a22o_1 g436112(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [2]), .X
+       (n_6163));
+  sky130_fd_sc_hd__a22o_1 g436113(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [5]), .X
+       (n_6162));
+  sky130_fd_sc_hd__a22o_1 g436114(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [4]), .X
+       (n_6161));
+  sky130_fd_sc_hd__a22o_1 g436115(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [8]), .X
+       (n_6160));
+  sky130_fd_sc_hd__a22o_1 g436116(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [6]), .X
+       (n_6159));
+  sky130_fd_sc_hd__a22o_1 g436117(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [2]), .X
+       (n_6158));
+  sky130_fd_sc_hd__a22o_1 g436118(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [5]), .X
+       (n_6157));
+  sky130_fd_sc_hd__o2bb2ai_1 g436119(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [4]), .A2_N (n_2980), .B1 (n_1767), .B2 (n_5681), .Y (n_6156));
+  sky130_fd_sc_hd__o2bb2ai_1 g436120(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [3]), .A2_N (n_2980), .B1 (n_1771), .B2 (n_5681), .Y (n_6155));
+  sky130_fd_sc_hd__o2bb2ai_1 g436121(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [5]), .A2_N (n_2980), .B1 (n_1775), .B2 (n_5681), .Y (n_6154));
+  sky130_fd_sc_hd__o2bb2ai_1 g436122(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [7]), .A2_N (n_2980), .B1 (n_1769), .B2 (n_5681), .Y (n_6153));
+  sky130_fd_sc_hd__o2bb2ai_1 g436123(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [6]), .A2_N (n_2980), .B1 (n_1773), .B2 (n_5681), .Y (n_6152));
+  sky130_fd_sc_hd__o2bb2ai_1 g436124(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [8]), .A2_N (n_2980), .B1 (n_1766), .B2 (n_5681), .Y (n_6151));
+  sky130_fd_sc_hd__a22o_1 g436125(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [4]), .X
+       (n_6150));
+  sky130_fd_sc_hd__a22o_1 g436126(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [2]), .X
+       (n_6149));
+  sky130_fd_sc_hd__a22o_1 g436127(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [1]), .X
+       (n_6148));
+  sky130_fd_sc_hd__a22o_1 g436128(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [8]), .X
+       (n_6147));
+  sky130_fd_sc_hd__a22o_1 g436129(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [1]), .X
+       (n_6146));
+  sky130_fd_sc_hd__a22o_1 g436130(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [1]), .X
+       (n_6145));
+  sky130_fd_sc_hd__o2bb2ai_1 g436131(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [19]), .A2_N (n_2980), .B1 (n_1763), .B2 (n_5672), .Y (n_6144));
+  sky130_fd_sc_hd__o2bb2ai_1 g436132(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [20]), .A2_N (n_2980), .B1 (n_1772), .B2 (n_5672), .Y (n_6143));
+  sky130_fd_sc_hd__o2bb2ai_1 g436133(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [21]), .A2_N (n_2980), .B1 (n_1774), .B2 (n_5672), .Y (n_6142));
+  sky130_fd_sc_hd__o2bb2ai_1 g436134(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [22]), .A2_N (n_2980), .B1 (n_1770), .B2 (n_5672), .Y (n_6141));
+  sky130_fd_sc_hd__a22o_1 g436135(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [6]), .X
+       (n_6140));
+  sky130_fd_sc_hd__o2bb2ai_1 g436136(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [23]), .A2_N (n_2980), .B1 (n_1765), .B2 (n_5672), .Y (n_6139));
+  sky130_fd_sc_hd__a22o_1 g436137(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [1]), .X
+       (n_6138));
+  sky130_fd_sc_hd__a22o_1 g436138(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [5]), .X
+       (n_6137));
+  sky130_fd_sc_hd__o2bb2ai_1 g436139(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [24]), .A2_N (n_2980), .B1 (n_1764), .B2 (n_5672), .Y (n_6136));
+  sky130_fd_sc_hd__a22o_1 g436140(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [6]), .X
+       (n_6135));
+  sky130_fd_sc_hd__a22o_1 g436141(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [5]), .X
+       (n_6134));
+  sky130_fd_sc_hd__a22o_1 g436142(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [2]), .X
+       (n_6133));
+  sky130_fd_sc_hd__a22o_1 g436143(.A1 (n_5368), .A2
+       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_5660), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [1]), .X
+       (n_6132));
+  sky130_fd_sc_hd__a22o_1 g436144(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [2]), .X
+       (n_6131));
+  sky130_fd_sc_hd__a22o_1 g436145(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [1]), .X
+       (n_6130));
+  sky130_fd_sc_hd__a22o_1 g436146(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [4]), .X
+       (n_6129));
+  sky130_fd_sc_hd__a22o_1 g436147(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [1]), .X
+       (n_6128));
+  sky130_fd_sc_hd__a22o_1 g436148(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [1]), .X
+       (n_6127));
+  sky130_fd_sc_hd__a221o_1 g436149(.A1 (n_2246), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [11]), .B1 (n_1513), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[11]), .C1
+       (n_5596), .X (n_6126));
+  sky130_fd_sc_hd__a22o_1 g436150(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [6]), .X
+       (n_6125));
+  sky130_fd_sc_hd__a22o_1 g436151(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [4]), .X
+       (n_6124));
+  sky130_fd_sc_hd__a22o_1 g436152(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [7]), .X
+       (n_6123));
+  sky130_fd_sc_hd__a22o_1 g436153(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [8]), .X
+       (n_6122));
+  sky130_fd_sc_hd__a22o_1 g436154(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [5]), .X
+       (n_6121));
+  sky130_fd_sc_hd__a22o_1 g436155(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [8]), .X
+       (n_6120));
+  sky130_fd_sc_hd__a22o_1 g436156(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [7]), .X
+       (n_6119));
+  sky130_fd_sc_hd__a22o_1 g436157(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [5]), .X
+       (n_6118));
+  sky130_fd_sc_hd__a22o_1 g436158(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [6]), .X
+       (n_6117));
+  sky130_fd_sc_hd__a22o_1 g436159(.A1 (n_5371), .A2
+       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_5656), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [5]), .X
+       (n_6116));
+  sky130_fd_sc_hd__a22o_1 g436160(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [5]), .X
+       (n_6115));
+  sky130_fd_sc_hd__a22o_1 g436161(.A1 (n_5369), .A2
+       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_5658), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [5]), .X
+       (n_6114));
+  sky130_fd_sc_hd__a22o_1 g436162(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [5]), .X
+       (n_6113));
+  sky130_fd_sc_hd__a22o_1 g436163(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [2]), .X
+       (n_6112));
+  sky130_fd_sc_hd__a22o_1 g436164(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [3]), .X
+       (n_6111));
+  sky130_fd_sc_hd__a22o_1 g436165(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [1]), .X
+       (n_6110));
+  sky130_fd_sc_hd__a22o_1 g436166(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [1]), .X
+       (n_6109));
+  sky130_fd_sc_hd__a22o_1 g436167(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [20]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [5]), .X
+       (n_6108));
+  sky130_fd_sc_hd__a22o_1 g436168(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [3]), .X
+       (n_6107));
+  sky130_fd_sc_hd__a22o_1 g436169(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [2]), .X
+       (n_6106));
+  sky130_fd_sc_hd__a22o_1 g436170(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [1]), .X
+       (n_6105));
+  sky130_fd_sc_hd__a22o_1 g436171(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [2]), .X
+       (n_6104));
+  sky130_fd_sc_hd__a22o_1 g436172(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [3]), .X
+       (n_6103));
+  sky130_fd_sc_hd__a22o_1 g436173(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [4]), .X
+       (n_6102));
+  sky130_fd_sc_hd__a22o_1 g436174(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [7]), .X
+       (n_6101));
+  sky130_fd_sc_hd__a22o_1 g436175(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [1]), .X
+       (n_6100));
+  sky130_fd_sc_hd__a22o_1 g436176(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [2]), .X
+       (n_6099));
+  sky130_fd_sc_hd__a22o_1 g436177(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [3]), .X
+       (n_6098));
+  sky130_fd_sc_hd__a22o_1 g436178(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [4]), .X
+       (n_6097));
+  sky130_fd_sc_hd__a22o_1 g436179(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [12]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [5]), .X
+       (n_6096));
+  sky130_fd_sc_hd__a22o_1 g436180(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [6]), .X
+       (n_6095));
+  sky130_fd_sc_hd__a22o_1 g436181(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [7]), .X
+       (n_6094));
+  sky130_fd_sc_hd__a22o_1 g436182(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [8]), .X
+       (n_6093));
+  sky130_fd_sc_hd__a22o_1 g436183(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [1]), .X
+       (n_6092));
+  sky130_fd_sc_hd__a22o_1 g436184(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [2]), .X
+       (n_6091));
+  sky130_fd_sc_hd__a22o_1 g436185(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [3]), .X
+       (n_6090));
+  sky130_fd_sc_hd__a22o_1 g436186(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [1]), .X
+       (n_6089));
+  sky130_fd_sc_hd__a22o_1 g436187(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [6]), .X
+       (n_6088));
+  sky130_fd_sc_hd__a22o_1 g436188(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [7]), .X
+       (n_6087));
+  sky130_fd_sc_hd__a22o_1 g436189(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [8]), .X
+       (n_6086));
+  sky130_fd_sc_hd__a22o_1 g436190(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [2]), .X
+       (n_6085));
+  sky130_fd_sc_hd__a22o_1 g436191(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [3]), .X
+       (n_6084));
+  sky130_fd_sc_hd__a22o_1 g436192(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [4]), .X
+       (n_6083));
+  sky130_fd_sc_hd__a22o_1 g436193(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [5]), .X
+       (n_6082));
+  sky130_fd_sc_hd__a22o_1 g436194(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [6]), .X
+       (n_6081));
+  sky130_fd_sc_hd__a22o_1 g436195(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [7]), .X
+       (n_6080));
+  sky130_fd_sc_hd__a22o_1 g436196(.A1 (n_5653), .A2
+       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_5657), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [8]), .X
+       (n_6079));
+  sky130_fd_sc_hd__a22o_1 g436197(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [8]), .X
+       (n_6078));
+  sky130_fd_sc_hd__a22o_1 g436198(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [1]), .X
+       (n_6077));
+  sky130_fd_sc_hd__a22o_1 g436199(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [2]), .X
+       (n_6076));
+  sky130_fd_sc_hd__a22o_1 g436200(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [3]), .X
+       (n_6075));
+  sky130_fd_sc_hd__a22o_1 g436201(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [4]), .X
+       (n_6074));
+  sky130_fd_sc_hd__a22o_1 g436202(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [5]), .X
+       (n_6073));
+  sky130_fd_sc_hd__a22o_1 g436203(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [6]), .X
+       (n_6072));
+  sky130_fd_sc_hd__a22o_1 g436204(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [7]), .X
+       (n_6071));
+  sky130_fd_sc_hd__a22o_1 g436205(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [6]), .X
+       (n_6070));
+  sky130_fd_sc_hd__a22o_1 g436206(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [8]), .X
+       (n_6069));
+  sky130_fd_sc_hd__a22o_1 g436207(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [8]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [1]), .X
+       (n_6068));
+  sky130_fd_sc_hd__a22o_1 g436208(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [2]), .X
+       (n_6067));
+  sky130_fd_sc_hd__a22o_1 g436209(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [3]), .X
+       (n_6066));
+  sky130_fd_sc_hd__a22o_1 g436210(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [4]), .X
+       (n_6065));
+  sky130_fd_sc_hd__a22o_1 g436211(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [6]), .X
+       (n_6064));
+  sky130_fd_sc_hd__a22o_1 g436212(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [7]), .X
+       (n_6063));
+  sky130_fd_sc_hd__a22o_1 g436213(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [2]), .X
+       (n_6062));
+  sky130_fd_sc_hd__a22o_1 g436214(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [3]), .X
+       (n_6061));
+  sky130_fd_sc_hd__a22o_1 g436215(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [4]), .X
+       (n_6060));
+  sky130_fd_sc_hd__a22o_1 g436216(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [6]), .X
+       (n_6059));
+  sky130_fd_sc_hd__a22o_1 g436217(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [7]), .X
+       (n_6058));
+  sky130_fd_sc_hd__a22o_1 g436218(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [8]), .X
+       (n_6057));
+  sky130_fd_sc_hd__a22o_1 g436219(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [1]), .X
+       (n_6056));
+  sky130_fd_sc_hd__a22o_1 g436220(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [2]), .X
+       (n_6055));
+  sky130_fd_sc_hd__a22o_1 g436221(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [3]), .X
+       (n_6054));
+  sky130_fd_sc_hd__a22o_1 g436222(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [4]), .X
+       (n_6053));
+  sky130_fd_sc_hd__a22o_1 g436223(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [28]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [5]), .X
+       (n_6052));
+  sky130_fd_sc_hd__a22o_1 g436224(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [6]), .X
+       (n_6051));
+  sky130_fd_sc_hd__a22o_1 g436225(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [30]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [7]), .X
+       (n_6050));
+  sky130_fd_sc_hd__a22o_1 g436226(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [8]), .X
+       (n_6049));
+  sky130_fd_sc_hd__a22o_1 g436227(.A1 (n_5661), .A2
+       (\u_soc_xbar_to_dccm[a_data] [16]), .B1 (n_5655), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [1]), .X
+       (n_6048));
+  sky130_fd_sc_hd__a22o_1 g436228(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [1]), .X
+       (n_6047));
+  sky130_fd_sc_hd__a22o_1 g436229(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [2]), .X
+       (n_6046));
+  sky130_fd_sc_hd__a22o_1 g436230(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [3]), .X
+       (n_6045));
+  sky130_fd_sc_hd__a22o_1 g436231(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [4]), .X
+       (n_6044));
+  sky130_fd_sc_hd__a22o_1 g436232(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [5]), .X
+       (n_6043));
+  sky130_fd_sc_hd__a22o_1 g436233(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [6]), .X
+       (n_6042));
+  sky130_fd_sc_hd__a22o_1 g436234(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [6]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [7]), .X
+       (n_6041));
+  sky130_fd_sc_hd__a22o_1 g436235(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [7]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [8]), .X
+       (n_6040));
+  sky130_fd_sc_hd__a22o_1 g436236(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [9]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [2]), .X
+       (n_6039));
+  sky130_fd_sc_hd__a22o_1 g436237(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [10]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [3]), .X
+       (n_6038));
+  sky130_fd_sc_hd__a22o_1 g436238(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [11]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [4]), .X
+       (n_6037));
+  sky130_fd_sc_hd__a22o_1 g436239(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [13]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [6]), .X
+       (n_6036));
+  sky130_fd_sc_hd__a22o_1 g436240(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [14]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [7]), .X
+       (n_6035));
+  sky130_fd_sc_hd__a22o_1 g436241(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [15]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [8]), .X
+       (n_6034));
+  sky130_fd_sc_hd__a22o_1 g436242(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [17]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [2]), .X
+       (n_6033));
+  sky130_fd_sc_hd__a22o_1 g436243(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [18]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [3]), .X
+       (n_6032));
+  sky130_fd_sc_hd__a22o_1 g436244(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [19]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [4]), .X
+       (n_6031));
+  sky130_fd_sc_hd__a22o_1 g436245(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [21]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [6]), .X
+       (n_6030));
+  sky130_fd_sc_hd__a22o_1 g436246(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [22]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [7]), .X
+       (n_6029));
+  sky130_fd_sc_hd__a22o_1 g436247(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [23]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [8]), .X
+       (n_6028));
+  sky130_fd_sc_hd__a22o_1 g436248(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [24]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [1]), .X
+       (n_6027));
+  sky130_fd_sc_hd__a22o_1 g436249(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [25]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [2]), .X
+       (n_6026));
+  sky130_fd_sc_hd__a22o_1 g436250(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [26]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [3]), .X
+       (n_6025));
+  sky130_fd_sc_hd__a22o_1 g436251(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [27]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [4]), .X
+       (n_6024));
+  sky130_fd_sc_hd__a22o_1 g436252(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [29]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [6]), .X
+       (n_6023));
+  sky130_fd_sc_hd__a22o_1 g436253(.A1 (n_5372), .A2
+       (\u_soc_xbar_to_dccm[a_data] [31]), .B1 (n_5662), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [8]), .X
+       (n_6022));
+  sky130_fd_sc_hd__a22o_1 g436254(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [1]), .X
+       (n_6021));
+  sky130_fd_sc_hd__a22o_1 g436255(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [1]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [2]), .X
+       (n_6020));
+  sky130_fd_sc_hd__a22o_1 g436256(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [2]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [3]), .X
+       (n_6019));
+  sky130_fd_sc_hd__a22o_1 g436257(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [3]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [4]), .X
+       (n_6018));
+  sky130_fd_sc_hd__a22o_1 g436258(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [4]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [5]), .X
+       (n_6017));
+  sky130_fd_sc_hd__a22o_1 g436259(.A1 (n_5367), .A2
+       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_5659), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [6]), .X
+       (n_6016));
+  sky130_fd_sc_hd__a22o_1 g436260(.A1 (n_5370), .A2
+       (\u_soc_xbar_to_dccm[a_data] [5]), .B1 (n_5654), .B2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [6]), .X
+       (n_6015));
+  sky130_fd_sc_hd__o2bb2ai_1 g436261(.A1_N
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q
+       ), .A2_N (n_5702), .B1 (n_5702), .B2 (n_13376), .Y (n_6014));
+  sky130_fd_sc_hd__a222oi_1 g436262(.A1 (n_3077), .A2 (n_5433), .B1
+       (n_5385), .B2 (n_2401), .C1 (n_5387), .C2 (n_2561), .Y (n_6013));
+  sky130_fd_sc_hd__o221ai_1 g436263(.A1 (n_2582), .A2 (n_5388), .B1
+       (n_2584), .B2 (n_5386), .C1 (n_5630), .Y (n_6012));
+  sky130_fd_sc_hd__a222oi_1 g436264(.A1 (n_5461), .A2 (n_3077), .B1
+       (n_5385), .B2 (n_2367), .C1 (n_5387), .C2 (n_2567), .Y (n_6011));
+  sky130_fd_sc_hd__a222oi_1 g436265(.A1 (n_5462), .A2 (n_3077), .B1
+       (n_5385), .B2 (n_2365), .C1 (n_5387), .C2 (n_2566), .Y (n_6010));
+  sky130_fd_sc_hd__inv_2 g436267(.A (n_6007), .Y (n_6008));
+  sky130_fd_sc_hd__inv_2 g436268(.A (n_6003), .Y (n_6004));
+  sky130_fd_sc_hd__inv_2 g436269(.A (n_5999), .Y (n_6000));
+  sky130_fd_sc_hd__inv_2 g436270(.A (n_5997), .Y (n_5998));
+  sky130_fd_sc_hd__inv_2 g436271(.A (n_5995), .Y (n_5996));
+  sky130_fd_sc_hd__inv_1 g436272(.A (n_5974), .Y (n_5973));
+  sky130_fd_sc_hd__inv_2 g436273(.A (n_5969), .Y (n_5970));
+  sky130_fd_sc_hd__inv_2 g436274(.A (n_5966), .Y (n_5965));
+  sky130_fd_sc_hd__inv_2 g436275(.A (n_5964), .Y (n_5963));
+  sky130_fd_sc_hd__clkinv_1 g436276(.A (n_5955), .Y (n_5954));
+  sky130_fd_sc_hd__inv_2 g436277(.A (n_5953), .Y (n_5952));
+  sky130_fd_sc_hd__inv_1 g436278(.A (n_5950), .Y (n_5951));
+  sky130_fd_sc_hd__inv_2 g436279(.A (n_5947), .Y (n_5946));
+  sky130_fd_sc_hd__inv_2 g436280(.A (n_5945), .Y (n_5944));
+  sky130_fd_sc_hd__inv_2 g436281(.A (n_5943), .Y (n_5942));
+  sky130_fd_sc_hd__inv_2 g436282(.A (n_5941), .Y (n_5940));
+  sky130_fd_sc_hd__inv_2 g436283(.A (n_16007), .Y (n_5935));
+  sky130_fd_sc_hd__a221o_1 g436284(.A1 (n_3118), .A2 (n_1084), .B1
+       (n_3107), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .C1 (n_1058), .X (n_5933));
+  sky130_fd_sc_hd__o21ai_0 g436285(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1]
+       [11]), .A2 (n_5409), .B1 (io_out[37]), .Y (n_5932));
+  sky130_fd_sc_hd__o211ai_1 g436287(.A1 (n_610), .A2 (n_3110), .B1
+       (n_1088), .C1 (n_5260), .Y (n_5930));
+  sky130_fd_sc_hd__o21ai_0 g436288(.A1
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[3]), .A2 (n_5468),
+       .B1 (n_5671), .Y (n_5929));
+  sky130_fd_sc_hd__o211ai_1 g436289(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [0]), .A2 (n_3096), .B1 (n_3088), .C1 (n_5393), .Y (n_5928));
+  sky130_fd_sc_hd__o2bb2ai_1 g436290(.A1_N (n_1397), .A2_N (n_2418),
+       .B1 (n_1195), .B2 (n_5364), .Y (n_5927));
+  sky130_fd_sc_hd__o2bb2ai_1 g436291(.A1_N (n_1396), .A2_N (n_2418),
+       .B1 (n_1202), .B2 (n_5364), .Y (n_5926));
+  sky130_fd_sc_hd__o2bb2ai_1 g436292(.A1_N (n_1399), .A2_N (n_2418),
+       .B1 (n_1180), .B2 (n_5364), .Y (n_5925));
+  sky130_fd_sc_hd__a22o_1 g436293(.A1 (n_2289), .A2 (n_1077), .B1
+       (n_2407), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .X (n_5924));
+  sky130_fd_sc_hd__o2bb2ai_1 g436294(.A1_N (n_1400), .A2_N (n_2418),
+       .B1 (n_1170), .B2 (n_5364), .Y (n_5923));
+  sky130_fd_sc_hd__o21ai_0 g436295(.A1
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[4]), .A2 (n_2896), .B1
+       (n_5696), .Y (n_5922));
+  sky130_fd_sc_hd__or4_1 g436296(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [23]), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [25]), .C
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [24]), .D (n_2948), .X (n_5921));
+  sky130_fd_sc_hd__or4_1 g436298(.A (n_13414), .B (n_13413), .C
+       (n_13412), .D (n_2947), .X (n_5919));
+  sky130_fd_sc_hd__o2bb2ai_1 g436299(.A1_N (n_1394), .A2_N (n_2418),
+       .B1 (n_1203), .B2 (n_5364), .Y (n_5918));
+  sky130_fd_sc_hd__nand2_1 g436300(.A (n_5651), .B (n_13417), .Y
+       (n_5917));
+  sky130_fd_sc_hd__nand2_1 g436301(.A (n_5642), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [1]), .Y (n_5916));
+  sky130_fd_sc_hd__nand2_1 g436302(.A (n_5642), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [7]), .Y (n_5915));
+  sky130_fd_sc_hd__nand2_1 g436303(.A (n_5642), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [8]), .Y (n_5914));
+  sky130_fd_sc_hd__nand2_1 g436304(.A (n_5642), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [9]), .Y (n_5913));
+  sky130_fd_sc_hd__nand2_1 g436305(.A (n_5642), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [11]), .Y (n_5912));
+  sky130_fd_sc_hd__nand2_1 g436306(.A (n_5642), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [12]), .Y (n_5911));
+  sky130_fd_sc_hd__nand2_1 g436307(.A (n_5642), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [14]), .Y (n_5910));
+  sky130_fd_sc_hd__nand2_1 g436308(.A (n_5642), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [16]), .Y (n_5909));
+  sky130_fd_sc_hd__nand2_1 g436309(.A (n_5644), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [1]), .Y (n_5908));
+  sky130_fd_sc_hd__nand2_1 g436310(.A (n_5644), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [7]), .Y (n_5907));
+  sky130_fd_sc_hd__nand2_1 g436311(.A (n_5644), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [8]), .Y (n_5906));
+  sky130_fd_sc_hd__nand2_1 g436312(.A (n_5644), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [9]), .Y (n_5905));
+  sky130_fd_sc_hd__nand2_1 g436313(.A (n_5644), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [11]), .Y (n_5904));
+  sky130_fd_sc_hd__nand2_1 g436314(.A (n_5644), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [12]), .Y (n_5903));
+  sky130_fd_sc_hd__nand2_1 g436315(.A (n_5644), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [14]), .Y (n_5902));
+  sky130_fd_sc_hd__nand2_1 g436316(.A (n_5644), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [16]), .Y (n_5901));
+  sky130_fd_sc_hd__a221o_1 g436317(.A1 (n_3129), .A2 (n_1057), .B1
+       (n_3109), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .C1 (n_1086), .X (n_5900));
+  sky130_fd_sc_hd__a2bb2oi_1 g436318(.A1_N (n_1171), .A2_N (n_5364),
+       .B1 (n_1389), .B2 (n_2418), .Y (n_5899));
+  sky130_fd_sc_hd__nand2_1 g436319(.A (n_5700), .B (n_16012), .Y
+       (n_5898));
+  sky130_fd_sc_hd__nor2_1 g436320(.A (n_3099), .B (n_5546), .Y
+       (n_5897));
+  sky130_fd_sc_hd__nor2_1 g436321(.A (n_2413), .B (n_5708), .Y
+       (n_5896));
+  sky130_fd_sc_hd__nor2_1 g436322(.A (n_2413), .B (n_5712), .Y
+       (n_5895));
+  sky130_fd_sc_hd__nor2_1 g436323(.A (n_2413), .B (n_5711), .Y
+       (n_5894));
+  sky130_fd_sc_hd__o41ai_1 g436324(.A1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .A3 (n_1624), .A4 (n_2543), .B1 (n_5573), .Y (n_5893));
+  sky130_fd_sc_hd__o41ai_1 g436325(.A1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .A2
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .A3 (n_1594), .A4 (n_2544), .B1 (n_5574), .Y (n_5892));
+  sky130_fd_sc_hd__a21oi_1 g436326(.A1 (n_5406), .A2 (n_1013), .B1
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .Y (n_5891));
+  sky130_fd_sc_hd__o31ai_1 g436327(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .A2 (n_636), .A3 (n_1449), .B1 (n_5543), .Y (n_5890));
+  sky130_fd_sc_hd__o21ai_0 g436328(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0]
+       [11]), .A2 (n_5418), .B1 (io_out[37]), .Y (n_5889));
+  sky130_fd_sc_hd__o21a_1 g436329(.A1
+       (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .A2 (n_13381), .B1
+       (n_5704), .X (n_5888));
+  sky130_fd_sc_hd__nor2_1 g436330(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5632), .Y
+       (n_5887));
+  sky130_fd_sc_hd__nor2_1 g436331(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5622), .Y
+       (n_5886));
+  sky130_fd_sc_hd__nor2_1 g436332(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5629), .Y
+       (n_5885));
+  sky130_fd_sc_hd__nor2_1 g436333(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_5085), .Y
+       (n_5884));
+  sky130_fd_sc_hd__a221oi_1 g436334(.A1 (n_1024), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [18]), .B1 (n_1035), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [2]), .C1 (n_5638), .Y (n_5883));
+  sky130_fd_sc_hd__o21ai_1 g436335(.A1 (n_487), .A2 (n_2900), .B1
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [2]), .Y (n_5882));
+  sky130_fd_sc_hd__nor2_1 g436336(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5624), .Y
+       (n_5881));
+  sky130_fd_sc_hd__nand2_1 g436337(.A (n_5589), .B (n_5537), .Y
+       (n_5880));
+  sky130_fd_sc_hd__nor2_1 g436339(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5621), .Y
+       (n_5878));
+  sky130_fd_sc_hd__nor2_1 g436340(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5623), .Y
+       (n_5877));
+  sky130_fd_sc_hd__o31ai_1 g436341(.A1 (n_43), .A2 (n_2295), .A3
+       (n_3136), .B1 (n_3043), .Y (n_5876));
+  sky130_fd_sc_hd__nor2_1 g436342(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5633), .Y
+       (n_5875));
+  sky130_fd_sc_hd__a21oi_1 g436345(.A1 (n_5432), .A2 (n_2001), .B1
+       (n_2857), .Y (n_6007));
+  sky130_fd_sc_hd__o21ai_1 g436346(.A1 (n_16011), .A2 (n_5429), .B1
+       (n_2858), .Y (n_6006));
+  sky130_fd_sc_hd__nor2_1 g436348(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [3]), .B (n_5697), .Y (n_6005));
+  sky130_fd_sc_hd__a21oi_1 g436351(.A1 (n_5465), .A2 (n_2001), .B1
+       (n_2857), .Y (n_6003));
+  sky130_fd_sc_hd__a221oi_1 g436352(.A1 (n_1024), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [23]), .B1 (n_1035), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [7]), .C1 (n_5639), .Y (n_6002));
+  sky130_fd_sc_hd__o21ai_1 g436355(.A1 (n_16011), .A2 (n_15922), .B1
+       (n_2858), .Y (n_6001));
+  sky130_fd_sc_hd__a21oi_1 g436356(.A1 (n_5467), .A2 (n_2001), .B1
+       (n_2857), .Y (n_5999));
+  sky130_fd_sc_hd__a21oi_1 g436357(.A1 (n_5423), .A2 (n_2001), .B1
+       (n_2857), .Y (n_5997));
+  sky130_fd_sc_hd__a21oi_1 g436358(.A1 (n_5420), .A2 (n_2001), .B1
+       (n_2857), .Y (n_5995));
+  sky130_fd_sc_hd__a21oi_1 g436359(.A1 (n_5452), .A2 (n_2001), .B1
+       (n_5102), .Y (n_5994));
+  sky130_fd_sc_hd__o21bai_1 g436360(.A1 (n_1050), .A2 (n_3145), .B1_N
+       (n_5703), .Y (n_5993));
+  sky130_fd_sc_hd__a221o_1 g436361(.A1 (n_3145), .A2 (n_1051), .B1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [1]), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [2]), .C1 (n_15923), .X (n_5992));
+  sky130_fd_sc_hd__nor2_1 g436364(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [4]), .B (n_5680), .Y (n_5991));
+  sky130_fd_sc_hd__nor2_1 g436366(.A (n_497), .B (n_3138), .Y (n_5990));
+  sky130_fd_sc_hd__nand2_1 g436367(.A (n_5709), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[4]), .Y (n_5989));
+  sky130_fd_sc_hd__nor2_1 g436371(.A (n_1467), .B (n_3141), .Y
+       (n_5988));
+  sky130_fd_sc_hd__and2_1 g436372(.A (n_5678), .B (n_2172), .X
+       (n_5987));
+  sky130_fd_sc_hd__and2_1 g436373(.A (n_5695), .B (n_2173), .X
+       (n_5986));
+  sky130_fd_sc_hd__and2_1 g436374(.A (n_5695), .B (n_2174), .X
+       (n_5985));
+  sky130_fd_sc_hd__and2_1 g436375(.A (n_5695), .B (n_2175), .X
+       (n_5984));
+  sky130_fd_sc_hd__nand2b_1 g436376(.A_N (n_5701), .B (io_out[37]), .Y
+       (n_5983));
+  sky130_fd_sc_hd__nor2_1 g436377(.A (n_479), .B (n_5674), .Y (n_5982));
+  sky130_fd_sc_hd__and2_1 g436378(.A (n_5678), .B (n_2165), .X
+       (n_5981));
+  sky130_fd_sc_hd__nor2_1 g436379(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [0]), .B (n_5680), .Y (n_5980));
+  sky130_fd_sc_hd__and2_1 g436381(.A (n_5678), .B (n_2171), .X
+       (n_5979));
+  sky130_fd_sc_hd__and2_1 g436382(.A (n_5678), .B (n_2164), .X
+       (n_5978));
+  sky130_fd_sc_hd__and2_1 g436383(.A (n_5678), .B (n_2166), .X
+       (n_5977));
+  sky130_fd_sc_hd__and2_1 g436384(.A (n_5678), .B (n_2170), .X
+       (n_5976));
+  sky130_fd_sc_hd__nand3_1 g436385(.A (n_5145), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .C (n_577), .Y
+       (n_5975));
+  sky130_fd_sc_hd__a221oi_1 g436387(.A1 (n_3008), .A2 (n_1029), .B1
+       (n_1030), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [23]), .C1 (n_5581), .Y (n_5974));
+  sky130_fd_sc_hd__nor2_1 g436392(.A (n_1226), .B (n_5689), .Y
+       (n_5972));
+  sky130_fd_sc_hd__nor2_1 g436394(.A (n_1226), .B (n_5690), .Y
+       (n_5971));
+  sky130_fd_sc_hd__nor3_1 g436395(.A
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[3]), .B (n_1446), .C
+       (n_2890), .Y (n_5969));
+  sky130_fd_sc_hd__nor2_1 g436396(.A (n_1225), .B (n_5690), .Y
+       (n_5968));
+  sky130_fd_sc_hd__nor2_1 g436397(.A (n_1229), .B (n_5690), .Y
+       (n_5967));
+  sky130_fd_sc_hd__a221oi_1 g436398(.A1 (n_3005), .A2 (n_1029), .B1
+       (n_1030), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [20]), .C1 (n_5534), .Y (n_5966));
+  sky130_fd_sc_hd__a221oi_1 g436399(.A1 (n_3000), .A2 (n_1029), .B1
+       (n_1030), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [19]), .C1 (n_5545), .Y (n_5964));
+  sky130_fd_sc_hd__nor2_1 g436400(.A (n_1231), .B (n_5690), .Y
+       (n_5962));
+  sky130_fd_sc_hd__nor3_1 g436401(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [3]), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [0]), .C (n_5398), .Y (n_5961));
+  sky130_fd_sc_hd__nor2_1 g436402(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [3]), .B (n_5706), .Y (n_5960));
+  sky130_fd_sc_hd__nor2_1 g436403(.A (n_1231), .B (n_5689), .Y
+       (n_5959));
+  sky130_fd_sc_hd__nor2_1 g436404(.A (n_1225), .B (n_5689), .Y
+       (n_5958));
+  sky130_fd_sc_hd__or4_1 g436405(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .C (n_1680), .D (n_3123), .X (n_5957));
+  sky130_fd_sc_hd__nor2_1 g436406(.A (n_1229), .B (n_5689), .Y
+       (n_5956));
+  sky130_fd_sc_hd__a221oi_1 g436408(.A1 (n_3010), .A2 (n_1029), .B1
+       (n_1030), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [21]), .C1 (n_5576), .Y (n_5955));
+  sky130_fd_sc_hd__a221oi_1 g436409(.A1 (n_2998), .A2 (n_1029), .B1
+       (n_1030), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [18]), .C1 (n_5533), .Y (n_5953));
+  sky130_fd_sc_hd__nor3_1 g436410(.A (n_533), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [0]), .C (n_5398), .Y (n_5950));
+  sky130_fd_sc_hd__nor2_1 g436411(.A (n_533), .B (n_5706), .Y (n_5949));
+  sky130_fd_sc_hd__nor2_1 g436412(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [0]), .B (n_5674), .Y (n_5948));
+  sky130_fd_sc_hd__a221oi_1 g436414(.A1 (n_3006), .A2 (n_1029), .B1
+       (n_1030), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [22]), .C1 (n_5575), .Y (n_5947));
+  sky130_fd_sc_hd__nand2_1 g436419(.A (n_5641), .B (io_out[37]), .Y
+       (n_5945));
+  sky130_fd_sc_hd__nand2_1 g436420(.A (n_5645), .B (io_out[37]), .Y
+       (n_5943));
+  sky130_fd_sc_hd__nor2_1 g436421(.A (n_471), .B (n_5643), .Y (n_5941));
+  sky130_fd_sc_hd__nand2_1 g436423(.A (n_5713), .B (n_5401), .Y
+       (n_5939));
+  sky130_fd_sc_hd__and2_1 g436424(.A (n_5699), .B (n_15946), .X
+       (n_5938));
+  sky130_fd_sc_hd__a21o_1 g436425(.A1 (n_1755), .A2 (n_5396), .B1
+       (n_5700), .X (n_5937));
+  sky130_fd_sc_hd__nor2_2 g436427(.A
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
+       [1]), .B (n_5693), .Y (n_5934));
+  sky130_fd_sc_hd__inv_1 g436428(.A (n_5865), .Y (n_5866));
+  sky130_fd_sc_hd__inv_2 g436429(.A (n_5856), .Y (n_5855));
+  sky130_fd_sc_hd__inv_2 g436430(.A (n_5854), .Y (n_5853));
+  sky130_fd_sc_hd__inv_2 g436431(.A (n_5852), .Y (n_5851));
+  sky130_fd_sc_hd__inv_2 g436432(.A (n_5850), .Y (n_5849));
+  sky130_fd_sc_hd__inv_2 g436433(.A (n_5848), .Y (n_5847));
+  sky130_fd_sc_hd__inv_2 g436434(.A (n_5846), .Y (n_5845));
+  sky130_fd_sc_hd__inv_2 g436435(.A (n_5844), .Y (n_5843));
+  sky130_fd_sc_hd__inv_2 g436436(.A (n_5841), .Y (n_5842));
+  sky130_fd_sc_hd__inv_2 g436437(.A (n_5840), .Y (n_5839));
+  sky130_fd_sc_hd__inv_2 g436438(.A (n_5838), .Y (n_5837));
+  sky130_fd_sc_hd__inv_2 g436439(.A (n_5836), .Y (n_5835));
+  sky130_fd_sc_hd__inv_2 g436440(.A (n_5834), .Y (n_5833));
+  sky130_fd_sc_hd__inv_2 g436441(.A (n_5832), .Y (n_5831));
+  sky130_fd_sc_hd__inv_2 g436442(.A (n_5830), .Y (n_5829));
+  sky130_fd_sc_hd__inv_2 g436443(.A (n_5828), .Y (n_5827));
+  sky130_fd_sc_hd__inv_2 g436444(.A (n_5826), .Y (n_5825));
+  sky130_fd_sc_hd__a22oi_1 g436445(.A1 (n_5366), .A2 (n_13386), .B1
+       (n_5358), .B2 (n_13387), .Y (n_5824));
+  sky130_fd_sc_hd__xor2_1 g436446(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [2]), .B (n_4322), .X (n_5823));
+  sky130_fd_sc_hd__xor2_1 g436447(.A
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [2]), .B (n_4323), .X (n_5822));
+  sky130_fd_sc_hd__o2bb2ai_1 g436448(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [32]), .A2_N (n_2980), .B1 (n_3133), .B2 (n_5377), .Y (n_5821));
+  sky130_fd_sc_hd__o2bb2ai_1 g436449(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [31]), .A2_N (n_2980), .B1 (n_3024), .B2 (n_5377), .Y (n_5820));
+  sky130_fd_sc_hd__o2bb2ai_1 g436450(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [30]), .A2_N (n_2980), .B1 (n_3135), .B2 (n_5377), .Y (n_5819));
+  sky130_fd_sc_hd__o2bb2ai_1 g436451(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [29]), .A2_N (n_2980), .B1 (n_3131), .B2 (n_5377), .Y (n_5818));
+  sky130_fd_sc_hd__o2bb2ai_1 g436452(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [28]), .A2_N (n_2980), .B1 (n_3028), .B2 (n_5377), .Y (n_5817));
+  sky130_fd_sc_hd__o2bb2ai_1 g436453(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [27]), .A2_N (n_2980), .B1 (n_3128), .B2 (n_5377), .Y (n_5816));
+  sky130_fd_sc_hd__o2bb2ai_1 g436454(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [26]), .A2_N (n_2980), .B1 (n_3140), .B2 (n_5377), .Y (n_5815));
+  sky130_fd_sc_hd__o2bb2ai_1 g436455(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [25]), .A2_N (n_2980), .B1 (n_3016), .B2 (n_5377), .Y (n_5814));
+  sky130_fd_sc_hd__o2bb2ai_1 g436456(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [18]), .A2_N (n_2980), .B1 (n_13354), .B2 (n_5377), .Y (n_5813));
+  sky130_fd_sc_hd__o2bb2ai_1 g436457(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [17]), .A2_N (n_2980), .B1 (n_13355), .B2 (n_5377), .Y (n_5812));
+  sky130_fd_sc_hd__o2bb2ai_1 g436458(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [15]), .A2_N (n_2980), .B1 (n_3018), .B2 (n_5377), .Y (n_5811));
+  sky130_fd_sc_hd__o2bb2ai_1 g436459(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [14]), .A2_N (n_2980), .B1 (n_3022), .B2 (n_5377), .Y (n_5810));
+  sky130_fd_sc_hd__o2bb2ai_1 g436460(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [16]), .A2_N (n_2980), .B1 (n_3030), .B2 (n_5377), .Y (n_5809));
+  sky130_fd_sc_hd__o2bb2ai_1 g436461(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [13]), .A2_N (n_2980), .B1 (n_3120), .B2 (n_5377), .Y (n_5808));
+  sky130_fd_sc_hd__o2bb2ai_1 g436462(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [12]), .A2_N (n_2980), .B1 (n_3032), .B2 (n_5377), .Y (n_5807));
+  sky130_fd_sc_hd__o2bb2ai_1 g436463(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [11]), .A2_N (n_2980), .B1 (n_3020), .B2 (n_5377), .Y (n_5806));
+  sky130_fd_sc_hd__o2bb2ai_1 g436464(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [10]), .A2_N (n_2980), .B1 (n_3026), .B2 (n_5377), .Y (n_5805));
+  sky130_fd_sc_hd__o2bb2ai_1 g436465(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [9]), .A2_N (n_2980), .B1 (n_3122), .B2 (n_5377), .Y (n_5804));
+  sky130_fd_sc_hd__o2bb2ai_1 g436466(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [2]), .A2_N (n_2980), .B1 (n_13356), .B2 (n_5377), .Y (n_5803));
+  sky130_fd_sc_hd__o2bb2ai_1 g436467(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [1]), .A2_N (n_2980), .B1 (n_13357), .B2 (n_5377), .Y (n_5802));
+  sky130_fd_sc_hd__o2bb2ai_1 g436468(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [32]), .A2_N (n_2981), .B1 (n_3133), .B2 (n_5379), .Y (n_5801));
+  sky130_fd_sc_hd__o2bb2ai_1 g436469(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [31]), .A2_N (n_2981), .B1 (n_3024), .B2 (n_5379), .Y (n_5800));
+  sky130_fd_sc_hd__o2bb2ai_1 g436470(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [30]), .A2_N (n_2981), .B1 (n_3135), .B2 (n_5379), .Y (n_5799));
+  sky130_fd_sc_hd__o2bb2ai_1 g436471(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [29]), .A2_N (n_2981), .B1 (n_3131), .B2 (n_5379), .Y (n_5798));
+  sky130_fd_sc_hd__o2bb2ai_1 g436472(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [28]), .A2_N (n_2981), .B1 (n_3028), .B2 (n_5379), .Y (n_5797));
+  sky130_fd_sc_hd__o2bb2ai_1 g436473(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [27]), .A2_N (n_2981), .B1 (n_3128), .B2 (n_5379), .Y (n_5796));
+  sky130_fd_sc_hd__o2bb2ai_1 g436474(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [26]), .A2_N (n_2981), .B1 (n_3140), .B2 (n_5379), .Y (n_5795));
+  sky130_fd_sc_hd__nand3_1 g436476(.A (n_5117), .B (n_2656), .C
+       (n_2916), .Y (n_5793));
+  sky130_fd_sc_hd__nand3_1 g436477(.A (n_5095), .B (n_2346), .C
+       (n_1790), .Y (n_5792));
+  sky130_fd_sc_hd__a21oi_1 g436478(.A1 (n_1410), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[2]), .B1
+       (n_5550), .Y (n_5791));
+  sky130_fd_sc_hd__nand3_1 g436479(.A (n_5089), .B (n_2964), .C
+       (n_2661), .Y (n_5790));
+  sky130_fd_sc_hd__nand3_1 g436480(.A (n_5105), .B (n_2357), .C
+       (n_1803), .Y (n_5789));
+  sky130_fd_sc_hd__nand4_1 g436481(.A (n_2410), .B (n_2923), .C
+       (n_1988), .D (n_2946), .Y (n_5788));
+  sky130_fd_sc_hd__a221oi_1 g436482(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]), .B1
+       (n_2242), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]), .C1
+       (n_5549), .Y (n_5787));
+  sky130_fd_sc_hd__a221oi_1 g436483(.A1 (n_1409), .A2
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[9]), .B1 (n_1214),
+       .B2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[9]), .C1
+       (n_5553), .Y (n_5786));
+  sky130_fd_sc_hd__a221oi_1 g436484(.A1 (n_1409), .A2
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[14]), .B1 (n_1214),
+       .B2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[14]), .C1
+       (n_5571), .Y (n_5785));
+  sky130_fd_sc_hd__a221o_1 g436485(.A1 (n_2421), .A2 (n_13559), .B1
+       (n_3085), .B2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[1]), .C1
+       (n_2195), .X (n_5784));
+  sky130_fd_sc_hd__a221o_1 g436486(.A1 (n_2421), .A2 (n_13560), .B1
+       (n_3085), .B2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[2]), .C1
+       (n_5171), .X (n_5783));
+  sky130_fd_sc_hd__a221oi_1 g436487(.A1 (n_1409), .A2
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[0]), .B1 (n_1233),
+       .B2 (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]), .C1
+       (n_5558), .Y (n_5782));
+  sky130_fd_sc_hd__a221oi_1 g436488(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]), .B1
+       (n_2242), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]), .C1
+       (n_5547), .Y (n_5781));
+  sky130_fd_sc_hd__a221oi_1 g436489(.A1 (n_1409), .A2
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[10]), .B1 (n_1214),
+       .B2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[10]), .C1
+       (n_5551), .Y (n_5780));
+  sky130_fd_sc_hd__nand3_1 g436490(.A (n_2970), .B (n_5086), .C
+       (n_1801), .Y (n_5779));
+  sky130_fd_sc_hd__nand4_1 g436491(.A (n_1982), .B (n_2958), .C
+       (n_1946), .D (n_1828), .Y (n_5778));
+  sky130_fd_sc_hd__xor2_1 g436492(.A
+       (u_soc_u_uart_u_uart_core_fifo_read_size[2]), .B (n_2886), .X
+       (n_5777));
+  sky130_fd_sc_hd__a2bb2oi_1 g436493(.A1_N (n_13328), .A2_N (n_2886),
+       .B1 (u_soc_u_uart_u_uart_core_fifo_read_size[3]), .B2 (n_2886),
+       .Y (n_5776));
+  sky130_fd_sc_hd__a2bb2oi_1 g436494(.A1_N (n_669), .A2_N (n_5401), .B1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [1]), .B2 (n_1845), .Y (n_5775));
+  sky130_fd_sc_hd__a22oi_1 g436495(.A1 (n_5374), .A2
+       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .B1 (n_3076), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [1]), .Y (n_5774));
+  sky130_fd_sc_hd__a22oi_1 g436496(.A1 (n_5376), .A2 (n_13419), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [2]), .Y
+       (n_5773));
+  sky130_fd_sc_hd__a22oi_1 g436497(.A1 (n_5376), .A2 (n_13420), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [3]), .Y
+       (n_5772));
+  sky130_fd_sc_hd__a22oi_1 g436498(.A1 (n_5376), .A2 (n_13422), .B1
+       (n_3076), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[1] [5]), .Y
+       (n_5771));
+  sky130_fd_sc_hd__o2bb2ai_1 g436499(.A1_N
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q
+       [1]), .A2_N (n_3099), .B1 (n_5419), .B2 (n_6), .Y (n_5770));
+  sky130_fd_sc_hd__o22ai_1 g436500(.A1 (n_5391), .A2 (n_1702), .B1
+       (n_1028), .B2 (n_1612), .Y (n_5769));
+  sky130_fd_sc_hd__a22oi_1 g436501(.A1 (n_5384), .A2 (n_3142), .B1
+       (n_1745), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [1]), .Y
+       (n_5768));
+  sky130_fd_sc_hd__a22oi_1 g436502(.A1 (n_5384), .A2 (n_5427), .B1
+       (n_1745), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [2]), .Y
+       (n_5767));
+  sky130_fd_sc_hd__a2bb2oi_1 g436503(.A1_N (n_2369), .A2_N (n_5386),
+       .B1 (n_5435), .B2 (n_3077), .Y (n_5766));
+  sky130_fd_sc_hd__a22oi_1 g436504(.A1 (n_5384), .A2 (n_5421), .B1
+       (n_1745), .B2 (\u_soc_u_top_u_core_imd_val_q_ex[0] [3]), .Y
+       (n_5765));
+  sky130_fd_sc_hd__a2bb2oi_1 g436505(.A1_N (n_2370), .A2_N (n_5386),
+       .B1 (n_5437), .B2 (n_3077), .Y (n_5764));
+  sky130_fd_sc_hd__a2bb2oi_1 g436506(.A1_N (n_2597), .A2_N (n_5386),
+       .B1 (n_5440), .B2 (n_3077), .Y (n_5763));
+  sky130_fd_sc_hd__o2bb2ai_1 g436507(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [25]), .A2_N (n_2981), .B1 (n_3016), .B2 (n_5379), .Y (n_5762));
+  sky130_fd_sc_hd__a2bb2oi_1 g436508(.A1_N (n_2577), .A2_N (n_5386),
+       .B1 (n_5448), .B2 (n_3077), .Y (n_5761));
+  sky130_fd_sc_hd__o2bb2ai_1 g436509(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [17]), .A2_N (n_2981), .B1 (n_13355), .B2 (n_5379), .Y (n_5760));
+  sky130_fd_sc_hd__o2bb2ai_1 g436510(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [18]), .A2_N (n_2981), .B1 (n_13354), .B2 (n_5379), .Y (n_5759));
+  sky130_fd_sc_hd__a22oi_1 g436511(.A1 (n_3077), .A2 (n_5459), .B1
+       (n_5385), .B2 (n_2565), .Y (n_5758));
+  sky130_fd_sc_hd__a22oi_1 g436512(.A1 (n_3077), .A2 (n_5460), .B1
+       (n_5385), .B2 (n_2581), .Y (n_5757));
+  sky130_fd_sc_hd__o2bb2ai_1 g436513(.A1_N (n_5463), .A2_N (n_3077),
+       .B1 (n_2399), .B2 (n_5386), .Y (n_5756));
+  sky130_fd_sc_hd__o2bb2ai_1 g436514(.A1_N (n_5464), .A2_N (n_3077),
+       .B1 (n_2402), .B2 (n_5386), .Y (n_5755));
+  sky130_fd_sc_hd__a22oi_1 g436515(.A1 (n_5360), .A2 (n_16012), .B1
+       (n_5358), .B2 (n_13416), .Y (n_5754));
+  sky130_fd_sc_hd__a22oi_1 g436516(.A1 (n_5358), .A2 (n_13386), .B1
+       (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [2]), .Y (n_5753));
+  sky130_fd_sc_hd__o2bb2ai_1 g436517(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [16]), .A2_N (n_2981), .B1 (n_3030), .B2 (n_5379), .Y (n_5752));
+  sky130_fd_sc_hd__a22oi_1 g436518(.A1
+       (\u_soc_xbar_to_dccm[a_address] [3]), .A2 (n_5360), .B1
+       (n_5358), .B2 (n_13388), .Y (n_5751));
+  sky130_fd_sc_hd__a22oi_1 g436519(.A1 (n_5366), .A2 (n_13388), .B1
+       (n_5358), .B2 (n_13389), .Y (n_5750));
+  sky130_fd_sc_hd__a22oi_1 g436520(.A1 (n_5366), .A2 (n_13389), .B1
+       (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [6]), .Y (n_5749));
+  sky130_fd_sc_hd__a22oi_1 g436521(.A1
+       (\u_soc_xbar_to_dccm[a_address] [6]), .A2 (n_5360), .B1
+       (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [7]), .Y (n_5748));
+  sky130_fd_sc_hd__a22oi_1 g436522(.A1
+       (\u_soc_xbar_to_dccm[a_address] [7]), .A2 (n_5360), .B1
+       (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [8]), .Y (n_5747));
+  sky130_fd_sc_hd__a22oi_1 g436523(.A1
+       (\u_soc_xbar_to_dccm[a_address] [8]), .A2 (n_5360), .B1
+       (n_5358), .B2 (n_13393), .Y (n_5746));
+  sky130_fd_sc_hd__a22oi_1 g436524(.A1 (n_5366), .A2 (n_13393), .B1
+       (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [10]), .Y (n_5745));
+  sky130_fd_sc_hd__a22oi_1 g436525(.A1
+       (\u_soc_xbar_to_dccm[a_address] [10]), .A2 (n_5360), .B1
+       (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [11]), .Y (n_5744));
+  sky130_fd_sc_hd__a22oi_1 g436526(.A1 (n_5358), .A2 (n_13396), .B1
+       (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [12]), .Y (n_5743));
+  sky130_fd_sc_hd__a22oi_1 g436527(.A1 (n_5366), .A2 (n_13396), .B1
+       (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [13]), .Y (n_5742));
+  sky130_fd_sc_hd__a22oi_1 g436528(.A1
+       (\u_soc_xbar_to_dccm[a_address] [13]), .A2 (n_5360), .B1
+       (n_5358), .B2 (n_13398), .Y (n_5741));
+  sky130_fd_sc_hd__a22oi_1 g436529(.A1
+       (\u_soc_lsu_to_xbar[a_address] [14]), .A2 (n_5360), .B1
+       (n_5358), .B2 (n_13399), .Y (n_5740));
+  sky130_fd_sc_hd__a22oi_1 g436530(.A1
+       (\u_soc_lsu_to_xbar[a_address] [15]), .A2 (n_5360), .B1
+       (n_5366), .B2 (n_13399), .Y (n_5739));
+  sky130_fd_sc_hd__a22oi_1 g436531(.A1 (n_5366), .A2 (n_13400), .B1
+       (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [17]), .Y (n_5738));
+  sky130_fd_sc_hd__a22oi_1 g436532(.A1 (n_5366), .A2 (n_13401), .B1
+       (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [18]), .Y (n_5737));
+  sky130_fd_sc_hd__a22oi_1 g436533(.A1
+       (\u_soc_lsu_to_xbar[a_address] [18]), .A2 (n_5360), .B1
+       (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [19]), .Y (n_5736));
+  sky130_fd_sc_hd__a22oi_1 g436534(.A1 (n_5366), .A2 (n_13403), .B1
+       (n_5358), .B2 (n_13404), .Y (n_5735));
+  sky130_fd_sc_hd__a22oi_1 g436535(.A1
+       (\u_soc_lsu_to_xbar[a_address] [20]), .A2 (n_5360), .B1
+       (n_5366), .B2 (n_13404), .Y (n_5734));
+  sky130_fd_sc_hd__a22oi_1 g436536(.A1
+       (\u_soc_lsu_to_xbar[a_address] [21]), .A2 (n_5360), .B1
+       (n_5358), .B2 (n_13406), .Y (n_5733));
+  sky130_fd_sc_hd__a22oi_1 g436537(.A1 (n_5358), .A2 (n_13407), .B1
+       (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [23]), .Y (n_5732));
+  sky130_fd_sc_hd__a22oi_1 g436538(.A1
+       (\u_soc_lsu_to_xbar[a_address] [23]), .A2 (n_5360), .B1
+       (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [24]), .Y (n_5731));
+  sky130_fd_sc_hd__a22oi_1 g436539(.A1
+       (\u_soc_lsu_to_xbar[a_address] [24]), .A2 (n_5360), .B1
+       (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [25]), .Y (n_5730));
+  sky130_fd_sc_hd__a22oi_1 g436540(.A1
+       (\u_soc_lsu_to_xbar[a_address] [25]), .A2 (n_5360), .B1
+       (n_5358), .B2 (n_13410), .Y (n_5729));
+  sky130_fd_sc_hd__a22oi_1 g436541(.A1
+       (\u_soc_lsu_to_xbar[a_address] [26]), .A2 (n_5360), .B1
+       (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [27]), .Y (n_5728));
+  sky130_fd_sc_hd__a22oi_1 g436542(.A1 (n_5366), .A2 (n_13411), .B1
+       (n_5358), .B2 (n_13412), .Y (n_5727));
+  sky130_fd_sc_hd__a22oi_1 g436543(.A1 (n_5366), .A2 (n_13412), .B1
+       (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [29]), .Y (n_5726));
+  sky130_fd_sc_hd__a22oi_1 g436544(.A1 (n_5366), .A2 (n_13413), .B1
+       (n_5358), .B2 (n_13414), .Y (n_5725));
+  sky130_fd_sc_hd__a22oi_1 g436545(.A1
+       (\u_soc_lsu_to_xbar[a_address] [30]), .A2 (n_5360), .B1
+       (n_5362), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [31]), .Y (n_5724));
+  sky130_fd_sc_hd__xor2_1 g436546(.A
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B (n_5409), .X (n_5723));
+  sky130_fd_sc_hd__o2bb2ai_1 g436547(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [1]), .A2_N (n_2981), .B1 (n_13357), .B2 (n_5379), .Y (n_5722));
+  sky130_fd_sc_hd__o2bb2ai_1 g436548(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [2]), .A2_N (n_2981), .B1 (n_13356), .B2 (n_5379), .Y (n_5721));
+  sky130_fd_sc_hd__o2bb2ai_1 g436549(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [9]), .A2_N (n_2981), .B1 (n_3122), .B2 (n_5379), .Y (n_5720));
+  sky130_fd_sc_hd__o2bb2ai_1 g436550(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [10]), .A2_N (n_2981), .B1 (n_3026), .B2 (n_5379), .Y (n_5719));
+  sky130_fd_sc_hd__o2bb2ai_1 g436551(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [11]), .A2_N (n_2981), .B1 (n_3020), .B2 (n_5379), .Y (n_5718));
+  sky130_fd_sc_hd__o2bb2ai_1 g436552(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [13]), .A2_N (n_2981), .B1 (n_3120), .B2 (n_5379), .Y (n_5717));
+  sky130_fd_sc_hd__o2bb2ai_1 g436553(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [14]), .A2_N (n_2981), .B1 (n_3022), .B2 (n_5379), .Y (n_5716));
+  sky130_fd_sc_hd__o2bb2ai_1 g436554(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [15]), .A2_N (n_2981), .B1 (n_3018), .B2 (n_5379), .Y (n_5715));
+  sky130_fd_sc_hd__o2bb2ai_1 g436555(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [12]), .A2_N (n_2981), .B1 (n_3032), .B2 (n_5379), .Y (n_5714));
+  sky130_fd_sc_hd__o21ai_1 g436556(.A1 (n_16011), .A2 (n_5426), .B1
+       (n_2858), .Y (n_5874));
+  sky130_fd_sc_hd__a22oi_1 g436557(.A1 (n_5454), .A2 (n_2001), .B1
+       (n_5466), .B2 (n_16011), .Y (n_5873));
+  sky130_fd_sc_hd__a2bb2oi_1 g436558(.A1_N (n_2001), .A2_N (n_2603),
+       .B1 (n_2001), .B2 (n_5450), .Y (n_5872));
+  sky130_fd_sc_hd__o2bb2ai_1 g436559(.A1_N (n_2001), .A2_N (n_5444),
+       .B1 (n_2001), .B2 (n_15922), .Y (n_5871));
+  sky130_fd_sc_hd__a22o_1 g436560(.A1 (n_5456), .A2 (n_2001), .B1
+       (n_5446), .B2 (n_16011), .X (n_5870));
+  sky130_fd_sc_hd__a22o_1 g436561(.A1 (n_5455), .A2 (n_2001), .B1
+       (n_5443), .B2 (n_16011), .X (n_5869));
+  sky130_fd_sc_hd__a22oi_1 g436562(.A1 (n_5453), .A2 (n_2001), .B1
+       (n_5438), .B2 (n_16011), .Y (n_5868));
+  sky130_fd_sc_hd__a22oi_1 g436563(.A1 (n_5449), .A2 (n_2001), .B1
+       (n_2608), .B2 (n_16011), .Y (n_5867));
+  sky130_fd_sc_hd__a22oi_1 g436564(.A1 (n_5447), .A2 (n_2001), .B1
+       (n_5425), .B2 (n_16011), .Y (n_5865));
+  sky130_fd_sc_hd__a22oi_1 g436565(.A1 (n_5442), .A2 (n_2001), .B1
+       (n_5420), .B2 (n_16011), .Y (n_5864));
+  sky130_fd_sc_hd__a22oi_1 g436566(.A1 (n_5439), .A2 (n_2001), .B1
+       (n_5423), .B2 (n_16011), .Y (n_5863));
+  sky130_fd_sc_hd__a22oi_1 g436567(.A1 (n_5465), .A2 (n_16011), .B1
+       (n_5436), .B2 (n_2001), .Y (n_5862));
+  sky130_fd_sc_hd__a22oi_1 g436568(.A1 (n_5434), .A2 (n_2001), .B1
+       (n_5467), .B2 (n_16011), .Y (n_5861));
+  sky130_fd_sc_hd__a22oi_1 g436569(.A1 (n_5432), .A2 (n_16011), .B1
+       (n_5431), .B2 (n_2001), .Y (n_5860));
+  sky130_fd_sc_hd__a2bb2oi_1 g436570(.A1_N (n_2001), .A2_N (n_5429),
+       .B1 (n_2001), .B2 (n_5430), .Y (n_5859));
+  sky130_fd_sc_hd__a32oi_1 g436571(.A1 (n_16011), .A2 (n_2549), .A3
+       (n_1743), .B1 (n_5451), .B2 (n_2001), .Y (n_5858));
+  sky130_fd_sc_hd__nor4_1 g436572(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .C (n_2959), .D
+       (n_1222), .Y (n_5857));
+  sky130_fd_sc_hd__a222oi_1 g436573(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [32]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3132), .C1
+       (n_13493), .C2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [32]), .Y (n_5856));
+  sky130_fd_sc_hd__a222oi_1 g436574(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [31]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3023), .C1
+       (n_13493), .C2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [31]), .Y (n_5854));
+  sky130_fd_sc_hd__a222oi_1 g436575(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [30]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3134), .C1
+       (n_13493), .C2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [30]), .Y (n_5852));
+  sky130_fd_sc_hd__a222oi_1 g436576(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [29]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3130), .C1
+       (n_13493), .C2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [29]), .Y (n_5850));
+  sky130_fd_sc_hd__a222oi_1 g436577(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [28]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3027), .C1
+       (n_13493), .C2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [28]), .Y (n_5848));
+  sky130_fd_sc_hd__a222oi_1 g436578(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [27]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3127), .C1
+       (n_13493), .C2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [27]), .Y (n_5846));
+  sky130_fd_sc_hd__a222oi_1 g436579(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [26]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3139), .C1
+       (n_13493), .C2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [26]), .Y (n_5844));
+  sky130_fd_sc_hd__a222oi_1 g436580(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [25]), .A2 (n_13493), .B1 (n_13492), .B2 (n_3015), .C1
+       (n_13494), .C2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [25]), .Y (n_5841));
+  sky130_fd_sc_hd__a222oi_1 g436581(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [16]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3029), .C1
+       (n_13493), .C2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [16]), .Y (n_5840));
+  sky130_fd_sc_hd__a222oi_1 g436582(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [15]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3017), .C1
+       (n_13493), .C2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [15]), .Y (n_5838));
+  sky130_fd_sc_hd__a222oi_1 g436583(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [14]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3021), .C1
+       (n_13493), .C2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [14]), .Y (n_5836));
+  sky130_fd_sc_hd__a222oi_1 g436584(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [13]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3119), .C1
+       (n_13493), .C2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [13]), .Y (n_5834));
+  sky130_fd_sc_hd__a222oi_1 g436585(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [12]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3031), .C1
+       (n_13493), .C2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [12]), .Y (n_5832));
+  sky130_fd_sc_hd__a222oi_1 g436586(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [11]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3019), .C1
+       (n_13493), .C2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [11]), .Y (n_5830));
+  sky130_fd_sc_hd__a222oi_1 g436587(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [10]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3025), .C1
+       (n_13493), .C2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [10]), .Y (n_5828));
+  sky130_fd_sc_hd__a222oi_1 g436588(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [9]), .A2 (n_13494), .B1 (n_13492), .B2 (n_3121), .C1 (n_13493),
+       .C2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [9]), .Y (n_5826));
+  sky130_fd_sc_hd__inv_1 g437777(.A (n_5691), .Y (n_5692));
+  sky130_fd_sc_hd__clkinv_1 g437778(.A (n_5680), .Y (n_5679));
+  sky130_fd_sc_hd__clkinv_1 g437779(.A (n_5674), .Y (n_5673));
+  sky130_fd_sc_hd__inv_1 g437780(.A (n_5651), .Y (n_5650));
+  sky130_fd_sc_hd__inv_1 g437781(.A (n_5649), .Y (n_5648));
+  sky130_fd_sc_hd__clkinv_1 g437782(.A (n_5647), .Y (n_5646));
+  sky130_fd_sc_hd__inv_2 g437783(.A (n_5645), .Y (n_5644));
+  sky130_fd_sc_hd__inv_2 g437784(.A (n_5642), .Y (n_5641));
+  sky130_fd_sc_hd__nand2_1 g437785(.A (n_5400), .B (n_5240), .Y
+       (n_5640));
+  sky130_fd_sc_hd__o22ai_1 g437786(.A1 (n_1026), .A2 (n_3009), .B1
+       (n_1038), .B2 (n_2997), .Y (n_5639));
+  sky130_fd_sc_hd__o22ai_1 g437787(.A1 (n_1026), .A2 (n_2999), .B1
+       (n_1038), .B2 (n_3013), .Y (n_5638));
+  sky130_fd_sc_hd__o2bb2ai_1 g437788(.A1_N
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [2]), .A2_N (n_2296), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [2]), .B2 (n_2296), .Y (n_5637));
+  sky130_fd_sc_hd__a22oi_1 g437789(.A1 (n_2987), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [16]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [16]), .Y (n_5636));
+  sky130_fd_sc_hd__a22oi_1 g437790(.A1 (n_2987), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [24]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [24]), .Y (n_5635));
+  sky130_fd_sc_hd__a22oi_1 g437791(.A1 (n_2987), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [8]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [8]), .Y (n_5634));
+  sky130_fd_sc_hd__nor2_1 g437792(.A
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [0]), .B
+       (n_5416), .Y (n_5633));
+  sky130_fd_sc_hd__nor2_1 g437793(.A
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [0]), .B
+       (n_5417), .Y (n_5632));
+  sky130_fd_sc_hd__nand2_1 g437794(.A (n_3098), .B (n_5419), .Y
+       (n_5631));
+  sky130_fd_sc_hd__nand2_1 g437795(.A (n_3077), .B (n_5445), .Y
+       (n_5630));
+  sky130_fd_sc_hd__nor2_1 g437796(.A
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [0]), .B
+       (n_5403), .Y (n_5629));
+  sky130_fd_sc_hd__a22oi_1 g437797(.A1 (n_2987), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [23]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [23]), .Y (n_5628));
+  sky130_fd_sc_hd__a22oi_1 g437798(.A1 (n_2987), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [15]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [15]), .Y (n_5627));
+  sky130_fd_sc_hd__a22oi_1 g437799(.A1 (n_2987), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [7]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [7]), .Y (n_5626));
+  sky130_fd_sc_hd__a22oi_1 g437800(.A1 (n_2987), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [14]), .B1 (n_2008), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [14]), .Y (n_5625));
+  sky130_fd_sc_hd__nor2_1 g437801(.A
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [0]), .B
+       (n_5410), .Y (n_5624));
+  sky130_fd_sc_hd__nor2_1 g437802(.A
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [0]), .B
+       (n_5414), .Y (n_5623));
+  sky130_fd_sc_hd__nor2_1 g437803(.A
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [0]), .B
+       (n_5415), .Y (n_5622));
+  sky130_fd_sc_hd__nor2_1 g437804(.A
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [0]), .B
+       (n_5413), .Y (n_5621));
+  sky130_fd_sc_hd__a22oi_1 g437805(.A1 (n_2987), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [22]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [22]), .Y (n_5620));
+  sky130_fd_sc_hd__a22oi_1 g437806(.A1 (n_2987), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [21]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [21]), .Y (n_5619));
+  sky130_fd_sc_hd__o2bb2ai_1 g437807(.A1_N
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [2]), .A2_N (n_3146), .B1
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [2]), .B2 (n_3146), .Y (n_5618));
+  sky130_fd_sc_hd__nand2_1 g437808(.A (n_5393), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [2]), .Y (n_5617));
+  sky130_fd_sc_hd__a22oi_1 g437809(.A1 (n_2987), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [13]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [13]), .Y (n_5616));
+  sky130_fd_sc_hd__nand2_1 g437810(.A (n_5393), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [1]), .Y (n_5615));
+  sky130_fd_sc_hd__a22oi_1 g437811(.A1 (n_2985), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [12]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [12]), .Y (n_5614));
+  sky130_fd_sc_hd__a22oi_1 g437812(.A1 (n_2987), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [20]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [20]), .Y (n_5613));
+  sky130_fd_sc_hd__a22oi_1 g437813(.A1 (n_2987), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [28]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [28]), .Y (n_5612));
+  sky130_fd_sc_hd__a22oi_1 g437814(.A1 (n_2987), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [11]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [11]), .Y (n_5611));
+  sky130_fd_sc_hd__o21ai_1 g437815(.A1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .A2 (n_3070), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2]
+       [11]), .Y (n_5610));
+  sky130_fd_sc_hd__a22oi_1 g437816(.A1 (n_2987), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [19]), .B1 (n_2008), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [19]), .Y (n_5609));
+  sky130_fd_sc_hd__a22oi_1 g437817(.A1 (n_2987), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [18]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [18]), .Y (n_5608));
+  sky130_fd_sc_hd__a22oi_1 g437818(.A1 (n_2987), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [10]), .B1 (n_2008), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2]
+       [10]), .Y (n_5607));
+  sky130_fd_sc_hd__a22oi_1 g437819(.A1 (n_2985), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [1]), .B1 (n_2987), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [1]), .Y (n_5606));
+  sky130_fd_sc_hd__a22oi_1 g437820(.A1 (n_2987), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [9]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [9]), .Y (n_5605));
+  sky130_fd_sc_hd__a22oi_1 g437821(.A1 (n_2985), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [17]), .B1 (n_2009), .B2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [17]), .Y (n_5604));
+  sky130_fd_sc_hd__a32oi_1 g437822(.A1 (n_2984), .A2 (n_13525), .A3
+       (u_soc_u_top_u_core_alu_operand_b_ex[30]), .B1 (n_1746), .B2
+       (\u_soc_lsu_to_xbar[a_address] [29]), .Y (n_5603));
+  sky130_fd_sc_hd__a32oi_1 g437823(.A1 (n_2984), .A2 (n_13524), .A3
+       (u_soc_u_top_u_core_alu_operand_b_ex[29]), .B1 (n_1745), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [29]), .Y (n_5602));
+  sky130_fd_sc_hd__a32oi_1 g437824(.A1 (n_2984), .A2 (n_13523), .A3
+       (u_soc_u_top_u_core_alu_operand_b_ex[28]), .B1 (n_1745), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [28]), .Y (n_5601));
+  sky130_fd_sc_hd__a32oi_1 g437825(.A1 (n_2984), .A2 (n_13522), .A3
+       (u_soc_u_top_u_core_alu_operand_b_ex[27]), .B1 (n_1746), .B2
+       (\u_soc_lsu_to_xbar[a_address] [26]), .Y (n_5600));
+  sky130_fd_sc_hd__a32oi_1 g437826(.A1 (n_2984), .A2 (n_13521), .A3
+       (u_soc_u_top_u_core_alu_operand_b_ex[26]), .B1 (n_1745), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [26]), .Y (n_5599));
+  sky130_fd_sc_hd__a32oi_1 g437827(.A1 (n_2984), .A2 (n_13520), .A3
+       (u_soc_u_top_u_core_alu_operand_b_ex[25]), .B1 (n_1746), .B2
+       (\u_soc_lsu_to_xbar[a_address] [24]), .Y (n_5598));
+  sky130_fd_sc_hd__a22oi_1 g437828(.A1 (n_2986), .A2
+       (\u_soc_lsu_to_xbar[a_address] [24]), .B1 (n_1746), .B2
+       (\u_soc_lsu_to_xbar[a_address] [23]), .Y (n_5597));
+  sky130_fd_sc_hd__nand2_1 g437829(.A (n_5088), .B (n_2932), .Y
+       (n_5596));
+  sky130_fd_sc_hd__a32oi_1 g437830(.A1 (n_2984), .A2 (n_13516), .A3
+       (u_soc_u_top_u_core_alu_operand_b_ex[21]), .B1 (n_2986), .B2
+       (\u_soc_lsu_to_xbar[a_address] [21]), .Y (n_5595));
+  sky130_fd_sc_hd__a32oi_1 g437831(.A1 (n_2984), .A2 (n_13515), .A3
+       (u_soc_u_top_u_core_alu_operand_b_ex[20]), .B1 (n_2986), .B2
+       (\u_soc_lsu_to_xbar[a_address] [20]), .Y (n_5594));
+  sky130_fd_sc_hd__a32oi_1 g437832(.A1 (n_2984), .A2 (n_13514), .A3
+       (u_soc_u_top_u_core_alu_operand_b_ex[19]), .B1 (n_2986), .B2
+       (\u_soc_lsu_to_xbar[a_address] [19]), .Y (n_5593));
+  sky130_fd_sc_hd__a32oi_1 g437833(.A1 (n_2984), .A2 (n_13513), .A3
+       (u_soc_u_top_u_core_alu_operand_b_ex[18]), .B1 (n_2986), .B2
+       (\u_soc_lsu_to_xbar[a_address] [18]), .Y (n_5592));
+  sky130_fd_sc_hd__a32oi_1 g437834(.A1 (n_2984), .A2 (n_13512), .A3
+       (u_soc_u_top_u_core_alu_operand_b_ex[17]), .B1 (n_2986), .B2
+       (\u_soc_lsu_to_xbar[a_address] [17]), .Y (n_5591));
+  sky130_fd_sc_hd__a32oi_1 g437835(.A1 (n_2984), .A2 (n_13511), .A3
+       (u_soc_u_top_u_core_alu_operand_b_ex[16]), .B1 (n_2986), .B2
+       (\u_soc_lsu_to_xbar[a_address] [16]), .Y (n_5590));
+  sky130_fd_sc_hd__o41ai_1 g437836(.A1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .A2 (n_19), .A3 (n_1834), .A4 (n_2159), .B1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1]
+       [11]), .Y (n_5589));
+  sky130_fd_sc_hd__a22oi_1 g437837(.A1 (n_2986), .A2
+       (\u_soc_lsu_to_xbar[a_address] [15]), .B1 (n_1746), .B2
+       (\u_soc_lsu_to_xbar[a_address] [14]), .Y (n_5588));
+  sky130_fd_sc_hd__nor2_1 g437838(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_5144), .Y
+       (n_5587));
+  sky130_fd_sc_hd__a22oi_1 g437839(.A1 (n_2986), .A2
+       (\u_soc_lsu_to_xbar[a_address] [14]), .B1 (n_1746), .B2
+       (\u_soc_xbar_to_dccm[a_address] [13]), .Y (n_5586));
+  sky130_fd_sc_hd__nor2_1 g437840(.A (n_2295), .B (n_5398), .Y
+       (n_5585));
+  sky130_fd_sc_hd__o2bb2ai_1 g437841(.A1_N
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [2]), .A2_N (n_2299), .B1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [2]), .B2 (n_2299), .Y (n_5584));
+  sky130_fd_sc_hd__a22oi_1 g437842(.A1 (n_2986), .A2
+       (\u_soc_xbar_to_dccm[a_address] [3]), .B1 (n_13380), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[3]), .Y (n_5583));
+  sky130_fd_sc_hd__a22oi_1 g437843(.A1 (n_2986), .A2 (n_16012), .B1
+       (n_1746), .B2 (n_13576), .Y (n_5582));
+  sky130_fd_sc_hd__a22o_1 g437844(.A1 (n_2996), .A2 (n_1027), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [7]), .X (n_5581));
+  sky130_fd_sc_hd__a22oi_1 g437845(.A1 (n_13675), .A2 (n_3074), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]), .Y
+       (n_5580));
+  sky130_fd_sc_hd__a22oi_1 g437846(.A1 (n_13674), .A2 (n_3074), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]), .Y
+       (n_5579));
+  sky130_fd_sc_hd__a22oi_1 g437847(.A1 (n_13673), .A2 (n_3074), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]), .Y
+       (n_5578));
+  sky130_fd_sc_hd__a22oi_1 g437848(.A1 (n_13672), .A2 (n_3074), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]), .Y
+       (n_5577));
+  sky130_fd_sc_hd__a22o_1 g437849(.A1 (n_2993), .A2 (n_1027), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [5]), .X (n_5576));
+  sky130_fd_sc_hd__a22o_1 g437850(.A1 (n_2995), .A2 (n_1027), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [6]), .X (n_5575));
+  sky130_fd_sc_hd__o41ai_1 g437851(.A1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .A2
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .A3 (n_1665), .A4 (n_2159), .B1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0]
+       [11]), .Y (n_5574));
+  sky130_fd_sc_hd__o41ai_1 g437852(.A1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .A3 (n_1836), .A4 (n_2158), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0]
+       [11]), .Y (n_5573));
+  sky130_fd_sc_hd__nor3_1 g437853(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_2622), .C
+       (n_5468), .Y (n_5572));
+  sky130_fd_sc_hd__nand3_1 g437854(.A (n_5118), .B (n_2934), .C
+       (n_1978), .Y (n_5571));
+  sky130_fd_sc_hd__o21ai_0 g437855(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .A2 (n_13501), .B1
+       (n_5363), .Y (n_5570));
+  sky130_fd_sc_hd__a21oi_1 g437856(.A1 (n_3109), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B1 (n_1057), .Y (n_5569));
+  sky130_fd_sc_hd__o21ai_0 g437857(.A1 (n_13503), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[8]), .B1 (n_5363), .Y
+       (n_5568));
+  sky130_fd_sc_hd__o21ai_0 g437858(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[9]), .A2 (n_13504), .B1
+       (n_5363), .Y (n_5567));
+  sky130_fd_sc_hd__o21ai_0 g437859(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .A2 (n_13505), .B1
+       (n_5363), .Y (n_5566));
+  sky130_fd_sc_hd__o21ai_0 g437860(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .A2 (n_13506), .B1
+       (n_5363), .Y (n_5565));
+  sky130_fd_sc_hd__o21ai_0 g437861(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[12]), .A2 (n_13507), .B1
+       (n_5363), .Y (n_5564));
+  sky130_fd_sc_hd__o21ai_0 g437862(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[13]), .A2 (n_13508), .B1
+       (n_5363), .Y (n_5563));
+  sky130_fd_sc_hd__nor2_1 g437863(.A (n_1172), .B (n_5364), .Y
+       (n_5562));
+  sky130_fd_sc_hd__o21ai_1 g437864(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[22]), .A2 (n_13517), .B1
+       (n_5363), .Y (n_5561));
+  sky130_fd_sc_hd__o21ai_1 g437865(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[23]), .A2 (n_13518), .B1
+       (n_5363), .Y (n_5560));
+  sky130_fd_sc_hd__o21ai_0 g437866(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[24]), .A2 (n_13519), .B1
+       (n_5363), .Y (n_5559));
+  sky130_fd_sc_hd__nand3_1 g437867(.A (n_5090), .B (n_2928), .C
+       (n_1980), .Y (n_5558));
+  sky130_fd_sc_hd__nand2_1 g437868(.A (n_5400), .B (n_5257), .Y
+       (n_5557));
+  sky130_fd_sc_hd__nand2_1 g437869(.A (n_5400), .B (n_5256), .Y
+       (n_5556));
+  sky130_fd_sc_hd__nand2_1 g437870(.A (n_5400), .B (n_5255), .Y
+       (n_5555));
+  sky130_fd_sc_hd__xor2_1 g437871(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [2]), .B (n_3041), .X (n_5554));
+  sky130_fd_sc_hd__nand3_1 g437872(.A (n_5097), .B (n_2922), .C
+       (n_1977), .Y (n_5553));
+  sky130_fd_sc_hd__nor2_1 g437873(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .B (n_2945), .Y
+       (n_5552));
+  sky130_fd_sc_hd__nand3_1 g437874(.A (n_5098), .B (n_2943), .C
+       (n_1965), .Y (n_5551));
+  sky130_fd_sc_hd__nand2_1 g437875(.A (n_5091), .B (n_2660), .Y
+       (n_5550));
+  sky130_fd_sc_hd__nand3_1 g437876(.A (n_5094), .B (n_1945), .C
+       (n_1961), .Y (n_5549));
+  sky130_fd_sc_hd__nor3_1 g437877(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .B (n_2662), .C
+       (n_2896), .Y (n_5548));
+  sky130_fd_sc_hd__nand3_1 g437878(.A (n_2379), .B (n_2918), .C
+       (n_1976), .Y (n_5547));
+  sky130_fd_sc_hd__a21oi_1 g437879(.A1 (n_3097), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
+       [0]), .B1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
+       [1]), .Y (n_5546));
+  sky130_fd_sc_hd__a22o_1 g437880(.A1 (n_2988), .A2 (n_1027), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [3]), .X (n_5545));
+  sky130_fd_sc_hd__a21o_1 g437881(.A1 (n_3098), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B1 (n_5418), .X (n_5544));
+  sky130_fd_sc_hd__o21ai_1 g437882(.A1 (n_3098), .A2 (n_1915), .B1
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Y (n_5543));
+  sky130_fd_sc_hd__a21oi_1 g437883(.A1 (n_2298), .A2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[3]), .B1
+       (n_5408), .Y (n_5542));
+  sky130_fd_sc_hd__a21oi_1 g437884(.A1 (n_3107), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B1 (n_1084), .Y (n_5541));
+  sky130_fd_sc_hd__a22oi_1 g437885(.A1 (n_13671), .A2 (n_3074), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]), .Y
+       (n_5540));
+  sky130_fd_sc_hd__a21oi_1 g437886(.A1 (n_30), .A2 (n_112), .B1
+       (n_5364), .Y (n_5539));
+  sky130_fd_sc_hd__o21ai_1 g437887(.A1 (n_13496), .A2 (n_15943), .B1
+       (n_5363), .Y (n_5538));
+  sky130_fd_sc_hd__nand4b_1 g437888(.A_N (n_2544), .B (n_1561), .C
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .D (n_98), .Y (n_5537));
+  sky130_fd_sc_hd__a22oi_1 g437889(.A1 (n_13670), .A2 (n_3074), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]), .Y
+       (n_5536));
+  sky130_fd_sc_hd__o21ai_1 g437890(.A1 (n_13497), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .B1 (n_5363), .Y
+       (n_5535));
+  sky130_fd_sc_hd__a22o_1 g437891(.A1 (n_2990), .A2 (n_1027), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [4]), .X (n_5534));
+  sky130_fd_sc_hd__a22o_1 g437892(.A1 (n_3012), .A2 (n_1027), .B1
+       (n_1024), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0]
+       [2]), .X (n_5533));
+  sky130_fd_sc_hd__o21ai_1 g437893(.A1 (n_636), .A2 (n_3097), .B1
+       (n_1914), .Y (n_5532));
+  sky130_fd_sc_hd__a22oi_1 g437894(.A1 (n_3074), .A2 (n_13669), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]), .Y
+       (n_5531));
+  sky130_fd_sc_hd__o21ai_0 g437895(.A1 (n_13495), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B1 (n_5363), .Y
+       (n_5530));
+  sky130_fd_sc_hd__a21oi_1 g437896(.A1 (n_2921), .A2 (n_1032), .B1
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .Y (n_5529));
+  sky130_fd_sc_hd__a22oi_1 g437897(.A1 (n_3074), .A2 (n_13668), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]), .Y
+       (n_5528));
+  sky130_fd_sc_hd__o211ai_1 g437898(.A1 (n_98), .A2 (n_2291), .B1
+       (n_1085), .C1 (n_2942), .Y (n_5527));
+  sky130_fd_sc_hd__a22oi_1 g437899(.A1 (n_3074), .A2 (n_13667), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]), .Y
+       (n_5526));
+  sky130_fd_sc_hd__a21boi_1 g437900(.A1 (n_3148), .A2 (n_188), .B1_N
+       (n_5407), .Y (n_5525));
+  sky130_fd_sc_hd__o22ai_1 g437901(.A1
+       (u_soc_u_uart_u_uart_core_fifo_read_size[5]), .A2 (n_2957), .B1
+       (n_143), .B2 (n_1689), .Y (n_5524));
+  sky130_fd_sc_hd__a22oi_1 g437902(.A1 (n_3075), .A2 (n_13709), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]), .Y
+       (n_5523));
+  sky130_fd_sc_hd__a22oi_1 g437903(.A1 (n_3075), .A2 (n_13710), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]), .Y
+       (n_5522));
+  sky130_fd_sc_hd__a22oi_1 g437904(.A1 (n_3075), .A2 (n_13711), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]), .Y
+       (n_5521));
+  sky130_fd_sc_hd__a22oi_1 g437905(.A1 (n_3075), .A2 (n_13712), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]), .Y
+       (n_5520));
+  sky130_fd_sc_hd__a22oi_1 g437906(.A1 (n_3075), .A2 (n_13713), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]), .Y
+       (n_5519));
+  sky130_fd_sc_hd__a22oi_1 g437907(.A1 (n_3075), .A2 (n_13714), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]), .Y
+       (n_5518));
+  sky130_fd_sc_hd__a22oi_1 g437908(.A1 (n_3075), .A2 (n_13715), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]), .Y
+       (n_5517));
+  sky130_fd_sc_hd__a22oi_1 g437909(.A1 (n_3075), .A2 (n_13716), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]), .Y
+       (n_5516));
+  sky130_fd_sc_hd__a22oi_1 g437910(.A1 (n_3075), .A2 (n_13717), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]), .Y
+       (n_5515));
+  sky130_fd_sc_hd__a22oi_1 g437911(.A1 (n_3075), .A2 (n_13718), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]), .Y
+       (n_5514));
+  sky130_fd_sc_hd__a22oi_1 g437912(.A1 (n_3075), .A2 (n_13719), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]), .Y
+       (n_5513));
+  sky130_fd_sc_hd__a22oi_1 g437913(.A1 (n_3075), .A2 (n_13721), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]), .Y
+       (n_5512));
+  sky130_fd_sc_hd__a22oi_1 g437914(.A1 (n_3075), .A2 (n_13720), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]), .Y
+       (n_5511));
+  sky130_fd_sc_hd__a22oi_1 g437915(.A1 (n_3075), .A2 (n_13722), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]), .Y
+       (n_5510));
+  sky130_fd_sc_hd__a22oi_1 g437916(.A1 (n_3075), .A2 (n_13723), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]), .Y
+       (n_5509));
+  sky130_fd_sc_hd__a22oi_1 g437917(.A1 (n_3075), .A2 (n_13724), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]), .Y
+       (n_5508));
+  sky130_fd_sc_hd__a22oi_1 g437918(.A1 (n_3075), .A2 (n_13725), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]), .Y
+       (n_5507));
+  sky130_fd_sc_hd__a22oi_1 g437919(.A1 (n_3075), .A2 (n_13726), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]), .Y
+       (n_5506));
+  sky130_fd_sc_hd__a22oi_1 g437920(.A1 (n_3075), .A2 (n_13727), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]), .Y
+       (n_5505));
+  sky130_fd_sc_hd__a22oi_1 g437921(.A1 (n_3075), .A2 (n_13728), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]), .Y
+       (n_5504));
+  sky130_fd_sc_hd__a22oi_1 g437922(.A1 (n_3075), .A2 (n_13729), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]), .Y
+       (n_5503));
+  sky130_fd_sc_hd__a22oi_1 g437923(.A1 (n_3075), .A2 (n_13730), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]), .Y
+       (n_5502));
+  sky130_fd_sc_hd__a22oi_1 g437924(.A1 (n_3075), .A2 (n_13731), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]), .Y
+       (n_5501));
+  sky130_fd_sc_hd__a22oi_1 g437925(.A1 (n_3075), .A2 (n_13732), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]), .Y
+       (n_5500));
+  sky130_fd_sc_hd__a22oi_1 g437926(.A1 (n_3075), .A2 (n_13733), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]), .Y
+       (n_5499));
+  sky130_fd_sc_hd__a22oi_1 g437927(.A1 (n_3075), .A2 (n_13734), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]), .Y
+       (n_5498));
+  sky130_fd_sc_hd__a22oi_1 g437928(.A1 (n_3075), .A2 (n_13735), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]), .Y
+       (n_5497));
+  sky130_fd_sc_hd__a22oi_1 g437929(.A1 (n_3075), .A2 (n_13736), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]), .Y
+       (n_5496));
+  sky130_fd_sc_hd__a22oi_1 g437930(.A1 (n_3075), .A2 (n_13737), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]), .Y
+       (n_5495));
+  sky130_fd_sc_hd__a22oi_1 g437931(.A1 (n_3075), .A2 (n_13738), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]), .Y
+       (n_5494));
+  sky130_fd_sc_hd__a22oi_1 g437932(.A1 (n_3075), .A2 (n_13739), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]), .Y
+       (n_5493));
+  sky130_fd_sc_hd__a22oi_1 g437933(.A1 (n_3075), .A2 (n_13740), .B1
+       (n_2675), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]), .Y
+       (n_5492));
+  sky130_fd_sc_hd__a22oi_1 g437934(.A1 (n_3074), .A2 (n_13644), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]), .Y
+       (n_5491));
+  sky130_fd_sc_hd__a22oi_1 g437935(.A1 (n_3074), .A2 (n_13645), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]), .Y
+       (n_5490));
+  sky130_fd_sc_hd__a22oi_1 g437936(.A1 (n_3074), .A2 (n_13646), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]), .Y
+       (n_5489));
+  sky130_fd_sc_hd__a22oi_1 g437937(.A1 (n_3074), .A2 (n_13647), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]), .Y
+       (n_5488));
+  sky130_fd_sc_hd__a22oi_1 g437938(.A1 (n_3074), .A2 (n_13648), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]), .Y
+       (n_5487));
+  sky130_fd_sc_hd__a22oi_1 g437939(.A1 (n_3074), .A2 (n_13649), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]), .Y
+       (n_5486));
+  sky130_fd_sc_hd__a22oi_1 g437940(.A1 (n_3074), .A2 (n_13650), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]), .Y
+       (n_5485));
+  sky130_fd_sc_hd__a22oi_1 g437941(.A1 (n_3074), .A2 (n_13651), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]), .Y
+       (n_5484));
+  sky130_fd_sc_hd__a22oi_1 g437942(.A1 (n_3074), .A2 (n_13652), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]), .Y
+       (n_5483));
+  sky130_fd_sc_hd__a22oi_1 g437943(.A1 (n_3074), .A2 (n_13653), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]), .Y
+       (n_5482));
+  sky130_fd_sc_hd__a22oi_1 g437944(.A1 (n_3074), .A2 (n_13654), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]), .Y
+       (n_5481));
+  sky130_fd_sc_hd__a22oi_1 g437945(.A1 (n_3074), .A2 (n_13656), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]), .Y
+       (n_5480));
+  sky130_fd_sc_hd__a22oi_1 g437946(.A1 (n_3074), .A2 (n_13655), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]), .Y
+       (n_5479));
+  sky130_fd_sc_hd__a22oi_1 g437947(.A1 (n_3074), .A2 (n_13657), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]), .Y
+       (n_5478));
+  sky130_fd_sc_hd__a22oi_1 g437948(.A1 (n_3074), .A2 (n_13658), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]), .Y
+       (n_5477));
+  sky130_fd_sc_hd__a22oi_1 g437949(.A1 (n_3074), .A2 (n_13659), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]), .Y
+       (n_5476));
+  sky130_fd_sc_hd__a22oi_1 g437950(.A1 (n_3074), .A2 (n_13660), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]), .Y
+       (n_5475));
+  sky130_fd_sc_hd__a22oi_1 g437951(.A1 (n_3074), .A2 (n_13661), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]), .Y
+       (n_5474));
+  sky130_fd_sc_hd__a22oi_1 g437952(.A1 (n_3074), .A2 (n_13662), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]), .Y
+       (n_5473));
+  sky130_fd_sc_hd__a22oi_1 g437953(.A1 (n_3074), .A2 (n_13663), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]), .Y
+       (n_5472));
+  sky130_fd_sc_hd__a22oi_1 g437954(.A1 (n_3074), .A2 (n_13664), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]), .Y
+       (n_5471));
+  sky130_fd_sc_hd__a22oi_1 g437955(.A1 (n_3074), .A2 (n_13665), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]), .Y
+       (n_5470));
+  sky130_fd_sc_hd__a22oi_1 g437956(.A1 (n_3074), .A2 (n_13666), .B1
+       (n_2677), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]), .Y
+       (n_5469));
+  sky130_fd_sc_hd__nand2_1 g438739(.A (n_5412), .B (n_15946), .Y
+       (n_5713));
+  sky130_fd_sc_hd__nand2_1 g438741(.A (n_5443), .B (n_2001), .Y
+       (n_5712));
+  sky130_fd_sc_hd__nand2_1 g438743(.A (n_5446), .B (n_2001), .Y
+       (n_5711));
+  sky130_fd_sc_hd__nand2b_1 g438744(.A_N (n_13376), .B (n_5422), .Y
+       (n_5710));
+  sky130_fd_sc_hd__nor2_1 g438752(.A (n_13449), .B (n_2886), .Y
+       (n_5709));
+  sky130_fd_sc_hd__nand2_1 g438767(.A (n_5438), .B (n_2001), .Y
+       (n_5708));
+  sky130_fd_sc_hd__nand2_1 g438783(.A (n_5391), .B (n_589), .Y
+       (n_5707));
+  sky130_fd_sc_hd__nand2_1 g438791(.A (n_2302), .B (n_5392), .Y
+       (n_5706));
+  sky130_fd_sc_hd__nor2b_1 g438808(.A (n_16011), .B_N (n_5466), .Y
+       (n_5705));
+  sky130_fd_sc_hd__nor3_1 g438851(.A (n_13885), .B (n_13488), .C
+       (n_3042), .Y (n_5704));
+  sky130_fd_sc_hd__nor3_1 g438856(.A
+       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .B (n_16), .C
+       (n_3143), .Y (n_5703));
+  sky130_fd_sc_hd__or3_1 g438857(.A
+       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .B (n_556), .C
+       (n_3088), .X (n_5702));
+  sky130_fd_sc_hd__a31oi_1 g438859(.A1 (n_1401), .A2 (n_1094), .A3
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [1]), .B1 (n_5149), .Y (n_5701));
+  sky130_fd_sc_hd__o22ai_1 g438916(.A1 (n_1056), .A2 (n_3143), .B1
+       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .B2 (n_2944), .Y
+       (n_5700));
+  sky130_fd_sc_hd__nor3_1 g438920(.A (n_16), .B
+       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .C (n_3147), .Y
+       (n_5699));
+  sky130_fd_sc_hd__nand2_1 g438921(.A (n_5392), .B (n_1264), .Y
+       (n_5697));
+  sky130_fd_sc_hd__nand2_1 g438922(.A (n_2896), .B
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[4]), .Y (n_5696));
+  sky130_fd_sc_hd__nor2_1 g438923(.A (n_471), .B (n_5394), .Y (n_5695));
+  sky130_fd_sc_hd__nor2_1 g438924(.A (n_5394), .B (n_5381), .Y
+       (n_5694));
+  sky130_fd_sc_hd__nand2_1 g438925(.A (n_5391), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
+       [0]), .Y (n_5693));
+  sky130_fd_sc_hd__nand2_1 g438926(.A (n_5391), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
+       [0]), .Y (n_5691));
+  sky130_fd_sc_hd__nand2_1 g438928(.A (n_5405), .B (io_out[37]), .Y
+       (n_5690));
+  sky130_fd_sc_hd__nand2_1 g438929(.A (n_5382), .B (n_5405), .Y
+       (n_5689));
+  sky130_fd_sc_hd__nor2_1 g438930(.A (n_1231), .B (n_5394), .Y
+       (n_5688));
+  sky130_fd_sc_hd__a21oi_1 g438931(.A1 (n_1632), .A2 (n_1701), .B1
+       (n_5399), .Y (n_5687));
+  sky130_fd_sc_hd__a21oi_1 g438932(.A1 (n_1682), .A2 (n_1728), .B1
+       (n_5399), .Y (n_5686));
+  sky130_fd_sc_hd__nor2_1 g438933(.A (n_1226), .B (n_5394), .Y
+       (n_5685));
+  sky130_fd_sc_hd__a21oi_1 g438934(.A1 (n_1684), .A2 (n_1698), .B1
+       (n_5404), .Y (n_5684));
+  sky130_fd_sc_hd__nor2_1 g438935(.A (n_1229), .B (n_5394), .Y
+       (n_5683));
+  sky130_fd_sc_hd__nor2_1 g438936(.A (n_1225), .B (n_5394), .Y
+       (n_5682));
+  sky130_fd_sc_hd__nand2_1 g438937(.A (n_5378), .B (n_13384), .Y
+       (n_5681));
+  sky130_fd_sc_hd__nand2_1 g438938(.A (n_5396), .B (n_533), .Y
+       (n_5680));
+  sky130_fd_sc_hd__nor2_1 g438939(.A (n_471), .B (n_5399), .Y (n_5678));
+  sky130_fd_sc_hd__nor2_1 g438940(.A (n_5399), .B (n_5381), .Y
+       (n_5677));
+  sky130_fd_sc_hd__nand2_1 g438941(.A (n_5380), .B (n_13384), .Y
+       (n_5676));
+  sky130_fd_sc_hd__nand2_1 g438942(.A (n_5380), .B (n_13385), .Y
+       (n_5675));
+  sky130_fd_sc_hd__nand2_1 g438943(.A (n_5396), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [3]), .Y (n_5674));
+  sky130_fd_sc_hd__nand2_1 g438944(.A (n_5378), .B (n_13385), .Y
+       (n_5672));
+  sky130_fd_sc_hd__nand2_1 g438945(.A (n_5468), .B
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[3]), .Y (n_5671));
+  sky130_fd_sc_hd__a21o_1 g438946(.A1 (n_3107), .A2 (io_out[37]), .B1
+       (n_1076), .X (n_5670));
+  sky130_fd_sc_hd__nand2_1 g438947(.A (n_5139), .B (n_1084), .Y
+       (n_5669));
+  sky130_fd_sc_hd__nand2_1 g438948(.A (n_5140), .B (n_1058), .Y
+       (n_5668));
+  sky130_fd_sc_hd__nor2_1 g438949(.A (n_1231), .B (n_5397), .Y
+       (n_5667));
+  sky130_fd_sc_hd__nor2_1 g438950(.A (n_1229), .B (n_5397), .Y
+       (n_5666));
+  sky130_fd_sc_hd__nor2_1 g438951(.A (n_1225), .B (n_5397), .Y
+       (n_5665));
+  sky130_fd_sc_hd__nor2_1 g438952(.A (n_1226), .B (n_5397), .Y
+       (n_5664));
+  sky130_fd_sc_hd__nor2_1 g438955(.A (n_5395), .B (n_1755), .Y
+       (n_5663));
+  sky130_fd_sc_hd__nor2_1 g438956(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5415), .Y
+       (n_5662));
+  sky130_fd_sc_hd__nor2_1 g438957(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_15919), .Y
+       (n_5661));
+  sky130_fd_sc_hd__nor2_1 g438958(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5410), .Y
+       (n_5660));
+  sky130_fd_sc_hd__nor2_1 g438959(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5417), .Y
+       (n_5659));
+  sky130_fd_sc_hd__nor2_1 g438960(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5416), .Y
+       (n_5658));
+  sky130_fd_sc_hd__nor2b_1 g438961(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B_N (n_5406), .Y
+       (n_5657));
+  sky130_fd_sc_hd__nor2_1 g438962(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5414), .Y
+       (n_5656));
+  sky130_fd_sc_hd__nor2_1 g438963(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5403), .Y
+       (n_5655));
+  sky130_fd_sc_hd__nor2_1 g438964(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5413), .Y
+       (n_5654));
+  sky130_fd_sc_hd__nor2_1 g438965(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .B (n_5406), .Y
+       (n_5653));
+  sky130_fd_sc_hd__or2_2 g438966(.A
+       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .B (n_5401), .X
+       (n_5652));
+  sky130_fd_sc_hd__nor2_1 g438967(.A
+       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .B (n_5357), .Y
+       (n_5651));
+  sky130_fd_sc_hd__nor2_1 g438968(.A
+       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .B (n_5361), .Y
+       (n_5649));
+  sky130_fd_sc_hd__nor2_1 g438969(.A (n_16), .B (n_5357), .Y (n_5647));
+  sky130_fd_sc_hd__a21oi_1 g438970(.A1 (n_3109), .A2 (io_out[37]), .B1
+       (n_1097), .Y (n_5645));
+  sky130_fd_sc_hd__or3b_2 g438971(.A (n_1693), .B (n_3124), .C_N
+       (n_1086), .X (n_5643));
+  sky130_fd_sc_hd__nand2_1 g438972(.A (n_5146), .B (n_1057), .Y
+       (n_5642));
+  sky130_fd_sc_hd__clkinv_1 g438973(.A (n_2890), .Y (n_5468));
+  sky130_fd_sc_hd__inv_1 g438974(.A (n_5425), .Y (n_5426));
+  sky130_fd_sc_hd__inv_2 g438975(.A (n_5404), .Y (n_5405));
+  sky130_fd_sc_hd__inv_1 g438976(.A (n_15919), .Y (n_5403));
+  sky130_fd_sc_hd__inv_1 g438977(.A (n_5396), .Y (n_5395));
+  sky130_fd_sc_hd__inv_1 g438978(.A (n_5393), .Y (n_5392));
+  sky130_fd_sc_hd__inv_2 g438979(.A (n_5388), .Y (n_5387));
+  sky130_fd_sc_hd__inv_2 g438980(.A (n_5386), .Y (n_5385));
+  sky130_fd_sc_hd__inv_2 g438981(.A (n_5384), .Y (n_5383));
+  sky130_fd_sc_hd__inv_1 g438982(.A (n_5382), .Y (n_5381));
+  sky130_fd_sc_hd__inv_2 g438983(.A (n_5380), .Y (n_5379));
+  sky130_fd_sc_hd__inv_2 g438984(.A (n_5378), .Y (n_5377));
+  sky130_fd_sc_hd__inv_1 g438985(.A (n_5376), .Y (n_5375));
+  sky130_fd_sc_hd__clkinv_1 g438986(.A (n_5374), .Y (n_5373));
+  sky130_fd_sc_hd__inv_2 g438988(.A (n_5364), .Y (n_5363));
+  sky130_fd_sc_hd__inv_2 g438989(.A (n_5362), .Y (n_5361));
+  sky130_fd_sc_hd__inv_2 g438991(.A (n_5358), .Y (n_5357));
+  sky130_fd_sc_hd__o2bb2ai_1 g438992(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [3]), .A2_N
+       (n_2879), .B1 (n_2218), .B2 (n_2052), .Y (n_5325));
+  sky130_fd_sc_hd__o2bb2ai_1 g438993(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [7]), .A2_N
+       (n_2828), .B1 (n_2081), .B2 (n_1994), .Y (n_5324));
+  sky130_fd_sc_hd__o2bb2ai_1 g438994(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [6]), .A2_N
+       (n_2828), .B1 (n_2016), .B2 (n_1994), .Y (n_5323));
+  sky130_fd_sc_hd__o2bb2ai_1 g438995(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [4]), .A2_N
+       (n_2828), .B1 (n_2107), .B2 (n_1994), .Y (n_5322));
+  sky130_fd_sc_hd__o2bb2ai_1 g438996(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [3]), .A2_N
+       (n_2828), .B1 (n_2108), .B2 (n_1994), .Y (n_5321));
+  sky130_fd_sc_hd__o2bb2ai_1 g438997(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [2]), .A2_N
+       (n_2828), .B1 (n_2112), .B2 (n_1994), .Y (n_5320));
+  sky130_fd_sc_hd__o2bb2ai_1 g438998(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [1]), .A2_N
+       (n_2828), .B1 (n_2106), .B2 (n_1994), .Y (n_5319));
+  sky130_fd_sc_hd__o2bb2ai_1 g438999(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [8]), .A2_N
+       (n_2426), .B1 (n_2134), .B2 (n_2217), .Y (n_5318));
+  sky130_fd_sc_hd__o2bb2ai_1 g439000(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [7]), .A2_N
+       (n_2426), .B1 (n_2081), .B2 (n_2217), .Y (n_5317));
+  sky130_fd_sc_hd__o2bb2ai_1 g439001(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [6]), .A2_N
+       (n_2426), .B1 (n_2016), .B2 (n_2217), .Y (n_5316));
+  sky130_fd_sc_hd__o2bb2ai_1 g439002(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [5]), .A2_N
+       (n_2426), .B1 (n_2102), .B2 (n_2217), .Y (n_5315));
+  sky130_fd_sc_hd__o2bb2ai_1 g439003(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [1]), .A2_N
+       (n_2512), .B1 (n_2223), .B2 (n_2059), .Y (n_5314));
+  sky130_fd_sc_hd__o2bb2ai_1 g439004(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [4]), .A2_N
+       (n_2426), .B1 (n_2107), .B2 (n_2217), .Y (n_5313));
+  sky130_fd_sc_hd__o2bb2ai_1 g439005(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [3]), .A2_N
+       (n_2426), .B1 (n_2108), .B2 (n_2217), .Y (n_5312));
+  sky130_fd_sc_hd__o2bb2ai_1 g439006(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [2]), .A2_N
+       (n_2426), .B1 (n_2112), .B2 (n_2217), .Y (n_5311));
+  sky130_fd_sc_hd__o2bb2ai_1 g439007(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [1]), .A2_N
+       (n_2426), .B1 (n_2106), .B2 (n_2217), .Y (n_5310));
+  sky130_fd_sc_hd__o2bb2ai_1 g439008(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [7]), .A2_N
+       (n_2863), .B1 (n_2081), .B2 (n_2230), .Y (n_5309));
+  sky130_fd_sc_hd__o2bb2ai_1 g439009(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [6]), .A2_N
+       (n_2863), .B1 (n_2016), .B2 (n_2230), .Y (n_5308));
+  sky130_fd_sc_hd__o2bb2ai_1 g439010(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [5]), .A2_N
+       (n_2863), .B1 (n_2102), .B2 (n_2230), .Y (n_5307));
+  sky130_fd_sc_hd__a22oi_1 g439011(.A1 (n_2009), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [4]), .B1 (n_2534), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [4]), .Y (n_5306));
+  sky130_fd_sc_hd__o2bb2ai_1 g439012(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [4]), .A2_N
+       (n_2863), .B1 (n_2107), .B2 (n_2230), .Y (n_5305));
+  sky130_fd_sc_hd__o2bb2ai_1 g439013(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [3]), .A2_N
+       (n_2863), .B1 (n_2108), .B2 (n_2230), .Y (n_5304));
+  sky130_fd_sc_hd__o2bb2ai_1 g439014(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [2]), .A2_N
+       (n_2863), .B1 (n_2112), .B2 (n_2230), .Y (n_5303));
+  sky130_fd_sc_hd__o2bb2ai_1 g439015(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [3]), .A2_N
+       (n_2814), .B1 (n_2215), .B2 (n_2073), .Y (n_5302));
+  sky130_fd_sc_hd__o2bb2ai_1 g439016(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [1]), .A2_N
+       (n_2863), .B1 (n_2106), .B2 (n_2230), .Y (n_5301));
+  sky130_fd_sc_hd__o2bb2ai_1 g439017(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [8]), .A2_N
+       (n_2449), .B1 (n_2219), .B2 (n_2091), .Y (n_5300));
+  sky130_fd_sc_hd__o2bb2ai_1 g439018(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [7]), .A2_N
+       (n_2449), .B1 (n_2219), .B2 (n_2095), .Y (n_5299));
+  sky130_fd_sc_hd__o2bb2ai_1 g439019(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [6]), .A2_N
+       (n_2449), .B1 (n_2219), .B2 (n_2070), .Y (n_5298));
+  sky130_fd_sc_hd__o2bb2ai_1 g439020(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [5]), .A2_N
+       (n_2449), .B1 (n_2219), .B2 (n_2071), .Y (n_5297));
+  sky130_fd_sc_hd__o2bb2ai_1 g439021(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [4]), .A2_N
+       (n_2449), .B1 (n_2219), .B2 (n_2035), .Y (n_5296));
+  sky130_fd_sc_hd__o2bb2ai_1 g439022(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [3]), .A2_N
+       (n_2449), .B1 (n_2219), .B2 (n_2017), .Y (n_5295));
+  sky130_fd_sc_hd__o2bb2ai_1 g439023(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [1]), .A2_N
+       (n_2449), .B1 (n_2219), .B2 (n_2130), .Y (n_5294));
+  sky130_fd_sc_hd__o2bb2ai_1 g439024(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [7]), .A2_N
+       (n_2699), .B1 (n_2222), .B2 (n_2075), .Y (n_5293));
+  sky130_fd_sc_hd__o2bb2ai_1 g439025(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [8]), .A2_N
+       (n_2827), .B1 (n_1994), .B2 (n_2091), .Y (n_5292));
+  sky130_fd_sc_hd__o2bb2ai_1 g439026(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [7]), .A2_N
+       (n_2827), .B1 (n_1994), .B2 (n_2095), .Y (n_5291));
+  sky130_fd_sc_hd__o2bb2ai_1 g439027(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [6]), .A2_N
+       (n_2827), .B1 (n_1994), .B2 (n_2070), .Y (n_5290));
+  sky130_fd_sc_hd__o2bb2ai_1 g439028(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [5]), .A2_N
+       (n_2827), .B1 (n_1994), .B2 (n_2071), .Y (n_5289));
+  sky130_fd_sc_hd__o2bb2ai_1 g439029(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [4]), .A2_N
+       (n_2827), .B1 (n_1994), .B2 (n_2035), .Y (n_5288));
+  sky130_fd_sc_hd__o2bb2ai_1 g439030(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [3]), .A2_N
+       (n_2827), .B1 (n_1994), .B2 (n_2017), .Y (n_5287));
+  sky130_fd_sc_hd__o2bb2ai_1 g439031(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [2]), .A2_N
+       (n_2827), .B1 (n_1994), .B2 (n_2037), .Y (n_5286));
+  sky130_fd_sc_hd__o2bb2ai_1 g439032(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [1]), .A2_N
+       (n_2827), .B1 (n_1994), .B2 (n_2130), .Y (n_5285));
+  sky130_fd_sc_hd__o2bb2ai_1 g439033(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [8]), .A2_N
+       (n_2428), .B1 (n_2217), .B2 (n_2091), .Y (n_5284));
+  sky130_fd_sc_hd__o2bb2ai_1 g439034(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [7]), .A2_N
+       (n_2428), .B1 (n_2217), .B2 (n_2095), .Y (n_5283));
+  sky130_fd_sc_hd__o2bb2ai_1 g439035(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [6]), .A2_N
+       (n_2428), .B1 (n_2217), .B2 (n_2070), .Y (n_5282));
+  sky130_fd_sc_hd__o2bb2ai_1 g439036(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [5]), .A2_N
+       (n_2428), .B1 (n_2217), .B2 (n_2071), .Y (n_5281));
+  sky130_fd_sc_hd__o2bb2ai_1 g439037(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [4]), .A2_N
+       (n_2428), .B1 (n_2217), .B2 (n_2035), .Y (n_5280));
+  sky130_fd_sc_hd__o2bb2ai_1 g439038(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [3]), .A2_N
+       (n_2428), .B1 (n_2217), .B2 (n_2017), .Y (n_5279));
+  sky130_fd_sc_hd__o2bb2ai_1 g439039(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [2]), .A2_N
+       (n_2428), .B1 (n_2217), .B2 (n_2037), .Y (n_5278));
+  sky130_fd_sc_hd__a22oi_1 g439040(.A1 (n_2009), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [3]), .B1 (n_2534), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [3]), .Y (n_5277));
+  sky130_fd_sc_hd__o2bb2ai_1 g439041(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [7]), .A2_N
+       (n_2849), .B1 (n_2024), .B2 (n_2223), .Y (n_5276));
+  sky130_fd_sc_hd__o2bb2ai_1 g439042(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [1]), .A2_N
+       (n_2428), .B1 (n_2217), .B2 (n_2130), .Y (n_5275));
+  sky130_fd_sc_hd__nand2_1 g439043(.A (n_2982), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [9]), .Y (n_5274));
+  sky130_fd_sc_hd__nand2_1 g439044(.A (n_2987), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [17]), .Y (n_5273));
+  sky130_fd_sc_hd__nand2_1 g439045(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [9]), .Y (n_5272));
+  sky130_fd_sc_hd__nand2_1 g439046(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [10]), .Y (n_5271));
+  sky130_fd_sc_hd__nand2_1 g439047(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [18]), .Y (n_5270));
+  sky130_fd_sc_hd__nand2_1 g439048(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [26]), .Y (n_5269));
+  sky130_fd_sc_hd__nand2_1 g439049(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [19]), .Y (n_5268));
+  sky130_fd_sc_hd__nand2_1 g439050(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [11]), .Y (n_5267));
+  sky130_fd_sc_hd__nand2_1 g439051(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [27]), .Y (n_5266));
+  sky130_fd_sc_hd__nand2_1 g439052(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [28]), .Y (n_5265));
+  sky130_fd_sc_hd__nand2_1 g439053(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [20]), .Y (n_5264));
+  sky130_fd_sc_hd__nand2_1 g439054(.A (n_2987), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [12]), .Y (n_5263));
+  sky130_fd_sc_hd__nand2_1 g439055(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [25]), .Y (n_5262));
+  sky130_fd_sc_hd__nand2_1 g439056(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [13]), .Y (n_5261));
+  sky130_fd_sc_hd__nand2_1 g439057(.A (n_3110), .B (n_1083), .Y
+       (n_5260));
+  sky130_fd_sc_hd__nand2_1 g439058(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [21]), .Y (n_5259));
+  sky130_fd_sc_hd__nand2_1 g439059(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [29]), .Y (n_5258));
+  sky130_fd_sc_hd__nand2_1 g439060(.A (n_3003), .B
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [1]), .Y (n_5257));
+  sky130_fd_sc_hd__nand2_1 g439061(.A (n_3003), .B
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [3]), .Y (n_5256));
+  sky130_fd_sc_hd__nand2_1 g439062(.A (n_3003), .B
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [4]), .Y (n_5255));
+  sky130_fd_sc_hd__nand2_1 g439063(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [14]), .Y (n_5254));
+  sky130_fd_sc_hd__nand2_1 g439064(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [30]), .Y (n_5253));
+  sky130_fd_sc_hd__nand2_1 g439065(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [7]), .Y (n_5252));
+  sky130_fd_sc_hd__nand2_1 g439066(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [15]), .Y (n_5251));
+  sky130_fd_sc_hd__nand2_1 g439067(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [23]), .Y (n_5250));
+  sky130_fd_sc_hd__nand2_1 g439068(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [31]), .Y (n_5249));
+  sky130_fd_sc_hd__nand2_1 g439069(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [8]), .Y (n_5248));
+  sky130_fd_sc_hd__nand2_1 g439070(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [32]), .Y (n_5247));
+  sky130_fd_sc_hd__nand2_1 g439071(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [24]), .Y (n_5246));
+  sky130_fd_sc_hd__nand2_1 g439072(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [16]), .Y (n_5245));
+  sky130_fd_sc_hd__o2bb2ai_1 g439073(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [8]), .A2_N
+       (n_2862), .B1 (n_2230), .B2 (n_2091), .Y (n_5244));
+  sky130_fd_sc_hd__o2bb2ai_1 g439074(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [7]), .A2_N
+       (n_2862), .B1 (n_2230), .B2 (n_2095), .Y (n_5243));
+  sky130_fd_sc_hd__o2bb2ai_1 g439075(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [5]), .A2_N
+       (n_2862), .B1 (n_2230), .B2 (n_2071), .Y (n_5242));
+  sky130_fd_sc_hd__a22o_1 g439076(.A1 (n_2807), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [6]), .B1
+       (n_2047), .B2 (n_2214), .X (n_5241));
+  sky130_fd_sc_hd__nand2_1 g439077(.A (n_3003), .B
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [2]), .Y (n_5240));
+  sky130_fd_sc_hd__nand2_1 g439078(.A (n_2985), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [22]), .Y (n_5239));
+  sky130_fd_sc_hd__o2bb2ai_1 g439079(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [4]), .A2_N
+       (n_2862), .B1 (n_2230), .B2 (n_2035), .Y (n_5238));
+  sky130_fd_sc_hd__o2bb2ai_1 g439080(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [3]), .A2_N
+       (n_2862), .B1 (n_2230), .B2 (n_2017), .Y (n_5237));
+  sky130_fd_sc_hd__o2bb2ai_1 g439081(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [1]), .A2_N
+       (n_2862), .B1 (n_2230), .B2 (n_2130), .Y (n_5236));
+  sky130_fd_sc_hd__a22o_1 g439082(.A1 (n_2842), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [7]), .B1
+       (n_2228), .B2 (n_2025), .X (n_5235));
+  sky130_fd_sc_hd__o2bb2ai_1 g439083(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [7]), .A2_N
+       (n_2424), .B1 (n_2081), .B2 (n_2220), .Y (n_5234));
+  sky130_fd_sc_hd__o2bb2ai_1 g439084(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [6]), .A2_N
+       (n_2424), .B1 (n_2016), .B2 (n_2220), .Y (n_5233));
+  sky130_fd_sc_hd__o2bb2ai_1 g439085(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [5]), .A2_N
+       (n_2424), .B1 (n_2102), .B2 (n_2220), .Y (n_5232));
+  sky130_fd_sc_hd__o2bb2ai_1 g439086(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [4]), .A2_N
+       (n_2424), .B1 (n_2107), .B2 (n_2220), .Y (n_5231));
+  sky130_fd_sc_hd__o2bb2ai_1 g439087(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [3]), .A2_N
+       (n_2424), .B1 (n_2108), .B2 (n_2220), .Y (n_5230));
+  sky130_fd_sc_hd__o2bb2ai_1 g439088(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [2]), .A2_N
+       (n_2424), .B1 (n_2112), .B2 (n_2220), .Y (n_5229));
+  sky130_fd_sc_hd__o2bb2ai_1 g439089(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [1]), .A2_N
+       (n_2424), .B1 (n_2106), .B2 (n_2220), .Y (n_5228));
+  sky130_fd_sc_hd__o2bb2ai_1 g439090(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [8]), .A2_N
+       (n_2746), .B1 (n_2134), .B2 (n_2224), .Y (n_5227));
+  sky130_fd_sc_hd__o2bb2ai_1 g439091(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [6]), .A2_N
+       (n_2746), .B1 (n_2016), .B2 (n_2224), .Y (n_5226));
+  sky130_fd_sc_hd__nand2_1 g439092(.A (n_2986), .B
+       (\u_soc_xbar_to_dccm[a_address] [6]), .Y (n_5225));
+  sky130_fd_sc_hd__o2bb2ai_1 g439093(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [4]), .A2_N
+       (n_2746), .B1 (n_2107), .B2 (n_2224), .Y (n_5224));
+  sky130_fd_sc_hd__nand2_1 g439094(.A (n_2986), .B
+       (u_soc_u_top_u_core_alu_adder_result_ex[1]), .Y (n_5223));
+  sky130_fd_sc_hd__o2bb2ai_1 g439095(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [3]), .A2_N
+       (n_2746), .B1 (n_2108), .B2 (n_2224), .Y (n_5222));
+  sky130_fd_sc_hd__o2bb2ai_1 g439096(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [2]), .A2_N
+       (n_2746), .B1 (n_2112), .B2 (n_2224), .Y (n_5221));
+  sky130_fd_sc_hd__o2bb2ai_1 g439097(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [1]), .A2_N
+       (n_2746), .B1 (n_2106), .B2 (n_2224), .Y (n_5220));
+  sky130_fd_sc_hd__o2bb2ai_1 g439098(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [8]), .A2_N
+       (n_2878), .B1 (n_2134), .B2 (n_2216), .Y (n_5219));
+  sky130_fd_sc_hd__o2bb2ai_1 g439099(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [7]), .A2_N
+       (n_2878), .B1 (n_2081), .B2 (n_2216), .Y (n_5218));
+  sky130_fd_sc_hd__o2bb2ai_1 g439100(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [6]), .A2_N
+       (n_2878), .B1 (n_2016), .B2 (n_2216), .Y (n_5217));
+  sky130_fd_sc_hd__o2bb2ai_1 g439101(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [5]), .A2_N
+       (n_2878), .B1 (n_2102), .B2 (n_2216), .Y (n_5216));
+  sky130_fd_sc_hd__o2bb2ai_1 g439102(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [4]), .A2_N
+       (n_2878), .B1 (n_2107), .B2 (n_2216), .Y (n_5215));
+  sky130_fd_sc_hd__o2bb2ai_1 g439103(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [3]), .A2_N
+       (n_2878), .B1 (n_2108), .B2 (n_2216), .Y (n_5214));
+  sky130_fd_sc_hd__o2bb2ai_1 g439104(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [2]), .A2_N
+       (n_2878), .B1 (n_2112), .B2 (n_2216), .Y (n_5213));
+  sky130_fd_sc_hd__o2bb2ai_1 g439105(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [1]), .A2_N
+       (n_2878), .B1 (n_2106), .B2 (n_2216), .Y (n_5212));
+  sky130_fd_sc_hd__o2bb2ai_1 g439106(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [7]), .A2_N
+       (n_2816), .B1 (n_2081), .B2 (n_2218), .Y (n_5211));
+  sky130_fd_sc_hd__o2bb2ai_1 g439107(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [6]), .A2_N
+       (n_2816), .B1 (n_2016), .B2 (n_2218), .Y (n_5210));
+  sky130_fd_sc_hd__o2bb2ai_1 g439108(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [5]), .A2_N
+       (n_2816), .B1 (n_2102), .B2 (n_2218), .Y (n_5209));
+  sky130_fd_sc_hd__o2bb2ai_1 g439109(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [4]), .A2_N
+       (n_2816), .B1 (n_2107), .B2 (n_2218), .Y (n_5208));
+  sky130_fd_sc_hd__o2bb2ai_1 g439110(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [3]), .A2_N
+       (n_2816), .B1 (n_2108), .B2 (n_2218), .Y (n_5207));
+  sky130_fd_sc_hd__o2bb2ai_1 g439111(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [2]), .A2_N
+       (n_2816), .B1 (n_2112), .B2 (n_2218), .Y (n_5206));
+  sky130_fd_sc_hd__o2bb2ai_1 g439112(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [1]), .A2_N
+       (n_2816), .B1 (n_2106), .B2 (n_2218), .Y (n_5205));
+  sky130_fd_sc_hd__a22o_1 g439113(.A1 (n_2779), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [3]), .B1
+       (n_2072), .B2 (n_2252), .X (n_5204));
+  sky130_fd_sc_hd__o2bb2ai_1 g439114(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [8]), .A2_N
+       (n_2429), .B1 (n_2220), .B2 (n_2091), .Y (n_5203));
+  sky130_fd_sc_hd__o2bb2ai_1 g439115(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [7]), .A2_N
+       (n_2429), .B1 (n_2220), .B2 (n_2095), .Y (n_5202));
+  sky130_fd_sc_hd__o2bb2ai_1 g439116(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [6]), .A2_N
+       (n_2429), .B1 (n_2220), .B2 (n_2070), .Y (n_5201));
+  sky130_fd_sc_hd__o2bb2ai_1 g439117(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [5]), .A2_N
+       (n_2429), .B1 (n_2220), .B2 (n_2071), .Y (n_5200));
+  sky130_fd_sc_hd__o2bb2ai_1 g439118(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [4]), .A2_N
+       (n_2429), .B1 (n_2220), .B2 (n_2035), .Y (n_5199));
+  sky130_fd_sc_hd__o2bb2ai_1 g439119(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [3]), .A2_N
+       (n_2429), .B1 (n_2220), .B2 (n_2017), .Y (n_5198));
+  sky130_fd_sc_hd__nand2_1 g439120(.A (n_2986), .B
+       (\u_soc_xbar_to_dccm[a_address] [2]), .Y (n_5197));
+  sky130_fd_sc_hd__o2bb2ai_1 g439121(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [2]), .A2_N
+       (n_2429), .B1 (n_2220), .B2 (n_2037), .Y (n_5196));
+  sky130_fd_sc_hd__o2bb2ai_1 g439122(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [1]), .A2_N
+       (n_2429), .B1 (n_2220), .B2 (n_2130), .Y (n_5195));
+  sky130_fd_sc_hd__o2bb2ai_1 g439123(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [8]), .A2_N
+       (n_2745), .B1 (n_2224), .B2 (n_2091), .Y (n_5194));
+  sky130_fd_sc_hd__nand2_1 g439124(.A (n_3096), .B (n_2287), .Y
+       (n_5193));
+  sky130_fd_sc_hd__o2bb2ai_1 g439125(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [1]), .A2_N
+       (n_2457), .B1 (n_2217), .B2 (n_2059), .Y (n_5192));
+  sky130_fd_sc_hd__o2bb2ai_1 g439126(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [6]), .A2_N
+       (n_2745), .B1 (n_2224), .B2 (n_2070), .Y (n_5191));
+  sky130_fd_sc_hd__o2bb2ai_1 g439127(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [5]), .A2_N
+       (n_2745), .B1 (n_2224), .B2 (n_2071), .Y (n_5190));
+  sky130_fd_sc_hd__o2bb2ai_1 g439128(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [4]), .A2_N
+       (n_2745), .B1 (n_2224), .B2 (n_2035), .Y (n_5189));
+  sky130_fd_sc_hd__o2bb2ai_1 g439129(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [3]), .A2_N
+       (n_2745), .B1 (n_2224), .B2 (n_2017), .Y (n_5188));
+  sky130_fd_sc_hd__o2bb2ai_1 g439130(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [8]), .A2_N
+       (n_2497), .B1 (n_2231), .B2 (n_2128), .Y (n_5187));
+  sky130_fd_sc_hd__o2bb2ai_1 g439131(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [2]), .A2_N
+       (n_2745), .B1 (n_2224), .B2 (n_2037), .Y (n_5186));
+  sky130_fd_sc_hd__a22o_1 g439132(.A1 (n_2464), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [3]), .B1
+       (n_2096), .B2 (n_2235), .X (n_5185));
+  sky130_fd_sc_hd__o2bb2ai_1 g439133(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [1]), .A2_N
+       (n_2745), .B1 (n_2224), .B2 (n_2130), .Y (n_5184));
+  sky130_fd_sc_hd__o2bb2ai_1 g439134(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [8]), .A2_N
+       (n_2883), .B1 (n_2216), .B2 (n_2091), .Y (n_5183));
+  sky130_fd_sc_hd__o2bb2ai_1 g439135(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [7]), .A2_N
+       (n_2883), .B1 (n_2216), .B2 (n_2095), .Y (n_5182));
+  sky130_fd_sc_hd__o2bb2ai_1 g439136(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [6]), .A2_N
+       (n_2883), .B1 (n_2216), .B2 (n_2070), .Y (n_5181));
+  sky130_fd_sc_hd__o2bb2ai_1 g439137(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [5]), .A2_N
+       (n_2883), .B1 (n_2216), .B2 (n_2071), .Y (n_5180));
+  sky130_fd_sc_hd__o2bb2ai_1 g439138(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [4]), .A2_N
+       (n_2883), .B1 (n_2216), .B2 (n_2035), .Y (n_5179));
+  sky130_fd_sc_hd__o2bb2ai_1 g439139(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [3]), .A2_N
+       (n_2883), .B1 (n_2216), .B2 (n_2017), .Y (n_5178));
+  sky130_fd_sc_hd__o2bb2ai_1 g439140(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [2]), .A2_N
+       (n_2883), .B1 (n_2216), .B2 (n_2037), .Y (n_5177));
+  sky130_fd_sc_hd__o2bb2ai_1 g439141(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [1]), .A2_N
+       (n_2883), .B1 (n_2216), .B2 (n_2130), .Y (n_5176));
+  sky130_fd_sc_hd__o2bb2ai_1 g439142(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [8]), .A2_N
+       (n_2815), .B1 (n_2218), .B2 (n_2091), .Y (n_5175));
+  sky130_fd_sc_hd__o2bb2ai_1 g439143(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [7]), .A2_N
+       (n_2815), .B1 (n_2218), .B2 (n_2095), .Y (n_5174));
+  sky130_fd_sc_hd__o2bb2ai_1 g439144(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [1]), .A2_N
+       (n_2749), .B1 (n_2218), .B2 (n_2087), .Y (n_5173));
+  sky130_fd_sc_hd__o2bb2ai_1 g439145(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [5]), .A2_N
+       (n_2815), .B1 (n_2218), .B2 (n_2071), .Y (n_5172));
+  sky130_fd_sc_hd__a21oi_1 g439146(.A1 (n_2298), .A2 (n_2186), .B1
+       (n_1751), .Y (n_5171));
+  sky130_fd_sc_hd__a221oi_1 g439147(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]), .B1
+       (n_2242), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]), .C1
+       (n_2924), .Y (n_5170));
+  sky130_fd_sc_hd__o2bb2ai_1 g439148(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [4]), .A2_N
+       (n_2815), .B1 (n_2218), .B2 (n_2035), .Y (n_5169));
+  sky130_fd_sc_hd__o31ai_1 g439149(.A1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [2]), .A2 (n_1918), .A3 (n_1762), .B1 (n_2979), .Y (n_5168));
+  sky130_fd_sc_hd__o2bb2ai_1 g439150(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [3]), .A2_N
+       (n_2815), .B1 (n_2218), .B2 (n_2017), .Y (n_5167));
+  sky130_fd_sc_hd__o2bb2ai_1 g439151(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [2]), .A2_N
+       (n_2815), .B1 (n_2218), .B2 (n_2037), .Y (n_5166));
+  sky130_fd_sc_hd__a221o_1 g439152(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[0]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [0]), .C1 (n_2936), .X (n_5165));
+  sky130_fd_sc_hd__o2bb2ai_1 g439153(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [8]), .A2_N
+       (n_2511), .B1 (n_2219), .B2 (n_2065), .Y (n_5164));
+  sky130_fd_sc_hd__o2bb2ai_1 g439154(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [1]), .A2_N
+       (n_2815), .B1 (n_2218), .B2 (n_2130), .Y (n_5163));
+  sky130_fd_sc_hd__a21o_1 g439155(.A1 (n_2536), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [1]), .B1 (n_3113), .X (n_5162));
+  sky130_fd_sc_hd__a21o_1 g439156(.A1 (n_2536), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [2]), .B1 (n_3113), .X (n_5161));
+  sky130_fd_sc_hd__a21o_1 g439157(.A1 (n_2536), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [3]), .B1 (n_3113), .X (n_5160));
+  sky130_fd_sc_hd__a21o_1 g439158(.A1 (n_2536), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [4]), .B1 (n_3113), .X (n_5159));
+  sky130_fd_sc_hd__a21o_1 g439159(.A1 (n_2889), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
+       [1]), .B1 (n_3114), .X (n_5158));
+  sky130_fd_sc_hd__a21o_1 g439160(.A1 (n_2889), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
+       [2]), .B1 (n_3114), .X (n_5157));
+  sky130_fd_sc_hd__a21o_1 g439161(.A1 (n_2889), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
+       [3]), .B1 (n_3114), .X (n_5156));
+  sky130_fd_sc_hd__a21o_1 g439162(.A1 (n_2889), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
+       [4]), .B1 (n_3114), .X (n_5155));
+  sky130_fd_sc_hd__a21o_1 g439163(.A1 (n_2535), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
+       [1]), .B1 (n_3112), .X (n_5154));
+  sky130_fd_sc_hd__a21o_1 g439164(.A1 (n_2535), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
+       [2]), .B1 (n_3112), .X (n_5153));
+  sky130_fd_sc_hd__a21o_1 g439165(.A1 (n_2535), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
+       [3]), .B1 (n_3112), .X (n_5152));
+  sky130_fd_sc_hd__a21o_1 g439166(.A1 (n_2535), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
+       [4]), .B1 (n_3112), .X (n_5151));
+  sky130_fd_sc_hd__o31ai_1 g439167(.A1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [2]), .A2 (n_1917), .A3 (n_1761), .B1 (n_3072), .Y (n_5150));
+  sky130_fd_sc_hd__o31ai_1 g439168(.A1
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .A3 (n_1724), .B1 (n_2937), .Y (n_5149));
+  sky130_fd_sc_hd__o31ai_1 g439169(.A1 (n_10), .A2 (n_1531), .A3
+       (n_1909), .B1 (n_2968), .Y (n_5148));
+  sky130_fd_sc_hd__o21a_1 g439170(.A1 (n_1450), .A2 (n_2901), .B1
+       (n_3141), .X (n_5147));
+  sky130_fd_sc_hd__a21oi_1 g439171(.A1 (n_1458), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B1 (n_3124), .Y (n_5146));
+  sky130_fd_sc_hd__nor3_1 g439172(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .B (n_13473), .C
+       (n_2364), .Y (n_5145));
+  sky130_fd_sc_hd__a32oi_1 g439173(.A1 (n_1535), .A2 (n_1066), .A3
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[3]), .B1 (n_1738), .B2
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[4]), .Y (n_5144));
+  sky130_fd_sc_hd__nand3_1 g439174(.A (n_1259), .B (n_13610), .C
+       (n_2372), .Y (n_5143));
+  sky130_fd_sc_hd__o2bb2ai_1 g439175(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [8]), .A2_N
+       (n_2789), .B1 (n_2222), .B2 (n_2113), .Y (n_5142));
+  sky130_fd_sc_hd__o21a_1 g439176(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .A2 (n_2542), .B1 (n_3137), .X (n_5141));
+  sky130_fd_sc_hd__a21oi_1 g439177(.A1 (n_1092), .A2 (n_1063), .B1
+       (n_3123), .Y (n_5140));
+  sky130_fd_sc_hd__a21oi_1 g439178(.A1 (n_1092), .A2 (n_1091), .B1
+       (n_3123), .Y (n_5139));
+  sky130_fd_sc_hd__o21ai_1 g439179(.A1 (n_1831), .A2 (n_2543), .B1
+       (n_3065), .Y (n_5138));
+  sky130_fd_sc_hd__o31ai_1 g439180(.A1 (n_1085), .A2 (n_15927), .A3
+       (n_2544), .B1 (n_3045), .Y (n_5137));
+  sky130_fd_sc_hd__nand3_1 g439181(.A (n_2984), .B (n_15943), .C
+       (n_13496), .Y (n_5136));
+  sky130_fd_sc_hd__nand3_1 g439182(.A (n_2984), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .C (n_13499), .Y
+       (n_5135));
+  sky130_fd_sc_hd__nand3_1 g439183(.A (n_2984), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .C (n_13500), .Y
+       (n_5134));
+  sky130_fd_sc_hd__nand3_1 g439184(.A (n_2984), .B (n_13501), .C
+       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .Y (n_5133));
+  sky130_fd_sc_hd__nand3_1 g439185(.A (n_2984), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[8]), .C (n_13503), .Y
+       (n_5132));
+  sky130_fd_sc_hd__nand3_1 g439186(.A (n_2984), .B (n_13504), .C
+       (u_soc_u_top_u_core_alu_operand_b_ex[9]), .Y (n_5131));
+  sky130_fd_sc_hd__nand3_1 g439187(.A (n_2984), .B (n_13505), .C
+       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .Y (n_5130));
+  sky130_fd_sc_hd__nand3_1 g439188(.A (n_2984), .B (n_13506), .C
+       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .Y (n_5129));
+  sky130_fd_sc_hd__nand3_1 g439189(.A (n_2984), .B (n_13507), .C
+       (u_soc_u_top_u_core_alu_operand_b_ex[12]), .Y (n_5128));
+  sky130_fd_sc_hd__nand3_1 g439190(.A (n_2984), .B (n_13508), .C
+       (u_soc_u_top_u_core_alu_operand_b_ex[13]), .Y (n_5127));
+  sky130_fd_sc_hd__nand3_1 g439191(.A (n_2984), .B (n_13509), .C
+       (u_soc_u_top_u_core_alu_operand_b_ex[14]), .Y (n_5126));
+  sky130_fd_sc_hd__nand3_1 g439192(.A (n_2984), .B (n_13510), .C
+       (u_soc_u_top_u_core_alu_operand_b_ex[15]), .Y (n_5125));
+  sky130_fd_sc_hd__nand3_1 g439193(.A (n_2984), .B (n_13517), .C
+       (u_soc_u_top_u_core_alu_operand_b_ex[22]), .Y (n_5124));
+  sky130_fd_sc_hd__nand3_1 g439194(.A (n_2984), .B (n_13518), .C
+       (u_soc_u_top_u_core_alu_operand_b_ex[23]), .Y (n_5123));
+  sky130_fd_sc_hd__nand3_1 g439195(.A (n_2984), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .C (n_13497), .Y
+       (n_5122));
+  sky130_fd_sc_hd__nand3_1 g439196(.A (n_2984), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .C (n_13502), .Y
+       (n_5121));
+  sky130_fd_sc_hd__nand3_1 g439197(.A (n_2984), .B (n_13526), .C
+       (u_soc_u_top_u_core_alu_operand_b_ex[31]), .Y (n_5120));
+  sky130_fd_sc_hd__a222oi_1 g439198(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [19]), .A2 (n_2246), .B1 (n_2243), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]), .C1
+       (n_1516), .C2 (u_soc_u_top_u_core_csr_depc[19]), .Y (n_5119));
+  sky130_fd_sc_hd__a222oi_1 g439199(.A1
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[14]), .A2
+       (n_1410), .B1 (n_2244), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]), .C1
+       (n_1749), .C2 (u_soc_u_top_u_core_csr_mtvec[14]), .Y (n_5118));
+  sky130_fd_sc_hd__a222oi_1 g439200(.A1
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[20]), .A2
+       (n_1513), .B1 (n_2244), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]), .C1
+       (n_1516), .C2 (u_soc_u_top_u_core_csr_depc[20]), .Y (n_5117));
+  sky130_fd_sc_hd__a222oi_1 g439201(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [21]), .A2 (n_2246), .B1 (n_2242), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]), .C1
+       (n_2243), .C2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]), .Y
+       (n_5116));
+  sky130_fd_sc_hd__a222oi_1 g439202(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [22]), .A2 (n_2246), .B1 (n_2243), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]), .C1
+       (n_1516), .C2 (u_soc_u_top_u_core_csr_depc[22]), .Y (n_5115));
+  sky130_fd_sc_hd__a222oi_1 g439203(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [23]), .A2 (n_2246), .B1 (n_2243), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]), .C1
+       (n_1516), .C2 (u_soc_u_top_u_core_csr_depc[23]), .Y (n_5114));
+  sky130_fd_sc_hd__a222oi_1 g439204(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [24]), .A2 (n_2246), .B1 (n_1516), .B2
+       (u_soc_u_top_u_core_csr_depc[24]), .C1 (n_2243), .C2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]), .Y
+       (n_5113));
+  sky130_fd_sc_hd__a222oi_1 g439205(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [25]), .A2 (n_2246), .B1 (n_2243), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]), .C1
+       (n_1516), .C2 (u_soc_u_top_u_core_csr_depc[25]), .Y (n_5112));
+  sky130_fd_sc_hd__a222oi_1 g439206(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [26]), .A2 (n_2246), .B1 (n_1516), .B2
+       (u_soc_u_top_u_core_csr_depc[26]), .C1 (n_2243), .C2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]), .Y
+       (n_5111));
+  sky130_fd_sc_hd__a222oi_1 g439207(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [27]), .A2 (n_2246), .B1 (n_2243), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]), .C1
+       (n_1516), .C2 (u_soc_u_top_u_core_csr_depc[27]), .Y (n_5110));
+  sky130_fd_sc_hd__a222oi_1 g439208(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [28]), .A2 (n_2246), .B1 (n_1516), .B2
+       (u_soc_u_top_u_core_csr_depc[28]), .C1 (n_2243), .C2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]), .Y
+       (n_5109));
+  sky130_fd_sc_hd__a222oi_1 g439209(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [29]), .A2 (n_2246), .B1 (n_1516), .B2
+       (u_soc_u_top_u_core_csr_depc[29]), .C1 (n_2243), .C2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]), .Y
+       (n_5108));
+  sky130_fd_sc_hd__a222oi_1 g439210(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [31]), .A2 (n_2246), .B1 (n_1513), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[31]), .C1
+       (n_2243), .C2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]), .Y
+       (n_5107));
+  sky130_fd_sc_hd__a222oi_1 g439211(.A1
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[15]), .A2
+       (n_1214), .B1 (n_2241), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]), .C1
+       (n_2244), .C2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]), .Y
+       (n_5106));
+  sky130_fd_sc_hd__a222oi_1 g439212(.A1
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[13]), .A2
+       (n_1513), .B1 (n_2243), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]), .C1
+       (n_1214), .C2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[13]), .Y (n_5105));
+  sky130_fd_sc_hd__a222oi_1 g439213(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [18]), .A2 (n_2246), .B1 (n_2243), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]), .C1
+       (n_1516), .C2 (u_soc_u_top_u_core_csr_depc[18]), .Y (n_5104));
+  sky130_fd_sc_hd__o2bb2ai_1 g439214(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [7]), .A2_N
+       (n_2789), .B1 (n_2222), .B2 (n_2077), .Y (n_5103));
+  sky130_fd_sc_hd__nor3_1 g439215(.A (n_1744), .B (n_2001), .C
+       (n_2547), .Y (n_5102));
+  sky130_fd_sc_hd__a22o_1 g439216(.A1 (n_2803), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [1]), .B1
+       (n_2225), .B2 (n_2019), .X (n_5101));
+  sky130_fd_sc_hd__o2bb2ai_1 g439217(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [5]), .A2_N
+       (n_2789), .B1 (n_2222), .B2 (n_2013), .Y (n_5100));
+  sky130_fd_sc_hd__a222oi_1 g439218(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [17]), .A2 (n_2246), .B1 (n_2242), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]), .C1
+       (n_2243), .C2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]), .Y
+       (n_5099));
+  sky130_fd_sc_hd__a222oi_1 g439219(.A1
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[10]), .A2
+       (n_1410), .B1 (n_2244), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]), .C1
+       (n_1749), .C2 (u_soc_u_top_u_core_csr_mtvec[10]), .Y (n_5098));
+  sky130_fd_sc_hd__a222oi_1 g439220(.A1
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[9]), .A2
+       (n_1513), .B1 (n_2244), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]), .C1
+       (n_1749), .C2 (u_soc_u_top_u_core_csr_mtvec[9]), .Y (n_5097));
+  sky130_fd_sc_hd__a222oi_1 g439221(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [15]), .A2 (n_2246), .B1 (n_2242), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]), .C1
+       (n_2243), .C2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]), .Y
+       (n_5096));
+  sky130_fd_sc_hd__a222oi_1 g439222(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]), .A2
+       (n_1233), .B1 (n_2242), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]), .C1
+       (n_1214), .C2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[8]),
+       .Y (n_5095));
+  sky130_fd_sc_hd__a222oi_1 g439223(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]), .A2
+       (n_1233), .B1 (n_2244), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]), .C1
+       (n_1409), .C2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[6]), .Y
+       (n_5094));
+  sky130_fd_sc_hd__a222oi_1 g439225(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [16]), .A2 (n_2246), .B1 (n_1516), .B2
+       (u_soc_u_top_u_core_csr_depc[16]), .C1 (n_2243), .C2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]), .Y
+       (n_5092));
+  sky130_fd_sc_hd__a222oi_1 g439226(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]), .A2
+       (n_2241), .B1 (n_2242), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]), .C1
+       (n_2244), .C2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]), .Y
+       (n_5091));
+  sky130_fd_sc_hd__a222oi_1 g439227(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [0]), .A2 (n_2246), .B1 (n_2244), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]), .C1
+       (n_2243), .C2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]), .Y
+       (n_5090));
+  sky130_fd_sc_hd__a222oi_1 g439228(.A1
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[1]), .A2 (n_1214),
+       .B1 (n_2242), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]), .C1
+       (n_2243), .C2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]), .Y
+       (n_5089));
+  sky130_fd_sc_hd__a222oi_1 g439229(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]), .A2
+       (n_2243), .B1 (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[11]), .C1
+       (n_2242), .C2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]), .Y
+       (n_5088));
+  sky130_fd_sc_hd__a222oi_1 g439230(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [3]), .A2 (n_2246), .B1 (n_2242), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]), .C1
+       (n_2243), .C2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]), .Y
+       (n_5087));
+  sky130_fd_sc_hd__a222oi_1 g439231(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [5]), .A2 (n_2246), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[5]), .C1 (n_2244), .C2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]), .Y
+       (n_5086));
+  sky130_fd_sc_hd__o21ai_0 g439232(.A1
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[6]), .A2 (n_2897), .B1
+       (n_3138), .Y (n_5085));
+  sky130_fd_sc_hd__o2bb2ai_1 g439233(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [4]), .A2_N
+       (n_2789), .B1 (n_2222), .B2 (n_2125), .Y (n_5084));
+  sky130_fd_sc_hd__o2bb2ai_1 g439234(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [3]), .A2_N
+       (n_2789), .B1 (n_2222), .B2 (n_2097), .Y (n_5083));
+  sky130_fd_sc_hd__o21ai_1 g439235(.A1 (n_1918), .A2 (n_2544), .B1
+       (n_3067), .Y (n_5082));
+  sky130_fd_sc_hd__o21ai_1 g439236(.A1 (n_1917), .A2 (n_2543), .B1
+       (n_3068), .Y (n_5081));
+  sky130_fd_sc_hd__o32ai_1 g439237(.A1 (n_10), .A2 (n_1908), .A3
+       (n_1434), .B1 (n_29), .B2 (n_2376), .Y (n_5080));
+  sky130_fd_sc_hd__o2bb2ai_1 g439238(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [2]), .A2_N
+       (n_2789), .B1 (n_2222), .B2 (n_2117), .Y (n_5079));
+  sky130_fd_sc_hd__o2bb2ai_1 g439240(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [1]), .A2_N
+       (n_2789), .B1 (n_2222), .B2 (n_2116), .Y (n_5077));
+  sky130_fd_sc_hd__a22o_1 g439241(.A1 (n_2786), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [8]), .B1
+       (n_2114), .B2 (n_1997), .X (n_5076));
+  sky130_fd_sc_hd__o2bb2ai_1 g439242(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [8]), .A2_N
+       (n_2705), .B1 (n_2219), .B2 (n_2113), .Y (n_5075));
+  sky130_fd_sc_hd__a22o_1 g439243(.A1 (n_2786), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [7]), .B1
+       (n_2076), .B2 (n_1997), .X (n_5074));
+  sky130_fd_sc_hd__a22o_1 g439244(.A1 (n_2786), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [6]), .B1
+       (n_2121), .B2 (n_1997), .X (n_5073));
+  sky130_fd_sc_hd__a22o_1 g439245(.A1 (n_2786), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [5]), .B1
+       (n_2014), .B2 (n_1997), .X (n_5072));
+  sky130_fd_sc_hd__a22o_1 g439246(.A1 (n_2786), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [4]), .B1
+       (n_2126), .B2 (n_1997), .X (n_5071));
+  sky130_fd_sc_hd__a22o_1 g439247(.A1 (n_2786), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [3]), .B1
+       (n_2096), .B2 (n_1997), .X (n_5070));
+  sky130_fd_sc_hd__a22o_1 g439248(.A1 (n_2786), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [2]), .B1
+       (n_2118), .B2 (n_1997), .X (n_5069));
+  sky130_fd_sc_hd__a22o_1 g439249(.A1 (n_2786), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [1]), .B1
+       (n_2115), .B2 (n_1997), .X (n_5068));
+  sky130_fd_sc_hd__o2bb2ai_1 g439250(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [8]), .A2_N
+       (n_2721), .B1 (n_2223), .B2 (n_2113), .Y (n_5067));
+  sky130_fd_sc_hd__o2bb2ai_1 g439251(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [7]), .A2_N
+       (n_2721), .B1 (n_2223), .B2 (n_2077), .Y (n_5066));
+  sky130_fd_sc_hd__o2bb2ai_1 g439252(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [6]), .A2_N
+       (n_2721), .B1 (n_2223), .B2 (n_2122), .Y (n_5065));
+  sky130_fd_sc_hd__o2bb2ai_1 g439253(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [5]), .A2_N
+       (n_2721), .B1 (n_2223), .B2 (n_2013), .Y (n_5064));
+  sky130_fd_sc_hd__o2bb2ai_1 g439254(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [1]), .A2_N
+       (n_2700), .B1 (n_2219), .B2 (n_2087), .Y (n_5063));
+  sky130_fd_sc_hd__o2bb2ai_1 g439255(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [4]), .A2_N
+       (n_2721), .B1 (n_2223), .B2 (n_2125), .Y (n_5062));
+  sky130_fd_sc_hd__o2bb2ai_1 g439256(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [3]), .A2_N
+       (n_2721), .B1 (n_2223), .B2 (n_2097), .Y (n_5061));
+  sky130_fd_sc_hd__o2bb2ai_1 g439257(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [2]), .A2_N
+       (n_2721), .B1 (n_2223), .B2 (n_2117), .Y (n_5060));
+  sky130_fd_sc_hd__o2bb2ai_1 g439258(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [1]), .A2_N
+       (n_2721), .B1 (n_2223), .B2 (n_2116), .Y (n_5059));
+  sky130_fd_sc_hd__o2bb2ai_1 g439259(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [8]), .A2_N
+       (n_2847), .B1 (n_1996), .B2 (n_2113), .Y (n_5058));
+  sky130_fd_sc_hd__o2bb2ai_1 g439260(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [7]), .A2_N
+       (n_2847), .B1 (n_1996), .B2 (n_2077), .Y (n_5057));
+  sky130_fd_sc_hd__o2bb2ai_1 g439261(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [6]), .A2_N
+       (n_2847), .B1 (n_1996), .B2 (n_2122), .Y (n_5056));
+  sky130_fd_sc_hd__o2bb2ai_1 g439262(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [5]), .A2_N
+       (n_2847), .B1 (n_1996), .B2 (n_2013), .Y (n_5055));
+  sky130_fd_sc_hd__o2bb2ai_1 g439263(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [4]), .A2_N
+       (n_2847), .B1 (n_1996), .B2 (n_2125), .Y (n_5054));
+  sky130_fd_sc_hd__o2bb2ai_1 g439264(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [3]), .A2_N
+       (n_2847), .B1 (n_1996), .B2 (n_2097), .Y (n_5053));
+  sky130_fd_sc_hd__o2bb2ai_1 g439265(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [2]), .A2_N
+       (n_2847), .B1 (n_1996), .B2 (n_2117), .Y (n_5052));
+  sky130_fd_sc_hd__o2bb2ai_1 g439266(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [1]), .A2_N
+       (n_2847), .B1 (n_1996), .B2 (n_2116), .Y (n_5051));
+  sky130_fd_sc_hd__o2bb2ai_1 g439267(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [8]), .A2_N
+       (n_2695), .B1 (n_2222), .B2 (n_2128), .Y (n_5050));
+  sky130_fd_sc_hd__o2bb2ai_1 g439268(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [7]), .A2_N
+       (n_2695), .B1 (n_2222), .B2 (n_2079), .Y (n_5049));
+  sky130_fd_sc_hd__o2bb2ai_1 g439269(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [4]), .A2_N
+       (n_2695), .B1 (n_2222), .B2 (n_2104), .Y (n_5048));
+  sky130_fd_sc_hd__o2bb2ai_1 g439270(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [3]), .A2_N
+       (n_2695), .B1 (n_2222), .B2 (n_2100), .Y (n_5047));
+  sky130_fd_sc_hd__o2bb2ai_1 g439271(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [2]), .A2_N
+       (n_2695), .B1 (n_2222), .B2 (n_2083), .Y (n_5046));
+  sky130_fd_sc_hd__o2bb2ai_1 g439272(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [1]), .A2_N
+       (n_2695), .B1 (n_2222), .B2 (n_2110), .Y (n_5045));
+  sky130_fd_sc_hd__o2bb2ai_1 g439273(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [8]), .A2_N
+       (n_2693), .B1 (n_1998), .B2 (n_2128), .Y (n_5044));
+  sky130_fd_sc_hd__o2bb2ai_1 g439274(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [7]), .A2_N
+       (n_2693), .B1 (n_1998), .B2 (n_2079), .Y (n_5043));
+  sky130_fd_sc_hd__o2bb2ai_1 g439275(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [6]), .A2_N
+       (n_2693), .B1 (n_1998), .B2 (n_2085), .Y (n_5042));
+  sky130_fd_sc_hd__o2bb2ai_1 g439276(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [5]), .A2_N
+       (n_2693), .B1 (n_1998), .B2 (n_2099), .Y (n_5041));
+  sky130_fd_sc_hd__o2bb2ai_1 g439277(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [4]), .A2_N
+       (n_2693), .B1 (n_1998), .B2 (n_2104), .Y (n_5040));
+  sky130_fd_sc_hd__o2bb2ai_1 g439278(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [3]), .A2_N
+       (n_2693), .B1 (n_1998), .B2 (n_2100), .Y (n_5039));
+  sky130_fd_sc_hd__o2bb2ai_1 g439279(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [2]), .A2_N
+       (n_2693), .B1 (n_1998), .B2 (n_2083), .Y (n_5038));
+  sky130_fd_sc_hd__o2bb2ai_1 g439280(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [1]), .A2_N
+       (n_2448), .B1 (n_2106), .B2 (n_2219), .Y (n_5037));
+  sky130_fd_sc_hd__o2bb2ai_1 g439281(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [3]), .A2_N
+       (n_2733), .B1 (n_1996), .B2 (n_2054), .Y (n_5036));
+  sky130_fd_sc_hd__o2bb2ai_1 g439282(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [7]), .A2_N
+       (n_2746), .B1 (n_2081), .B2 (n_2224), .Y (n_5035));
+  sky130_fd_sc_hd__o2bb2ai_1 g439283(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [2]), .A2_N
+       (n_2470), .B1 (n_2112), .B2 (n_2215), .Y (n_5034));
+  sky130_fd_sc_hd__o2bb2ai_1 g439284(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [5]), .A2_N
+       (n_2746), .B1 (n_2102), .B2 (n_2224), .Y (n_5033));
+  sky130_fd_sc_hd__a22o_1 g439285(.A1 (n_2444), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [8]), .B1
+       (n_2039), .B2 (n_2005), .X (n_5032));
+  sky130_fd_sc_hd__o2bb2ai_1 g439286(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [3]), .A2_N
+       (n_2519), .B1 (n_2238), .B2 (n_2097), .Y (n_5031));
+  sky130_fd_sc_hd__a22o_1 g439287(.A1 (n_2444), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [7]), .B1
+       (n_2045), .B2 (n_2005), .X (n_5030));
+  sky130_fd_sc_hd__a22o_1 g439288(.A1 (n_2526), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [7]), .B1
+       (n_2805), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_5029));
+  sky130_fd_sc_hd__o2bb2ai_1 g439289(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [2]), .A2_N
+       (n_2506), .B1 (n_2217), .B2 (n_2083), .Y (n_5028));
+  sky130_fd_sc_hd__o2bb2ai_1 g439290(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [8]), .A2_N
+       (n_2430), .B1 (n_2223), .B2 (n_2128), .Y (n_5027));
+  sky130_fd_sc_hd__o2bb2ai_1 g439291(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [6]), .A2_N
+       (n_2698), .B1 (n_2224), .B2 (n_2124), .Y (n_5026));
+  sky130_fd_sc_hd__o2bb2ai_1 g439292(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [5]), .A2_N
+       (n_2761), .B1 (n_1994), .B2 (n_2120), .Y (n_5025));
+  sky130_fd_sc_hd__o2bb2ai_1 g439293(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [8]), .A2_N
+       (n_2816), .B1 (n_2134), .B2 (n_2218), .Y (n_5024));
+  sky130_fd_sc_hd__o2bb2ai_1 g439294(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [2]), .A2_N
+       (n_2477), .B1 (n_2057), .B2 (n_2222), .Y (n_5023));
+  sky130_fd_sc_hd__a22o_1 g439295(.A1 (n_2526), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [4]), .B1
+       (n_2805), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_5022));
+  sky130_fd_sc_hd__a22o_1 g439296(.A1 (n_2526), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [2]), .B1
+       (n_2805), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_5021));
+  sky130_fd_sc_hd__a22o_1 g439297(.A1 (n_2718), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [7]), .B1
+       (n_2045), .B2 (n_2232), .X (n_5020));
+  sky130_fd_sc_hd__a22o_1 g439298(.A1 (n_2526), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [1]), .B1
+       (n_2805), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_5019));
+  sky130_fd_sc_hd__a22oi_1 g439299(.A1 (n_2009), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [2]), .B1 (n_2534), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [2]), .Y (n_5018));
+  sky130_fd_sc_hd__o2bb2ai_1 g439300(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [4]), .A2_N
+       (n_2761), .B1 (n_1994), .B2 (n_2093), .Y (n_5017));
+  sky130_fd_sc_hd__o2bb2ai_1 g439301(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [8]), .A2_N
+       (n_2873), .B1 (n_2220), .B2 (n_2113), .Y (n_5016));
+  sky130_fd_sc_hd__o2bb2ai_1 g439302(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [1]), .A2_N
+       (n_2850), .B1 (n_2230), .B2 (n_2110), .Y (n_5015));
+  sky130_fd_sc_hd__a22o_1 g439303(.A1 (n_2882), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [8]), .B1
+       (n_2039), .B2 (n_1993), .X (n_5014));
+  sky130_fd_sc_hd__o2bb2ai_1 g439304(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [7]), .A2_N
+       (n_2734), .B1 (n_2012), .B2 (n_2069), .Y (n_5013));
+  sky130_fd_sc_hd__o2bb2ai_1 g439305(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [6]), .A2_N
+       (n_2873), .B1 (n_2220), .B2 (n_2122), .Y (n_5012));
+  sky130_fd_sc_hd__a22o_1 g439306(.A1 (n_2740), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [8]), .B1
+       (n_2235), .B2 (n_2021), .X (n_5011));
+  sky130_fd_sc_hd__o2bb2ai_1 g439307(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [5]), .A2_N
+       (n_2447), .B1 (n_2229), .B2 (n_2071), .Y (n_5010));
+  sky130_fd_sc_hd__o2bb2ai_1 g439308(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [3]), .A2_N
+       (n_2447), .B1 (n_2229), .B2 (n_2017), .Y (n_5009));
+  sky130_fd_sc_hd__a22o_1 g439309(.A1 (n_2740), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [6]), .B1
+       (n_2235), .B2 (n_2027), .X (n_5008));
+  sky130_fd_sc_hd__o2bb2ai_1 g439310(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [2]), .A2_N
+       (n_2447), .B1 (n_2229), .B2 (n_2037), .Y (n_5007));
+  sky130_fd_sc_hd__a22o_1 g439311(.A1 (n_2740), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [4]), .B1
+       (n_2235), .B2 (n_2031), .X (n_5006));
+  sky130_fd_sc_hd__a32o_1 g439312(.A1 (n_1889), .A2 (n_1432), .A3
+       (\u_soc_xbar_to_dccm[a_data] [0]), .B1 (n_2373), .B2
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .X (n_5005));
+  sky130_fd_sc_hd__a22o_1 g439313(.A1 (n_2439), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [4]), .B1
+       (n_2503), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_5004));
+  sky130_fd_sc_hd__o2bb2ai_1 g439314(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [3]), .A2_N
+       (n_2873), .B1 (n_2220), .B2 (n_2097), .Y (n_5003));
+  sky130_fd_sc_hd__a22o_1 g439315(.A1 (n_2882), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [2]), .B1
+       (n_2041), .B2 (n_1993), .X (n_5002));
+  sky130_fd_sc_hd__o2bb2ai_1 g439316(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [8]), .A2_N
+       (n_2477), .B1 (n_2065), .B2 (n_2222), .Y (n_5001));
+  sky130_fd_sc_hd__a22o_1 g439317(.A1 (n_2718), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [5]), .B1
+       (n_2049), .B2 (n_2232), .X (n_5000));
+  sky130_fd_sc_hd__a22o_1 g439318(.A1 (n_2726), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [5]), .B1
+       (n_2794), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4999));
+  sky130_fd_sc_hd__a22o_1 g439319(.A1 (n_2718), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [3]), .B1
+       (n_2053), .B2 (n_2232), .X (n_4998));
+  sky130_fd_sc_hd__o2bb2ai_1 g439320(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [6]), .A2_N
+       (n_2477), .B1 (n_2063), .B2 (n_2222), .Y (n_4997));
+  sky130_fd_sc_hd__o2bb2ai_1 g439321(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [8]), .A2_N
+       (n_2521), .B1 (n_2007), .B2 (n_2038), .Y (n_4996));
+  sky130_fd_sc_hd__o2bb2ai_1 g439322(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [6]), .A2_N
+       (n_2808), .B1 (n_2231), .B2 (n_2046), .Y (n_4995));
+  sky130_fd_sc_hd__o2bb2ai_1 g439323(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [7]), .A2_N
+       (n_2427), .B1 (n_2231), .B2 (n_2095), .Y (n_4994));
+  sky130_fd_sc_hd__a22o_1 g439324(.A1 (n_2758), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [8]), .B1
+       (n_2127), .B2 (n_2240), .X (n_4993));
+  sky130_fd_sc_hd__o2bb2ai_1 g439325(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [6]), .A2_N
+       (n_2521), .B1 (n_2007), .B2 (n_2046), .Y (n_4992));
+  sky130_fd_sc_hd__a22o_1 g439326(.A1 (n_2799), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [7]), .B1
+       (n_2768), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4991));
+  sky130_fd_sc_hd__a22o_1 g439327(.A1 (n_2492), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [5]), .B1
+       (n_2529), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4990));
+  sky130_fd_sc_hd__o2bb2ai_1 g439328(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [2]), .A2_N
+       (n_2733), .B1 (n_1996), .B2 (n_2057), .Y (n_4989));
+  sky130_fd_sc_hd__o2bb2ai_1 g439329(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [4]), .A2_N
+       (n_2788), .B1 (n_2224), .B2 (n_2125), .Y (n_4988));
+  sky130_fd_sc_hd__o2bb2ai_1 g439330(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [4]), .A2_N
+       (n_2856), .B1 (n_2231), .B2 (n_2125), .Y (n_4987));
+  sky130_fd_sc_hd__o2bb2ai_1 g439331(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [5]), .A2_N
+       (n_2519), .B1 (n_2238), .B2 (n_2013), .Y (n_4986));
+  sky130_fd_sc_hd__o2bb2ai_1 g439332(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [5]), .A2_N
+       (n_2771), .B1 (n_2227), .B2 (n_2013), .Y (n_4985));
+  sky130_fd_sc_hd__a22o_1 g439333(.A1 (n_2741), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [8]), .B1
+       (n_2039), .B2 (n_2235), .X (n_4984));
+  sky130_fd_sc_hd__o2bb2ai_1 g439334(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [7]), .A2_N
+       (n_2745), .B1 (n_2224), .B2 (n_2095), .Y (n_4983));
+  sky130_fd_sc_hd__o2bb2ai_1 g439335(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [7]), .A2_N
+       (n_2785), .B1 (n_1994), .B2 (n_2079), .Y (n_4982));
+  sky130_fd_sc_hd__o2bb2ai_1 g439336(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [2]), .A2_N
+       (n_2449), .B1 (n_2219), .B2 (n_2037), .Y (n_4981));
+  sky130_fd_sc_hd__o2bb2ai_1 g439337(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [8]), .A2_N
+       (n_2801), .B1 (n_1996), .B2 (n_2091), .Y (n_4980));
+  sky130_fd_sc_hd__o2bb2ai_1 g439338(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [3]), .A2_N
+       (n_2771), .B1 (n_2227), .B2 (n_2097), .Y (n_4979));
+  sky130_fd_sc_hd__a22o_1 g439339(.A1 (n_2796), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [8]), .B1
+       (n_2791), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4978));
+  sky130_fd_sc_hd__o2bb2ai_1 g439340(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [3]), .A2_N
+       (n_2835), .B1 (n_2237), .B2 (n_2100), .Y (n_4977));
+  sky130_fd_sc_hd__a22o_1 g439341(.A1 (n_2714), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [6]), .B1
+       (n_2084), .B2 (n_2232), .X (n_4976));
+  sky130_fd_sc_hd__o2bb2ai_1 g439342(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [2]), .A2_N
+       (n_2835), .B1 (n_2237), .B2 (n_2083), .Y (n_4975));
+  sky130_fd_sc_hd__o2bb2ai_1 g439343(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [4]), .A2_N
+       (n_2497), .B1 (n_2231), .B2 (n_2104), .Y (n_4974));
+  sky130_fd_sc_hd__a22o_1 g439344(.A1 (n_2714), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [4]), .B1
+       (n_2103), .B2 (n_2232), .X (n_4973));
+  sky130_fd_sc_hd__o2bb2ai_1 g439345(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [8]), .A2_N
+       (n_2872), .B1 (n_2227), .B2 (n_2128), .Y (n_4972));
+  sky130_fd_sc_hd__a22o_1 g439346(.A1 (n_2836), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [4]), .B1
+       (n_2126), .B2 (n_2236), .X (n_4971));
+  sky130_fd_sc_hd__o2bb2ai_1 g439347(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [1]), .A2_N
+       (n_2788), .B1 (n_2224), .B2 (n_2116), .Y (n_4970));
+  sky130_fd_sc_hd__o2bb2ai_1 g439348(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [1]), .A2_N
+       (n_2524), .B1 (n_2018), .B2 (n_2007), .Y (n_4969));
+  sky130_fd_sc_hd__o2bb2ai_1 g439349(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [8]), .A2_N
+       (n_2736), .B1 (n_2216), .B2 (n_2113), .Y (n_4968));
+  sky130_fd_sc_hd__o2bb2ai_1 g439350(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [7]), .A2_N
+       (n_2864), .B1 (n_2024), .B2 (n_2227), .Y (n_4967));
+  sky130_fd_sc_hd__a22o_1 g439351(.A1 (n_2714), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [2]), .B1
+       (n_2082), .B2 (n_2232), .X (n_4966));
+  sky130_fd_sc_hd__a22o_1 g439352(.A1 (n_2843), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [1]), .B1
+       (n_2043), .B2 (n_2228), .X (n_4965));
+  sky130_fd_sc_hd__o2bb2ai_1 g439353(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [6]), .A2_N
+       (n_2872), .B1 (n_2227), .B2 (n_2085), .Y (n_4964));
+  sky130_fd_sc_hd__a22o_1 g439354(.A1 (n_2807), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [8]), .B1
+       (n_2039), .B2 (n_2214), .X (n_4963));
+  sky130_fd_sc_hd__o2bb2ai_1 g439355(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [8]), .A2_N
+       (n_2808), .B1 (n_2231), .B2 (n_2038), .Y (n_4962));
+  sky130_fd_sc_hd__o2bb2ai_1 g439356(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [8]), .A2_N
+       (n_2424), .B1 (n_2134), .B2 (n_2220), .Y (n_4961));
+  sky130_fd_sc_hd__a22o_1 g439357(.A1 (n_2492), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [3]), .B1
+       (n_2529), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4960));
+  sky130_fd_sc_hd__o2bb2ai_1 g439358(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [6]), .A2_N
+       (n_2490), .B1 (n_2016), .B2 (n_2004), .Y (n_4959));
+  sky130_fd_sc_hd__o2bb2ai_1 g439359(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [1]), .A2_N
+       (n_2875), .B1 (n_2230), .B2 (n_2116), .Y (n_4958));
+  sky130_fd_sc_hd__o2bb2ai_1 g439360(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [7]), .A2_N
+       (n_2515), .B1 (n_2219), .B2 (n_2079), .Y (n_4957));
+  sky130_fd_sc_hd__o2bb2ai_1 g439361(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [1]), .A2_N
+       (n_2709), .B1 (n_2006), .B2 (n_2110), .Y (n_4956));
+  sky130_fd_sc_hd__a22o_1 g439362(.A1 (n_2716), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [4]), .B1
+       (n_2485), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4955));
+  sky130_fd_sc_hd__a22o_1 g439363(.A1 (n_2472), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [8]), .B1
+       (n_2792), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4954));
+  sky130_fd_sc_hd__a22o_1 g439364(.A1 (n_2759), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [7]), .B1
+       (n_2094), .B2 (n_2240), .X (n_4953));
+  sky130_fd_sc_hd__a22o_1 g439365(.A1 (n_2759), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [1]), .B1
+       (n_2129), .B2 (n_2240), .X (n_4952));
+  sky130_fd_sc_hd__a22o_1 g439366(.A1 (n_2456), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [5]), .B1
+       (n_2435), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4951));
+  sky130_fd_sc_hd__o2bb2ai_1 g439367(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [1]), .A2_N
+       (n_2442), .B1 (n_2227), .B2 (n_2059), .Y (n_4950));
+  sky130_fd_sc_hd__a22o_1 g439368(.A1 (n_2472), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [6]), .B1
+       (n_2792), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4949));
+  sky130_fd_sc_hd__a22o_1 g439369(.A1 (n_2468), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [2]), .B1
+       (n_2502), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4948));
+  sky130_fd_sc_hd__o2bb2ai_1 g439370(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [7]), .A2_N
+       (n_2442), .B1 (n_2227), .B2 (n_2069), .Y (n_4947));
+  sky130_fd_sc_hd__a22o_1 g439371(.A1 (n_2760), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [4]), .B1
+       (n_2126), .B2 (n_2240), .X (n_4946));
+  sky130_fd_sc_hd__a22o_1 g439372(.A1 (n_2715), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [2]), .B1
+       (n_2445), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4945));
+  sky130_fd_sc_hd__a22o_1 g439373(.A1 (n_2522), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [2]), .B1
+       (n_2841), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4944));
+  sky130_fd_sc_hd__a22o_1 g439374(.A1 (n_2443), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [2]), .B1
+       (n_2005), .B2 (n_2033), .X (n_4943));
+  sky130_fd_sc_hd__a22o_1 g439375(.A1 (n_2742), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [6]), .B1
+       (n_2484), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4942));
+  sky130_fd_sc_hd__o2bb2ai_1 g439376(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [2]), .A2_N
+       (n_2755), .B1 (n_2226), .B2 (n_2037), .Y (n_4941));
+  sky130_fd_sc_hd__a22o_1 g439377(.A1 (n_2461), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [1]), .B1
+       (n_2235), .B2 (n_2105), .X (n_4940));
+  sky130_fd_sc_hd__o2bb2ai_1 g439378(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [4]), .A2_N
+       (n_2766), .B1 (n_2007), .B2 (n_2104), .Y (n_4939));
+  sky130_fd_sc_hd__a22o_1 g439379(.A1 (n_2492), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [8]), .B1
+       (n_2529), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4938));
+  sky130_fd_sc_hd__a22o_1 g439380(.A1 (n_2464), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [5]), .B1
+       (n_2014), .B2 (n_2235), .X (n_4937));
+  sky130_fd_sc_hd__a22o_1 g439381(.A1 (n_2735), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [1]), .B1
+       (n_2532), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4936));
+  sky130_fd_sc_hd__a22o_1 g439382(.A1 (n_2744), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [4]), .B1
+       (n_2824), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4935));
+  sky130_fd_sc_hd__a22o_1 g439383(.A1 (n_2744), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [6]), .B1
+       (n_2824), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4934));
+  sky130_fd_sc_hd__o2bb2ai_1 g439384(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [4]), .A2_N
+       (n_2784), .B1 (n_2226), .B2 (n_2093), .Y (n_4933));
+  sky130_fd_sc_hd__a22o_1 g439385(.A1 (n_2777), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [4]), .B1
+       (n_2772), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4932));
+  sky130_fd_sc_hd__a22o_1 g439386(.A1 (n_2735), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [2]), .B1
+       (n_2532), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4931));
+  sky130_fd_sc_hd__a22o_1 g439387(.A1 (n_2455), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [3]), .B1
+       (n_2838), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4930));
+  sky130_fd_sc_hd__o2bb2ai_1 g439388(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [6]), .A2_N
+       (n_2474), .B1 (n_2007), .B2 (n_2122), .Y (n_4929));
+  sky130_fd_sc_hd__a22o_1 g439389(.A1 (n_2796), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [6]), .B1
+       (n_2791), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4928));
+  sky130_fd_sc_hd__a22o_1 g439390(.A1 (n_2777), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [2]), .B1
+       (n_2772), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4927));
+  sky130_fd_sc_hd__a22o_1 g439391(.A1 (n_2742), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [5]), .B1
+       (n_2484), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4926));
+  sky130_fd_sc_hd__a22o_1 g439392(.A1 (n_2441), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [7]), .B1
+       (n_2531), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4925));
+  sky130_fd_sc_hd__o2bb2ai_1 g439393(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [2]), .A2_N
+       (n_2474), .B1 (n_2007), .B2 (n_2117), .Y (n_4924));
+  sky130_fd_sc_hd__a22o_1 g439394(.A1 (n_2472), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [7]), .B1
+       (n_2792), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4923));
+  sky130_fd_sc_hd__a22o_1 g439395(.A1 (n_2476), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [2]), .B1
+       (n_2423), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4922));
+  sky130_fd_sc_hd__o2bb2ai_1 g439396(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [4]), .A2_N
+       (n_2474), .B1 (n_2007), .B2 (n_2125), .Y (n_4921));
+  sky130_fd_sc_hd__a22o_1 g439397(.A1 (n_2799), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [3]), .B1
+       (n_2768), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4920));
+  sky130_fd_sc_hd__a22o_1 g439398(.A1 (n_2441), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [8]), .B1
+       (n_2531), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4919));
+  sky130_fd_sc_hd__a22o_1 g439399(.A1 (n_2758), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [1]), .B1
+       (n_2109), .B2 (n_2240), .X (n_4918));
+  sky130_fd_sc_hd__a22o_1 g439400(.A1 (n_2441), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [6]), .B1
+       (n_2531), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4917));
+  sky130_fd_sc_hd__a22o_1 g439401(.A1 (n_2496), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [3]), .B1
+       (n_2520), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4916));
+  sky130_fd_sc_hd__a22o_1 g439402(.A1 (n_2441), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [5]), .B1
+       (n_2531), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4915));
+  sky130_fd_sc_hd__o2bb2ai_1 g439403(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [8]), .A2_N
+       (n_2474), .B1 (n_2007), .B2 (n_2113), .Y (n_4914));
+  sky130_fd_sc_hd__a22o_1 g439404(.A1 (n_2441), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [4]), .B1
+       (n_2531), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4913));
+  sky130_fd_sc_hd__o2bb2ai_1 g439405(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [2]), .A2_N
+       (n_2490), .B1 (n_2112), .B2 (n_2004), .Y (n_4912));
+  sky130_fd_sc_hd__o2bb2ai_1 g439406(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [3]), .A2_N
+       (n_2490), .B1 (n_2108), .B2 (n_2004), .Y (n_4911));
+  sky130_fd_sc_hd__a22o_1 g439407(.A1 (n_2453), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [6]), .B1
+       (n_2121), .B2 (n_2248), .X (n_4910));
+  sky130_fd_sc_hd__a22o_1 g439408(.A1 (n_2441), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [2]), .B1
+       (n_2531), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4909));
+  sky130_fd_sc_hd__a22o_1 g439409(.A1 (n_2742), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [8]), .B1
+       (n_2484), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4908));
+  sky130_fd_sc_hd__a22o_1 g439410(.A1 (n_2777), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [6]), .B1
+       (n_2772), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4907));
+  sky130_fd_sc_hd__o2bb2ai_1 g439411(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [1]), .A2_N
+       (n_2474), .B1 (n_2007), .B2 (n_2116), .Y (n_4906));
+  sky130_fd_sc_hd__a22o_1 g439412(.A1 (n_2826), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [8]), .B1
+       (n_2509), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4905));
+  sky130_fd_sc_hd__a22o_1 g439413(.A1 (n_2467), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [6]), .B1
+       (n_2015), .B2 (n_2010), .X (n_4904));
+  sky130_fd_sc_hd__o2bb2ai_1 g439414(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [4]), .A2_N
+       (n_2709), .B1 (n_2006), .B2 (n_2104), .Y (n_4903));
+  sky130_fd_sc_hd__a22o_1 g439415(.A1 (n_2461), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [6]), .B1
+       (n_2235), .B2 (n_2015), .X (n_4902));
+  sky130_fd_sc_hd__o2bb2ai_1 g439416(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [5]), .A2_N
+       (n_2734), .B1 (n_2012), .B2 (n_2066), .Y (n_4901));
+  sky130_fd_sc_hd__a22o_1 g439417(.A1 (n_2826), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [4]), .B1
+       (n_2509), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4900));
+  sky130_fd_sc_hd__a22o_1 g439418(.A1 (n_2836), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [8]), .B1
+       (n_2114), .B2 (n_2236), .X (n_4899));
+  sky130_fd_sc_hd__a22o_1 g439419(.A1 (n_2453), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [2]), .B1
+       (n_2118), .B2 (n_2248), .X (n_4898));
+  sky130_fd_sc_hd__a22o_1 g439420(.A1 (n_2725), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [1]), .B1
+       (n_2823), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4897));
+  sky130_fd_sc_hd__o2bb2ai_1 g439421(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [1]), .A2_N
+       (n_2849), .B1 (n_2018), .B2 (n_2223), .Y (n_4896));
+  sky130_fd_sc_hd__o2bb2ai_1 g439422(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [7]), .A2_N
+       (n_2848), .B1 (n_2223), .B2 (n_2044), .Y (n_4895));
+  sky130_fd_sc_hd__o2bb2ai_1 g439423(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [6]), .A2_N
+       (n_2470), .B1 (n_2016), .B2 (n_2215), .Y (n_4894));
+  sky130_fd_sc_hd__o2bb2ai_1 g439424(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [5]), .A2_N
+       (n_2848), .B1 (n_2223), .B2 (n_2048), .Y (n_4893));
+  sky130_fd_sc_hd__o2bb2ai_1 g439425(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [5]), .A2_N
+       (n_2834), .B1 (n_2230), .B2 (n_2066), .Y (n_4892));
+  sky130_fd_sc_hd__a22o_1 g439426(.A1 (n_2866), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [2]), .B1
+       (n_1997), .B2 (n_2033), .X (n_4891));
+  sky130_fd_sc_hd__a22o_1 g439427(.A1 (n_2876), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [5]), .B1
+       (n_1995), .B2 (n_2029), .X (n_4890));
+  sky130_fd_sc_hd__o2bb2ai_1 g439428(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [8]), .A2_N
+       (n_2834), .B1 (n_2230), .B2 (n_2065), .Y (n_4889));
+  sky130_fd_sc_hd__o2bb2ai_1 g439429(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [1]), .A2_N
+       (n_2848), .B1 (n_2223), .B2 (n_2042), .Y (n_4888));
+  sky130_fd_sc_hd__o2bb2ai_1 g439430(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [8]), .A2_N
+       (n_2863), .B1 (n_2134), .B2 (n_2230), .Y (n_4887));
+  sky130_fd_sc_hd__o2bb2ai_1 g439431(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [7]), .A2_N
+       (n_2834), .B1 (n_2230), .B2 (n_2069), .Y (n_4886));
+  sky130_fd_sc_hd__a22o_1 g439432(.A1 (n_2464), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [6]), .B1
+       (n_2121), .B2 (n_2235), .X (n_4885));
+  sky130_fd_sc_hd__a22o_1 g439433(.A1 (n_2467), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [2]), .B1
+       (n_2111), .B2 (n_2010), .X (n_4884));
+  sky130_fd_sc_hd__a22o_1 g439434(.A1 (n_2518), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [7]), .B1
+       (n_2459), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4883));
+  sky130_fd_sc_hd__o2bb2ai_1 g439435(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [1]), .A2_N
+       (n_2784), .B1 (n_2226), .B2 (n_2087), .Y (n_4882));
+  sky130_fd_sc_hd__o2bb2ai_1 g439436(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [2]), .A2_N
+       (n_2872), .B1 (n_2227), .B2 (n_2083), .Y (n_4881));
+  sky130_fd_sc_hd__o2bb2ai_1 g439437(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [3]), .A2_N
+       (n_2872), .B1 (n_2227), .B2 (n_2100), .Y (n_4880));
+  sky130_fd_sc_hd__a22o_1 g439438(.A1 (n_2807), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [2]), .B1
+       (n_2041), .B2 (n_2214), .X (n_4879));
+  sky130_fd_sc_hd__a22o_1 g439439(.A1 (n_2829), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [8]), .B1
+       (n_2787), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4878));
+  sky130_fd_sc_hd__o2bb2ai_1 g439440(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [1]), .A2_N
+       (n_2766), .B1 (n_2007), .B2 (n_2110), .Y (n_4877));
+  sky130_fd_sc_hd__o2bb2ai_1 g439441(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [7]), .A2_N
+       (n_2491), .B1 (n_2006), .B2 (n_2095), .Y (n_4876));
+  sky130_fd_sc_hd__o2bb2ai_1 g439442(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [3]), .A2_N
+       (n_2859), .B1 (n_2011), .B2 (n_2073), .Y (n_4875));
+  sky130_fd_sc_hd__o2bb2ai_1 g439443(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [2]), .A2_N
+       (n_2505), .B1 (n_2007), .B2 (n_2037), .Y (n_4874));
+  sky130_fd_sc_hd__a22o_1 g439444(.A1 (n_2468), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [7]), .B1
+       (n_2502), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4873));
+  sky130_fd_sc_hd__a22o_1 g439445(.A1 (n_2472), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [5]), .B1
+       (n_2792), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4872));
+  sky130_fd_sc_hd__a22o_1 g439446(.A1 (n_2743), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [8]), .B1
+       (n_2790), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4871));
+  sky130_fd_sc_hd__a22o_1 g439447(.A1 (n_2836), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [2]), .B1
+       (n_2118), .B2 (n_2236), .X (n_4870));
+  sky130_fd_sc_hd__o2bb2ai_1 g439448(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [4]), .A2_N
+       (n_2775), .B1 (n_2137), .B2 (n_2093), .Y (n_4869));
+  sky130_fd_sc_hd__a22o_1 g439449(.A1 (n_2708), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [1]), .B1
+       (n_2109), .B2 (n_2235), .X (n_4868));
+  sky130_fd_sc_hd__a22o_1 g439450(.A1 (n_2504), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [6]), .B1
+       (n_2450), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4867));
+  sky130_fd_sc_hd__a22o_1 g439451(.A1 (n_2496), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [8]), .B1
+       (n_2520), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4866));
+  sky130_fd_sc_hd__a22o_1 g439452(.A1 (n_2685), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [6]), .B1
+       (n_2493), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4865));
+  sky130_fd_sc_hd__o2bb2ai_1 g439453(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [1]), .A2_N
+       (n_2753), .B1 (n_2106), .B2 (n_2226), .Y (n_4864));
+  sky130_fd_sc_hd__a22o_1 g439454(.A1 (n_2837), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [5]), .B1
+       (n_2067), .B2 (n_2232), .X (n_4863));
+  sky130_fd_sc_hd__a22o_1 g439455(.A1 (n_2504), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [2]), .B1
+       (n_2450), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4862));
+  sky130_fd_sc_hd__a22o_1 g439456(.A1 (n_2818), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [6]), .B1
+       (n_2240), .B2 (n_2027), .X (n_4861));
+  sky130_fd_sc_hd__o2bb2ai_1 g439457(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [8]), .A2_N
+       (n_2519), .B1 (n_2238), .B2 (n_2113), .Y (n_4860));
+  sky130_fd_sc_hd__o2bb2ai_1 g439458(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [8]), .A2_N
+       (n_2483), .B1 (n_2238), .B2 (n_2038), .Y (n_4859));
+  sky130_fd_sc_hd__o2bb2ai_1 g439459(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [1]), .A2_N
+       (n_2737), .B1 (n_2004), .B2 (n_2110), .Y (n_4858));
+  sky130_fd_sc_hd__a22o_1 g439460(.A1 (n_2729), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [6]), .B1
+       (n_2460), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4857));
+  sky130_fd_sc_hd__a22o_1 g439461(.A1 (n_2492), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [7]), .B1
+       (n_2529), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4856));
+  sky130_fd_sc_hd__a22o_1 g439462(.A1 (n_2488), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [7]), .B1
+       (n_2458), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4855));
+  sky130_fd_sc_hd__a22o_1 g439463(.A1 (n_2684), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [4]), .B1
+       (n_2479), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4854));
+  sky130_fd_sc_hd__o2bb2ai_1 g439464(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [8]), .A2_N
+       (n_2832), .B1 (n_2230), .B2 (n_2132), .Y (n_4853));
+  sky130_fd_sc_hd__a22o_1 g439465(.A1 (n_2840), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [7]), .B1
+       (n_2770), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4852));
+  sky130_fd_sc_hd__o2bb2ai_1 g439466(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [6]), .A2_N
+       (n_2774), .B1 (n_2233), .B2 (n_2124), .Y (n_4851));
+  sky130_fd_sc_hd__a22o_1 g439467(.A1 (n_2729), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [4]), .B1
+       (n_2460), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4850));
+  sky130_fd_sc_hd__a22o_1 g439468(.A1 (n_2686), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [1]), .B1
+       (n_2058), .B2 (n_2248), .X (n_4849));
+  sky130_fd_sc_hd__a22o_1 g439469(.A1 (n_2440), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [5]), .B1
+       (n_2232), .B2 (n_2101), .X (n_4848));
+  sky130_fd_sc_hd__a22o_1 g439470(.A1 (n_2840), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [5]), .B1
+       (n_2770), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4847));
+  sky130_fd_sc_hd__o2bb2ai_1 g439471(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [5]), .A2_N
+       (n_2832), .B1 (n_2230), .B2 (n_2120), .Y (n_4846));
+  sky130_fd_sc_hd__o2bb2ai_1 g439472(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [4]), .A2_N
+       (n_2752), .B1 (n_2218), .B2 (n_2061), .Y (n_4845));
+  sky130_fd_sc_hd__a22o_1 g439473(.A1 (n_2727), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [4]), .B1
+       (n_2034), .B2 (n_2252), .X (n_4844));
+  sky130_fd_sc_hd__a22o_1 g439474(.A1 (n_2796), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [2]), .B1
+       (n_2791), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4843));
+  sky130_fd_sc_hd__a22o_1 g439475(.A1 (n_2760), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [2]), .B1
+       (n_2118), .B2 (n_2240), .X (n_4842));
+  sky130_fd_sc_hd__o2bb2ai_1 g439476(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [2]), .A2_N
+       (n_2507), .B1 (n_2112), .B2 (n_2238), .Y (n_4841));
+  sky130_fd_sc_hd__o2bb2ai_1 g439477(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [7]), .A2_N
+       (n_2507), .B1 (n_2081), .B2 (n_2238), .Y (n_4840));
+  sky130_fd_sc_hd__a22o_1 g439478(.A1 (n_2729), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [2]), .B1
+       (n_2460), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4839));
+  sky130_fd_sc_hd__a22o_1 g439479(.A1 (n_2684), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [2]), .B1
+       (n_2479), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4838));
+  sky130_fd_sc_hd__a22o_1 g439480(.A1 (n_2826), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [1]), .B1
+       (n_2509), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4837));
+  sky130_fd_sc_hd__o2bb2ai_1 g439481(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [8]), .A2_N
+       (n_2699), .B1 (n_2222), .B2 (n_2132), .Y (n_4836));
+  sky130_fd_sc_hd__o2bb2ai_1 g439482(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [8]), .A2_N
+       (n_2490), .B1 (n_2134), .B2 (n_2004), .Y (n_4835));
+  sky130_fd_sc_hd__a22o_1 g439483(.A1 (n_2710), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [8]), .B1
+       (n_2691), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4834));
+  sky130_fd_sc_hd__a22o_1 g439484(.A1 (n_2760), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [3]), .B1
+       (n_2096), .B2 (n_2240), .X (n_4833));
+  sky130_fd_sc_hd__a22o_1 g439485(.A1 (n_2469), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [7]), .B1
+       (n_2822), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4832));
+  sky130_fd_sc_hd__o2bb2ai_1 g439486(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [3]), .A2_N
+       (n_2489), .B1 (n_2004), .B2 (n_2017), .Y (n_4831));
+  sky130_fd_sc_hd__o2bb2ai_1 g439487(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [6]), .A2_N
+       (n_2750), .B1 (n_2226), .B2 (n_2122), .Y (n_4830));
+  sky130_fd_sc_hd__a22o_1 g439488(.A1 (n_2472), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [3]), .B1
+       (n_2792), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4829));
+  sky130_fd_sc_hd__a22o_1 g439489(.A1 (n_2472), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [4]), .B1
+       (n_2792), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4828));
+  sky130_fd_sc_hd__a22o_1 g439490(.A1 (n_2710), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [7]), .B1
+       (n_2691), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4827));
+  sky130_fd_sc_hd__a22o_1 g439491(.A1 (n_2758), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [7]), .B1
+       (n_2078), .B2 (n_2240), .X (n_4826));
+  sky130_fd_sc_hd__o2bb2ai_1 g439492(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [8]), .A2_N
+       (n_2755), .B1 (n_2226), .B2 (n_2091), .Y (n_4825));
+  sky130_fd_sc_hd__a22o_1 g439493(.A1 (n_2829), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [1]), .B1
+       (n_2787), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4824));
+  sky130_fd_sc_hd__o2bb2ai_1 g439494(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [5]), .A2_N
+       (n_2874), .B1 (n_2217), .B2 (n_2013), .Y (n_4823));
+  sky130_fd_sc_hd__a22o_1 g439495(.A1 (n_2716), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [3]), .B1
+       (n_2485), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4822));
+  sky130_fd_sc_hd__a22o_1 g439496(.A1 (n_2710), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [5]), .B1
+       (n_2691), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4821));
+  sky130_fd_sc_hd__a22o_1 g439497(.A1 (n_2777), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [7]), .B1
+       (n_2772), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4820));
+  sky130_fd_sc_hd__a22o_1 g439498(.A1 (n_2728), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [2]), .B1
+       (n_2433), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4819));
+  sky130_fd_sc_hd__a22o_1 g439499(.A1 (n_2727), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [8]), .B1
+       (n_2090), .B2 (n_2252), .X (n_4818));
+  sky130_fd_sc_hd__a22o_1 g439500(.A1 (n_2837), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [3]), .B1
+       (n_2055), .B2 (n_2232), .X (n_4817));
+  sky130_fd_sc_hd__o2bb2ai_1 g439501(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [3]), .A2_N
+       (n_2491), .B1 (n_2006), .B2 (n_2017), .Y (n_4816));
+  sky130_fd_sc_hd__a22o_1 g439502(.A1 (n_2452), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [3]), .B1
+       (n_2846), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4815));
+  sky130_fd_sc_hd__a22o_1 g439503(.A1 (n_2498), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [5]), .B1
+       (n_2527), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4814));
+  sky130_fd_sc_hd__o2bb2ai_1 g439504(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [7]), .A2_N
+       (n_2430), .B1 (n_2223), .B2 (n_2079), .Y (n_4813));
+  sky130_fd_sc_hd__a22o_1 g439505(.A1 (n_2836), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [5]), .B1
+       (n_2014), .B2 (n_2236), .X (n_4812));
+  sky130_fd_sc_hd__a22o_1 g439506(.A1 (n_2871), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [7]), .B1
+       (n_2045), .B2 (n_2221), .X (n_4811));
+  sky130_fd_sc_hd__o2bb2ai_1 g439507(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [7]), .A2_N
+       (n_2798), .B1 (n_2011), .B2 (n_2069), .Y (n_4810));
+  sky130_fd_sc_hd__a22o_1 g439508(.A1 (n_2727), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [2]), .B1
+       (n_2036), .B2 (n_2252), .X (n_4809));
+  sky130_fd_sc_hd__o2bb2ai_1 g439509(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [4]), .A2_N
+       (n_2808), .B1 (n_2231), .B2 (n_2050), .Y (n_4808));
+  sky130_fd_sc_hd__o2bb2ai_1 g439510(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [3]), .A2_N
+       (n_2808), .B1 (n_2231), .B2 (n_2052), .Y (n_4807));
+  sky130_fd_sc_hd__a22o_1 g439511(.A1 (n_2728), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [4]), .B1
+       (n_2433), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4806));
+  sky130_fd_sc_hd__a22o_1 g439512(.A1 (n_2732), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [8]), .B1
+       (n_2434), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4805));
+  sky130_fd_sc_hd__a22o_1 g439513(.A1 (n_2743), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [1]), .B1
+       (n_2790), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4804));
+  sky130_fd_sc_hd__o2bb2ai_1 g439514(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [8]), .A2_N
+       (n_2750), .B1 (n_2226), .B2 (n_2113), .Y (n_4803));
+  sky130_fd_sc_hd__a22o_1 g439515(.A1 (n_2518), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [3]), .B1
+       (n_2459), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4802));
+  sky130_fd_sc_hd__a22o_1 g439516(.A1 (n_2726), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [2]), .B1
+       (n_2794), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4801));
+  sky130_fd_sc_hd__a22o_1 g439517(.A1 (n_2716), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [7]), .B1
+       (n_2485), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4800));
+  sky130_fd_sc_hd__a22o_1 g439518(.A1 (n_2710), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [2]), .B1
+       (n_2691), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4799));
+  sky130_fd_sc_hd__a22o_1 g439519(.A1 (n_2710), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [3]), .B1
+       (n_2691), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4798));
+  sky130_fd_sc_hd__o2bb2ai_1 g439520(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [8]), .A2_N
+       (n_2690), .B1 (n_2137), .B2 (n_2065), .Y (n_4797));
+  sky130_fd_sc_hd__a22o_1 g439521(.A1 (n_2504), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [8]), .B1
+       (n_2450), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4796));
+  sky130_fd_sc_hd__a22o_1 g439522(.A1 (n_2726), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [3]), .B1
+       (n_2794), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4795));
+  sky130_fd_sc_hd__a22o_1 g439523(.A1 (n_2870), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [4]), .B1
+       (n_2221), .B2 (n_2031), .X (n_4794));
+  sky130_fd_sc_hd__o2bb2ai_1 g439524(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [4]), .A2_N
+       (n_2737), .B1 (n_2004), .B2 (n_2104), .Y (n_4793));
+  sky130_fd_sc_hd__a22o_1 g439525(.A1 (n_2778), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [8]), .B1
+       (n_2769), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4792));
+  sky130_fd_sc_hd__o2bb2ai_1 g439526(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [4]), .A2_N
+       (n_2521), .B1 (n_2007), .B2 (n_2050), .Y (n_4791));
+  sky130_fd_sc_hd__o2bb2ai_1 g439527(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [2]), .A2_N
+       (n_2808), .B1 (n_2231), .B2 (n_2040), .Y (n_4790));
+  sky130_fd_sc_hd__a22o_1 g439528(.A1 (n_2877), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [6]), .B1
+       (n_2047), .B2 (n_1995), .X (n_4789));
+  sky130_fd_sc_hd__a22o_1 g439529(.A1 (n_2764), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [3]), .B1
+       (n_2486), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4788));
+  sky130_fd_sc_hd__a22o_1 g439530(.A1 (n_2728), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [8]), .B1
+       (n_2433), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4787));
+  sky130_fd_sc_hd__a22o_1 g439531(.A1 (n_2779), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [1]), .B1
+       (n_2086), .B2 (n_2252), .X (n_4786));
+  sky130_fd_sc_hd__o2bb2ai_1 g439532(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [8]), .A2_N
+       (n_2489), .B1 (n_2004), .B2 (n_2091), .Y (n_4785));
+  sky130_fd_sc_hd__o2bb2ai_1 g439533(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [7]), .A2_N
+       (n_2766), .B1 (n_2007), .B2 (n_2079), .Y (n_4784));
+  sky130_fd_sc_hd__o2bb2ai_1 g439534(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [2]), .A2_N
+       (n_2515), .B1 (n_2219), .B2 (n_2083), .Y (n_4783));
+  sky130_fd_sc_hd__o2bb2ai_1 g439535(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [8]), .A2_N
+       (n_2874), .B1 (n_2217), .B2 (n_2113), .Y (n_4782));
+  sky130_fd_sc_hd__a22o_1 g439536(.A1 (n_2496), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [6]), .B1
+       (n_2520), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4781));
+  sky130_fd_sc_hd__a22o_1 g439537(.A1 (n_2779), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [8]), .B1
+       (n_2131), .B2 (n_2252), .X (n_4780));
+  sky130_fd_sc_hd__a22o_1 g439538(.A1 (n_2806), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [1]), .B1
+       (n_2214), .B2 (n_2019), .X (n_4779));
+  sky130_fd_sc_hd__a22o_1 g439539(.A1 (n_2710), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [1]), .B1
+       (n_2691), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4778));
+  sky130_fd_sc_hd__o2bb2ai_1 g439540(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [2]), .A2_N
+       (n_2736), .B1 (n_2216), .B2 (n_2117), .Y (n_4777));
+  sky130_fd_sc_hd__a22o_1 g439541(.A1 (n_2778), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [6]), .B1
+       (n_2769), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4776));
+  sky130_fd_sc_hd__o2bb2ai_1 g439542(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [3]), .A2_N
+       (n_2856), .B1 (n_2231), .B2 (n_2097), .Y (n_4775));
+  sky130_fd_sc_hd__a22o_1 g439543(.A1 (n_2716), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [5]), .B1
+       (n_2485), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4774));
+  sky130_fd_sc_hd__a22o_1 g439544(.A1 (n_2685), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [8]), .B1
+       (n_2493), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4773));
+  sky130_fd_sc_hd__a22o_1 g439545(.A1 (n_2478), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [1]), .B1
+       (n_2533), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4772));
+  sky130_fd_sc_hd__o2bb2ai_1 g439546(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [5]), .A2_N
+       (n_2491), .B1 (n_2006), .B2 (n_2071), .Y (n_4771));
+  sky130_fd_sc_hd__a22o_1 g439547(.A1 (n_2452), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [5]), .B1
+       (n_2846), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4770));
+  sky130_fd_sc_hd__a22o_1 g439548(.A1 (n_2452), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [7]), .B1
+       (n_2846), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4769));
+  sky130_fd_sc_hd__a22o_1 g439549(.A1 (n_2833), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [1]), .B1
+       (n_2129), .B2 (n_2236), .X (n_4768));
+  sky130_fd_sc_hd__o2bb2ai_1 g439550(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [8]), .A2_N
+       (n_2854), .B1 (n_2218), .B2 (n_2113), .Y (n_4767));
+  sky130_fd_sc_hd__o2bb2ai_1 g439551(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [3]), .A2_N
+       (n_2775), .B1 (n_2137), .B2 (n_2073), .Y (n_4766));
+  sky130_fd_sc_hd__o2bb2ai_1 g439552(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [2]), .A2_N
+       (n_2730), .B1 (n_1996), .B2 (n_2089), .Y (n_4765));
+  sky130_fd_sc_hd__o2bb2ai_1 g439553(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [1]), .A2_N
+       (n_2834), .B1 (n_2230), .B2 (n_2059), .Y (n_4764));
+  sky130_fd_sc_hd__a22o_1 g439554(.A1 (n_2716), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [8]), .B1
+       (n_2485), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_4763));
+  sky130_fd_sc_hd__o2bb2ai_1 g439555(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [1]), .A2_N
+       (n_2856), .B1 (n_2231), .B2 (n_2116), .Y (n_4762));
+  sky130_fd_sc_hd__o2bb2ai_1 g439556(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [1]), .A2_N
+       (n_2724), .B1 (n_2220), .B2 (n_2087), .Y (n_4761));
+  sky130_fd_sc_hd__a22o_1 g439557(.A1 (n_2764), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [7]), .B1
+       (n_2486), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4760));
+  sky130_fd_sc_hd__a22o_1 g439558(.A1 (n_2758), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [4]), .B1
+       (n_2103), .B2 (n_2240), .X (n_4759));
+  sky130_fd_sc_hd__a22o_1 g439559(.A1 (n_2685), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [4]), .B1
+       (n_2493), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4758));
+  sky130_fd_sc_hd__a22o_1 g439560(.A1 (n_2728), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [6]), .B1
+       (n_2433), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4757));
+  sky130_fd_sc_hd__a22o_1 g439561(.A1 (n_2732), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [2]), .B1
+       (n_2434), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_4756));
+  sky130_fd_sc_hd__a22o_1 g439562(.A1 (n_2741), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [5]), .B1
+       (n_2049), .B2 (n_2235), .X (n_4755));
+  sky130_fd_sc_hd__o2bb2ai_1 g439563(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [7]), .A2_N
+       (n_2705), .B1 (n_2219), .B2 (n_2077), .Y (n_4754));
+  sky130_fd_sc_hd__o2bb2ai_1 g439564(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [8]), .A2_N
+       (n_2798), .B1 (n_2011), .B2 (n_2065), .Y (n_4753));
+  sky130_fd_sc_hd__a22o_1 g439565(.A1 (n_2467), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [8]), .B1
+       (n_2133), .B2 (n_2010), .X (n_4752));
+  sky130_fd_sc_hd__a22o_1 g439566(.A1 (n_2830), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [1]), .B1
+       (n_2236), .B2 (n_2105), .X (n_4751));
+  sky130_fd_sc_hd__o2bb2ai_1 g439567(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [5]), .A2_N
+       (n_2835), .B1 (n_2237), .B2 (n_2099), .Y (n_4750));
+  sky130_fd_sc_hd__a22o_1 g439568(.A1 (n_2764), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [5]), .B1
+       (n_2486), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4749));
+  sky130_fd_sc_hd__a22o_1 g439569(.A1 (n_2877), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [4]), .B1
+       (n_2051), .B2 (n_1995), .X (n_4748));
+  sky130_fd_sc_hd__o2bb2ai_1 g439570(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [1]), .A2_N
+       (n_2704), .B1 (n_2229), .B2 (n_2116), .Y (n_4747));
+  sky130_fd_sc_hd__a22o_1 g439571(.A1 (n_2440), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [7]), .B1
+       (n_2232), .B2 (n_2080), .X (n_4746));
+  sky130_fd_sc_hd__a22o_1 g439572(.A1 (n_2778), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [4]), .B1
+       (n_2769), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4745));
+  sky130_fd_sc_hd__a22o_1 g439573(.A1 (n_2475), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [1]), .B1
+       (n_2422), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4744));
+  sky130_fd_sc_hd__o2bb2ai_1 g439574(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [6]), .A2_N
+       (n_2775), .B1 (n_2137), .B2 (n_2124), .Y (n_4743));
+  sky130_fd_sc_hd__a22o_1 g439575(.A1 (n_2522), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [4]), .B1
+       (n_2841), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_4742));
+  sky130_fd_sc_hd__o2bb2ai_1 g439576(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [1]), .A2_N
+       (n_2879), .B1 (n_2218), .B2 (n_2042), .Y (n_4741));
+  sky130_fd_sc_hd__o2bb2ai_1 g439577(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [2]), .A2_N
+       (n_2879), .B1 (n_2218), .B2 (n_2040), .Y (n_4740));
+  sky130_fd_sc_hd__o2bb2ai_1 g439578(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [6]), .A2_N
+       (n_2430), .B1 (n_2223), .B2 (n_2085), .Y (n_4739));
+  sky130_fd_sc_hd__o2bb2ai_1 g439579(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [4]), .A2_N
+       (n_2879), .B1 (n_2218), .B2 (n_2050), .Y (n_4738));
+  sky130_fd_sc_hd__o2bb2ai_1 g439580(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [5]), .A2_N
+       (n_2879), .B1 (n_2218), .B2 (n_2048), .Y (n_4737));
+  sky130_fd_sc_hd__o2bb2ai_1 g439581(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [6]), .A2_N
+       (n_2879), .B1 (n_2218), .B2 (n_2046), .Y (n_4736));
+  sky130_fd_sc_hd__o2bb2ai_1 g439582(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [7]), .A2_N
+       (n_2879), .B1 (n_2218), .B2 (n_2044), .Y (n_4735));
+  sky130_fd_sc_hd__o2bb2ai_1 g439583(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [8]), .A2_N
+       (n_2879), .B1 (n_2218), .B2 (n_2038), .Y (n_4734));
+  sky130_fd_sc_hd__o2bb2ai_1 g439584(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [1]), .A2_N
+       (n_2852), .B1 (n_2216), .B2 (n_2042), .Y (n_4733));
+  sky130_fd_sc_hd__o2bb2ai_1 g439585(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [2]), .A2_N
+       (n_2852), .B1 (n_2216), .B2 (n_2040), .Y (n_4732));
+  sky130_fd_sc_hd__o2bb2ai_1 g439586(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [3]), .A2_N
+       (n_2852), .B1 (n_2216), .B2 (n_2052), .Y (n_4731));
+  sky130_fd_sc_hd__o2bb2ai_1 g439587(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [4]), .A2_N
+       (n_2852), .B1 (n_2216), .B2 (n_2050), .Y (n_4730));
+  sky130_fd_sc_hd__o2bb2ai_1 g439588(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [5]), .A2_N
+       (n_2852), .B1 (n_2216), .B2 (n_2048), .Y (n_4729));
+  sky130_fd_sc_hd__o2bb2ai_1 g439589(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [6]), .A2_N
+       (n_2852), .B1 (n_2216), .B2 (n_2046), .Y (n_4728));
+  sky130_fd_sc_hd__o2bb2ai_1 g439590(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [7]), .A2_N
+       (n_2852), .B1 (n_2216), .B2 (n_2044), .Y (n_4727));
+  sky130_fd_sc_hd__o2bb2ai_1 g439591(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [8]), .A2_N
+       (n_2852), .B1 (n_2216), .B2 (n_2038), .Y (n_4726));
+  sky130_fd_sc_hd__o2bb2ai_1 g439592(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [1]), .A2_N
+       (n_2869), .B1 (n_2224), .B2 (n_2042), .Y (n_4725));
+  sky130_fd_sc_hd__o2bb2ai_1 g439593(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [2]), .A2_N
+       (n_2869), .B1 (n_2224), .B2 (n_2040), .Y (n_4724));
+  sky130_fd_sc_hd__o2bb2ai_1 g439594(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [3]), .A2_N
+       (n_2869), .B1 (n_2224), .B2 (n_2052), .Y (n_4723));
+  sky130_fd_sc_hd__o2bb2ai_1 g439595(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [4]), .A2_N
+       (n_2869), .B1 (n_2224), .B2 (n_2050), .Y (n_4722));
+  sky130_fd_sc_hd__o2bb2ai_1 g439596(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [5]), .A2_N
+       (n_2869), .B1 (n_2224), .B2 (n_2048), .Y (n_4721));
+  sky130_fd_sc_hd__o2bb2ai_1 g439597(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [6]), .A2_N
+       (n_2869), .B1 (n_2224), .B2 (n_2046), .Y (n_4720));
+  sky130_fd_sc_hd__o2bb2ai_1 g439598(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [7]), .A2_N
+       (n_2869), .B1 (n_2224), .B2 (n_2044), .Y (n_4719));
+  sky130_fd_sc_hd__o2bb2ai_1 g439599(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [8]), .A2_N
+       (n_2869), .B1 (n_2224), .B2 (n_2038), .Y (n_4718));
+  sky130_fd_sc_hd__o2bb2ai_1 g439600(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [1]), .A2_N
+       (n_2810), .B1 (n_2220), .B2 (n_2042), .Y (n_4717));
+  sky130_fd_sc_hd__o2bb2ai_1 g439601(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [2]), .A2_N
+       (n_2810), .B1 (n_2220), .B2 (n_2040), .Y (n_4716));
+  sky130_fd_sc_hd__o2bb2ai_1 g439602(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [3]), .A2_N
+       (n_2810), .B1 (n_2220), .B2 (n_2052), .Y (n_4715));
+  sky130_fd_sc_hd__o2bb2ai_1 g439603(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [4]), .A2_N
+       (n_2810), .B1 (n_2220), .B2 (n_2050), .Y (n_4714));
+  sky130_fd_sc_hd__o2bb2ai_1 g439604(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [5]), .A2_N
+       (n_2810), .B1 (n_2220), .B2 (n_2048), .Y (n_4713));
+  sky130_fd_sc_hd__o2bb2ai_1 g439605(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [6]), .A2_N
+       (n_2810), .B1 (n_2220), .B2 (n_2046), .Y (n_4712));
+  sky130_fd_sc_hd__o2bb2ai_1 g439606(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [7]), .A2_N
+       (n_2810), .B1 (n_2220), .B2 (n_2044), .Y (n_4711));
+  sky130_fd_sc_hd__o2bb2ai_1 g439607(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [8]), .A2_N
+       (n_2810), .B1 (n_2220), .B2 (n_2038), .Y (n_4710));
+  sky130_fd_sc_hd__o2bb2ai_1 g439608(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [1]), .A2_N
+       (n_2880), .B1 (n_2018), .B2 (n_2218), .Y (n_4709));
+  sky130_fd_sc_hd__o2bb2ai_1 g439609(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [2]), .A2_N
+       (n_2880), .B1 (n_2032), .B2 (n_2218), .Y (n_4708));
+  sky130_fd_sc_hd__o2bb2ai_1 g439610(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [6]), .A2_N
+       (n_2705), .B1 (n_2219), .B2 (n_2122), .Y (n_4707));
+  sky130_fd_sc_hd__o2bb2ai_1 g439611(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [3]), .A2_N
+       (n_2880), .B1 (n_2022), .B2 (n_2218), .Y (n_4706));
+  sky130_fd_sc_hd__o2bb2ai_1 g439612(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [4]), .A2_N
+       (n_2880), .B1 (n_2030), .B2 (n_2218), .Y (n_4705));
+  sky130_fd_sc_hd__o2bb2ai_1 g439613(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [5]), .A2_N
+       (n_2880), .B1 (n_2028), .B2 (n_2218), .Y (n_4704));
+  sky130_fd_sc_hd__o2bb2ai_1 g439614(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [6]), .A2_N
+       (n_2880), .B1 (n_2026), .B2 (n_2218), .Y (n_4703));
+  sky130_fd_sc_hd__o2bb2ai_1 g439615(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [7]), .A2_N
+       (n_2880), .B1 (n_2024), .B2 (n_2218), .Y (n_4702));
+  sky130_fd_sc_hd__o2bb2ai_1 g439616(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [5]), .A2_N
+       (n_2828), .B1 (n_2102), .B2 (n_1994), .Y (n_4701));
+  sky130_fd_sc_hd__o2bb2ai_1 g439617(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [8]), .A2_N
+       (n_2880), .B1 (n_2020), .B2 (n_2218), .Y (n_4700));
+  sky130_fd_sc_hd__o2bb2ai_1 g439618(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [1]), .A2_N
+       (n_2851), .B1 (n_2018), .B2 (n_2216), .Y (n_4699));
+  sky130_fd_sc_hd__o2bb2ai_1 g439619(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [2]), .A2_N
+       (n_2851), .B1 (n_2032), .B2 (n_2216), .Y (n_4698));
+  sky130_fd_sc_hd__o2bb2ai_1 g439620(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [3]), .A2_N
+       (n_2851), .B1 (n_2022), .B2 (n_2216), .Y (n_4697));
+  sky130_fd_sc_hd__o2bb2ai_1 g439621(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [4]), .A2_N
+       (n_2851), .B1 (n_2030), .B2 (n_2216), .Y (n_4696));
+  sky130_fd_sc_hd__o2bb2ai_1 g439622(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [5]), .A2_N
+       (n_2851), .B1 (n_2028), .B2 (n_2216), .Y (n_4695));
+  sky130_fd_sc_hd__a22o_1 g439623(.A1 (n_2782), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [2]), .B1
+       (n_2088), .B2 (n_2256), .X (n_4694));
+  sky130_fd_sc_hd__o2bb2ai_1 g439624(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [6]), .A2_N
+       (n_2851), .B1 (n_2026), .B2 (n_2216), .Y (n_4693));
+  sky130_fd_sc_hd__o2bb2ai_1 g439625(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [7]), .A2_N
+       (n_2851), .B1 (n_2024), .B2 (n_2216), .Y (n_4692));
+  sky130_fd_sc_hd__o2bb2ai_1 g439626(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [8]), .A2_N
+       (n_2851), .B1 (n_2020), .B2 (n_2216), .Y (n_4691));
+  sky130_fd_sc_hd__o2bb2ai_1 g439627(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [1]), .A2_N
+       (n_2868), .B1 (n_2018), .B2 (n_2224), .Y (n_4690));
+  sky130_fd_sc_hd__o2bb2ai_1 g439628(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [2]), .A2_N
+       (n_2868), .B1 (n_2032), .B2 (n_2224), .Y (n_4689));
+  sky130_fd_sc_hd__o2bb2ai_1 g439629(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [3]), .A2_N
+       (n_2868), .B1 (n_2022), .B2 (n_2224), .Y (n_4688));
+  sky130_fd_sc_hd__o2bb2ai_1 g439630(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [4]), .A2_N
+       (n_2868), .B1 (n_2030), .B2 (n_2224), .Y (n_4687));
+  sky130_fd_sc_hd__o2bb2ai_1 g439631(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [5]), .A2_N
+       (n_2868), .B1 (n_2028), .B2 (n_2224), .Y (n_4686));
+  sky130_fd_sc_hd__o2bb2ai_1 g439632(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [6]), .A2_N
+       (n_2868), .B1 (n_2026), .B2 (n_2224), .Y (n_4685));
+  sky130_fd_sc_hd__o2bb2ai_1 g439633(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [7]), .A2_N
+       (n_2868), .B1 (n_2024), .B2 (n_2224), .Y (n_4684));
+  sky130_fd_sc_hd__o2bb2ai_1 g439634(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [8]), .A2_N
+       (n_2868), .B1 (n_2020), .B2 (n_2224), .Y (n_4683));
+  sky130_fd_sc_hd__o2bb2ai_1 g439635(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [1]), .A2_N
+       (n_2811), .B1 (n_2018), .B2 (n_2220), .Y (n_4682));
+  sky130_fd_sc_hd__o2bb2ai_1 g439636(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [2]), .A2_N
+       (n_2811), .B1 (n_2032), .B2 (n_2220), .Y (n_4681));
+  sky130_fd_sc_hd__o2bb2ai_1 g439637(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [3]), .A2_N
+       (n_2811), .B1 (n_2022), .B2 (n_2220), .Y (n_4680));
+  sky130_fd_sc_hd__o2bb2ai_1 g439638(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [4]), .A2_N
+       (n_2811), .B1 (n_2030), .B2 (n_2220), .Y (n_4679));
+  sky130_fd_sc_hd__o2bb2ai_1 g439639(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [5]), .A2_N
+       (n_2811), .B1 (n_2028), .B2 (n_2220), .Y (n_4678));
+  sky130_fd_sc_hd__o2bb2ai_1 g439640(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [6]), .A2_N
+       (n_2811), .B1 (n_2026), .B2 (n_2220), .Y (n_4677));
+  sky130_fd_sc_hd__o2bb2ai_1 g439641(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [7]), .A2_N
+       (n_2811), .B1 (n_2024), .B2 (n_2220), .Y (n_4676));
+  sky130_fd_sc_hd__o2bb2ai_1 g439642(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [8]), .A2_N
+       (n_2811), .B1 (n_2020), .B2 (n_2220), .Y (n_4675));
+  sky130_fd_sc_hd__o2bb2ai_1 g439643(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [1]), .A2_N
+       (n_2884), .B1 (n_2230), .B2 (n_2042), .Y (n_4674));
+  sky130_fd_sc_hd__o2bb2ai_1 g439644(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [2]), .A2_N
+       (n_2884), .B1 (n_2230), .B2 (n_2040), .Y (n_4673));
+  sky130_fd_sc_hd__o2bb2ai_1 g439645(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [3]), .A2_N
+       (n_2884), .B1 (n_2230), .B2 (n_2052), .Y (n_4672));
+  sky130_fd_sc_hd__o2bb2ai_1 g439646(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [4]), .A2_N
+       (n_2884), .B1 (n_2230), .B2 (n_2050), .Y (n_4671));
+  sky130_fd_sc_hd__o2bb2ai_1 g439647(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [5]), .A2_N
+       (n_2884), .B1 (n_2230), .B2 (n_2048), .Y (n_4670));
+  sky130_fd_sc_hd__o2bb2ai_1 g439648(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [6]), .A2_N
+       (n_2884), .B1 (n_2230), .B2 (n_2046), .Y (n_4669));
+  sky130_fd_sc_hd__o2bb2ai_1 g439649(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [7]), .A2_N
+       (n_2884), .B1 (n_2230), .B2 (n_2044), .Y (n_4668));
+  sky130_fd_sc_hd__o2bb2ai_1 g439650(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [8]), .A2_N
+       (n_2884), .B1 (n_2230), .B2 (n_2038), .Y (n_4667));
+  sky130_fd_sc_hd__o2bb2ai_1 g439651(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [1]), .A2_N
+       (n_2809), .B1 (n_2217), .B2 (n_2042), .Y (n_4666));
+  sky130_fd_sc_hd__a22o_1 g439652(.A1 (n_2708), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [5]), .B1
+       (n_2098), .B2 (n_2235), .X (n_4665));
+  sky130_fd_sc_hd__o2bb2ai_1 g439653(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [2]), .A2_N
+       (n_2809), .B1 (n_2217), .B2 (n_2040), .Y (n_4664));
+  sky130_fd_sc_hd__o2bb2ai_1 g439654(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [3]), .A2_N
+       (n_2809), .B1 (n_2217), .B2 (n_2052), .Y (n_4663));
+  sky130_fd_sc_hd__o2bb2ai_1 g439655(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [4]), .A2_N
+       (n_2809), .B1 (n_2217), .B2 (n_2050), .Y (n_4662));
+  sky130_fd_sc_hd__o2bb2ai_1 g439656(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [5]), .A2_N
+       (n_2809), .B1 (n_2217), .B2 (n_2048), .Y (n_4661));
+  sky130_fd_sc_hd__o2bb2ai_1 g439657(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [6]), .A2_N
+       (n_2809), .B1 (n_2217), .B2 (n_2046), .Y (n_4660));
+  sky130_fd_sc_hd__o2bb2ai_1 g439658(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [7]), .A2_N
+       (n_2809), .B1 (n_2217), .B2 (n_2044), .Y (n_4659));
+  sky130_fd_sc_hd__o2bb2ai_1 g439659(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [8]), .A2_N
+       (n_2809), .B1 (n_2217), .B2 (n_2038), .Y (n_4658));
+  sky130_fd_sc_hd__a22o_1 g439660(.A1 (n_2882), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [1]), .B1
+       (n_2043), .B2 (n_1993), .X (n_4657));
+  sky130_fd_sc_hd__a22o_1 g439661(.A1 (n_2882), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [3]), .B1
+       (n_2053), .B2 (n_1993), .X (n_4656));
+  sky130_fd_sc_hd__a22o_1 g439662(.A1 (n_2882), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [4]), .B1
+       (n_2051), .B2 (n_1993), .X (n_4655));
+  sky130_fd_sc_hd__o2bb2ai_1 g439663(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [3]), .A2_N
+       (n_2702), .B1 (n_2229), .B2 (n_2073), .Y (n_4654));
+  sky130_fd_sc_hd__a22o_1 g439664(.A1 (n_2882), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [5]), .B1
+       (n_2049), .B2 (n_1993), .X (n_4653));
+  sky130_fd_sc_hd__a22o_1 g439665(.A1 (n_2882), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [6]), .B1
+       (n_2047), .B2 (n_1993), .X (n_4652));
+  sky130_fd_sc_hd__a22o_1 g439666(.A1 (n_2882), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [7]), .B1
+       (n_2045), .B2 (n_1993), .X (n_4651));
+  sky130_fd_sc_hd__a22oi_1 g439667(.A1 (n_2391), .A2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [1]), .B1 (n_2283), .B2 (n_1051), .Y (n_4650));
+  sky130_fd_sc_hd__o2bb2ai_1 g439668(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [1]), .A2_N
+       (n_2845), .B1 (n_2219), .B2 (n_2042), .Y (n_4649));
+  sky130_fd_sc_hd__o2bb2ai_1 g439669(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [2]), .A2_N
+       (n_2845), .B1 (n_2219), .B2 (n_2040), .Y (n_4648));
+  sky130_fd_sc_hd__o2bb2ai_1 g439670(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [3]), .A2_N
+       (n_2845), .B1 (n_2219), .B2 (n_2052), .Y (n_4647));
+  sky130_fd_sc_hd__o2bb2ai_1 g439671(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [4]), .A2_N
+       (n_2845), .B1 (n_2219), .B2 (n_2050), .Y (n_4646));
+  sky130_fd_sc_hd__o2bb2ai_1 g439672(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [5]), .A2_N
+       (n_2845), .B1 (n_2219), .B2 (n_2048), .Y (n_4645));
+  sky130_fd_sc_hd__o2bb2ai_1 g439673(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [6]), .A2_N
+       (n_2845), .B1 (n_2219), .B2 (n_2046), .Y (n_4644));
+  sky130_fd_sc_hd__o2bb2ai_1 g439674(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [7]), .A2_N
+       (n_2845), .B1 (n_2219), .B2 (n_2044), .Y (n_4643));
+  sky130_fd_sc_hd__o2bb2ai_1 g439675(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [8]), .A2_N
+       (n_2845), .B1 (n_2219), .B2 (n_2038), .Y (n_4642));
+  sky130_fd_sc_hd__o2bb2ai_1 g439676(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [1]), .A2_N
+       (n_2885), .B1 (n_2018), .B2 (n_2230), .Y (n_4641));
+  sky130_fd_sc_hd__o2bb2ai_1 g439677(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [2]), .A2_N
+       (n_2885), .B1 (n_2032), .B2 (n_2230), .Y (n_4640));
+  sky130_fd_sc_hd__a22o_1 g439678(.A1 (n_2877), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [1]), .B1
+       (n_2043), .B2 (n_1995), .X (n_4639));
+  sky130_fd_sc_hd__o2bb2ai_1 g439679(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [3]), .A2_N
+       (n_2885), .B1 (n_2022), .B2 (n_2230), .Y (n_4638));
+  sky130_fd_sc_hd__o2bb2ai_1 g439680(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [4]), .A2_N
+       (n_2885), .B1 (n_2030), .B2 (n_2230), .Y (n_4637));
+  sky130_fd_sc_hd__o2bb2ai_1 g439681(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [5]), .A2_N
+       (n_2885), .B1 (n_2028), .B2 (n_2230), .Y (n_4636));
+  sky130_fd_sc_hd__o2bb2ai_1 g439682(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [6]), .A2_N
+       (n_2885), .B1 (n_2026), .B2 (n_2230), .Y (n_4635));
+  sky130_fd_sc_hd__o2bb2ai_1 g439683(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [7]), .A2_N
+       (n_2885), .B1 (n_2024), .B2 (n_2230), .Y (n_4634));
+  sky130_fd_sc_hd__o2bb2ai_1 g439684(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [8]), .A2_N
+       (n_2885), .B1 (n_2020), .B2 (n_2230), .Y (n_4633));
+  sky130_fd_sc_hd__a22o_1 g439685(.A1 (n_2806), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [8]), .B1
+       (n_2214), .B2 (n_2021), .X (n_4632));
+  sky130_fd_sc_hd__o2bb2ai_1 g439686(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [1]), .A2_N
+       (n_2813), .B1 (n_2018), .B2 (n_2217), .Y (n_4631));
+  sky130_fd_sc_hd__o2bb2ai_1 g439687(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [6]), .A2_N
+       (n_2425), .B1 (n_2016), .B2 (n_2231), .Y (n_4630));
+  sky130_fd_sc_hd__o2bb2ai_1 g439688(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [2]), .A2_N
+       (n_2813), .B1 (n_2032), .B2 (n_2217), .Y (n_4629));
+  sky130_fd_sc_hd__o2bb2ai_1 g439689(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [3]), .A2_N
+       (n_2813), .B1 (n_2022), .B2 (n_2217), .Y (n_4628));
+  sky130_fd_sc_hd__o2bb2ai_1 g439690(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [4]), .A2_N
+       (n_2813), .B1 (n_2030), .B2 (n_2217), .Y (n_4627));
+  sky130_fd_sc_hd__o2bb2ai_1 g439691(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [5]), .A2_N
+       (n_2813), .B1 (n_2028), .B2 (n_2217), .Y (n_4626));
+  sky130_fd_sc_hd__o2bb2ai_1 g439692(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [6]), .A2_N
+       (n_2813), .B1 (n_2026), .B2 (n_2217), .Y (n_4625));
+  sky130_fd_sc_hd__o2bb2ai_1 g439693(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [7]), .A2_N
+       (n_2813), .B1 (n_2024), .B2 (n_2217), .Y (n_4624));
+  sky130_fd_sc_hd__o2bb2ai_1 g439694(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [8]), .A2_N
+       (n_2813), .B1 (n_2020), .B2 (n_2217), .Y (n_4623));
+  sky130_fd_sc_hd__a22o_1 g439695(.A1 (n_2881), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [1]), .B1
+       (n_1993), .B2 (n_2019), .X (n_4622));
+  sky130_fd_sc_hd__a22o_1 g439696(.A1 (n_2881), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [2]), .B1
+       (n_1993), .B2 (n_2033), .X (n_4621));
+  sky130_fd_sc_hd__a22o_1 g439697(.A1 (n_2881), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [3]), .B1
+       (n_1993), .B2 (n_2023), .X (n_4620));
+  sky130_fd_sc_hd__a22o_1 g439698(.A1 (n_2881), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [4]), .B1
+       (n_1993), .B2 (n_2031), .X (n_4619));
+  sky130_fd_sc_hd__a22o_1 g439699(.A1 (n_2881), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [5]), .B1
+       (n_1993), .B2 (n_2029), .X (n_4618));
+  sky130_fd_sc_hd__a22o_1 g439700(.A1 (n_2881), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [6]), .B1
+       (n_1993), .B2 (n_2027), .X (n_4617));
+  sky130_fd_sc_hd__a22o_1 g439701(.A1 (n_2881), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [7]), .B1
+       (n_1993), .B2 (n_2025), .X (n_4616));
+  sky130_fd_sc_hd__a22o_1 g439702(.A1 (n_2881), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [8]), .B1
+       (n_1993), .B2 (n_2021), .X (n_4615));
+  sky130_fd_sc_hd__o2bb2ai_1 g439703(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [1]), .A2_N
+       (n_2844), .B1 (n_2018), .B2 (n_2219), .Y (n_4614));
+  sky130_fd_sc_hd__a22o_1 g439704(.A1 (n_2806), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [5]), .B1
+       (n_2214), .B2 (n_2029), .X (n_4613));
+  sky130_fd_sc_hd__o2bb2ai_1 g439705(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [3]), .A2_N
+       (n_2844), .B1 (n_2022), .B2 (n_2219), .Y (n_4612));
+  sky130_fd_sc_hd__o2bb2ai_1 g439706(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [4]), .A2_N
+       (n_2844), .B1 (n_2030), .B2 (n_2219), .Y (n_4611));
+  sky130_fd_sc_hd__o2bb2ai_1 g439707(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [5]), .A2_N
+       (n_2844), .B1 (n_2028), .B2 (n_2219), .Y (n_4610));
+  sky130_fd_sc_hd__o2bb2ai_1 g439708(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [6]), .A2_N
+       (n_2844), .B1 (n_2026), .B2 (n_2219), .Y (n_4609));
+  sky130_fd_sc_hd__o2bb2ai_1 g439709(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [7]), .A2_N
+       (n_2844), .B1 (n_2024), .B2 (n_2219), .Y (n_4608));
+  sky130_fd_sc_hd__o2bb2ai_1 g439710(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [8]), .A2_N
+       (n_2844), .B1 (n_2020), .B2 (n_2219), .Y (n_4607));
+  sky130_fd_sc_hd__o2bb2ai_1 g439711(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [1]), .A2_N
+       (n_2773), .B1 (n_2218), .B2 (n_2110), .Y (n_4606));
+  sky130_fd_sc_hd__o2bb2ai_1 g439712(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [2]), .A2_N
+       (n_2773), .B1 (n_2218), .B2 (n_2083), .Y (n_4605));
+  sky130_fd_sc_hd__o2bb2ai_1 g439713(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [3]), .A2_N
+       (n_2773), .B1 (n_2218), .B2 (n_2100), .Y (n_4604));
+  sky130_fd_sc_hd__o2bb2ai_1 g439714(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [4]), .A2_N
+       (n_2773), .B1 (n_2218), .B2 (n_2104), .Y (n_4603));
+  sky130_fd_sc_hd__o2bb2ai_1 g439715(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [5]), .A2_N
+       (n_2773), .B1 (n_2218), .B2 (n_2099), .Y (n_4602));
+  sky130_fd_sc_hd__o2bb2ai_1 g439716(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [6]), .A2_N
+       (n_2773), .B1 (n_2218), .B2 (n_2085), .Y (n_4601));
+  sky130_fd_sc_hd__o2bb2ai_1 g439717(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [7]), .A2_N
+       (n_2773), .B1 (n_2218), .B2 (n_2079), .Y (n_4600));
+  sky130_fd_sc_hd__o2bb2ai_1 g439718(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [8]), .A2_N
+       (n_2773), .B1 (n_2218), .B2 (n_2128), .Y (n_4599));
+  sky130_fd_sc_hd__o2bb2ai_1 g439719(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [1]), .A2_N
+       (n_2432), .B1 (n_2216), .B2 (n_2110), .Y (n_4598));
+  sky130_fd_sc_hd__o2bb2ai_1 g439720(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [2]), .A2_N
+       (n_2432), .B1 (n_2216), .B2 (n_2083), .Y (n_4597));
+  sky130_fd_sc_hd__o2bb2ai_1 g439721(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [3]), .A2_N
+       (n_2432), .B1 (n_2216), .B2 (n_2100), .Y (n_4596));
+  sky130_fd_sc_hd__o2bb2ai_1 g439722(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [4]), .A2_N
+       (n_2432), .B1 (n_2216), .B2 (n_2104), .Y (n_4595));
+  sky130_fd_sc_hd__o2bb2ai_1 g439723(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [5]), .A2_N
+       (n_2432), .B1 (n_2216), .B2 (n_2099), .Y (n_4594));
+  sky130_fd_sc_hd__o2bb2ai_1 g439724(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [6]), .A2_N
+       (n_2432), .B1 (n_2216), .B2 (n_2085), .Y (n_4593));
+  sky130_fd_sc_hd__o2bb2ai_1 g439725(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [7]), .A2_N
+       (n_2432), .B1 (n_2216), .B2 (n_2079), .Y (n_4592));
+  sky130_fd_sc_hd__o2bb2ai_1 g439726(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [8]), .A2_N
+       (n_2432), .B1 (n_2216), .B2 (n_2128), .Y (n_4591));
+  sky130_fd_sc_hd__o2bb2ai_1 g439727(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [1]), .A2_N
+       (n_2694), .B1 (n_2224), .B2 (n_2110), .Y (n_4590));
+  sky130_fd_sc_hd__o2bb2ai_1 g439728(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [2]), .A2_N
+       (n_2694), .B1 (n_2224), .B2 (n_2083), .Y (n_4589));
+  sky130_fd_sc_hd__o2bb2ai_1 g439729(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [3]), .A2_N
+       (n_2694), .B1 (n_2224), .B2 (n_2100), .Y (n_4588));
+  sky130_fd_sc_hd__o2bb2ai_1 g439730(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [2]), .A2_N
+       (n_2702), .B1 (n_2229), .B2 (n_2089), .Y (n_4587));
+  sky130_fd_sc_hd__o2bb2ai_1 g439731(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [4]), .A2_N
+       (n_2694), .B1 (n_2224), .B2 (n_2104), .Y (n_4586));
+  sky130_fd_sc_hd__o2bb2ai_1 g439732(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [5]), .A2_N
+       (n_2694), .B1 (n_2224), .B2 (n_2099), .Y (n_4585));
+  sky130_fd_sc_hd__o2bb2ai_1 g439733(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [6]), .A2_N
+       (n_2694), .B1 (n_2224), .B2 (n_2085), .Y (n_4584));
+  sky130_fd_sc_hd__o2bb2ai_1 g439734(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [7]), .A2_N
+       (n_2694), .B1 (n_2224), .B2 (n_2079), .Y (n_4583));
+  sky130_fd_sc_hd__a22o_1 g439735(.A1 (n_2806), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [3]), .B1
+       (n_2214), .B2 (n_2023), .X (n_4582));
+  sky130_fd_sc_hd__o2bb2ai_1 g439736(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [8]), .A2_N
+       (n_2694), .B1 (n_2224), .B2 (n_2128), .Y (n_4581));
+  sky130_fd_sc_hd__o2bb2ai_1 g439737(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [1]), .A2_N
+       (n_2494), .B1 (n_2220), .B2 (n_2110), .Y (n_4580));
+  sky130_fd_sc_hd__o2bb2ai_1 g439738(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [2]), .A2_N
+       (n_2494), .B1 (n_2220), .B2 (n_2083), .Y (n_4579));
+  sky130_fd_sc_hd__o2bb2ai_1 g439739(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [4]), .A2_N
+       (n_2494), .B1 (n_2220), .B2 (n_2104), .Y (n_4578));
+  sky130_fd_sc_hd__o2bb2ai_1 g439740(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [5]), .A2_N
+       (n_2494), .B1 (n_2220), .B2 (n_2099), .Y (n_4577));
+  sky130_fd_sc_hd__o2bb2ai_1 g439741(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [6]), .A2_N
+       (n_2494), .B1 (n_2220), .B2 (n_2085), .Y (n_4576));
+  sky130_fd_sc_hd__o2bb2ai_1 g439742(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [7]), .A2_N
+       (n_2494), .B1 (n_2220), .B2 (n_2079), .Y (n_4575));
+  sky130_fd_sc_hd__o2bb2ai_1 g439743(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [1]), .A2_N
+       (n_2854), .B1 (n_2218), .B2 (n_2116), .Y (n_4574));
+  sky130_fd_sc_hd__o2bb2ai_1 g439744(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [1]), .A2_N
+       (n_2480), .B1 (n_2106), .B2 (n_2223), .Y (n_4573));
+  sky130_fd_sc_hd__o2bb2ai_1 g439745(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [2]), .A2_N
+       (n_2854), .B1 (n_2218), .B2 (n_2117), .Y (n_4572));
+  sky130_fd_sc_hd__o2bb2ai_1 g439746(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [3]), .A2_N
+       (n_2854), .B1 (n_2218), .B2 (n_2097), .Y (n_4571));
+  sky130_fd_sc_hd__o2bb2ai_1 g439747(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [4]), .A2_N
+       (n_2854), .B1 (n_2218), .B2 (n_2125), .Y (n_4570));
+  sky130_fd_sc_hd__o2bb2ai_1 g439748(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [5]), .A2_N
+       (n_2854), .B1 (n_2218), .B2 (n_2013), .Y (n_4569));
+  sky130_fd_sc_hd__o2bb2ai_1 g439749(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [6]), .A2_N
+       (n_2854), .B1 (n_2218), .B2 (n_2122), .Y (n_4568));
+  sky130_fd_sc_hd__o2bb2ai_1 g439750(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [7]), .A2_N
+       (n_2854), .B1 (n_2218), .B2 (n_2077), .Y (n_4567));
+  sky130_fd_sc_hd__o2bb2ai_1 g439751(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [1]), .A2_N
+       (n_2736), .B1 (n_2216), .B2 (n_2116), .Y (n_4566));
+  sky130_fd_sc_hd__o2bb2ai_1 g439752(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [3]), .A2_N
+       (n_2736), .B1 (n_2216), .B2 (n_2097), .Y (n_4565));
+  sky130_fd_sc_hd__o2bb2ai_1 g439753(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [4]), .A2_N
+       (n_2736), .B1 (n_2216), .B2 (n_2125), .Y (n_4564));
+  sky130_fd_sc_hd__o2bb2ai_1 g439754(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [5]), .A2_N
+       (n_2736), .B1 (n_2216), .B2 (n_2013), .Y (n_4563));
+  sky130_fd_sc_hd__o2bb2ai_1 g439755(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [6]), .A2_N
+       (n_2736), .B1 (n_2216), .B2 (n_2122), .Y (n_4562));
+  sky130_fd_sc_hd__o2bb2ai_1 g439756(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [7]), .A2_N
+       (n_2736), .B1 (n_2216), .B2 (n_2077), .Y (n_4561));
+  sky130_fd_sc_hd__o2bb2ai_1 g439757(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [7]), .A2_N
+       (n_2471), .B1 (n_2215), .B2 (n_2079), .Y (n_4560));
+  sky130_fd_sc_hd__o2bb2ai_1 g439758(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [2]), .A2_N
+       (n_2788), .B1 (n_2224), .B2 (n_2117), .Y (n_4559));
+  sky130_fd_sc_hd__o2bb2ai_1 g439759(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [3]), .A2_N
+       (n_2788), .B1 (n_2224), .B2 (n_2097), .Y (n_4558));
+  sky130_fd_sc_hd__o2bb2ai_1 g439760(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [5]), .A2_N
+       (n_2788), .B1 (n_2224), .B2 (n_2013), .Y (n_4557));
+  sky130_fd_sc_hd__o2bb2ai_1 g439761(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [6]), .A2_N
+       (n_2788), .B1 (n_2224), .B2 (n_2122), .Y (n_4556));
+  sky130_fd_sc_hd__o2bb2ai_1 g439762(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [7]), .A2_N
+       (n_2788), .B1 (n_2224), .B2 (n_2077), .Y (n_4555));
+  sky130_fd_sc_hd__o2bb2ai_1 g439763(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [7]), .A2_N
+       (n_2749), .B1 (n_2218), .B2 (n_2075), .Y (n_4554));
+  sky130_fd_sc_hd__o2bb2ai_1 g439764(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [8]), .A2_N
+       (n_2788), .B1 (n_2224), .B2 (n_2113), .Y (n_4553));
+  sky130_fd_sc_hd__o2bb2ai_1 g439765(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [1]), .A2_N
+       (n_2427), .B1 (n_2231), .B2 (n_2130), .Y (n_4552));
+  sky130_fd_sc_hd__o2bb2ai_1 g439766(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [1]), .A2_N
+       (n_2873), .B1 (n_2220), .B2 (n_2116), .Y (n_4551));
+  sky130_fd_sc_hd__o2bb2ai_1 g439767(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [2]), .A2_N
+       (n_2873), .B1 (n_2220), .B2 (n_2117), .Y (n_4550));
+  sky130_fd_sc_hd__o2bb2ai_1 g439768(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [4]), .A2_N
+       (n_2873), .B1 (n_2220), .B2 (n_2125), .Y (n_4549));
+  sky130_fd_sc_hd__o2bb2ai_1 g439769(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [5]), .A2_N
+       (n_2873), .B1 (n_2220), .B2 (n_2013), .Y (n_4548));
+  sky130_fd_sc_hd__o2bb2ai_1 g439770(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [7]), .A2_N
+       (n_2873), .B1 (n_2220), .B2 (n_2077), .Y (n_4547));
+  sky130_fd_sc_hd__o2bb2ai_1 g439771(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [2]), .A2_N
+       (n_2850), .B1 (n_2230), .B2 (n_2083), .Y (n_4546));
+  sky130_fd_sc_hd__o2bb2ai_1 g439772(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [3]), .A2_N
+       (n_2850), .B1 (n_2230), .B2 (n_2100), .Y (n_4545));
+  sky130_fd_sc_hd__o2bb2ai_1 g439773(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [4]), .A2_N
+       (n_2850), .B1 (n_2230), .B2 (n_2104), .Y (n_4544));
+  sky130_fd_sc_hd__o2bb2ai_1 g439774(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [5]), .A2_N
+       (n_2850), .B1 (n_2230), .B2 (n_2099), .Y (n_4543));
+  sky130_fd_sc_hd__o2bb2ai_1 g439775(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [6]), .A2_N
+       (n_2850), .B1 (n_2230), .B2 (n_2085), .Y (n_4542));
+  sky130_fd_sc_hd__o2bb2ai_1 g439776(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [7]), .A2_N
+       (n_2850), .B1 (n_2230), .B2 (n_2079), .Y (n_4541));
+  sky130_fd_sc_hd__o2bb2ai_1 g439777(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [8]), .A2_N
+       (n_2850), .B1 (n_2230), .B2 (n_2128), .Y (n_4540));
+  sky130_fd_sc_hd__o2bb2ai_1 g439778(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [1]), .A2_N
+       (n_2506), .B1 (n_2217), .B2 (n_2110), .Y (n_4539));
+  sky130_fd_sc_hd__o2bb2ai_1 g439779(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [3]), .A2_N
+       (n_2506), .B1 (n_2217), .B2 (n_2100), .Y (n_4538));
+  sky130_fd_sc_hd__o2bb2ai_1 g439780(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [4]), .A2_N
+       (n_2506), .B1 (n_2217), .B2 (n_2104), .Y (n_4537));
+  sky130_fd_sc_hd__o2bb2ai_1 g439781(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [5]), .A2_N
+       (n_2506), .B1 (n_2217), .B2 (n_2099), .Y (n_4536));
+  sky130_fd_sc_hd__o2bb2ai_1 g439782(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [6]), .A2_N
+       (n_2506), .B1 (n_2217), .B2 (n_2085), .Y (n_4535));
+  sky130_fd_sc_hd__o2bb2ai_1 g439783(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [7]), .A2_N
+       (n_2506), .B1 (n_2217), .B2 (n_2079), .Y (n_4534));
+  sky130_fd_sc_hd__o2bb2ai_1 g439784(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [2]), .A2_N
+       (n_2749), .B1 (n_2218), .B2 (n_2089), .Y (n_4533));
+  sky130_fd_sc_hd__o2bb2ai_1 g439785(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [2]), .A2_N
+       (n_2785), .B1 (n_1994), .B2 (n_2083), .Y (n_4532));
+  sky130_fd_sc_hd__o2bb2ai_1 g439786(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [3]), .A2_N
+       (n_2785), .B1 (n_1994), .B2 (n_2100), .Y (n_4531));
+  sky130_fd_sc_hd__o2bb2ai_1 g439787(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [6]), .A2_N
+       (n_2749), .B1 (n_2218), .B2 (n_2124), .Y (n_4530));
+  sky130_fd_sc_hd__o2bb2ai_1 g439788(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [4]), .A2_N
+       (n_2785), .B1 (n_1994), .B2 (n_2104), .Y (n_4529));
+  sky130_fd_sc_hd__o2bb2ai_1 g439789(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [5]), .A2_N
+       (n_2785), .B1 (n_1994), .B2 (n_2099), .Y (n_4528));
+  sky130_fd_sc_hd__o2bb2ai_1 g439790(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [6]), .A2_N
+       (n_2785), .B1 (n_1994), .B2 (n_2085), .Y (n_4527));
+  sky130_fd_sc_hd__o2bb2ai_1 g439791(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [8]), .A2_N
+       (n_2785), .B1 (n_1994), .B2 (n_2128), .Y (n_4526));
+  sky130_fd_sc_hd__o2bb2ai_1 g439792(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [1]), .A2_N
+       (n_2515), .B1 (n_2219), .B2 (n_2110), .Y (n_4525));
+  sky130_fd_sc_hd__o2bb2ai_1 g439793(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [3]), .A2_N
+       (n_2515), .B1 (n_2219), .B2 (n_2100), .Y (n_4524));
+  sky130_fd_sc_hd__o2bb2ai_1 g439794(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [4]), .A2_N
+       (n_2515), .B1 (n_2219), .B2 (n_2104), .Y (n_4523));
+  sky130_fd_sc_hd__o2bb2ai_1 g439795(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [5]), .A2_N
+       (n_2515), .B1 (n_2219), .B2 (n_2099), .Y (n_4522));
+  sky130_fd_sc_hd__o2bb2ai_1 g439796(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [6]), .A2_N
+       (n_2515), .B1 (n_2219), .B2 (n_2085), .Y (n_4521));
+  sky130_fd_sc_hd__o2bb2ai_1 g439797(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [8]), .A2_N
+       (n_2515), .B1 (n_2219), .B2 (n_2128), .Y (n_4520));
+  sky130_fd_sc_hd__o2bb2ai_1 g439798(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [2]), .A2_N
+       (n_2875), .B1 (n_2230), .B2 (n_2117), .Y (n_4519));
+  sky130_fd_sc_hd__o2bb2ai_1 g439799(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [3]), .A2_N
+       (n_2875), .B1 (n_2230), .B2 (n_2097), .Y (n_4518));
+  sky130_fd_sc_hd__o2bb2ai_1 g439800(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [4]), .A2_N
+       (n_2875), .B1 (n_2230), .B2 (n_2125), .Y (n_4517));
+  sky130_fd_sc_hd__o2bb2ai_1 g439801(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [5]), .A2_N
+       (n_2875), .B1 (n_2230), .B2 (n_2013), .Y (n_4516));
+  sky130_fd_sc_hd__o2bb2ai_1 g439802(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [6]), .A2_N
+       (n_2875), .B1 (n_2230), .B2 (n_2122), .Y (n_4515));
+  sky130_fd_sc_hd__o2bb2ai_1 g439803(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [7]), .A2_N
+       (n_2875), .B1 (n_2230), .B2 (n_2077), .Y (n_4514));
+  sky130_fd_sc_hd__o2bb2ai_1 g439804(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [8]), .A2_N
+       (n_2875), .B1 (n_2230), .B2 (n_2113), .Y (n_4513));
+  sky130_fd_sc_hd__o2bb2ai_1 g439805(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [1]), .A2_N
+       (n_2874), .B1 (n_2217), .B2 (n_2116), .Y (n_4512));
+  sky130_fd_sc_hd__o2bb2ai_1 g439806(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [2]), .A2_N
+       (n_2874), .B1 (n_2217), .B2 (n_2117), .Y (n_4511));
+  sky130_fd_sc_hd__o2bb2ai_1 g439807(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [3]), .A2_N
+       (n_2874), .B1 (n_2217), .B2 (n_2097), .Y (n_4510));
+  sky130_fd_sc_hd__o2bb2ai_1 g439808(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [4]), .A2_N
+       (n_2874), .B1 (n_2217), .B2 (n_2125), .Y (n_4509));
+  sky130_fd_sc_hd__o2bb2ai_1 g439809(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [6]), .A2_N
+       (n_2874), .B1 (n_2217), .B2 (n_2122), .Y (n_4508));
+  sky130_fd_sc_hd__o2bb2ai_1 g439810(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [7]), .A2_N
+       (n_2874), .B1 (n_2217), .B2 (n_2077), .Y (n_4507));
+  sky130_fd_sc_hd__o2bb2ai_1 g439811(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [1]), .A2_N
+       (n_2855), .B1 (n_1994), .B2 (n_2116), .Y (n_4506));
+  sky130_fd_sc_hd__o2bb2ai_1 g439812(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [2]), .A2_N
+       (n_2855), .B1 (n_1994), .B2 (n_2117), .Y (n_4505));
+  sky130_fd_sc_hd__o2bb2ai_1 g439813(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [3]), .A2_N
+       (n_2855), .B1 (n_1994), .B2 (n_2097), .Y (n_4504));
+  sky130_fd_sc_hd__o2bb2ai_1 g439814(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [4]), .A2_N
+       (n_2855), .B1 (n_1994), .B2 (n_2125), .Y (n_4503));
+  sky130_fd_sc_hd__o2bb2ai_1 g439815(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [5]), .A2_N
+       (n_2855), .B1 (n_1994), .B2 (n_2013), .Y (n_4502));
+  sky130_fd_sc_hd__o2bb2ai_1 g439816(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [6]), .A2_N
+       (n_2855), .B1 (n_1994), .B2 (n_2122), .Y (n_4501));
+  sky130_fd_sc_hd__o2bb2ai_1 g439817(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [7]), .A2_N
+       (n_2855), .B1 (n_1994), .B2 (n_2077), .Y (n_4500));
+  sky130_fd_sc_hd__o2bb2ai_1 g439818(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [7]), .A2_N
+       (n_2719), .B1 (n_2227), .B2 (n_2095), .Y (n_4499));
+  sky130_fd_sc_hd__o2bb2ai_1 g439819(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [1]), .A2_N
+       (n_2705), .B1 (n_2219), .B2 (n_2116), .Y (n_4498));
+  sky130_fd_sc_hd__o2bb2ai_1 g439820(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [2]), .A2_N
+       (n_2705), .B1 (n_2219), .B2 (n_2117), .Y (n_4497));
+  sky130_fd_sc_hd__o2bb2ai_1 g439821(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [3]), .A2_N
+       (n_2705), .B1 (n_2219), .B2 (n_2097), .Y (n_4496));
+  sky130_fd_sc_hd__o2bb2ai_1 g439822(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [8]), .A2_N
+       (n_2494), .B1 (n_2220), .B2 (n_2128), .Y (n_4495));
+  sky130_fd_sc_hd__o2bb2ai_1 g439823(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [5]), .A2_N
+       (n_2705), .B1 (n_2219), .B2 (n_2013), .Y (n_4494));
+  sky130_fd_sc_hd__o2bb2ai_1 g439824(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [1]), .A2_N
+       (n_2514), .B1 (n_2229), .B2 (n_2110), .Y (n_4493));
+  sky130_fd_sc_hd__a22o_1 g439825(.A1 (n_2523), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [7]), .B1
+       (n_2045), .B2 (n_2003), .X (n_4492));
+  sky130_fd_sc_hd__o2bb2ai_1 g439826(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [1]), .A2_N
+       (n_2865), .B1 (n_2227), .B2 (n_2042), .Y (n_4491));
+  sky130_fd_sc_hd__o2bb2ai_1 g439827(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [2]), .A2_N
+       (n_2865), .B1 (n_2227), .B2 (n_2040), .Y (n_4490));
+  sky130_fd_sc_hd__o2bb2ai_1 g439828(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [4]), .A2_N
+       (n_2705), .B1 (n_2219), .B2 (n_2125), .Y (n_4489));
+  sky130_fd_sc_hd__o2bb2ai_1 g439829(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [3]), .A2_N
+       (n_2865), .B1 (n_2227), .B2 (n_2052), .Y (n_4488));
+  sky130_fd_sc_hd__o2bb2ai_1 g439830(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [4]), .A2_N
+       (n_2865), .B1 (n_2227), .B2 (n_2050), .Y (n_4487));
+  sky130_fd_sc_hd__o2bb2ai_1 g439831(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [5]), .A2_N
+       (n_2471), .B1 (n_2215), .B2 (n_2099), .Y (n_4486));
+  sky130_fd_sc_hd__o2bb2ai_1 g439832(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [5]), .A2_N
+       (n_2865), .B1 (n_2227), .B2 (n_2048), .Y (n_4485));
+  sky130_fd_sc_hd__o2bb2ai_1 g439833(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [6]), .A2_N
+       (n_2865), .B1 (n_2227), .B2 (n_2046), .Y (n_4484));
+  sky130_fd_sc_hd__o2bb2ai_1 g439834(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [7]), .A2_N
+       (n_2865), .B1 (n_2227), .B2 (n_2044), .Y (n_4483));
+  sky130_fd_sc_hd__o2bb2ai_1 g439835(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [8]), .A2_N
+       (n_2865), .B1 (n_2227), .B2 (n_2038), .Y (n_4482));
+  sky130_fd_sc_hd__o2bb2ai_1 g439836(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [1]), .A2_N
+       (n_2808), .B1 (n_2231), .B2 (n_2042), .Y (n_4481));
+  sky130_fd_sc_hd__o2bb2ai_1 g439837(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [5]), .A2_N
+       (n_2808), .B1 (n_2231), .B2 (n_2048), .Y (n_4480));
+  sky130_fd_sc_hd__o2bb2ai_1 g439838(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [7]), .A2_N
+       (n_2808), .B1 (n_2231), .B2 (n_2044), .Y (n_4479));
+  sky130_fd_sc_hd__a22o_1 g439839(.A1 (n_2843), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [2]), .B1
+       (n_2041), .B2 (n_2228), .X (n_4478));
+  sky130_fd_sc_hd__a22o_1 g439840(.A1 (n_2843), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [3]), .B1
+       (n_2053), .B2 (n_2228), .X (n_4477));
+  sky130_fd_sc_hd__a22o_1 g439841(.A1 (n_2843), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [4]), .B1
+       (n_2051), .B2 (n_2228), .X (n_4476));
+  sky130_fd_sc_hd__a22o_1 g439842(.A1 (n_2843), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [5]), .B1
+       (n_2049), .B2 (n_2228), .X (n_4475));
+  sky130_fd_sc_hd__a22o_1 g439843(.A1 (n_2843), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [6]), .B1
+       (n_2047), .B2 (n_2228), .X (n_4474));
+  sky130_fd_sc_hd__a22o_1 g439844(.A1 (n_2843), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [7]), .B1
+       (n_2045), .B2 (n_2228), .X (n_4473));
+  sky130_fd_sc_hd__a22o_1 g439845(.A1 (n_2843), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [8]), .B1
+       (n_2039), .B2 (n_2228), .X (n_4472));
+  sky130_fd_sc_hd__a22o_1 g439846(.A1 (n_2807), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [1]), .B1
+       (n_2043), .B2 (n_2214), .X (n_4471));
+  sky130_fd_sc_hd__a22o_1 g439847(.A1 (n_2807), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [3]), .B1
+       (n_2053), .B2 (n_2214), .X (n_4470));
+  sky130_fd_sc_hd__a22o_1 g439848(.A1 (n_2807), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [4]), .B1
+       (n_2051), .B2 (n_2214), .X (n_4469));
+  sky130_fd_sc_hd__a22o_1 g439849(.A1 (n_2807), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [5]), .B1
+       (n_2049), .B2 (n_2214), .X (n_4468));
+  sky130_fd_sc_hd__a22o_1 g439850(.A1 (n_2807), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [7]), .B1
+       (n_2045), .B2 (n_2214), .X (n_4467));
+  sky130_fd_sc_hd__o2bb2ai_1 g439851(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [1]), .A2_N
+       (n_2864), .B1 (n_2018), .B2 (n_2227), .Y (n_4466));
+  sky130_fd_sc_hd__o2bb2ai_1 g439852(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [2]), .A2_N
+       (n_2864), .B1 (n_2032), .B2 (n_2227), .Y (n_4465));
+  sky130_fd_sc_hd__o2bb2ai_1 g439853(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [3]), .A2_N
+       (n_2864), .B1 (n_2022), .B2 (n_2227), .Y (n_4464));
+  sky130_fd_sc_hd__o2bb2ai_1 g439854(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [4]), .A2_N
+       (n_2864), .B1 (n_2030), .B2 (n_2227), .Y (n_4463));
+  sky130_fd_sc_hd__o2bb2ai_1 g439855(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [5]), .A2_N
+       (n_2864), .B1 (n_2028), .B2 (n_2227), .Y (n_4462));
+  sky130_fd_sc_hd__o2bb2ai_1 g439856(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [6]), .A2_N
+       (n_2864), .B1 (n_2026), .B2 (n_2227), .Y (n_4461));
+  sky130_fd_sc_hd__o2bb2ai_1 g439857(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [8]), .A2_N
+       (n_2864), .B1 (n_2020), .B2 (n_2227), .Y (n_4460));
+  sky130_fd_sc_hd__o2bb2ai_1 g439858(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [1]), .A2_N
+       (n_2812), .B1 (n_2018), .B2 (n_2231), .Y (n_4459));
+  sky130_fd_sc_hd__o2bb2ai_1 g439859(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [2]), .A2_N
+       (n_2814), .B1 (n_2215), .B2 (n_2089), .Y (n_4458));
+  sky130_fd_sc_hd__o2bb2ai_1 g439860(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [2]), .A2_N
+       (n_2812), .B1 (n_2032), .B2 (n_2231), .Y (n_4457));
+  sky130_fd_sc_hd__o2bb2ai_1 g439861(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [3]), .A2_N
+       (n_2812), .B1 (n_2022), .B2 (n_2231), .Y (n_4456));
+  sky130_fd_sc_hd__o2bb2ai_1 g439862(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [4]), .A2_N
+       (n_2812), .B1 (n_2030), .B2 (n_2231), .Y (n_4455));
+  sky130_fd_sc_hd__o2bb2ai_1 g439863(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [5]), .A2_N
+       (n_2812), .B1 (n_2028), .B2 (n_2231), .Y (n_4454));
+  sky130_fd_sc_hd__o2bb2ai_1 g439864(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [6]), .A2_N
+       (n_2812), .B1 (n_2026), .B2 (n_2231), .Y (n_4453));
+  sky130_fd_sc_hd__o2bb2ai_1 g439865(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [7]), .A2_N
+       (n_2812), .B1 (n_2024), .B2 (n_2231), .Y (n_4452));
+  sky130_fd_sc_hd__o2bb2ai_1 g439866(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [8]), .A2_N
+       (n_2812), .B1 (n_2020), .B2 (n_2231), .Y (n_4451));
+  sky130_fd_sc_hd__a22o_1 g439867(.A1 (n_2842), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [2]), .B1
+       (n_2228), .B2 (n_2033), .X (n_4450));
+  sky130_fd_sc_hd__a22o_1 g439868(.A1 (n_2842), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [4]), .B1
+       (n_2228), .B2 (n_2031), .X (n_4449));
+  sky130_fd_sc_hd__a22o_1 g439869(.A1 (n_2842), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [5]), .B1
+       (n_2228), .B2 (n_2029), .X (n_4448));
+  sky130_fd_sc_hd__a22o_1 g439870(.A1 (n_2842), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [8]), .B1
+       (n_2228), .B2 (n_2021), .X (n_4447));
+  sky130_fd_sc_hd__a22o_1 g439871(.A1 (n_2806), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [2]), .B1
+       (n_2214), .B2 (n_2033), .X (n_4446));
+  sky130_fd_sc_hd__a22o_1 g439872(.A1 (n_2806), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [4]), .B1
+       (n_2214), .B2 (n_2031), .X (n_4445));
+  sky130_fd_sc_hd__a22o_1 g439873(.A1 (n_2806), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [6]), .B1
+       (n_2214), .B2 (n_2027), .X (n_4444));
+  sky130_fd_sc_hd__a22o_1 g439874(.A1 (n_2806), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [7]), .B1
+       (n_2214), .B2 (n_2025), .X (n_4443));
+  sky130_fd_sc_hd__a22o_1 g439875(.A1 (n_2741), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [7]), .B1
+       (n_2045), .B2 (n_2235), .X (n_4442));
+  sky130_fd_sc_hd__a22o_1 g439876(.A1 (n_2877), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [2]), .B1
+       (n_2041), .B2 (n_1995), .X (n_4441));
+  sky130_fd_sc_hd__a22o_1 g439877(.A1 (n_2877), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [3]), .B1
+       (n_2053), .B2 (n_1995), .X (n_4440));
+  sky130_fd_sc_hd__a22o_1 g439878(.A1 (n_2877), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [5]), .B1
+       (n_2049), .B2 (n_1995), .X (n_4439));
+  sky130_fd_sc_hd__a22o_1 g439879(.A1 (n_2877), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [7]), .B1
+       (n_2045), .B2 (n_1995), .X (n_4438));
+  sky130_fd_sc_hd__o2bb2ai_1 g439880(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [3]), .A2_N
+       (n_2749), .B1 (n_2218), .B2 (n_2073), .Y (n_4437));
+  sky130_fd_sc_hd__a22o_1 g439881(.A1 (n_2877), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [8]), .B1
+       (n_2039), .B2 (n_1995), .X (n_4436));
+  sky130_fd_sc_hd__a22o_1 g439882(.A1 (n_2523), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [5]), .B1
+       (n_2049), .B2 (n_2003), .X (n_4435));
+  sky130_fd_sc_hd__o2bb2ai_1 g439883(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [2]), .A2_N
+       (n_2848), .B1 (n_2223), .B2 (n_2040), .Y (n_4434));
+  sky130_fd_sc_hd__o2bb2ai_1 g439884(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [3]), .A2_N
+       (n_2848), .B1 (n_2223), .B2 (n_2052), .Y (n_4433));
+  sky130_fd_sc_hd__o2bb2ai_1 g439885(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [4]), .A2_N
+       (n_2848), .B1 (n_2223), .B2 (n_2050), .Y (n_4432));
+  sky130_fd_sc_hd__o2bb2ai_1 g439886(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [6]), .A2_N
+       (n_2719), .B1 (n_2227), .B2 (n_2070), .Y (n_4431));
+  sky130_fd_sc_hd__o2bb2ai_1 g439887(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [6]), .A2_N
+       (n_2848), .B1 (n_2223), .B2 (n_2046), .Y (n_4430));
+  sky130_fd_sc_hd__o2bb2ai_1 g439888(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [5]), .A2_N
+       (n_2703), .B1 (n_1998), .B2 (n_2120), .Y (n_4429));
+  sky130_fd_sc_hd__o2bb2ai_1 g439889(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [8]), .A2_N
+       (n_2848), .B1 (n_2223), .B2 (n_2038), .Y (n_4428));
+  sky130_fd_sc_hd__a22o_1 g439890(.A1 (n_2867), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [1]), .B1
+       (n_2043), .B2 (n_1997), .X (n_4427));
+  sky130_fd_sc_hd__a22o_1 g439891(.A1 (n_2867), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [2]), .B1
+       (n_2041), .B2 (n_1997), .X (n_4426));
+  sky130_fd_sc_hd__a22o_1 g439892(.A1 (n_2867), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [3]), .B1
+       (n_2053), .B2 (n_1997), .X (n_4425));
+  sky130_fd_sc_hd__a22o_1 g439893(.A1 (n_2867), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [4]), .B1
+       (n_2051), .B2 (n_1997), .X (n_4424));
+  sky130_fd_sc_hd__a22o_1 g439894(.A1 (n_2867), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [5]), .B1
+       (n_2049), .B2 (n_1997), .X (n_4423));
+  sky130_fd_sc_hd__a22o_1 g439895(.A1 (n_2867), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [7]), .B1
+       (n_2045), .B2 (n_1997), .X (n_4422));
+  sky130_fd_sc_hd__a22o_1 g439896(.A1 (n_2867), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [8]), .B1
+       (n_2039), .B2 (n_1997), .X (n_4421));
+  sky130_fd_sc_hd__a22o_1 g439897(.A1 (n_2871), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [1]), .B1
+       (n_2043), .B2 (n_2221), .X (n_4420));
+  sky130_fd_sc_hd__a22o_1 g439898(.A1 (n_2871), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [2]), .B1
+       (n_2041), .B2 (n_2221), .X (n_4419));
+  sky130_fd_sc_hd__a22o_1 g439899(.A1 (n_2871), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [4]), .B1
+       (n_2051), .B2 (n_2221), .X (n_4418));
+  sky130_fd_sc_hd__a22o_1 g439900(.A1 (n_2871), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [5]), .B1
+       (n_2049), .B2 (n_2221), .X (n_4417));
+  sky130_fd_sc_hd__a22o_1 g439901(.A1 (n_2871), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [6]), .B1
+       (n_2047), .B2 (n_2221), .X (n_4416));
+  sky130_fd_sc_hd__a22o_1 g439902(.A1 (n_2871), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [8]), .B1
+       (n_2039), .B2 (n_2221), .X (n_4415));
+  sky130_fd_sc_hd__a22o_1 g439903(.A1 (n_2876), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [1]), .B1
+       (n_1995), .B2 (n_2019), .X (n_4414));
+  sky130_fd_sc_hd__a22o_1 g439904(.A1 (n_2876), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [2]), .B1
+       (n_1995), .B2 (n_2033), .X (n_4413));
+  sky130_fd_sc_hd__a22o_1 g439905(.A1 (n_2876), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [3]), .B1
+       (n_1995), .B2 (n_2023), .X (n_4412));
+  sky130_fd_sc_hd__a22o_1 g439906(.A1 (n_2876), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [4]), .B1
+       (n_1995), .B2 (n_2031), .X (n_4411));
+  sky130_fd_sc_hd__a22o_1 g439907(.A1 (n_2876), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [6]), .B1
+       (n_1995), .B2 (n_2027), .X (n_4410));
+  sky130_fd_sc_hd__a22o_1 g439908(.A1 (n_2876), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [7]), .B1
+       (n_1995), .B2 (n_2025), .X (n_4409));
+  sky130_fd_sc_hd__a22o_1 g439909(.A1 (n_2876), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [8]), .B1
+       (n_1995), .B2 (n_2021), .X (n_4408));
+  sky130_fd_sc_hd__o2bb2ai_1 g439910(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [2]), .A2_N
+       (n_2849), .B1 (n_2032), .B2 (n_2223), .Y (n_4407));
+  sky130_fd_sc_hd__o2bb2ai_1 g439911(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [4]), .A2_N
+       (n_2849), .B1 (n_2030), .B2 (n_2223), .Y (n_4406));
+  sky130_fd_sc_hd__o2bb2ai_1 g439912(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [5]), .A2_N
+       (n_2849), .B1 (n_2028), .B2 (n_2223), .Y (n_4405));
+  sky130_fd_sc_hd__o2bb2ai_1 g439913(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [8]), .A2_N
+       (n_2849), .B1 (n_2020), .B2 (n_2223), .Y (n_4404));
+  sky130_fd_sc_hd__a22o_1 g439914(.A1 (n_2866), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [1]), .B1
+       (n_1997), .B2 (n_2019), .X (n_4403));
+  sky130_fd_sc_hd__a22o_1 g439915(.A1 (n_2866), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [3]), .B1
+       (n_1997), .B2 (n_2023), .X (n_4402));
+  sky130_fd_sc_hd__a22o_1 g439916(.A1 (n_2866), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [4]), .B1
+       (n_1997), .B2 (n_2031), .X (n_4401));
+  sky130_fd_sc_hd__a22o_1 g439917(.A1 (n_2866), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [5]), .B1
+       (n_1997), .B2 (n_2029), .X (n_4400));
+  sky130_fd_sc_hd__a22o_1 g439918(.A1 (n_2866), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [6]), .B1
+       (n_1997), .B2 (n_2027), .X (n_4399));
+  sky130_fd_sc_hd__a22o_1 g439919(.A1 (n_2866), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [7]), .B1
+       (n_1997), .B2 (n_2025), .X (n_4398));
+  sky130_fd_sc_hd__a22o_1 g439920(.A1 (n_2866), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [8]), .B1
+       (n_1997), .B2 (n_2021), .X (n_4397));
+  sky130_fd_sc_hd__a22o_1 g439921(.A1 (n_2870), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [1]), .B1
+       (n_2221), .B2 (n_2019), .X (n_4396));
+  sky130_fd_sc_hd__a22o_1 g439922(.A1 (n_2870), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [2]), .B1
+       (n_2221), .B2 (n_2033), .X (n_4395));
+  sky130_fd_sc_hd__a22o_1 g439923(.A1 (n_2870), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [3]), .B1
+       (n_2221), .B2 (n_2023), .X (n_4394));
+  sky130_fd_sc_hd__a22o_1 g439924(.A1 (n_2870), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [5]), .B1
+       (n_2221), .B2 (n_2029), .X (n_4393));
+  sky130_fd_sc_hd__a22o_1 g439925(.A1 (n_2870), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [6]), .B1
+       (n_2221), .B2 (n_2027), .X (n_4392));
+  sky130_fd_sc_hd__a22o_1 g439926(.A1 (n_2870), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [7]), .B1
+       (n_2221), .B2 (n_2025), .X (n_4391));
+  sky130_fd_sc_hd__a22o_1 g439927(.A1 (n_2870), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [8]), .B1
+       (n_2221), .B2 (n_2021), .X (n_4390));
+  sky130_fd_sc_hd__o2bb2ai_1 g439928(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [1]), .A2_N
+       (n_2872), .B1 (n_2227), .B2 (n_2110), .Y (n_4389));
+  sky130_fd_sc_hd__o2bb2ai_1 g439929(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [7]), .A2_N
+       (n_2737), .B1 (n_2004), .B2 (n_2079), .Y (n_4388));
+  sky130_fd_sc_hd__o2bb2ai_1 g439930(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [5]), .A2_N
+       (n_2700), .B1 (n_2219), .B2 (n_2120), .Y (n_4387));
+  sky130_fd_sc_hd__o2bb2ai_1 g439931(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [4]), .A2_N
+       (n_2872), .B1 (n_2227), .B2 (n_2104), .Y (n_4386));
+  sky130_fd_sc_hd__o2bb2ai_1 g439932(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [5]), .A2_N
+       (n_2872), .B1 (n_2227), .B2 (n_2099), .Y (n_4385));
+  sky130_fd_sc_hd__o2bb2ai_1 g439933(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [7]), .A2_N
+       (n_2872), .B1 (n_2227), .B2 (n_2079), .Y (n_4384));
+  sky130_fd_sc_hd__o2bb2ai_1 g439934(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [1]), .A2_N
+       (n_2497), .B1 (n_2231), .B2 (n_2110), .Y (n_4383));
+  sky130_fd_sc_hd__o2bb2ai_1 g439935(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [2]), .A2_N
+       (n_2497), .B1 (n_2231), .B2 (n_2083), .Y (n_4382));
+  sky130_fd_sc_hd__o2bb2ai_1 g439936(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [3]), .A2_N
+       (n_2497), .B1 (n_2231), .B2 (n_2100), .Y (n_4381));
+  sky130_fd_sc_hd__o2bb2ai_1 g439937(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [5]), .A2_N
+       (n_2497), .B1 (n_2231), .B2 (n_2099), .Y (n_4380));
+  sky130_fd_sc_hd__o2bb2ai_1 g439938(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [6]), .A2_N
+       (n_2497), .B1 (n_2231), .B2 (n_2085), .Y (n_4379));
+  sky130_fd_sc_hd__o2bb2ai_1 g439939(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [7]), .A2_N
+       (n_2497), .B1 (n_2231), .B2 (n_2079), .Y (n_4378));
+  sky130_fd_sc_hd__o2bb2ai_1 g439940(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [2]), .A2_N
+       (n_2514), .B1 (n_2229), .B2 (n_2083), .Y (n_4377));
+  sky130_fd_sc_hd__o2bb2ai_1 g439941(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [3]), .A2_N
+       (n_2514), .B1 (n_2229), .B2 (n_2100), .Y (n_4376));
+  sky130_fd_sc_hd__o2bb2ai_1 g439942(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [4]), .A2_N
+       (n_2514), .B1 (n_2229), .B2 (n_2104), .Y (n_4375));
+  sky130_fd_sc_hd__o2bb2ai_1 g439943(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [5]), .A2_N
+       (n_2514), .B1 (n_2229), .B2 (n_2099), .Y (n_4374));
+  sky130_fd_sc_hd__o2bb2ai_1 g439944(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [6]), .A2_N
+       (n_2514), .B1 (n_2229), .B2 (n_2085), .Y (n_4373));
+  sky130_fd_sc_hd__o2bb2ai_1 g439945(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [7]), .A2_N
+       (n_2514), .B1 (n_2229), .B2 (n_2079), .Y (n_4372));
+  sky130_fd_sc_hd__o2bb2ai_1 g439946(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [8]), .A2_N
+       (n_2514), .B1 (n_2229), .B2 (n_2128), .Y (n_4371));
+  sky130_fd_sc_hd__o2bb2ai_1 g439947(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [1]), .A2_N
+       (n_2471), .B1 (n_2215), .B2 (n_2110), .Y (n_4370));
+  sky130_fd_sc_hd__o2bb2ai_1 g439948(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [2]), .A2_N
+       (n_2471), .B1 (n_2215), .B2 (n_2083), .Y (n_4369));
+  sky130_fd_sc_hd__o2bb2ai_1 g439949(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [3]), .A2_N
+       (n_2471), .B1 (n_2215), .B2 (n_2100), .Y (n_4368));
+  sky130_fd_sc_hd__o2bb2ai_1 g439950(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [4]), .A2_N
+       (n_2471), .B1 (n_2215), .B2 (n_2104), .Y (n_4367));
+  sky130_fd_sc_hd__o2bb2ai_1 g439951(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [6]), .A2_N
+       (n_2471), .B1 (n_2215), .B2 (n_2085), .Y (n_4366));
+  sky130_fd_sc_hd__o2bb2ai_1 g439952(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [8]), .A2_N
+       (n_2471), .B1 (n_2215), .B2 (n_2128), .Y (n_4365));
+  sky130_fd_sc_hd__o2bb2ai_1 g439953(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [1]), .A2_N
+       (n_2771), .B1 (n_2227), .B2 (n_2116), .Y (n_4364));
+  sky130_fd_sc_hd__o2bb2ai_1 g439954(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [2]), .A2_N
+       (n_2771), .B1 (n_2227), .B2 (n_2117), .Y (n_4363));
+  sky130_fd_sc_hd__o2bb2ai_1 g439955(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [4]), .A2_N
+       (n_2771), .B1 (n_2227), .B2 (n_2125), .Y (n_4362));
+  sky130_fd_sc_hd__o2bb2ai_1 g439956(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [6]), .A2_N
+       (n_2771), .B1 (n_2227), .B2 (n_2122), .Y (n_4361));
+  sky130_fd_sc_hd__o2bb2ai_1 g439957(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [7]), .A2_N
+       (n_2771), .B1 (n_2227), .B2 (n_2077), .Y (n_4360));
+  sky130_fd_sc_hd__o2bb2ai_1 g439958(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [8]), .A2_N
+       (n_2771), .B1 (n_2227), .B2 (n_2113), .Y (n_4359));
+  sky130_fd_sc_hd__o2bb2ai_1 g439959(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [2]), .A2_N
+       (n_2856), .B1 (n_2231), .B2 (n_2117), .Y (n_4358));
+  sky130_fd_sc_hd__o2bb2ai_1 g439960(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [8]), .A2_N
+       (n_2855), .B1 (n_1994), .B2 (n_2113), .Y (n_4357));
+  sky130_fd_sc_hd__o2bb2ai_1 g439961(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [5]), .A2_N
+       (n_2856), .B1 (n_2231), .B2 (n_2013), .Y (n_4356));
+  sky130_fd_sc_hd__o2bb2ai_1 g439962(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [6]), .A2_N
+       (n_2856), .B1 (n_2231), .B2 (n_2122), .Y (n_4355));
+  sky130_fd_sc_hd__o2bb2ai_1 g439963(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [7]), .A2_N
+       (n_2856), .B1 (n_2231), .B2 (n_2077), .Y (n_4354));
+  sky130_fd_sc_hd__o2bb2ai_1 g439964(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [8]), .A2_N
+       (n_2856), .B1 (n_2231), .B2 (n_2113), .Y (n_4353));
+  sky130_fd_sc_hd__o2bb2ai_1 g439965(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [6]), .A2_N
+       (n_2737), .B1 (n_2004), .B2 (n_2085), .Y (n_4352));
+  sky130_fd_sc_hd__o2bb2ai_1 g439966(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [2]), .A2_N
+       (n_2704), .B1 (n_2229), .B2 (n_2117), .Y (n_4351));
+  sky130_fd_sc_hd__o2bb2ai_1 g439967(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [3]), .A2_N
+       (n_2704), .B1 (n_2229), .B2 (n_2097), .Y (n_4350));
+  sky130_fd_sc_hd__o2bb2ai_1 g439968(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [4]), .A2_N
+       (n_2704), .B1 (n_2229), .B2 (n_2125), .Y (n_4349));
+  sky130_fd_sc_hd__o2bb2ai_1 g439969(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [5]), .A2_N
+       (n_2704), .B1 (n_2229), .B2 (n_2013), .Y (n_4348));
+  sky130_fd_sc_hd__o2bb2ai_1 g439970(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [6]), .A2_N
+       (n_2704), .B1 (n_2229), .B2 (n_2122), .Y (n_4347));
+  sky130_fd_sc_hd__o2bb2ai_1 g439971(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [7]), .A2_N
+       (n_2704), .B1 (n_2229), .B2 (n_2077), .Y (n_4346));
+  sky130_fd_sc_hd__o2bb2ai_1 g439972(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [8]), .A2_N
+       (n_2704), .B1 (n_2229), .B2 (n_2113), .Y (n_4345));
+  sky130_fd_sc_hd__o2bb2ai_1 g439973(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [1]), .A2_N
+       (n_2853), .B1 (n_2215), .B2 (n_2116), .Y (n_4344));
+  sky130_fd_sc_hd__o2bb2ai_1 g439974(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [2]), .A2_N
+       (n_2853), .B1 (n_2215), .B2 (n_2117), .Y (n_4343));
+  sky130_fd_sc_hd__o2bb2ai_1 g439975(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [3]), .A2_N
+       (n_2853), .B1 (n_2215), .B2 (n_2097), .Y (n_4342));
+  sky130_fd_sc_hd__o2bb2ai_1 g439976(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [4]), .A2_N
+       (n_2853), .B1 (n_2215), .B2 (n_2125), .Y (n_4341));
+  sky130_fd_sc_hd__o2bb2ai_1 g439977(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [5]), .A2_N
+       (n_2853), .B1 (n_2215), .B2 (n_2013), .Y (n_4340));
+  sky130_fd_sc_hd__o2bb2ai_1 g439978(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [6]), .A2_N
+       (n_2853), .B1 (n_2215), .B2 (n_2122), .Y (n_4339));
+  sky130_fd_sc_hd__o2bb2ai_1 g439979(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [7]), .A2_N
+       (n_2853), .B1 (n_2215), .B2 (n_2077), .Y (n_4338));
+  sky130_fd_sc_hd__o2bb2ai_1 g439980(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [8]), .A2_N
+       (n_2853), .B1 (n_2215), .B2 (n_2113), .Y (n_4337));
+  sky130_fd_sc_hd__o2bb2ai_1 g439981(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [1]), .A2_N
+       (n_2767), .B1 (n_1996), .B2 (n_2110), .Y (n_4336));
+  sky130_fd_sc_hd__o2bb2ai_1 g439982(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [2]), .A2_N
+       (n_2767), .B1 (n_1996), .B2 (n_2083), .Y (n_4335));
+  sky130_fd_sc_hd__o2bb2ai_1 g439983(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [3]), .A2_N
+       (n_2767), .B1 (n_1996), .B2 (n_2100), .Y (n_4334));
+  sky130_fd_sc_hd__o2bb2ai_1 g439984(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [4]), .A2_N
+       (n_2767), .B1 (n_1996), .B2 (n_2104), .Y (n_4333));
+  sky130_fd_sc_hd__o2bb2ai_1 g439985(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [5]), .A2_N
+       (n_2767), .B1 (n_1996), .B2 (n_2099), .Y (n_4332));
+  sky130_fd_sc_hd__o2bb2ai_1 g439986(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [6]), .A2_N
+       (n_2767), .B1 (n_1996), .B2 (n_2085), .Y (n_4331));
+  sky130_fd_sc_hd__o2bb2ai_1 g439987(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [7]), .A2_N
+       (n_2767), .B1 (n_1996), .B2 (n_2079), .Y (n_4330));
+  sky130_fd_sc_hd__o2bb2ai_1 g439988(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [8]), .A2_N
+       (n_2767), .B1 (n_1996), .B2 (n_2128), .Y (n_4329));
+  sky130_fd_sc_hd__o2bb2ai_1 g439989(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [1]), .A2_N
+       (n_2430), .B1 (n_2223), .B2 (n_2110), .Y (n_4328));
+  sky130_fd_sc_hd__o2bb2ai_1 g439990(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [2]), .A2_N
+       (n_2430), .B1 (n_2223), .B2 (n_2083), .Y (n_4327));
+  sky130_fd_sc_hd__o2bb2ai_1 g439991(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [3]), .A2_N
+       (n_2430), .B1 (n_2223), .B2 (n_2100), .Y (n_4326));
+  sky130_fd_sc_hd__o2bb2ai_1 g439992(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [4]), .A2_N
+       (n_2430), .B1 (n_2223), .B2 (n_2104), .Y (n_4325));
+  sky130_fd_sc_hd__o2bb2ai_1 g439993(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [5]), .A2_N
+       (n_2430), .B1 (n_2223), .B2 (n_2099), .Y (n_4324));
+  sky130_fd_sc_hd__o22ai_1 g439995(.A1 (n_1744), .A2 (n_2588), .B1
+       (n_1743), .B2 (n_2601), .Y (n_5467));
+  sky130_fd_sc_hd__o22ai_1 g439996(.A1 (n_1744), .A2 (n_2556), .B1
+       (n_1742), .B2 (n_2212), .Y (n_5466));
+  sky130_fd_sc_hd__o22ai_1 g439997(.A1 (n_1743), .A2 (n_15921), .B1
+       (n_1744), .B2 (n_2578), .Y (n_5465));
+  sky130_fd_sc_hd__o22ai_1 g439998(.A1 (n_1744), .A2 (n_2568), .B1
+       (n_1743), .B2 (n_2570), .Y (n_5464));
+  sky130_fd_sc_hd__o22ai_1 g439999(.A1 (n_1744), .A2 (n_2557), .B1
+       (n_1743), .B2 (n_2571), .Y (n_5463));
+  sky130_fd_sc_hd__o22ai_1 g440000(.A1 (n_1744), .A2 (n_2558), .B1
+       (n_1743), .B2 (n_2554), .Y (n_5462));
+  sky130_fd_sc_hd__o22ai_1 g440001(.A1 (n_1744), .A2 (n_2569), .B1
+       (n_1743), .B2 (n_2555), .Y (n_5461));
+  sky130_fd_sc_hd__o22ai_1 g440002(.A1 (n_1744), .A2 (n_2570), .B1
+       (n_1743), .B2 (n_2562), .Y (n_5460));
+  sky130_fd_sc_hd__o22ai_1 g440003(.A1 (n_1744), .A2 (n_2571), .B1
+       (n_1743), .B2 (n_2572), .Y (n_5459));
+  sky130_fd_sc_hd__o22ai_1 g440004(.A1 (n_1744), .A2 (n_2554), .B1
+       (n_1743), .B2 (n_2552), .Y (n_5458));
+  sky130_fd_sc_hd__o22ai_1 g440005(.A1 (n_1744), .A2 (n_2555), .B1
+       (n_1743), .B2 (n_2550), .Y (n_5457));
+  sky130_fd_sc_hd__o22ai_1 g440006(.A1 (n_1744), .A2 (n_2562), .B1
+       (n_1743), .B2 (n_2602), .Y (n_5456));
+  sky130_fd_sc_hd__o22ai_1 g440007(.A1 (n_1744), .A2 (n_2572), .B1
+       (n_1743), .B2 (n_2573), .Y (n_5455));
+  sky130_fd_sc_hd__o22ai_1 g440008(.A1 (n_1744), .A2 (n_2552), .B1
+       (n_1743), .B2 (n_2610), .Y (n_5454));
+  sky130_fd_sc_hd__o22ai_1 g440009(.A1 (n_1744), .A2 (n_2550), .B1
+       (n_2574), .B2 (n_1743), .Y (n_5453));
+  sky130_fd_sc_hd__o22ai_1 g440010(.A1 (n_1744), .A2 (n_2602), .B1
+       (n_1743), .B2 (n_2553), .Y (n_5452));
+  sky130_fd_sc_hd__o22ai_1 g440011(.A1 (n_1744), .A2 (n_2573), .B1
+       (n_1743), .B2 (n_2575), .Y (n_5451));
+  sky130_fd_sc_hd__o22ai_1 g440012(.A1 (n_1744), .A2 (n_2610), .B1
+       (n_1743), .B2 (n_2556), .Y (n_5450));
+  sky130_fd_sc_hd__o22ai_1 g440013(.A1 (n_1744), .A2 (n_2574), .B1
+       (n_1743), .B2 (n_2576), .Y (n_5449));
+  sky130_fd_sc_hd__o22ai_1 g440014(.A1 (n_1744), .A2 (n_2609), .B1
+       (n_1743), .B2 (n_2579), .Y (n_5448));
+  sky130_fd_sc_hd__o22ai_1 g440015(.A1 (n_1744), .A2 (n_2580), .B1
+       (n_1743), .B2 (n_2578), .Y (n_5447));
+  sky130_fd_sc_hd__o22ai_1 g440016(.A1 (n_1744), .A2 (n_2553), .B1
+       (n_1743), .B2 (n_2547), .Y (n_5446));
+  sky130_fd_sc_hd__o22ai_1 g440017(.A1 (n_1744), .A2 (n_2560), .B1
+       (n_1743), .B2 (n_2586), .Y (n_5445));
+  sky130_fd_sc_hd__o22ai_1 g440018(.A1 (n_1744), .A2 (n_2587), .B1
+       (n_1743), .B2 (n_2588), .Y (n_5444));
+  sky130_fd_sc_hd__o2bb2ai_1 g440019(.A1_N (n_1744), .A2_N (n_2549),
+       .B1 (n_1744), .B2 (n_2575), .Y (n_5443));
+  sky130_fd_sc_hd__o22ai_1 g440020(.A1 (n_1744), .A2 (n_2594), .B1
+       (n_1743), .B2 (n_2592), .Y (n_5442));
+  sky130_fd_sc_hd__o22ai_1 g440021(.A1 (n_1744), .A2 (n_2590), .B1
+       (n_1743), .B2 (n_2593), .Y (n_5441));
+  sky130_fd_sc_hd__o22ai_1 g440022(.A1 (n_2599), .A2 (n_1744), .B1
+       (n_2595), .B2 (n_1743), .Y (n_5440));
+  sky130_fd_sc_hd__o22ai_1 g440023(.A1 (n_2596), .A2 (n_1744), .B1
+       (n_2559), .B2 (n_1743), .Y (n_5439));
+  sky130_fd_sc_hd__o32ai_1 g440024(.A1 (n_1052), .A2 (n_1742), .A3
+       (n_1743), .B1 (n_2576), .B2 (n_1744), .Y (n_5438));
+  sky130_fd_sc_hd__o22ai_1 g440025(.A1 (n_1744), .A2 (n_2551), .B1
+       (n_1743), .B2 (n_2609), .Y (n_5437));
+  sky130_fd_sc_hd__o22ai_1 g440026(.A1 (n_1744), .A2 (n_2579), .B1
+       (n_1743), .B2 (n_2580), .Y (n_5436));
+  sky130_fd_sc_hd__o22ai_1 g440027(.A1 (n_1744), .A2 (n_2582), .B1
+       (n_1743), .B2 (n_2560), .Y (n_5435));
+  sky130_fd_sc_hd__o22ai_1 g440028(.A1 (n_1744), .A2 (n_2586), .B1
+       (n_1743), .B2 (n_2587), .Y (n_5434));
+  sky130_fd_sc_hd__o22ai_1 g440029(.A1 (n_1744), .A2 (n_2589), .B1
+       (n_1743), .B2 (n_2590), .Y (n_5433));
+  sky130_fd_sc_hd__o22ai_1 g440030(.A1 (n_1743), .A2 (n_2591), .B1
+       (n_2592), .B2 (n_1744), .Y (n_5432));
+  sky130_fd_sc_hd__o22ai_1 g440031(.A1 (n_1744), .A2 (n_2593), .B1
+       (n_1743), .B2 (n_2594), .Y (n_5431));
+  sky130_fd_sc_hd__o22ai_1 g440032(.A1 (n_1744), .A2 (n_2595), .B1
+       (n_1743), .B2 (n_2596), .Y (n_5430));
+  sky130_fd_sc_hd__o22a_1 g440033(.A1 (n_1744), .A2 (n_2559), .B1
+       (n_1743), .B2 (n_2585), .X (n_5429));
+  sky130_fd_sc_hd__o22ai_1 g440034(.A1 (n_1744), .A2 (n_2598), .B1
+       (n_1743), .B2 (n_2599), .Y (n_5428));
+  sky130_fd_sc_hd__and3_1 g440035(.A (n_2001), .B (n_2549), .C
+       (n_1743), .X (n_5427));
+  sky130_fd_sc_hd__o21ai_1 g440036(.A1 (n_1744), .A2 (n_15921), .B1
+       (n_2156), .Y (n_5425));
+  sky130_fd_sc_hd__o21ai_1 g440038(.A1 (n_1744), .A2 (n_2585), .B1
+       (n_2156), .Y (n_5423));
+  sky130_fd_sc_hd__nor3_1 g440040(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [0]), .B (n_13879), .C (n_2887), .Y (n_5422));
+  sky130_fd_sc_hd__nor3_1 g440041(.A (n_1744), .B (n_16011), .C
+       (n_2547), .Y (n_5421));
+  sky130_fd_sc_hd__o21ai_1 g440042(.A1 (n_1744), .A2 (n_2591), .B1
+       (n_2156), .Y (n_5420));
+  sky130_fd_sc_hd__o21ai_1 g440047(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
+       [1]), .A2 (n_2541), .B1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
+       [0]), .Y (n_5419));
+  sky130_fd_sc_hd__nor3_1 g440053(.A
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B (n_1201), .C (n_2548), .Y (n_5418));
+  sky130_fd_sc_hd__nor2_1 g440057(.A (n_1046), .B (n_3093), .Y
+       (n_5417));
+  sky130_fd_sc_hd__nor2_1 g440060(.A (n_1042), .B (n_3090), .Y
+       (n_5416));
+  sky130_fd_sc_hd__nor2_1 g440061(.A (n_1046), .B (n_3090), .Y
+       (n_5415));
+  sky130_fd_sc_hd__nor2_1 g440062(.A (n_1042), .B (n_3093), .Y
+       (n_5414));
+  sky130_fd_sc_hd__nor2_1 g440070(.A (n_1248), .B (n_3090), .Y
+       (n_5413));
+  sky130_fd_sc_hd__nor2_1 g440080(.A (n_1056), .B (n_3147), .Y
+       (n_5412));
+  sky130_fd_sc_hd__nor2_1 g440085(.A (n_1454), .B (n_3098), .Y
+       (n_5411));
+  sky130_fd_sc_hd__nor2_1 g440086(.A (n_1097), .B (n_3109), .Y
+       (n_4323));
+  sky130_fd_sc_hd__nor2_1 g440087(.A (n_1076), .B (n_3107), .Y
+       (n_4322));
+  sky130_fd_sc_hd__nor2_1 g440088(.A (n_1248), .B (n_3093), .Y
+       (n_5410));
+  sky130_fd_sc_hd__nor3_1 g440089(.A (n_666), .B (n_1206), .C (n_2548),
+       .Y (n_5409));
+  sky130_fd_sc_hd__nor2_1 g440090(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[3]), .B (n_2298),
+       .Y (n_5408));
+  sky130_fd_sc_hd__nand2b_1 g440093(.A_N (n_3148), .B
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_5407));
+  sky130_fd_sc_hd__nand2_1 g440094(.A (n_15920), .B (n_1262), .Y
+       (n_5406));
+  sky130_fd_sc_hd__a221oi_1 g440095(.A1 (n_2157), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [4]), .B1 (n_1758), .B2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
+       [4]), .C1 (n_2310), .Y (n_5404));
+  sky130_fd_sc_hd__nand2b_1 g440098(.A_N (n_3136), .B (n_1255), .Y
+       (n_5401));
+  sky130_fd_sc_hd__nand2_1 g440099(.A (n_3002), .B (io_out[37]), .Y
+       (n_5400));
+  sky130_fd_sc_hd__a221oi_1 g440100(.A1 (n_2157), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [3]), .B1 (n_1758), .B2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
+       [3]), .C1 (n_2309), .Y (n_5399));
+  sky130_fd_sc_hd__nand2_1 g440101(.A (n_2302), .B (n_3096), .Y
+       (n_5398));
+  sky130_fd_sc_hd__a221oi_1 g440102(.A1 (n_2157), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [1]), .B1 (n_1758), .B2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
+       [1]), .C1 (n_2319), .Y (n_5397));
+  sky130_fd_sc_hd__nor2_1 g440106(.A (n_13345), .B (n_3136), .Y
+       (n_5396));
+  sky130_fd_sc_hd__a221oi_1 g440107(.A1 (n_2157), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [2]), .B1 (n_1758), .B2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2]
+       [2]), .C1 (n_2317), .Y (n_5394));
+  sky130_fd_sc_hd__nand2_1 g440108(.A (n_3096), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [0]), .Y (n_5393));
+  sky130_fd_sc_hd__nor2_1 g440109(.A
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q
+       [0]), .B (n_3100), .Y (n_5391));
+  sky130_fd_sc_hd__nor2_1 g440110(.A (n_13364), .B (n_3083), .Y
+       (n_5390));
+  sky130_fd_sc_hd__nor2_1 g440112(.A (n_13363), .B (n_3083), .Y
+       (n_5389));
+  sky130_fd_sc_hd__nand2_1 g440115(.A (n_3078), .B (n_1744), .Y
+       (n_5388));
+  sky130_fd_sc_hd__nand2_1 g440116(.A (n_3078), .B (n_1743), .Y
+       (n_5386));
+  sky130_fd_sc_hd__nor2_1 g440117(.A (n_2414), .B (n_3080), .Y
+       (n_5384));
+  sky130_fd_sc_hd__nor3_1 g440118(.A (n_1377), .B (n_2397), .C
+       (n_2954), .Y (n_5382));
+  sky130_fd_sc_hd__nor2_1 g440119(.A (n_471), .B (n_2981), .Y (n_5380));
+  sky130_fd_sc_hd__nor2_1 g440120(.A (n_471), .B (n_2980), .Y (n_5378));
+  sky130_fd_sc_hd__nor2_1 g440121(.A (n_1244), .B (n_3076), .Y
+       (n_5376));
+  sky130_fd_sc_hd__nor2_1 g440122(.A (n_1245), .B (n_3076), .Y
+       (n_5374));
+  sky130_fd_sc_hd__nor2_1 g440123(.A (n_1436), .B (n_3090), .Y
+       (n_5372));
+  sky130_fd_sc_hd__nor2_1 g440124(.A (n_15933), .B (n_3093), .Y
+       (n_5371));
+  sky130_fd_sc_hd__nor2_1 g440125(.A (n_15929), .B (n_3090), .Y
+       (n_5370));
+  sky130_fd_sc_hd__nor2_1 g440126(.A (n_15933), .B (n_3090), .Y
+       (n_5369));
+  sky130_fd_sc_hd__nor2_1 g440127(.A (n_15929), .B (n_3093), .Y
+       (n_5368));
+  sky130_fd_sc_hd__nor2_1 g440128(.A (n_1436), .B (n_3093), .Y
+       (n_5367));
+  sky130_fd_sc_hd__nor2b_1 g440129(.A (n_3117), .B_N (n_1263), .Y
+       (n_5366));
+  sky130_fd_sc_hd__nand4_1 g440130(.A (n_2292), .B (n_621), .C
+       (u_soc_u_top_u_core_alu_operator_ex[1]), .D
+       (u_soc_u_top_u_core_alu_operator_ex[0]), .Y (n_5364));
+  sky130_fd_sc_hd__nor2_1 g440131(.A
+       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .B (n_3095), .Y
+       (n_5362));
+  sky130_fd_sc_hd__nor2_1 g440132(.A (n_1263), .B (n_3117), .Y
+       (n_5360));
+  sky130_fd_sc_hd__nor2_1 g440133(.A
+       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .B (n_3088), .Y
+       (n_5358));
+  sky130_fd_sc_hd__nor2_2 g440134(.A (n_13370), .B (n_3102), .Y
+       (n_5356));
+  sky130_fd_sc_hd__nand2_1 g440135(.A (n_3105), .B (n_1243), .Y
+       (n_5355));
+  sky130_fd_sc_hd__nand2_1 g440136(.A (n_3116), .B (n_1243), .Y
+       (n_5354));
+  sky130_fd_sc_hd__nand2_1 g440137(.A (n_3108), .B (n_1243), .Y
+       (n_5353));
+  sky130_fd_sc_hd__nand2_1 g440138(.A (n_3111), .B (n_1243), .Y
+       (n_5352));
+  sky130_fd_sc_hd__nand2_1 g440139(.A (n_3106), .B (n_1243), .Y
+       (n_5351));
+  sky130_fd_sc_hd__nand2_1 g440140(.A (n_3103), .B (n_1243), .Y
+       (n_5350));
+  sky130_fd_sc_hd__nor2_2 g440141(.A (n_13370), .B (n_3101), .Y
+       (n_5349));
+  sky130_fd_sc_hd__nor2_2 g440142(.A (n_13351), .B (n_3101), .Y
+       (n_5348));
+  sky130_fd_sc_hd__and2b_1 g440143(.A_N (n_13370), .B (n_3106), .X
+       (n_5347));
+  sky130_fd_sc_hd__and2b_1 g440144(.A_N (n_13370), .B (n_3108), .X
+       (n_5346));
+  sky130_fd_sc_hd__and2b_1 g440145(.A_N (n_13351), .B (n_3108), .X
+       (n_5345));
+  sky130_fd_sc_hd__and2b_1 g440146(.A_N (n_13351), .B (n_3111), .X
+       (n_5344));
+  sky130_fd_sc_hd__and2b_1 g440147(.A_N (n_13351), .B (n_3106), .X
+       (n_5343));
+  sky130_fd_sc_hd__nor2_2 g440148(.A (n_1239), .B (n_3102), .Y
+       (n_5342));
+  sky130_fd_sc_hd__nor2_2 g440149(.A (n_13370), .B (n_3126), .Y
+       (n_5341));
+  sky130_fd_sc_hd__nor2_2 g440150(.A (n_13351), .B (n_3104), .Y
+       (n_5340));
+  sky130_fd_sc_hd__and2b_1 g440151(.A_N (n_13370), .B (n_3111), .X
+       (n_5339));
+  sky130_fd_sc_hd__nor2_2 g440152(.A (n_13370), .B (n_3104), .Y
+       (n_5338));
+  sky130_fd_sc_hd__nand2_1 g440153(.A (n_3111), .B (n_1238), .Y
+       (n_5337));
+  sky130_fd_sc_hd__nand2_1 g440154(.A (n_3108), .B (n_1238), .Y
+       (n_5336));
+  sky130_fd_sc_hd__nor2_2 g440155(.A (n_13351), .B (n_3115), .Y
+       (n_5335));
+  sky130_fd_sc_hd__nor2_2 g440156(.A (n_13351), .B (n_3126), .Y
+       (n_5334));
+  sky130_fd_sc_hd__nand2_1 g440157(.A (n_3106), .B (n_1238), .Y
+       (n_5333));
+  sky130_fd_sc_hd__nor2_2 g440159(.A (n_13370), .B (n_3115), .Y
+       (n_5331));
+  sky130_fd_sc_hd__nor2_2 g440160(.A (n_1239), .B (n_3101), .Y
+       (n_5330));
+  sky130_fd_sc_hd__nor2_2 g440161(.A (n_13351), .B (n_3102), .Y
+       (n_5329));
+  sky130_fd_sc_hd__nand2_1 g440162(.A (n_3116), .B (n_1238), .Y
+       (n_5328));
+  sky130_fd_sc_hd__nand2_1 g440163(.A (n_3125), .B (n_1238), .Y
+       (n_5327));
+  sky130_fd_sc_hd__nand2_1 g440164(.A (n_3105), .B (n_1238), .Y
+       (n_5326));
+  sky130_fd_sc_hd__o2bb2ai_1 g440165(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [3]), .A2_N
+       (n_2871), .B1 (n_2222), .B2 (n_2052), .Y (n_4321));
+  sky130_fd_sc_hd__o2bb2ai_1 g440166(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [2]), .A2_N
+       (n_2448), .B1 (n_2112), .B2 (n_2219), .Y (n_4320));
+  sky130_fd_sc_hd__o2bb2ai_1 g440167(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [3]), .A2_N
+       (n_2448), .B1 (n_2108), .B2 (n_2219), .Y (n_4319));
+  sky130_fd_sc_hd__o2bb2ai_1 g440168(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [4]), .A2_N
+       (n_2448), .B1 (n_2107), .B2 (n_2219), .Y (n_4318));
+  sky130_fd_sc_hd__o2bb2ai_1 g440169(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [5]), .A2_N
+       (n_2448), .B1 (n_2102), .B2 (n_2219), .Y (n_4317));
+  sky130_fd_sc_hd__o2bb2ai_1 g440170(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [6]), .A2_N
+       (n_2448), .B1 (n_2016), .B2 (n_2219), .Y (n_4316));
+  sky130_fd_sc_hd__o2bb2ai_1 g440171(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [7]), .A2_N
+       (n_2448), .B1 (n_2081), .B2 (n_2219), .Y (n_4315));
+  sky130_fd_sc_hd__o2bb2ai_1 g440172(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [8]), .A2_N
+       (n_2448), .B1 (n_2134), .B2 (n_2219), .Y (n_4314));
+  sky130_fd_sc_hd__o2bb2ai_1 g440173(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [4]), .A2_N
+       (n_2749), .B1 (n_2218), .B2 (n_2093), .Y (n_4313));
+  sky130_fd_sc_hd__o2bb2ai_1 g440174(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [5]), .A2_N
+       (n_2749), .B1 (n_2218), .B2 (n_2120), .Y (n_4312));
+  sky130_fd_sc_hd__o2bb2ai_1 g440175(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [8]), .A2_N
+       (n_2749), .B1 (n_2218), .B2 (n_2132), .Y (n_4311));
+  sky130_fd_sc_hd__o2bb2ai_1 g440176(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [1]), .A2_N
+       (n_2701), .B1 (n_2216), .B2 (n_2087), .Y (n_4310));
+  sky130_fd_sc_hd__o2bb2ai_1 g440177(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [2]), .A2_N
+       (n_2701), .B1 (n_2216), .B2 (n_2089), .Y (n_4309));
+  sky130_fd_sc_hd__o2bb2ai_1 g440178(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [3]), .A2_N
+       (n_2701), .B1 (n_2216), .B2 (n_2073), .Y (n_4308));
+  sky130_fd_sc_hd__o2bb2ai_1 g440179(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [4]), .A2_N
+       (n_2701), .B1 (n_2216), .B2 (n_2093), .Y (n_4307));
+  sky130_fd_sc_hd__o2bb2ai_1 g440180(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [5]), .A2_N
+       (n_2701), .B1 (n_2216), .B2 (n_2120), .Y (n_4306));
+  sky130_fd_sc_hd__o2bb2ai_1 g440181(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [6]), .A2_N
+       (n_2701), .B1 (n_2216), .B2 (n_2124), .Y (n_4305));
+  sky130_fd_sc_hd__o2bb2ai_1 g440182(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [7]), .A2_N
+       (n_2701), .B1 (n_2216), .B2 (n_2075), .Y (n_4304));
+  sky130_fd_sc_hd__o2bb2ai_1 g440183(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [8]), .A2_N
+       (n_2701), .B1 (n_2216), .B2 (n_2132), .Y (n_4303));
+  sky130_fd_sc_hd__o2bb2ai_1 g440184(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [1]), .A2_N
+       (n_2698), .B1 (n_2224), .B2 (n_2087), .Y (n_4302));
+  sky130_fd_sc_hd__o2bb2ai_1 g440185(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [2]), .A2_N
+       (n_2698), .B1 (n_2224), .B2 (n_2089), .Y (n_4301));
+  sky130_fd_sc_hd__o2bb2ai_1 g440186(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [4]), .A2_N
+       (n_2698), .B1 (n_2224), .B2 (n_2093), .Y (n_4300));
+  sky130_fd_sc_hd__o2bb2ai_1 g440187(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [5]), .A2_N
+       (n_2698), .B1 (n_2224), .B2 (n_2120), .Y (n_4299));
+  sky130_fd_sc_hd__o2bb2ai_1 g440188(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [6]), .A2_N
+       (n_2842), .B1 (n_2026), .B2 (n_2229), .Y (n_4298));
+  sky130_fd_sc_hd__o2bb2ai_1 g440189(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [7]), .A2_N
+       (n_2698), .B1 (n_2224), .B2 (n_2075), .Y (n_4297));
+  sky130_fd_sc_hd__o2bb2ai_1 g440190(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [8]), .A2_N
+       (n_2698), .B1 (n_2224), .B2 (n_2132), .Y (n_4296));
+  sky130_fd_sc_hd__o2bb2ai_1 g440191(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [2]), .A2_N
+       (n_2724), .B1 (n_2220), .B2 (n_2089), .Y (n_4295));
+  sky130_fd_sc_hd__o2bb2ai_1 g440192(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [3]), .A2_N
+       (n_2724), .B1 (n_2220), .B2 (n_2073), .Y (n_4294));
+  sky130_fd_sc_hd__a22oi_1 g440193(.A1 (n_2009), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [5]), .B1 (n_2534), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [5]), .Y (n_4293));
+  sky130_fd_sc_hd__o2bb2ai_1 g440194(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [4]), .A2_N
+       (n_2724), .B1 (n_2220), .B2 (n_2093), .Y (n_4292));
+  sky130_fd_sc_hd__o2bb2ai_1 g440195(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [5]), .A2_N
+       (n_2724), .B1 (n_2220), .B2 (n_2120), .Y (n_4291));
+  sky130_fd_sc_hd__o2bb2ai_1 g440196(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [6]), .A2_N
+       (n_2724), .B1 (n_2220), .B2 (n_2124), .Y (n_4290));
+  sky130_fd_sc_hd__o2bb2ai_1 g440197(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [7]), .A2_N
+       (n_2724), .B1 (n_2220), .B2 (n_2075), .Y (n_4289));
+  sky130_fd_sc_hd__o2bb2ai_1 g440198(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [8]), .A2_N
+       (n_2724), .B1 (n_2220), .B2 (n_2132), .Y (n_4288));
+  sky130_fd_sc_hd__o2bb2ai_1 g440199(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [1]), .A2_N
+       (n_2752), .B1 (n_2218), .B2 (n_2059), .Y (n_4287));
+  sky130_fd_sc_hd__o2bb2ai_1 g440200(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [2]), .A2_N
+       (n_2752), .B1 (n_2218), .B2 (n_2057), .Y (n_4286));
+  sky130_fd_sc_hd__o2bb2ai_1 g440201(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [3]), .A2_N
+       (n_2752), .B1 (n_2218), .B2 (n_2054), .Y (n_4285));
+  sky130_fd_sc_hd__o2bb2ai_1 g440202(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [5]), .A2_N
+       (n_2752), .B1 (n_2218), .B2 (n_2066), .Y (n_4284));
+  sky130_fd_sc_hd__o2bb2ai_1 g440203(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [6]), .A2_N
+       (n_2752), .B1 (n_2218), .B2 (n_2063), .Y (n_4283));
+  sky130_fd_sc_hd__o2bb2ai_1 g440204(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [7]), .A2_N
+       (n_2752), .B1 (n_2218), .B2 (n_2069), .Y (n_4282));
+  sky130_fd_sc_hd__a22o_1 g440205(.A1 (n_2522), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [6]), .B1
+       (n_2841), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_4281));
+  sky130_fd_sc_hd__o2bb2ai_1 g440206(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [8]), .A2_N
+       (n_2752), .B1 (n_2218), .B2 (n_2065), .Y (n_4280));
+  sky130_fd_sc_hd__o2bb2ai_1 g440207(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [2]), .A2_N
+       (n_2510), .B1 (n_2216), .B2 (n_2057), .Y (n_4279));
+  sky130_fd_sc_hd__o2bb2ai_1 g440208(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [3]), .A2_N
+       (n_2510), .B1 (n_2216), .B2 (n_2054), .Y (n_4278));
+  sky130_fd_sc_hd__o2bb2ai_1 g440209(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [4]), .A2_N
+       (n_2510), .B1 (n_2216), .B2 (n_2061), .Y (n_4277));
+  sky130_fd_sc_hd__o2bb2ai_1 g440210(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [5]), .A2_N
+       (n_2510), .B1 (n_2216), .B2 (n_2066), .Y (n_4276));
+  sky130_fd_sc_hd__o2bb2ai_1 g440211(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [6]), .A2_N
+       (n_2510), .B1 (n_2216), .B2 (n_2063), .Y (n_4275));
+  sky130_fd_sc_hd__o2bb2ai_1 g440212(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [7]), .A2_N
+       (n_2510), .B1 (n_2216), .B2 (n_2069), .Y (n_4274));
+  sky130_fd_sc_hd__o2bb2ai_1 g440213(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [8]), .A2_N
+       (n_2510), .B1 (n_2216), .B2 (n_2065), .Y (n_4273));
+  sky130_fd_sc_hd__o2bb2ai_1 g440214(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [1]), .A2_N
+       (n_2463), .B1 (n_2224), .B2 (n_2059), .Y (n_4272));
+  sky130_fd_sc_hd__o2bb2ai_1 g440215(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [2]), .A2_N
+       (n_2463), .B1 (n_2224), .B2 (n_2057), .Y (n_4271));
+  sky130_fd_sc_hd__o2bb2ai_1 g440216(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [3]), .A2_N
+       (n_2463), .B1 (n_2224), .B2 (n_2054), .Y (n_4270));
+  sky130_fd_sc_hd__o2bb2ai_1 g440217(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [5]), .A2_N
+       (n_2463), .B1 (n_2224), .B2 (n_2066), .Y (n_4269));
+  sky130_fd_sc_hd__o2bb2ai_1 g440218(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [6]), .A2_N
+       (n_2463), .B1 (n_2224), .B2 (n_2063), .Y (n_4268));
+  sky130_fd_sc_hd__o2bb2ai_1 g440219(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [7]), .A2_N
+       (n_2463), .B1 (n_2224), .B2 (n_2069), .Y (n_4267));
+  sky130_fd_sc_hd__o2bb2ai_1 g440220(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [8]), .A2_N
+       (n_2463), .B1 (n_2224), .B2 (n_2065), .Y (n_4266));
+  sky130_fd_sc_hd__o2bb2ai_1 g440221(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [1]), .A2_N
+       (n_2431), .B1 (n_2220), .B2 (n_2059), .Y (n_4265));
+  sky130_fd_sc_hd__o2bb2ai_1 g440222(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [2]), .A2_N
+       (n_2431), .B1 (n_2220), .B2 (n_2057), .Y (n_4264));
+  sky130_fd_sc_hd__o2bb2ai_1 g440223(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [3]), .A2_N
+       (n_2431), .B1 (n_2220), .B2 (n_2054), .Y (n_4263));
+  sky130_fd_sc_hd__o2bb2ai_1 g440224(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [5]), .A2_N
+       (n_2431), .B1 (n_2220), .B2 (n_2066), .Y (n_4262));
+  sky130_fd_sc_hd__o2bb2ai_1 g440225(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [6]), .A2_N
+       (n_2431), .B1 (n_2220), .B2 (n_2063), .Y (n_4261));
+  sky130_fd_sc_hd__o2bb2ai_1 g440226(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [7]), .A2_N
+       (n_2431), .B1 (n_2220), .B2 (n_2069), .Y (n_4260));
+  sky130_fd_sc_hd__o2bb2ai_1 g440227(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [8]), .A2_N
+       (n_2431), .B1 (n_2220), .B2 (n_2065), .Y (n_4259));
+  sky130_fd_sc_hd__o2bb2ai_1 g440228(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [1]), .A2_N
+       (n_2832), .B1 (n_2230), .B2 (n_2087), .Y (n_4258));
+  sky130_fd_sc_hd__o2bb2ai_1 g440229(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [2]), .A2_N
+       (n_2832), .B1 (n_2230), .B2 (n_2089), .Y (n_4257));
+  sky130_fd_sc_hd__o2bb2ai_1 g440230(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [3]), .A2_N
+       (n_2832), .B1 (n_2230), .B2 (n_2073), .Y (n_4256));
+  sky130_fd_sc_hd__o2bb2ai_1 g440231(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [4]), .A2_N
+       (n_2832), .B1 (n_2230), .B2 (n_2093), .Y (n_4255));
+  sky130_fd_sc_hd__a22oi_1 g440232(.A1 (n_2009), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [6]), .B1 (n_2534), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0]
+       [6]), .Y (n_4254));
+  sky130_fd_sc_hd__o2bb2ai_1 g440233(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [6]), .A2_N
+       (n_2832), .B1 (n_2230), .B2 (n_2124), .Y (n_4253));
+  sky130_fd_sc_hd__o2bb2ai_1 g440234(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [7]), .A2_N
+       (n_2832), .B1 (n_2230), .B2 (n_2075), .Y (n_4252));
+  sky130_fd_sc_hd__o2bb2ai_1 g440235(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [1]), .A2_N
+       (n_2765), .B1 (n_2217), .B2 (n_2087), .Y (n_4251));
+  sky130_fd_sc_hd__o2bb2ai_1 g440236(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [2]), .A2_N
+       (n_2765), .B1 (n_2217), .B2 (n_2089), .Y (n_4250));
+  sky130_fd_sc_hd__o2bb2ai_1 g440237(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [3]), .A2_N
+       (n_2765), .B1 (n_2217), .B2 (n_2073), .Y (n_4249));
+  sky130_fd_sc_hd__o2bb2ai_1 g440238(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [4]), .A2_N
+       (n_2765), .B1 (n_2217), .B2 (n_2093), .Y (n_4248));
+  sky130_fd_sc_hd__o2bb2ai_1 g440239(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [5]), .A2_N
+       (n_2765), .B1 (n_2217), .B2 (n_2120), .Y (n_4247));
+  sky130_fd_sc_hd__a22o_1 g440240(.A1 (n_2465), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [3]), .B1
+       (n_2819), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_4246));
+  sky130_fd_sc_hd__o2bb2ai_1 g440241(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [6]), .A2_N
+       (n_2765), .B1 (n_2217), .B2 (n_2124), .Y (n_4245));
+  sky130_fd_sc_hd__o2bb2ai_1 g440242(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [7]), .A2_N
+       (n_2765), .B1 (n_2217), .B2 (n_2075), .Y (n_4244));
+  sky130_fd_sc_hd__o2bb2ai_1 g440243(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [8]), .A2_N
+       (n_2765), .B1 (n_2217), .B2 (n_2132), .Y (n_4243));
+  sky130_fd_sc_hd__o2bb2ai_1 g440244(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [1]), .A2_N
+       (n_2761), .B1 (n_1994), .B2 (n_2087), .Y (n_4242));
+  sky130_fd_sc_hd__o2bb2ai_1 g440245(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [2]), .A2_N
+       (n_2761), .B1 (n_1994), .B2 (n_2089), .Y (n_4241));
+  sky130_fd_sc_hd__o2bb2ai_1 g440246(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [3]), .A2_N
+       (n_2761), .B1 (n_1994), .B2 (n_2073), .Y (n_4240));
+  sky130_fd_sc_hd__o2bb2ai_1 g440247(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [6]), .A2_N
+       (n_2761), .B1 (n_1994), .B2 (n_2124), .Y (n_4239));
+  sky130_fd_sc_hd__o2bb2ai_1 g440248(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [7]), .A2_N
+       (n_2761), .B1 (n_1994), .B2 (n_2075), .Y (n_4238));
+  sky130_fd_sc_hd__o2bb2ai_1 g440249(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [8]), .A2_N
+       (n_2761), .B1 (n_1994), .B2 (n_2132), .Y (n_4237));
+  sky130_fd_sc_hd__o2bb2ai_1 g440250(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [2]), .A2_N
+       (n_2700), .B1 (n_2219), .B2 (n_2089), .Y (n_4236));
+  sky130_fd_sc_hd__o2bb2ai_1 g440251(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [3]), .A2_N
+       (n_2700), .B1 (n_2219), .B2 (n_2073), .Y (n_4235));
+  sky130_fd_sc_hd__o2bb2ai_1 g440252(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [4]), .A2_N
+       (n_2700), .B1 (n_2219), .B2 (n_2093), .Y (n_4234));
+  sky130_fd_sc_hd__o2bb2ai_1 g440253(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [6]), .A2_N
+       (n_2700), .B1 (n_2219), .B2 (n_2124), .Y (n_4233));
+  sky130_fd_sc_hd__o2bb2ai_1 g440254(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [7]), .A2_N
+       (n_2700), .B1 (n_2219), .B2 (n_2075), .Y (n_4232));
+  sky130_fd_sc_hd__o2bb2ai_1 g440255(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [8]), .A2_N
+       (n_2700), .B1 (n_2219), .B2 (n_2132), .Y (n_4231));
+  sky130_fd_sc_hd__o2bb2ai_1 g440256(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [2]), .A2_N
+       (n_2834), .B1 (n_2230), .B2 (n_2057), .Y (n_4230));
+  sky130_fd_sc_hd__o2bb2ai_1 g440257(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [3]), .A2_N
+       (n_2834), .B1 (n_2230), .B2 (n_2054), .Y (n_4229));
+  sky130_fd_sc_hd__o2bb2ai_1 g440258(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [4]), .A2_N
+       (n_2834), .B1 (n_2230), .B2 (n_2061), .Y (n_4228));
+  sky130_fd_sc_hd__o2bb2ai_1 g440259(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [6]), .A2_N
+       (n_2834), .B1 (n_2230), .B2 (n_2063), .Y (n_4227));
+  sky130_fd_sc_hd__o2bb2ai_1 g440260(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [2]), .A2_N
+       (n_2457), .B1 (n_2217), .B2 (n_2057), .Y (n_4226));
+  sky130_fd_sc_hd__o2bb2ai_1 g440261(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [3]), .A2_N
+       (n_2457), .B1 (n_2217), .B2 (n_2054), .Y (n_4225));
+  sky130_fd_sc_hd__o2bb2ai_1 g440262(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [4]), .A2_N
+       (n_2457), .B1 (n_2217), .B2 (n_2061), .Y (n_4224));
+  sky130_fd_sc_hd__o2bb2ai_1 g440263(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [8]), .A2_N
+       (n_2733), .B1 (n_1996), .B2 (n_2065), .Y (n_4223));
+  sky130_fd_sc_hd__o2bb2ai_1 g440264(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [5]), .A2_N
+       (n_2457), .B1 (n_2217), .B2 (n_2066), .Y (n_4222));
+  sky130_fd_sc_hd__o2bb2ai_1 g440265(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [6]), .A2_N
+       (n_2457), .B1 (n_2217), .B2 (n_2063), .Y (n_4221));
+  sky130_fd_sc_hd__o2bb2ai_1 g440266(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [7]), .A2_N
+       (n_2457), .B1 (n_2217), .B2 (n_2069), .Y (n_4220));
+  sky130_fd_sc_hd__o2bb2ai_1 g440267(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [8]), .A2_N
+       (n_2457), .B1 (n_2217), .B2 (n_2065), .Y (n_4219));
+  sky130_fd_sc_hd__o2bb2ai_1 g440268(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [1]), .A2_N
+       (n_2757), .B1 (n_1994), .B2 (n_2059), .Y (n_4218));
+  sky130_fd_sc_hd__o2bb2ai_1 g440269(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [2]), .A2_N
+       (n_2757), .B1 (n_1994), .B2 (n_2057), .Y (n_4217));
+  sky130_fd_sc_hd__o2bb2ai_1 g440270(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [3]), .A2_N
+       (n_2757), .B1 (n_1994), .B2 (n_2054), .Y (n_4216));
+  sky130_fd_sc_hd__o2bb2ai_1 g440271(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [4]), .A2_N
+       (n_2757), .B1 (n_1994), .B2 (n_2061), .Y (n_4215));
+  sky130_fd_sc_hd__o2bb2ai_1 g440272(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [5]), .A2_N
+       (n_2757), .B1 (n_1994), .B2 (n_2066), .Y (n_4214));
+  sky130_fd_sc_hd__o2bb2ai_1 g440273(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [6]), .A2_N
+       (n_2757), .B1 (n_1994), .B2 (n_2063), .Y (n_4213));
+  sky130_fd_sc_hd__o2bb2ai_1 g440274(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [7]), .A2_N
+       (n_2757), .B1 (n_1994), .B2 (n_2069), .Y (n_4212));
+  sky130_fd_sc_hd__o2bb2ai_1 g440275(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [8]), .A2_N
+       (n_2757), .B1 (n_1994), .B2 (n_2065), .Y (n_4211));
+  sky130_fd_sc_hd__o2bb2ai_1 g440276(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [1]), .A2_N
+       (n_2511), .B1 (n_2219), .B2 (n_2059), .Y (n_4210));
+  sky130_fd_sc_hd__o2bb2ai_1 g440277(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [2]), .A2_N
+       (n_2511), .B1 (n_2219), .B2 (n_2057), .Y (n_4209));
+  sky130_fd_sc_hd__o2bb2ai_1 g440278(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [3]), .A2_N
+       (n_2511), .B1 (n_2219), .B2 (n_2054), .Y (n_4208));
+  sky130_fd_sc_hd__o2bb2ai_1 g440279(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [4]), .A2_N
+       (n_2511), .B1 (n_2219), .B2 (n_2061), .Y (n_4207));
+  sky130_fd_sc_hd__o2bb2ai_1 g440280(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [6]), .A2_N
+       (n_2511), .B1 (n_2219), .B2 (n_2063), .Y (n_4206));
+  sky130_fd_sc_hd__o2bb2ai_1 g440281(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [1]), .A2_N
+       (n_2436), .B1 (n_2004), .B2 (n_2116), .Y (n_4205));
+  sky130_fd_sc_hd__o2bb2ai_1 g440282(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [7]), .A2_N
+       (n_2511), .B1 (n_2219), .B2 (n_2069), .Y (n_4204));
+  sky130_fd_sc_hd__o2bb2ai_1 g440283(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [1]), .A2_N
+       (n_2719), .B1 (n_2227), .B2 (n_2130), .Y (n_4203));
+  sky130_fd_sc_hd__o2bb2ai_1 g440284(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [3]), .A2_N
+       (n_2842), .B1 (n_2022), .B2 (n_2229), .Y (n_4202));
+  sky130_fd_sc_hd__o2bb2ai_1 g440285(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [2]), .A2_N
+       (n_2719), .B1 (n_2227), .B2 (n_2037), .Y (n_4201));
+  sky130_fd_sc_hd__o2bb2ai_1 g440286(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [3]), .A2_N
+       (n_2719), .B1 (n_2227), .B2 (n_2017), .Y (n_4200));
+  sky130_fd_sc_hd__o2bb2ai_1 g440287(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [4]), .A2_N
+       (n_2719), .B1 (n_2227), .B2 (n_2035), .Y (n_4199));
+  sky130_fd_sc_hd__o2bb2ai_1 g440288(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [5]), .A2_N
+       (n_2719), .B1 (n_2227), .B2 (n_2071), .Y (n_4198));
+  sky130_fd_sc_hd__o2bb2ai_1 g440289(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [8]), .A2_N
+       (n_2719), .B1 (n_2227), .B2 (n_2091), .Y (n_4197));
+  sky130_fd_sc_hd__o2bb2ai_1 g440290(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [2]), .A2_N
+       (n_2427), .B1 (n_2231), .B2 (n_2037), .Y (n_4196));
+  sky130_fd_sc_hd__o2bb2ai_1 g440291(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [6]), .A2_N
+       (n_2849), .B1 (n_2026), .B2 (n_2223), .Y (n_4195));
+  sky130_fd_sc_hd__o2bb2ai_1 g440292(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [3]), .A2_N
+       (n_2427), .B1 (n_2231), .B2 (n_2017), .Y (n_4194));
+  sky130_fd_sc_hd__o2bb2ai_1 g440293(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [4]), .A2_N
+       (n_2427), .B1 (n_2231), .B2 (n_2035), .Y (n_4193));
+  sky130_fd_sc_hd__o2bb2ai_1 g440294(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [5]), .A2_N
+       (n_2427), .B1 (n_2231), .B2 (n_2071), .Y (n_4192));
+  sky130_fd_sc_hd__o2bb2ai_1 g440295(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [6]), .A2_N
+       (n_2427), .B1 (n_2231), .B2 (n_2070), .Y (n_4191));
+  sky130_fd_sc_hd__o2bb2ai_1 g440296(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [8]), .A2_N
+       (n_2427), .B1 (n_2231), .B2 (n_2091), .Y (n_4190));
+  sky130_fd_sc_hd__o2bb2ai_1 g440297(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [1]), .A2_N
+       (n_2447), .B1 (n_2229), .B2 (n_2130), .Y (n_4189));
+  sky130_fd_sc_hd__o2bb2ai_1 g440298(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [4]), .A2_N
+       (n_2447), .B1 (n_2229), .B2 (n_2035), .Y (n_4188));
+  sky130_fd_sc_hd__o2bb2ai_1 g440299(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [6]), .A2_N
+       (n_2447), .B1 (n_2229), .B2 (n_2070), .Y (n_4187));
+  sky130_fd_sc_hd__o2bb2ai_1 g440300(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [7]), .A2_N
+       (n_2447), .B1 (n_2229), .B2 (n_2095), .Y (n_4186));
+  sky130_fd_sc_hd__o2bb2ai_1 g440301(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [8]), .A2_N
+       (n_2447), .B1 (n_2229), .B2 (n_2091), .Y (n_4185));
+  sky130_fd_sc_hd__xnor2_1 g440302(.A
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B (n_2612), .Y (n_4184));
+  sky130_fd_sc_hd__o2bb2ai_1 g440303(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [1]), .A2_N
+       (n_2473), .B1 (n_2215), .B2 (n_2130), .Y (n_4183));
+  sky130_fd_sc_hd__o2bb2ai_1 g440304(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [2]), .A2_N
+       (n_2473), .B1 (n_2215), .B2 (n_2037), .Y (n_4182));
+  sky130_fd_sc_hd__o2bb2ai_1 g440305(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [3]), .A2_N
+       (n_2473), .B1 (n_2215), .B2 (n_2017), .Y (n_4181));
+  sky130_fd_sc_hd__o2bb2ai_1 g440306(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [4]), .A2_N
+       (n_2473), .B1 (n_2215), .B2 (n_2035), .Y (n_4180));
+  sky130_fd_sc_hd__o2bb2ai_1 g440307(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [5]), .A2_N
+       (n_2473), .B1 (n_2215), .B2 (n_2071), .Y (n_4179));
+  sky130_fd_sc_hd__o2bb2ai_1 g440308(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [6]), .A2_N
+       (n_2473), .B1 (n_2215), .B2 (n_2070), .Y (n_4178));
+  sky130_fd_sc_hd__o2bb2ai_1 g440309(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [8]), .A2_N
+       (n_2473), .B1 (n_2215), .B2 (n_2091), .Y (n_4177));
+  sky130_fd_sc_hd__o2bb2ai_1 g440310(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [1]), .A2_N
+       (n_2720), .B1 (n_2106), .B2 (n_2227), .Y (n_4176));
+  sky130_fd_sc_hd__o2bb2ai_1 g440311(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [2]), .A2_N
+       (n_2720), .B1 (n_2112), .B2 (n_2227), .Y (n_4175));
+  sky130_fd_sc_hd__o2bb2ai_1 g440312(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [3]), .A2_N
+       (n_2720), .B1 (n_2108), .B2 (n_2227), .Y (n_4174));
+  sky130_fd_sc_hd__o2bb2ai_1 g440313(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [4]), .A2_N
+       (n_2720), .B1 (n_2107), .B2 (n_2227), .Y (n_4173));
+  sky130_fd_sc_hd__o2bb2ai_1 g440314(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [6]), .A2_N
+       (n_2727), .B1 (n_2251), .B2 (n_2070), .Y (n_4172));
+  sky130_fd_sc_hd__o2bb2ai_1 g440315(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [5]), .A2_N
+       (n_2720), .B1 (n_2102), .B2 (n_2227), .Y (n_4171));
+  sky130_fd_sc_hd__o2bb2ai_1 g440316(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [6]), .A2_N
+       (n_2720), .B1 (n_2016), .B2 (n_2227), .Y (n_4170));
+  sky130_fd_sc_hd__o2bb2ai_1 g440317(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [7]), .A2_N
+       (n_2720), .B1 (n_2081), .B2 (n_2227), .Y (n_4169));
+  sky130_fd_sc_hd__o2bb2ai_1 g440318(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [8]), .A2_N
+       (n_2720), .B1 (n_2134), .B2 (n_2227), .Y (n_4168));
+  sky130_fd_sc_hd__o2bb2ai_1 g440319(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [1]), .A2_N
+       (n_2425), .B1 (n_2106), .B2 (n_2231), .Y (n_4167));
+  sky130_fd_sc_hd__o2bb2ai_1 g440320(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [2]), .A2_N
+       (n_2425), .B1 (n_2112), .B2 (n_2231), .Y (n_4166));
+  sky130_fd_sc_hd__o2bb2ai_1 g440321(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [3]), .A2_N
+       (n_2425), .B1 (n_2108), .B2 (n_2231), .Y (n_4165));
+  sky130_fd_sc_hd__o2bb2ai_1 g440322(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [4]), .A2_N
+       (n_2425), .B1 (n_2107), .B2 (n_2231), .Y (n_4164));
+  sky130_fd_sc_hd__o2bb2ai_1 g440323(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [5]), .A2_N
+       (n_2425), .B1 (n_2102), .B2 (n_2231), .Y (n_4163));
+  sky130_fd_sc_hd__o2bb2ai_1 g440324(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [7]), .A2_N
+       (n_2425), .B1 (n_2081), .B2 (n_2231), .Y (n_4162));
+  sky130_fd_sc_hd__o2bb2ai_1 g440325(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [3]), .A2_N
+       (n_2494), .B1 (n_2220), .B2 (n_2100), .Y (n_4161));
+  sky130_fd_sc_hd__o2bb2ai_1 g440326(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [8]), .A2_N
+       (n_2425), .B1 (n_2134), .B2 (n_2231), .Y (n_4160));
+  sky130_fd_sc_hd__o2bb2ai_1 g440327(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [1]), .A2_N
+       (n_2446), .B1 (n_2106), .B2 (n_2229), .Y (n_4159));
+  sky130_fd_sc_hd__o2bb2ai_1 g440328(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [2]), .A2_N
+       (n_2446), .B1 (n_2112), .B2 (n_2229), .Y (n_4158));
+  sky130_fd_sc_hd__o2bb2ai_1 g440329(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [3]), .A2_N
+       (n_2446), .B1 (n_2108), .B2 (n_2229), .Y (n_4157));
+  sky130_fd_sc_hd__o2bb2ai_1 g440330(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [4]), .A2_N
+       (n_2446), .B1 (n_2107), .B2 (n_2229), .Y (n_4156));
+  sky130_fd_sc_hd__o2bb2ai_1 g440331(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [5]), .A2_N
+       (n_2446), .B1 (n_2102), .B2 (n_2229), .Y (n_4155));
+  sky130_fd_sc_hd__o2bb2ai_1 g440332(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [6]), .A2_N
+       (n_2446), .B1 (n_2016), .B2 (n_2229), .Y (n_4154));
+  sky130_fd_sc_hd__o2bb2ai_1 g440333(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [7]), .A2_N
+       (n_2446), .B1 (n_2081), .B2 (n_2229), .Y (n_4153));
+  sky130_fd_sc_hd__o2bb2ai_1 g440334(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [8]), .A2_N
+       (n_2446), .B1 (n_2134), .B2 (n_2229), .Y (n_4152));
+  sky130_fd_sc_hd__o2bb2ai_1 g440335(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [1]), .A2_N
+       (n_2470), .B1 (n_2106), .B2 (n_2215), .Y (n_4151));
+  sky130_fd_sc_hd__o2bb2ai_1 g440336(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [3]), .A2_N
+       (n_2470), .B1 (n_2108), .B2 (n_2215), .Y (n_4150));
+  sky130_fd_sc_hd__o2bb2ai_1 g440337(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [4]), .A2_N
+       (n_2470), .B1 (n_2107), .B2 (n_2215), .Y (n_4149));
+  sky130_fd_sc_hd__o2bb2ai_1 g440338(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [5]), .A2_N
+       (n_2470), .B1 (n_2102), .B2 (n_2215), .Y (n_4148));
+  sky130_fd_sc_hd__o2bb2ai_1 g440339(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [7]), .A2_N
+       (n_2470), .B1 (n_2081), .B2 (n_2215), .Y (n_4147));
+  sky130_fd_sc_hd__o2bb2ai_1 g440340(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [8]), .A2_N
+       (n_2470), .B1 (n_2134), .B2 (n_2215), .Y (n_4146));
+  sky130_fd_sc_hd__o2bb2ai_1 g440341(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [1]), .A2_N
+       (n_2801), .B1 (n_1996), .B2 (n_2130), .Y (n_4145));
+  sky130_fd_sc_hd__o2bb2ai_1 g440342(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [2]), .A2_N
+       (n_2801), .B1 (n_1996), .B2 (n_2037), .Y (n_4144));
+  sky130_fd_sc_hd__o2bb2ai_1 g440343(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [3]), .A2_N
+       (n_2801), .B1 (n_1996), .B2 (n_2017), .Y (n_4143));
+  sky130_fd_sc_hd__o2bb2ai_1 g440344(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [4]), .A2_N
+       (n_2801), .B1 (n_1996), .B2 (n_2035), .Y (n_4142));
+  sky130_fd_sc_hd__o2bb2ai_1 g440345(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [5]), .A2_N
+       (n_2801), .B1 (n_1996), .B2 (n_2071), .Y (n_4141));
+  sky130_fd_sc_hd__o2bb2ai_1 g440346(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [6]), .A2_N
+       (n_2801), .B1 (n_1996), .B2 (n_2070), .Y (n_4140));
+  sky130_fd_sc_hd__o2bb2ai_1 g440347(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [7]), .A2_N
+       (n_2801), .B1 (n_1996), .B2 (n_2095), .Y (n_4139));
+  sky130_fd_sc_hd__o2bb2ai_1 g440348(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [8]), .A2_N
+       (n_2506), .B1 (n_2217), .B2 (n_2128), .Y (n_4138));
+  sky130_fd_sc_hd__o2bb2ai_1 g440349(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [1]), .A2_N
+       (n_2481), .B1 (n_2223), .B2 (n_2130), .Y (n_4137));
+  sky130_fd_sc_hd__o2bb2ai_1 g440350(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [2]), .A2_N
+       (n_2481), .B1 (n_2223), .B2 (n_2037), .Y (n_4136));
+  sky130_fd_sc_hd__o2bb2ai_1 g440351(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [3]), .A2_N
+       (n_2481), .B1 (n_2223), .B2 (n_2017), .Y (n_4135));
+  sky130_fd_sc_hd__o2bb2ai_1 g440352(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [4]), .A2_N
+       (n_2481), .B1 (n_2223), .B2 (n_2035), .Y (n_4134));
+  sky130_fd_sc_hd__o2bb2ai_1 g440353(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [5]), .A2_N
+       (n_2481), .B1 (n_2223), .B2 (n_2071), .Y (n_4133));
+  sky130_fd_sc_hd__o2bb2ai_1 g440354(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [6]), .A2_N
+       (n_2481), .B1 (n_2223), .B2 (n_2070), .Y (n_4132));
+  sky130_fd_sc_hd__o2bb2ai_1 g440355(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [3]), .A2_N
+       (n_2699), .B1 (n_2222), .B2 (n_2073), .Y (n_4131));
+  sky130_fd_sc_hd__o2bb2ai_1 g440356(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [7]), .A2_N
+       (n_2481), .B1 (n_2223), .B2 (n_2095), .Y (n_4130));
+  sky130_fd_sc_hd__o2bb2ai_1 g440357(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [8]), .A2_N
+       (n_2481), .B1 (n_2223), .B2 (n_2091), .Y (n_4129));
+  sky130_fd_sc_hd__o2bb2ai_1 g440358(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [1]), .A2_N
+       (n_2739), .B1 (n_1998), .B2 (n_2130), .Y (n_4128));
+  sky130_fd_sc_hd__o2bb2ai_1 g440359(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [2]), .A2_N
+       (n_2739), .B1 (n_1998), .B2 (n_2037), .Y (n_4127));
+  sky130_fd_sc_hd__o2bb2ai_1 g440360(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [3]), .A2_N
+       (n_2739), .B1 (n_1998), .B2 (n_2017), .Y (n_4126));
+  sky130_fd_sc_hd__o2bb2ai_1 g440361(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [4]), .A2_N
+       (n_2739), .B1 (n_1998), .B2 (n_2035), .Y (n_4125));
+  sky130_fd_sc_hd__o2bb2ai_1 g440362(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [5]), .A2_N
+       (n_2739), .B1 (n_1998), .B2 (n_2071), .Y (n_4124));
+  sky130_fd_sc_hd__o2bb2ai_1 g440363(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [6]), .A2_N
+       (n_2739), .B1 (n_1998), .B2 (n_2070), .Y (n_4123));
+  sky130_fd_sc_hd__o2bb2ai_1 g440364(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [7]), .A2_N
+       (n_2739), .B1 (n_1998), .B2 (n_2095), .Y (n_4122));
+  sky130_fd_sc_hd__o2bb2ai_1 g440365(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [8]), .A2_N
+       (n_2739), .B1 (n_1998), .B2 (n_2091), .Y (n_4121));
+  sky130_fd_sc_hd__o2bb2ai_1 g440366(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [1]), .A2_N
+       (n_2748), .B1 (n_2222), .B2 (n_2130), .Y (n_4120));
+  sky130_fd_sc_hd__o2bb2ai_1 g440367(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [2]), .A2_N
+       (n_2748), .B1 (n_2222), .B2 (n_2037), .Y (n_4119));
+  sky130_fd_sc_hd__o2bb2ai_1 g440368(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [3]), .A2_N
+       (n_2748), .B1 (n_2222), .B2 (n_2017), .Y (n_4118));
+  sky130_fd_sc_hd__o2bb2ai_1 g440369(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [4]), .A2_N
+       (n_2748), .B1 (n_2222), .B2 (n_2035), .Y (n_4117));
+  sky130_fd_sc_hd__o2bb2ai_1 g440370(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [5]), .A2_N
+       (n_2748), .B1 (n_2222), .B2 (n_2071), .Y (n_4116));
+  sky130_fd_sc_hd__o2bb2ai_1 g440371(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [6]), .A2_N
+       (n_2748), .B1 (n_2222), .B2 (n_2070), .Y (n_4115));
+  sky130_fd_sc_hd__o2bb2ai_1 g440372(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [7]), .A2_N
+       (n_2748), .B1 (n_2222), .B2 (n_2095), .Y (n_4114));
+  sky130_fd_sc_hd__o2bb2ai_1 g440373(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [8]), .A2_N
+       (n_2748), .B1 (n_2222), .B2 (n_2091), .Y (n_4113));
+  sky130_fd_sc_hd__o2bb2ai_1 g440374(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [1]), .A2_N
+       (n_2800), .B1 (n_2106), .B2 (n_1996), .Y (n_4112));
+  sky130_fd_sc_hd__o2bb2ai_1 g440375(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [2]), .A2_N
+       (n_2800), .B1 (n_2112), .B2 (n_1996), .Y (n_4111));
+  sky130_fd_sc_hd__o2bb2ai_1 g440376(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [3]), .A2_N
+       (n_2800), .B1 (n_2108), .B2 (n_1996), .Y (n_4110));
+  sky130_fd_sc_hd__o2bb2ai_1 g440377(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [4]), .A2_N
+       (n_2800), .B1 (n_2107), .B2 (n_1996), .Y (n_4109));
+  sky130_fd_sc_hd__o2bb2ai_1 g440378(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [5]), .A2_N
+       (n_2800), .B1 (n_2102), .B2 (n_1996), .Y (n_4108));
+  sky130_fd_sc_hd__o2bb2ai_1 g440379(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [6]), .A2_N
+       (n_2800), .B1 (n_2016), .B2 (n_1996), .Y (n_4107));
+  sky130_fd_sc_hd__o2bb2ai_1 g440380(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [7]), .A2_N
+       (n_2733), .B1 (n_1996), .B2 (n_2069), .Y (n_4106));
+  sky130_fd_sc_hd__o2bb2ai_1 g440381(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [7]), .A2_N
+       (n_2800), .B1 (n_2081), .B2 (n_1996), .Y (n_4105));
+  sky130_fd_sc_hd__o2bb2ai_1 g440382(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [8]), .A2_N
+       (n_2800), .B1 (n_2134), .B2 (n_1996), .Y (n_4104));
+  sky130_fd_sc_hd__a22o_1 g440383(.A1 (n_2488), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [1]), .B1
+       (n_2458), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_4103));
+  sky130_fd_sc_hd__o2bb2ai_1 g440384(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [2]), .A2_N
+       (n_2480), .B1 (n_2112), .B2 (n_2223), .Y (n_4102));
+  sky130_fd_sc_hd__o2bb2ai_1 g440385(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [3]), .A2_N
+       (n_2480), .B1 (n_2108), .B2 (n_2223), .Y (n_4101));
+  sky130_fd_sc_hd__o2bb2ai_1 g440386(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [4]), .A2_N
+       (n_2480), .B1 (n_2107), .B2 (n_2223), .Y (n_4100));
+  sky130_fd_sc_hd__o2bb2ai_1 g440387(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [5]), .A2_N
+       (n_2480), .B1 (n_2102), .B2 (n_2223), .Y (n_4099));
+  sky130_fd_sc_hd__o2bb2ai_1 g440388(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [6]), .A2_N
+       (n_2480), .B1 (n_2016), .B2 (n_2223), .Y (n_4098));
+  sky130_fd_sc_hd__o2bb2ai_1 g440389(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [7]), .A2_N
+       (n_2480), .B1 (n_2081), .B2 (n_2223), .Y (n_4097));
+  sky130_fd_sc_hd__o2bb2ai_1 g440390(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [8]), .A2_N
+       (n_2480), .B1 (n_2134), .B2 (n_2223), .Y (n_4096));
+  sky130_fd_sc_hd__o2bb2ai_1 g440391(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [1]), .A2_N
+       (n_2738), .B1 (n_2106), .B2 (n_1998), .Y (n_4095));
+  sky130_fd_sc_hd__o2bb2ai_1 g440392(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [2]), .A2_N
+       (n_2738), .B1 (n_2112), .B2 (n_1998), .Y (n_4094));
+  sky130_fd_sc_hd__o2bb2ai_1 g440393(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [3]), .A2_N
+       (n_2738), .B1 (n_2108), .B2 (n_1998), .Y (n_4093));
+  sky130_fd_sc_hd__o2bb2ai_1 g440394(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [4]), .A2_N
+       (n_2738), .B1 (n_2107), .B2 (n_1998), .Y (n_4092));
+  sky130_fd_sc_hd__o2bb2ai_1 g440395(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [5]), .A2_N
+       (n_2738), .B1 (n_2102), .B2 (n_1998), .Y (n_4091));
+  sky130_fd_sc_hd__o2bb2ai_1 g440396(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [6]), .A2_N
+       (n_2738), .B1 (n_2016), .B2 (n_1998), .Y (n_4090));
+  sky130_fd_sc_hd__o2bb2ai_1 g440397(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [7]), .A2_N
+       (n_2738), .B1 (n_2081), .B2 (n_1998), .Y (n_4089));
+  sky130_fd_sc_hd__o2bb2ai_1 g440398(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [8]), .A2_N
+       (n_2738), .B1 (n_2134), .B2 (n_1998), .Y (n_4088));
+  sky130_fd_sc_hd__o2bb2ai_1 g440399(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [1]), .A2_N
+       (n_2747), .B1 (n_2106), .B2 (n_2222), .Y (n_4087));
+  sky130_fd_sc_hd__o2bb2ai_1 g440400(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [2]), .A2_N
+       (n_2747), .B1 (n_2112), .B2 (n_2222), .Y (n_4086));
+  sky130_fd_sc_hd__o2bb2ai_1 g440401(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [3]), .A2_N
+       (n_2747), .B1 (n_2108), .B2 (n_2222), .Y (n_4085));
+  sky130_fd_sc_hd__o2bb2ai_1 g440402(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [4]), .A2_N
+       (n_2747), .B1 (n_2107), .B2 (n_2222), .Y (n_4084));
+  sky130_fd_sc_hd__o2bb2ai_1 g440403(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [5]), .A2_N
+       (n_2747), .B1 (n_2102), .B2 (n_2222), .Y (n_4083));
+  sky130_fd_sc_hd__o2bb2ai_1 g440404(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [6]), .A2_N
+       (n_2747), .B1 (n_2016), .B2 (n_2222), .Y (n_4082));
+  sky130_fd_sc_hd__o2bb2ai_1 g440405(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [7]), .A2_N
+       (n_2747), .B1 (n_2081), .B2 (n_2222), .Y (n_4081));
+  sky130_fd_sc_hd__o2bb2ai_1 g440406(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [8]), .A2_N
+       (n_2747), .B1 (n_2134), .B2 (n_2222), .Y (n_4080));
+  sky130_fd_sc_hd__o2bb2ai_1 g440407(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [1]), .A2_N
+       (n_2697), .B1 (n_2227), .B2 (n_2087), .Y (n_4079));
+  sky130_fd_sc_hd__o2bb2ai_1 g440408(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [2]), .A2_N
+       (n_2697), .B1 (n_2227), .B2 (n_2089), .Y (n_4078));
+  sky130_fd_sc_hd__o2bb2ai_1 g440409(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [3]), .A2_N
+       (n_2697), .B1 (n_2227), .B2 (n_2073), .Y (n_4077));
+  sky130_fd_sc_hd__o2bb2ai_1 g440410(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [4]), .A2_N
+       (n_2697), .B1 (n_2227), .B2 (n_2093), .Y (n_4076));
+  sky130_fd_sc_hd__o2bb2ai_1 g440411(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [5]), .A2_N
+       (n_2697), .B1 (n_2227), .B2 (n_2120), .Y (n_4075));
+  sky130_fd_sc_hd__o2bb2ai_1 g440412(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [6]), .A2_N
+       (n_2697), .B1 (n_2227), .B2 (n_2124), .Y (n_4074));
+  sky130_fd_sc_hd__o2bb2ai_1 g440413(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [7]), .A2_N
+       (n_2697), .B1 (n_2227), .B2 (n_2075), .Y (n_4073));
+  sky130_fd_sc_hd__o2bb2ai_1 g440414(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [8]), .A2_N
+       (n_2697), .B1 (n_2227), .B2 (n_2132), .Y (n_4072));
+  sky130_fd_sc_hd__o2bb2ai_1 g440415(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [1]), .A2_N
+       (n_2756), .B1 (n_2231), .B2 (n_2087), .Y (n_4071));
+  sky130_fd_sc_hd__o2bb2ai_1 g440416(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [2]), .A2_N
+       (n_2756), .B1 (n_2231), .B2 (n_2089), .Y (n_4070));
+  sky130_fd_sc_hd__o2bb2ai_1 g440417(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [3]), .A2_N
+       (n_2756), .B1 (n_2231), .B2 (n_2073), .Y (n_4069));
+  sky130_fd_sc_hd__o2bb2ai_1 g440418(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [2]), .A2_N
+       (n_2521), .B1 (n_2007), .B2 (n_2040), .Y (n_4068));
+  sky130_fd_sc_hd__o2bb2ai_1 g440419(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [4]), .A2_N
+       (n_2756), .B1 (n_2231), .B2 (n_2093), .Y (n_4067));
+  sky130_fd_sc_hd__o2bb2ai_1 g440420(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [5]), .A2_N
+       (n_2756), .B1 (n_2231), .B2 (n_2120), .Y (n_4066));
+  sky130_fd_sc_hd__o2bb2ai_1 g440421(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [6]), .A2_N
+       (n_2756), .B1 (n_2231), .B2 (n_2124), .Y (n_4065));
+  sky130_fd_sc_hd__o2bb2ai_1 g440422(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [7]), .A2_N
+       (n_2756), .B1 (n_2231), .B2 (n_2075), .Y (n_4064));
+  sky130_fd_sc_hd__o2bb2ai_1 g440423(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [8]), .A2_N
+       (n_2756), .B1 (n_2231), .B2 (n_2132), .Y (n_4063));
+  sky130_fd_sc_hd__o2bb2ai_1 g440424(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [1]), .A2_N
+       (n_2702), .B1 (n_2229), .B2 (n_2087), .Y (n_4062));
+  sky130_fd_sc_hd__o2bb2ai_1 g440425(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [4]), .A2_N
+       (n_2702), .B1 (n_2229), .B2 (n_2093), .Y (n_4061));
+  sky130_fd_sc_hd__o2bb2ai_1 g440426(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [5]), .A2_N
+       (n_2702), .B1 (n_2229), .B2 (n_2120), .Y (n_4060));
+  sky130_fd_sc_hd__o2bb2ai_1 g440427(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [6]), .A2_N
+       (n_2702), .B1 (n_2229), .B2 (n_2124), .Y (n_4059));
+  sky130_fd_sc_hd__o2bb2ai_1 g440428(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [7]), .A2_N
+       (n_2702), .B1 (n_2229), .B2 (n_2075), .Y (n_4058));
+  sky130_fd_sc_hd__o2bb2ai_1 g440429(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [8]), .A2_N
+       (n_2702), .B1 (n_2229), .B2 (n_2132), .Y (n_4057));
+  sky130_fd_sc_hd__o2bb2ai_1 g440430(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [1]), .A2_N
+       (n_2814), .B1 (n_2215), .B2 (n_2087), .Y (n_4056));
+  sky130_fd_sc_hd__a22o_1 g440431(.A1 (n_2455), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [7]), .B1
+       (n_2838), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_4055));
+  sky130_fd_sc_hd__o2bb2ai_1 g440432(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [4]), .A2_N
+       (n_2814), .B1 (n_2215), .B2 (n_2093), .Y (n_4054));
+  sky130_fd_sc_hd__o2bb2ai_1 g440433(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [5]), .A2_N
+       (n_2814), .B1 (n_2215), .B2 (n_2120), .Y (n_4053));
+  sky130_fd_sc_hd__o2bb2ai_1 g440434(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [6]), .A2_N
+       (n_2814), .B1 (n_2215), .B2 (n_2124), .Y (n_4052));
+  sky130_fd_sc_hd__o2bb2ai_1 g440435(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [7]), .A2_N
+       (n_2814), .B1 (n_2215), .B2 (n_2075), .Y (n_4051));
+  sky130_fd_sc_hd__o2bb2ai_1 g440436(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [8]), .A2_N
+       (n_2814), .B1 (n_2215), .B2 (n_2132), .Y (n_4050));
+  sky130_fd_sc_hd__o2bb2ai_1 g440437(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [2]), .A2_N
+       (n_2442), .B1 (n_2227), .B2 (n_2057), .Y (n_4049));
+  sky130_fd_sc_hd__o2bb2ai_1 g440438(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [3]), .A2_N
+       (n_2442), .B1 (n_2227), .B2 (n_2054), .Y (n_4048));
+  sky130_fd_sc_hd__o2bb2ai_1 g440439(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [4]), .A2_N
+       (n_2442), .B1 (n_2227), .B2 (n_2061), .Y (n_4047));
+  sky130_fd_sc_hd__o2bb2ai_1 g440440(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [5]), .A2_N
+       (n_2442), .B1 (n_2227), .B2 (n_2066), .Y (n_4046));
+  sky130_fd_sc_hd__o2bb2ai_1 g440441(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [6]), .A2_N
+       (n_2442), .B1 (n_2227), .B2 (n_2063), .Y (n_4045));
+  sky130_fd_sc_hd__o2bb2ai_1 g440442(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [8]), .A2_N
+       (n_2442), .B1 (n_2227), .B2 (n_2065), .Y (n_4044));
+  sky130_fd_sc_hd__o2bb2ai_1 g440443(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [1]), .A2_N
+       (n_2451), .B1 (n_2231), .B2 (n_2059), .Y (n_4043));
+  sky130_fd_sc_hd__o2bb2ai_1 g440444(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [2]), .A2_N
+       (n_2451), .B1 (n_2231), .B2 (n_2057), .Y (n_4042));
+  sky130_fd_sc_hd__o2bb2ai_1 g440445(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [3]), .A2_N
+       (n_2451), .B1 (n_2231), .B2 (n_2054), .Y (n_4041));
+  sky130_fd_sc_hd__o2bb2ai_1 g440446(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [4]), .A2_N
+       (n_2451), .B1 (n_2231), .B2 (n_2061), .Y (n_4040));
+  sky130_fd_sc_hd__o2bb2ai_1 g440447(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [5]), .A2_N
+       (n_2451), .B1 (n_2231), .B2 (n_2066), .Y (n_4039));
+  sky130_fd_sc_hd__o2bb2ai_1 g440448(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [6]), .A2_N
+       (n_2451), .B1 (n_2231), .B2 (n_2063), .Y (n_4038));
+  sky130_fd_sc_hd__o2bb2ai_1 g440449(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [7]), .A2_N
+       (n_2451), .B1 (n_2231), .B2 (n_2069), .Y (n_4037));
+  sky130_fd_sc_hd__o2bb2ai_1 g440450(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [8]), .A2_N
+       (n_2451), .B1 (n_2231), .B2 (n_2065), .Y (n_4036));
+  sky130_fd_sc_hd__a22o_1 g440451(.A1 (n_2455), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [5]), .B1
+       (n_2838), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_4035));
+  sky130_fd_sc_hd__o2bb2ai_1 g440452(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [1]), .A2_N
+       (n_2513), .B1 (n_2229), .B2 (n_2059), .Y (n_4034));
+  sky130_fd_sc_hd__o2bb2ai_1 g440453(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [2]), .A2_N
+       (n_2513), .B1 (n_2229), .B2 (n_2057), .Y (n_4033));
+  sky130_fd_sc_hd__o2bb2ai_1 g440454(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [3]), .A2_N
+       (n_2513), .B1 (n_2229), .B2 (n_2054), .Y (n_4032));
+  sky130_fd_sc_hd__o2bb2ai_1 g440455(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [4]), .A2_N
+       (n_2513), .B1 (n_2229), .B2 (n_2061), .Y (n_4031));
+  sky130_fd_sc_hd__o2bb2ai_1 g440456(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [5]), .A2_N
+       (n_2513), .B1 (n_2229), .B2 (n_2066), .Y (n_4030));
+  sky130_fd_sc_hd__o2bb2ai_1 g440457(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [6]), .A2_N
+       (n_2513), .B1 (n_2229), .B2 (n_2063), .Y (n_4029));
+  sky130_fd_sc_hd__o2bb2ai_1 g440458(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [7]), .A2_N
+       (n_2513), .B1 (n_2229), .B2 (n_2069), .Y (n_4028));
+  sky130_fd_sc_hd__o2bb2ai_1 g440459(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [8]), .A2_N
+       (n_2513), .B1 (n_2229), .B2 (n_2065), .Y (n_4027));
+  sky130_fd_sc_hd__o2bb2ai_1 g440460(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [1]), .A2_N
+       (n_2722), .B1 (n_2215), .B2 (n_2059), .Y (n_4026));
+  sky130_fd_sc_hd__o2bb2ai_1 g440461(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [2]), .A2_N
+       (n_2722), .B1 (n_2215), .B2 (n_2057), .Y (n_4025));
+  sky130_fd_sc_hd__o2bb2ai_1 g440462(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [3]), .A2_N
+       (n_2722), .B1 (n_2215), .B2 (n_2054), .Y (n_4024));
+  sky130_fd_sc_hd__o2bb2ai_1 g440463(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [4]), .A2_N
+       (n_2722), .B1 (n_2215), .B2 (n_2061), .Y (n_4023));
+  sky130_fd_sc_hd__o2bb2ai_1 g440464(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [5]), .A2_N
+       (n_2722), .B1 (n_2215), .B2 (n_2066), .Y (n_4022));
+  sky130_fd_sc_hd__o2bb2ai_1 g440465(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [6]), .A2_N
+       (n_2722), .B1 (n_2215), .B2 (n_2063), .Y (n_4021));
+  sky130_fd_sc_hd__o2bb2ai_1 g440466(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [7]), .A2_N
+       (n_2722), .B1 (n_2215), .B2 (n_2069), .Y (n_4020));
+  sky130_fd_sc_hd__o2bb2ai_1 g440467(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [4]), .A2_N
+       (n_2733), .B1 (n_1996), .B2 (n_2061), .Y (n_4019));
+  sky130_fd_sc_hd__o2bb2ai_1 g440468(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [8]), .A2_N
+       (n_2722), .B1 (n_2215), .B2 (n_2065), .Y (n_4018));
+  sky130_fd_sc_hd__o2bb2ai_1 g440469(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [8]), .A2_N
+       (n_2825), .B1 (n_2012), .B2 (n_2132), .Y (n_4017));
+  sky130_fd_sc_hd__o2bb2ai_1 g440470(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [1]), .A2_N
+       (n_2730), .B1 (n_1996), .B2 (n_2087), .Y (n_4016));
+  sky130_fd_sc_hd__o2bb2ai_1 g440471(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [3]), .A2_N
+       (n_2730), .B1 (n_1996), .B2 (n_2073), .Y (n_4015));
+  sky130_fd_sc_hd__o2bb2ai_1 g440472(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [4]), .A2_N
+       (n_2730), .B1 (n_1996), .B2 (n_2093), .Y (n_4014));
+  sky130_fd_sc_hd__o2bb2ai_1 g440473(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [5]), .A2_N
+       (n_2730), .B1 (n_1996), .B2 (n_2120), .Y (n_4013));
+  sky130_fd_sc_hd__o2bb2ai_1 g440474(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [6]), .A2_N
+       (n_2730), .B1 (n_1996), .B2 (n_2124), .Y (n_4012));
+  sky130_fd_sc_hd__o2bb2ai_1 g440475(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [7]), .A2_N
+       (n_2730), .B1 (n_1996), .B2 (n_2075), .Y (n_4011));
+  sky130_fd_sc_hd__o2bb2ai_1 g440476(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [2]), .A2_N
+       (n_2696), .B1 (n_2223), .B2 (n_2089), .Y (n_4010));
+  sky130_fd_sc_hd__o2bb2ai_1 g440477(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [3]), .A2_N
+       (n_2696), .B1 (n_2223), .B2 (n_2073), .Y (n_4009));
+  sky130_fd_sc_hd__o2bb2ai_1 g440478(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [4]), .A2_N
+       (n_2696), .B1 (n_2223), .B2 (n_2093), .Y (n_4008));
+  sky130_fd_sc_hd__o2bb2ai_1 g440479(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [5]), .A2_N
+       (n_2696), .B1 (n_2223), .B2 (n_2120), .Y (n_4007));
+  sky130_fd_sc_hd__o2bb2ai_1 g440480(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [6]), .A2_N
+       (n_2696), .B1 (n_2223), .B2 (n_2124), .Y (n_4006));
+  sky130_fd_sc_hd__o2bb2ai_1 g440481(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [7]), .A2_N
+       (n_2696), .B1 (n_2223), .B2 (n_2075), .Y (n_4005));
+  sky130_fd_sc_hd__o2bb2ai_1 g440482(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [8]), .A2_N
+       (n_2696), .B1 (n_2223), .B2 (n_2132), .Y (n_4004));
+  sky130_fd_sc_hd__o2bb2ai_1 g440483(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [2]), .A2_N
+       (n_2774), .B1 (n_2233), .B2 (n_2089), .Y (n_4003));
+  sky130_fd_sc_hd__o2bb2ai_1 g440484(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [1]), .A2_N
+       (n_2703), .B1 (n_1998), .B2 (n_2087), .Y (n_4002));
+  sky130_fd_sc_hd__o2bb2ai_1 g440485(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [2]), .A2_N
+       (n_2703), .B1 (n_1998), .B2 (n_2089), .Y (n_4001));
+  sky130_fd_sc_hd__o2bb2ai_1 g440486(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [3]), .A2_N
+       (n_2703), .B1 (n_1998), .B2 (n_2073), .Y (n_4000));
+  sky130_fd_sc_hd__o2bb2ai_1 g440487(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [4]), .A2_N
+       (n_2703), .B1 (n_1998), .B2 (n_2093), .Y (n_3999));
+  sky130_fd_sc_hd__o2bb2ai_1 g440488(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [6]), .A2_N
+       (n_2703), .B1 (n_1998), .B2 (n_2124), .Y (n_3998));
+  sky130_fd_sc_hd__o2bb2ai_1 g440489(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [7]), .A2_N
+       (n_2703), .B1 (n_1998), .B2 (n_2075), .Y (n_3997));
+  sky130_fd_sc_hd__o2bb2ai_1 g440490(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [5]), .A2_N
+       (n_2733), .B1 (n_1996), .B2 (n_2066), .Y (n_3996));
+  sky130_fd_sc_hd__o2bb2ai_1 g440491(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [8]), .A2_N
+       (n_2703), .B1 (n_1998), .B2 (n_2132), .Y (n_3995));
+  sky130_fd_sc_hd__o2bb2ai_1 g440492(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [1]), .A2_N
+       (n_2699), .B1 (n_2222), .B2 (n_2087), .Y (n_3994));
+  sky130_fd_sc_hd__o2bb2ai_1 g440493(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [2]), .A2_N
+       (n_2699), .B1 (n_2222), .B2 (n_2089), .Y (n_3993));
+  sky130_fd_sc_hd__o2bb2ai_1 g440494(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [4]), .A2_N
+       (n_2699), .B1 (n_2222), .B2 (n_2093), .Y (n_3992));
+  sky130_fd_sc_hd__o2bb2ai_1 g440495(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [5]), .A2_N
+       (n_2699), .B1 (n_2222), .B2 (n_2120), .Y (n_3991));
+  sky130_fd_sc_hd__o2bb2ai_1 g440496(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [6]), .A2_N
+       (n_2699), .B1 (n_2222), .B2 (n_2124), .Y (n_3990));
+  sky130_fd_sc_hd__o2bb2ai_1 g440497(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [1]), .A2_N
+       (n_2733), .B1 (n_1996), .B2 (n_2059), .Y (n_3989));
+  sky130_fd_sc_hd__o2bb2ai_1 g440498(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [6]), .A2_N
+       (n_2733), .B1 (n_1996), .B2 (n_2063), .Y (n_3988));
+  sky130_fd_sc_hd__o2bb2ai_1 g440499(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [2]), .A2_N
+       (n_2512), .B1 (n_2223), .B2 (n_2057), .Y (n_3987));
+  sky130_fd_sc_hd__o2bb2ai_1 g440500(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [3]), .A2_N
+       (n_2512), .B1 (n_2223), .B2 (n_2054), .Y (n_3986));
+  sky130_fd_sc_hd__o2bb2ai_1 g440501(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [4]), .A2_N
+       (n_2512), .B1 (n_2223), .B2 (n_2061), .Y (n_3985));
+  sky130_fd_sc_hd__o2bb2ai_1 g440502(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [5]), .A2_N
+       (n_2512), .B1 (n_2223), .B2 (n_2066), .Y (n_3984));
+  sky130_fd_sc_hd__o2bb2ai_1 g440503(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [6]), .A2_N
+       (n_2512), .B1 (n_2223), .B2 (n_2063), .Y (n_3983));
+  sky130_fd_sc_hd__o2bb2ai_1 g440504(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [7]), .A2_N
+       (n_2512), .B1 (n_2223), .B2 (n_2069), .Y (n_3982));
+  sky130_fd_sc_hd__o2bb2ai_1 g440505(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [8]), .A2_N
+       (n_2512), .B1 (n_2223), .B2 (n_2065), .Y (n_3981));
+  sky130_fd_sc_hd__o2bb2ai_1 g440506(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [6]), .A2_N
+       (n_2867), .B1 (n_1998), .B2 (n_2046), .Y (n_3980));
+  sky130_fd_sc_hd__o2bb2ai_1 g440507(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [1]), .A2_N
+       (n_2454), .B1 (n_1998), .B2 (n_2059), .Y (n_3979));
+  sky130_fd_sc_hd__o2bb2ai_1 g440508(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [3]), .A2_N
+       (n_2454), .B1 (n_1998), .B2 (n_2054), .Y (n_3978));
+  sky130_fd_sc_hd__o2bb2ai_1 g440509(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [4]), .A2_N
+       (n_2454), .B1 (n_1998), .B2 (n_2061), .Y (n_3977));
+  sky130_fd_sc_hd__o2bb2ai_1 g440510(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [5]), .A2_N
+       (n_2454), .B1 (n_1998), .B2 (n_2066), .Y (n_3976));
+  sky130_fd_sc_hd__o2bb2ai_1 g440511(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [6]), .A2_N
+       (n_2454), .B1 (n_1998), .B2 (n_2063), .Y (n_3975));
+  sky130_fd_sc_hd__o2bb2ai_1 g440512(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [8]), .A2_N
+       (n_2454), .B1 (n_1998), .B2 (n_2065), .Y (n_3974));
+  sky130_fd_sc_hd__o2bb2ai_1 g440513(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [1]), .A2_N
+       (n_2477), .B1 (n_2059), .B2 (n_2222), .Y (n_3973));
+  sky130_fd_sc_hd__o2bb2ai_1 g440514(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [3]), .A2_N
+       (n_2477), .B1 (n_2054), .B2 (n_2222), .Y (n_3972));
+  sky130_fd_sc_hd__o2bb2ai_1 g440515(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [4]), .A2_N
+       (n_2477), .B1 (n_2061), .B2 (n_2222), .Y (n_3971));
+  sky130_fd_sc_hd__o2bb2ai_1 g440516(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [5]), .A2_N
+       (n_2477), .B1 (n_2066), .B2 (n_2222), .Y (n_3970));
+  sky130_fd_sc_hd__o2bb2ai_1 g440517(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [7]), .A2_N
+       (n_2477), .B1 (n_2069), .B2 (n_2222), .Y (n_3969));
+  sky130_fd_sc_hd__o2bb2ai_1 g440518(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [1]), .A2_N
+       (n_2804), .B1 (n_2226), .B2 (n_2042), .Y (n_3968));
+  sky130_fd_sc_hd__o2bb2ai_1 g440519(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [2]), .A2_N
+       (n_2804), .B1 (n_2226), .B2 (n_2040), .Y (n_3967));
+  sky130_fd_sc_hd__o2bb2ai_1 g440520(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [3]), .A2_N
+       (n_2804), .B1 (n_2226), .B2 (n_2052), .Y (n_3966));
+  sky130_fd_sc_hd__o2bb2ai_1 g440521(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [4]), .A2_N
+       (n_2804), .B1 (n_2226), .B2 (n_2050), .Y (n_3965));
+  sky130_fd_sc_hd__o2bb2ai_1 g440522(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [5]), .A2_N
+       (n_2804), .B1 (n_2226), .B2 (n_2048), .Y (n_3964));
+  sky130_fd_sc_hd__o2bb2ai_1 g440523(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [6]), .A2_N
+       (n_2804), .B1 (n_2226), .B2 (n_2046), .Y (n_3963));
+  sky130_fd_sc_hd__o2bb2ai_1 g440524(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [7]), .A2_N
+       (n_2804), .B1 (n_2226), .B2 (n_2044), .Y (n_3962));
+  sky130_fd_sc_hd__o2bb2ai_1 g440525(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [8]), .A2_N
+       (n_2804), .B1 (n_2226), .B2 (n_2038), .Y (n_3961));
+  sky130_fd_sc_hd__o2bb2ai_1 g440526(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [1]), .A2_N
+       (n_2483), .B1 (n_2238), .B2 (n_2042), .Y (n_3960));
+  sky130_fd_sc_hd__o2bb2ai_1 g440527(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [2]), .A2_N
+       (n_2483), .B1 (n_2238), .B2 (n_2040), .Y (n_3959));
+  sky130_fd_sc_hd__o2bb2ai_1 g440528(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [3]), .A2_N
+       (n_2483), .B1 (n_2238), .B2 (n_2052), .Y (n_3958));
+  sky130_fd_sc_hd__o2bb2ai_1 g440529(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [4]), .A2_N
+       (n_2483), .B1 (n_2238), .B2 (n_2050), .Y (n_3957));
+  sky130_fd_sc_hd__o2bb2ai_1 g440530(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [5]), .A2_N
+       (n_2483), .B1 (n_2238), .B2 (n_2048), .Y (n_3956));
+  sky130_fd_sc_hd__o2bb2ai_1 g440531(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [6]), .A2_N
+       (n_2483), .B1 (n_2238), .B2 (n_2046), .Y (n_3955));
+  sky130_fd_sc_hd__o2bb2ai_1 g440532(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [5]), .A2_N
+       (n_2690), .B1 (n_2137), .B2 (n_2066), .Y (n_3954));
+  sky130_fd_sc_hd__o2bb2ai_1 g440533(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [7]), .A2_N
+       (n_2483), .B1 (n_2238), .B2 (n_2044), .Y (n_3953));
+  sky130_fd_sc_hd__a22o_1 g440534(.A1 (n_2741), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [1]), .B1
+       (n_2043), .B2 (n_2235), .X (n_3952));
+  sky130_fd_sc_hd__a22o_1 g440535(.A1 (n_2741), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [2]), .B1
+       (n_2041), .B2 (n_2235), .X (n_3951));
+  sky130_fd_sc_hd__a22o_1 g440536(.A1 (n_2741), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [3]), .B1
+       (n_2053), .B2 (n_2235), .X (n_3950));
+  sky130_fd_sc_hd__a22o_1 g440537(.A1 (n_2741), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [4]), .B1
+       (n_2051), .B2 (n_2235), .X (n_3949));
+  sky130_fd_sc_hd__a22o_1 g440538(.A1 (n_2741), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [6]), .B1
+       (n_2047), .B2 (n_2235), .X (n_3948));
+  sky130_fd_sc_hd__o2bb2ai_1 g440539(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [1]), .A2_N
+       (n_2523), .B1 (n_2004), .B2 (n_2042), .Y (n_3947));
+  sky130_fd_sc_hd__o2bb2ai_1 g440540(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [2]), .A2_N
+       (n_2523), .B1 (n_2004), .B2 (n_2040), .Y (n_3946));
+  sky130_fd_sc_hd__o2bb2ai_1 g440541(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [3]), .A2_N
+       (n_2523), .B1 (n_2004), .B2 (n_2052), .Y (n_3945));
+  sky130_fd_sc_hd__o2bb2ai_1 g440542(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [4]), .A2_N
+       (n_2523), .B1 (n_2004), .B2 (n_2050), .Y (n_3944));
+  sky130_fd_sc_hd__o2bb2ai_1 g440543(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [6]), .A2_N
+       (n_2523), .B1 (n_2004), .B2 (n_2046), .Y (n_3943));
+  sky130_fd_sc_hd__o2bb2ai_1 g440544(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [8]), .A2_N
+       (n_2523), .B1 (n_2004), .B2 (n_2038), .Y (n_3942));
+  sky130_fd_sc_hd__o2bb2ai_1 g440545(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [2]), .A2_N
+       (n_2803), .B1 (n_2032), .B2 (n_2226), .Y (n_3941));
+  sky130_fd_sc_hd__o2bb2ai_1 g440546(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [3]), .A2_N
+       (n_2803), .B1 (n_2022), .B2 (n_2226), .Y (n_3940));
+  sky130_fd_sc_hd__o2bb2ai_1 g440547(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [4]), .A2_N
+       (n_2803), .B1 (n_2030), .B2 (n_2226), .Y (n_3939));
+  sky130_fd_sc_hd__o2bb2ai_1 g440548(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [5]), .A2_N
+       (n_2803), .B1 (n_2028), .B2 (n_2226), .Y (n_3938));
+  sky130_fd_sc_hd__o2bb2ai_1 g440549(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [6]), .A2_N
+       (n_2803), .B1 (n_2026), .B2 (n_2226), .Y (n_3937));
+  sky130_fd_sc_hd__o2bb2ai_1 g440550(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [7]), .A2_N
+       (n_2803), .B1 (n_2024), .B2 (n_2226), .Y (n_3936));
+  sky130_fd_sc_hd__o2bb2ai_1 g440551(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [8]), .A2_N
+       (n_2803), .B1 (n_2020), .B2 (n_2226), .Y (n_3935));
+  sky130_fd_sc_hd__o2bb2ai_1 g440552(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [1]), .A2_N
+       (n_2482), .B1 (n_2238), .B2 (n_2018), .Y (n_3934));
+  sky130_fd_sc_hd__o2bb2ai_1 g440553(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [3]), .A2_N
+       (n_2482), .B1 (n_2238), .B2 (n_2022), .Y (n_3933));
+  sky130_fd_sc_hd__o2bb2ai_1 g440554(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [5]), .A2_N
+       (n_2482), .B1 (n_2238), .B2 (n_2028), .Y (n_3932));
+  sky130_fd_sc_hd__o2bb2ai_1 g440555(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [6]), .A2_N
+       (n_2482), .B1 (n_2238), .B2 (n_2026), .Y (n_3931));
+  sky130_fd_sc_hd__o2bb2ai_1 g440556(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [7]), .A2_N
+       (n_2482), .B1 (n_2238), .B2 (n_2024), .Y (n_3930));
+  sky130_fd_sc_hd__a22o_1 g440557(.A1 (n_2740), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [1]), .B1
+       (n_2235), .B2 (n_2019), .X (n_3929));
+  sky130_fd_sc_hd__a22o_1 g440558(.A1 (n_2740), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [2]), .B1
+       (n_2235), .B2 (n_2033), .X (n_3928));
+  sky130_fd_sc_hd__a22o_1 g440559(.A1 (n_2740), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [3]), .B1
+       (n_2235), .B2 (n_2023), .X (n_3927));
+  sky130_fd_sc_hd__a22o_1 g440560(.A1 (n_2740), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [5]), .B1
+       (n_2235), .B2 (n_2029), .X (n_3926));
+  sky130_fd_sc_hd__a22o_1 g440561(.A1 (n_2740), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [7]), .B1
+       (n_2235), .B2 (n_2025), .X (n_3925));
+  sky130_fd_sc_hd__o2bb2ai_1 g440562(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [1]), .A2_N
+       (n_2525), .B1 (n_2004), .B2 (n_2018), .Y (n_3924));
+  sky130_fd_sc_hd__o2bb2ai_1 g440563(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [2]), .A2_N
+       (n_2525), .B1 (n_2004), .B2 (n_2032), .Y (n_3923));
+  sky130_fd_sc_hd__o2bb2ai_1 g440564(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [3]), .A2_N
+       (n_2525), .B1 (n_2004), .B2 (n_2022), .Y (n_3922));
+  sky130_fd_sc_hd__o2bb2ai_1 g440565(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [4]), .A2_N
+       (n_2525), .B1 (n_2004), .B2 (n_2030), .Y (n_3921));
+  sky130_fd_sc_hd__o2bb2ai_1 g440566(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [5]), .A2_N
+       (n_2525), .B1 (n_2004), .B2 (n_2028), .Y (n_3920));
+  sky130_fd_sc_hd__o2bb2ai_1 g440567(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [6]), .A2_N
+       (n_2525), .B1 (n_2004), .B2 (n_2026), .Y (n_3919));
+  sky130_fd_sc_hd__o2bb2ai_1 g440568(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [7]), .A2_N
+       (n_2525), .B1 (n_2004), .B2 (n_2024), .Y (n_3918));
+  sky130_fd_sc_hd__o2bb2ai_1 g440569(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [8]), .A2_N
+       (n_2525), .B1 (n_2004), .B2 (n_2020), .Y (n_3917));
+  sky130_fd_sc_hd__o2bb2ai_1 g440570(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [1]), .A2_N
+       (n_2860), .B1 (n_2237), .B2 (n_2042), .Y (n_3916));
+  sky130_fd_sc_hd__o2bb2ai_1 g440571(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [2]), .A2_N
+       (n_2860), .B1 (n_2237), .B2 (n_2040), .Y (n_3915));
+  sky130_fd_sc_hd__o2bb2ai_1 g440572(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [4]), .A2_N
+       (n_2860), .B1 (n_2237), .B2 (n_2050), .Y (n_3914));
+  sky130_fd_sc_hd__o2bb2ai_1 g440573(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [6]), .A2_N
+       (n_2860), .B1 (n_2237), .B2 (n_2046), .Y (n_3913));
+  sky130_fd_sc_hd__o2bb2ai_1 g440574(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [8]), .A2_N
+       (n_2860), .B1 (n_2237), .B2 (n_2038), .Y (n_3912));
+  sky130_fd_sc_hd__o2bb2ai_1 g440575(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [1]), .A2_N
+       (n_2521), .B1 (n_2007), .B2 (n_2042), .Y (n_3911));
+  sky130_fd_sc_hd__o2bb2ai_1 g440576(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [3]), .A2_N
+       (n_2521), .B1 (n_2007), .B2 (n_2052), .Y (n_3910));
+  sky130_fd_sc_hd__o2bb2ai_1 g440577(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [5]), .A2_N
+       (n_2521), .B1 (n_2007), .B2 (n_2048), .Y (n_3909));
+  sky130_fd_sc_hd__o2bb2ai_1 g440578(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [7]), .A2_N
+       (n_2521), .B1 (n_2007), .B2 (n_2044), .Y (n_3908));
+  sky130_fd_sc_hd__a22o_1 g440579(.A1 (n_2817), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [1]), .B1
+       (n_2043), .B2 (n_2240), .X (n_3907));
+  sky130_fd_sc_hd__a22o_1 g440580(.A1 (n_2817), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [2]), .B1
+       (n_2041), .B2 (n_2240), .X (n_3906));
+  sky130_fd_sc_hd__a22o_1 g440581(.A1 (n_2817), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [3]), .B1
+       (n_2053), .B2 (n_2240), .X (n_3905));
+  sky130_fd_sc_hd__a22o_1 g440582(.A1 (n_2817), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [4]), .B1
+       (n_2051), .B2 (n_2240), .X (n_3904));
+  sky130_fd_sc_hd__a22o_1 g440583(.A1 (n_2817), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [5]), .B1
+       (n_2049), .B2 (n_2240), .X (n_3903));
+  sky130_fd_sc_hd__a22o_1 g440584(.A1 (n_2817), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [6]), .B1
+       (n_2047), .B2 (n_2240), .X (n_3902));
+  sky130_fd_sc_hd__a22o_1 g440585(.A1 (n_2817), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [7]), .B1
+       (n_2045), .B2 (n_2240), .X (n_3901));
+  sky130_fd_sc_hd__a22o_1 g440586(.A1 (n_2817), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [8]), .B1
+       (n_2039), .B2 (n_2240), .X (n_3900));
+  sky130_fd_sc_hd__o2bb2ai_1 g440587(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [1]), .A2_N
+       (n_2444), .B1 (n_2006), .B2 (n_2042), .Y (n_3899));
+  sky130_fd_sc_hd__o2bb2ai_1 g440588(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [2]), .A2_N
+       (n_2444), .B1 (n_2006), .B2 (n_2040), .Y (n_3898));
+  sky130_fd_sc_hd__o2bb2ai_1 g440589(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [3]), .A2_N
+       (n_2444), .B1 (n_2006), .B2 (n_2052), .Y (n_3897));
+  sky130_fd_sc_hd__o2bb2ai_1 g440590(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [4]), .A2_N
+       (n_2444), .B1 (n_2006), .B2 (n_2050), .Y (n_3896));
+  sky130_fd_sc_hd__o2bb2ai_1 g440591(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [5]), .A2_N
+       (n_2444), .B1 (n_2006), .B2 (n_2048), .Y (n_3895));
+  sky130_fd_sc_hd__o2bb2ai_1 g440592(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [6]), .A2_N
+       (n_2444), .B1 (n_2006), .B2 (n_2046), .Y (n_3894));
+  sky130_fd_sc_hd__o2bb2ai_1 g440593(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [1]), .A2_N
+       (n_2861), .B1 (n_2237), .B2 (n_2018), .Y (n_3893));
+  sky130_fd_sc_hd__o2bb2ai_1 g440594(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [2]), .A2_N
+       (n_2861), .B1 (n_2237), .B2 (n_2032), .Y (n_3892));
+  sky130_fd_sc_hd__o2bb2ai_1 g440595(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [3]), .A2_N
+       (n_2861), .B1 (n_2237), .B2 (n_2022), .Y (n_3891));
+  sky130_fd_sc_hd__o2bb2ai_1 g440596(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [4]), .A2_N
+       (n_2861), .B1 (n_2237), .B2 (n_2030), .Y (n_3890));
+  sky130_fd_sc_hd__o2bb2ai_1 g440597(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [5]), .A2_N
+       (n_2861), .B1 (n_2237), .B2 (n_2028), .Y (n_3889));
+  sky130_fd_sc_hd__o2bb2ai_1 g440598(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [6]), .A2_N
+       (n_2861), .B1 (n_2237), .B2 (n_2026), .Y (n_3888));
+  sky130_fd_sc_hd__o2bb2ai_1 g440599(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [7]), .A2_N
+       (n_2861), .B1 (n_2237), .B2 (n_2024), .Y (n_3887));
+  sky130_fd_sc_hd__o2bb2ai_1 g440600(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [8]), .A2_N
+       (n_2861), .B1 (n_2237), .B2 (n_2020), .Y (n_3886));
+  sky130_fd_sc_hd__o2bb2ai_1 g440601(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [2]), .A2_N
+       (n_2524), .B1 (n_2032), .B2 (n_2007), .Y (n_3885));
+  sky130_fd_sc_hd__o2bb2ai_1 g440602(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [3]), .A2_N
+       (n_2524), .B1 (n_2022), .B2 (n_2007), .Y (n_3884));
+  sky130_fd_sc_hd__o2bb2ai_1 g440603(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [4]), .A2_N
+       (n_2524), .B1 (n_2030), .B2 (n_2007), .Y (n_3883));
+  sky130_fd_sc_hd__o2bb2ai_1 g440604(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [5]), .A2_N
+       (n_2524), .B1 (n_2028), .B2 (n_2007), .Y (n_3882));
+  sky130_fd_sc_hd__o2bb2ai_1 g440605(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [6]), .A2_N
+       (n_2524), .B1 (n_2026), .B2 (n_2007), .Y (n_3881));
+  sky130_fd_sc_hd__o2bb2ai_1 g440606(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [7]), .A2_N
+       (n_2524), .B1 (n_2024), .B2 (n_2007), .Y (n_3880));
+  sky130_fd_sc_hd__o2bb2ai_1 g440607(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [8]), .A2_N
+       (n_2524), .B1 (n_2020), .B2 (n_2007), .Y (n_3879));
+  sky130_fd_sc_hd__a22o_1 g440608(.A1 (n_2818), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [1]), .B1
+       (n_2240), .B2 (n_2019), .X (n_3878));
+  sky130_fd_sc_hd__a22o_1 g440609(.A1 (n_2818), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [2]), .B1
+       (n_2240), .B2 (n_2033), .X (n_3877));
+  sky130_fd_sc_hd__a22o_1 g440610(.A1 (n_2818), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [3]), .B1
+       (n_2240), .B2 (n_2023), .X (n_3876));
+  sky130_fd_sc_hd__a22o_1 g440611(.A1 (n_2818), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [4]), .B1
+       (n_2240), .B2 (n_2031), .X (n_3875));
+  sky130_fd_sc_hd__a22o_1 g440612(.A1 (n_2818), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [5]), .B1
+       (n_2240), .B2 (n_2029), .X (n_3874));
+  sky130_fd_sc_hd__a22o_1 g440613(.A1 (n_2818), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [7]), .B1
+       (n_2240), .B2 (n_2025), .X (n_3873));
+  sky130_fd_sc_hd__a22o_1 g440614(.A1 (n_2818), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [8]), .B1
+       (n_2240), .B2 (n_2021), .X (n_3872));
+  sky130_fd_sc_hd__o2bb2ai_1 g440615(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [1]), .A2_N
+       (n_2443), .B1 (n_2018), .B2 (n_2006), .Y (n_3871));
+  sky130_fd_sc_hd__o2bb2ai_1 g440616(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [3]), .A2_N
+       (n_2443), .B1 (n_2022), .B2 (n_2006), .Y (n_3870));
+  sky130_fd_sc_hd__o2bb2ai_1 g440617(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [5]), .A2_N
+       (n_2443), .B1 (n_2028), .B2 (n_2006), .Y (n_3869));
+  sky130_fd_sc_hd__o2bb2ai_1 g440618(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [6]), .A2_N
+       (n_2443), .B1 (n_2026), .B2 (n_2006), .Y (n_3868));
+  sky130_fd_sc_hd__o2bb2ai_1 g440619(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [7]), .A2_N
+       (n_2443), .B1 (n_2024), .B2 (n_2006), .Y (n_3867));
+  sky130_fd_sc_hd__o2bb2ai_1 g440620(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [8]), .A2_N
+       (n_2443), .B1 (n_2020), .B2 (n_2006), .Y (n_3866));
+  sky130_fd_sc_hd__o2bb2ai_1 g440621(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [1]), .A2_N
+       (n_2751), .B1 (n_2226), .B2 (n_2110), .Y (n_3865));
+  sky130_fd_sc_hd__o2bb2ai_1 g440622(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [2]), .A2_N
+       (n_2751), .B1 (n_2226), .B2 (n_2083), .Y (n_3864));
+  sky130_fd_sc_hd__o2bb2ai_1 g440623(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [3]), .A2_N
+       (n_2751), .B1 (n_2226), .B2 (n_2100), .Y (n_3863));
+  sky130_fd_sc_hd__o2bb2ai_1 g440624(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [4]), .A2_N
+       (n_2751), .B1 (n_2226), .B2 (n_2104), .Y (n_3862));
+  sky130_fd_sc_hd__o2bb2ai_1 g440625(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [5]), .A2_N
+       (n_2751), .B1 (n_2226), .B2 (n_2099), .Y (n_3861));
+  sky130_fd_sc_hd__o2bb2ai_1 g440626(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [6]), .A2_N
+       (n_2751), .B1 (n_2226), .B2 (n_2085), .Y (n_3860));
+  sky130_fd_sc_hd__o2bb2ai_1 g440627(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [7]), .A2_N
+       (n_2751), .B1 (n_2226), .B2 (n_2079), .Y (n_3859));
+  sky130_fd_sc_hd__o2bb2ai_1 g440628(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [8]), .A2_N
+       (n_2751), .B1 (n_2226), .B2 (n_2128), .Y (n_3858));
+  sky130_fd_sc_hd__o2bb2ai_1 g440629(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [1]), .A2_N
+       (n_2713), .B1 (n_2238), .B2 (n_2110), .Y (n_3857));
+  sky130_fd_sc_hd__o2bb2ai_1 g440630(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [2]), .A2_N
+       (n_2713), .B1 (n_2238), .B2 (n_2083), .Y (n_3856));
+  sky130_fd_sc_hd__o2bb2ai_1 g440631(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [3]), .A2_N
+       (n_2713), .B1 (n_2238), .B2 (n_2100), .Y (n_3855));
+  sky130_fd_sc_hd__o2bb2ai_1 g440632(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [4]), .A2_N
+       (n_2713), .B1 (n_2238), .B2 (n_2104), .Y (n_3854));
+  sky130_fd_sc_hd__o2bb2ai_1 g440633(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [5]), .A2_N
+       (n_2713), .B1 (n_2238), .B2 (n_2099), .Y (n_3853));
+  sky130_fd_sc_hd__o2bb2ai_1 g440634(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [6]), .A2_N
+       (n_2713), .B1 (n_2238), .B2 (n_2085), .Y (n_3852));
+  sky130_fd_sc_hd__o2bb2ai_1 g440635(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [7]), .A2_N
+       (n_2713), .B1 (n_2238), .B2 (n_2079), .Y (n_3851));
+  sky130_fd_sc_hd__o2bb2ai_1 g440636(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [8]), .A2_N
+       (n_2713), .B1 (n_2238), .B2 (n_2128), .Y (n_3850));
+  sky130_fd_sc_hd__a22o_1 g440637(.A1 (n_2708), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [2]), .B1
+       (n_2082), .B2 (n_2235), .X (n_3849));
+  sky130_fd_sc_hd__o2bb2ai_1 g440638(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [3]), .A2_N
+       (n_2708), .B1 (n_2234), .B2 (n_2100), .Y (n_3848));
+  sky130_fd_sc_hd__a22o_1 g440639(.A1 (n_2708), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [4]), .B1
+       (n_2103), .B2 (n_2235), .X (n_3847));
+  sky130_fd_sc_hd__a22o_1 g440640(.A1 (n_2708), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [6]), .B1
+       (n_2084), .B2 (n_2235), .X (n_3846));
+  sky130_fd_sc_hd__a22o_1 g440641(.A1 (n_2708), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [7]), .B1
+       (n_2078), .B2 (n_2235), .X (n_3845));
+  sky130_fd_sc_hd__a22o_1 g440642(.A1 (n_2708), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [8]), .B1
+       (n_2127), .B2 (n_2235), .X (n_3844));
+  sky130_fd_sc_hd__o2bb2ai_1 g440643(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [3]), .A2_N
+       (n_2737), .B1 (n_2004), .B2 (n_2100), .Y (n_3843));
+  sky130_fd_sc_hd__o2bb2ai_1 g440644(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [5]), .A2_N
+       (n_2737), .B1 (n_2004), .B2 (n_2099), .Y (n_3842));
+  sky130_fd_sc_hd__o2bb2ai_1 g440645(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [8]), .A2_N
+       (n_2737), .B1 (n_2004), .B2 (n_2128), .Y (n_3841));
+  sky130_fd_sc_hd__o2bb2ai_1 g440646(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [1]), .A2_N
+       (n_2750), .B1 (n_2226), .B2 (n_2116), .Y (n_3840));
+  sky130_fd_sc_hd__o2bb2ai_1 g440647(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [2]), .A2_N
+       (n_2750), .B1 (n_2226), .B2 (n_2117), .Y (n_3839));
+  sky130_fd_sc_hd__o2bb2ai_1 g440648(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [3]), .A2_N
+       (n_2750), .B1 (n_2226), .B2 (n_2097), .Y (n_3838));
+  sky130_fd_sc_hd__o2bb2ai_1 g440649(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [4]), .A2_N
+       (n_2750), .B1 (n_2226), .B2 (n_2125), .Y (n_3837));
+  sky130_fd_sc_hd__o2bb2ai_1 g440650(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [5]), .A2_N
+       (n_2750), .B1 (n_2226), .B2 (n_2013), .Y (n_3836));
+  sky130_fd_sc_hd__o2bb2ai_1 g440651(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [7]), .A2_N
+       (n_2750), .B1 (n_2226), .B2 (n_2077), .Y (n_3835));
+  sky130_fd_sc_hd__o2bb2ai_1 g440652(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [1]), .A2_N
+       (n_2519), .B1 (n_2238), .B2 (n_2116), .Y (n_3834));
+  sky130_fd_sc_hd__o2bb2ai_1 g440653(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [4]), .A2_N
+       (n_2519), .B1 (n_2238), .B2 (n_2125), .Y (n_3833));
+  sky130_fd_sc_hd__o2bb2ai_1 g440654(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [6]), .A2_N
+       (n_2519), .B1 (n_2238), .B2 (n_2122), .Y (n_3832));
+  sky130_fd_sc_hd__o2bb2ai_1 g440655(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [7]), .A2_N
+       (n_2519), .B1 (n_2238), .B2 (n_2077), .Y (n_3831));
+  sky130_fd_sc_hd__a22o_1 g440656(.A1 (n_2464), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [1]), .B1
+       (n_2115), .B2 (n_2235), .X (n_3830));
+  sky130_fd_sc_hd__a22o_1 g440657(.A1 (n_2464), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [2]), .B1
+       (n_2118), .B2 (n_2235), .X (n_3829));
+  sky130_fd_sc_hd__a22o_1 g440658(.A1 (n_2464), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [4]), .B1
+       (n_2126), .B2 (n_2235), .X (n_3828));
+  sky130_fd_sc_hd__a22o_1 g440659(.A1 (n_2464), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [7]), .B1
+       (n_2076), .B2 (n_2235), .X (n_3827));
+  sky130_fd_sc_hd__o2bb2ai_1 g440660(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [2]), .A2_N
+       (n_2436), .B1 (n_2004), .B2 (n_2117), .Y (n_3826));
+  sky130_fd_sc_hd__o2bb2ai_1 g440661(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [3]), .A2_N
+       (n_2436), .B1 (n_2004), .B2 (n_2097), .Y (n_3825));
+  sky130_fd_sc_hd__o2bb2ai_1 g440662(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [4]), .A2_N
+       (n_2436), .B1 (n_2004), .B2 (n_2125), .Y (n_3824));
+  sky130_fd_sc_hd__o2bb2ai_1 g440663(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [5]), .A2_N
+       (n_2436), .B1 (n_2004), .B2 (n_2013), .Y (n_3823));
+  sky130_fd_sc_hd__o2bb2ai_1 g440664(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [6]), .A2_N
+       (n_2436), .B1 (n_2004), .B2 (n_2122), .Y (n_3822));
+  sky130_fd_sc_hd__o2bb2ai_1 g440665(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [7]), .A2_N
+       (n_2436), .B1 (n_2004), .B2 (n_2077), .Y (n_3821));
+  sky130_fd_sc_hd__o2bb2ai_1 g440666(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [4]), .A2_N
+       (n_2431), .B1 (n_2220), .B2 (n_2061), .Y (n_3820));
+  sky130_fd_sc_hd__o2bb2ai_1 g440667(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [8]), .A2_N
+       (n_2436), .B1 (n_2004), .B2 (n_2113), .Y (n_3819));
+  sky130_fd_sc_hd__o2bb2ai_1 g440668(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [1]), .A2_N
+       (n_2835), .B1 (n_2237), .B2 (n_2110), .Y (n_3818));
+  sky130_fd_sc_hd__o2bb2ai_1 g440669(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [4]), .A2_N
+       (n_2835), .B1 (n_2237), .B2 (n_2104), .Y (n_3817));
+  sky130_fd_sc_hd__o2bb2ai_1 g440670(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [6]), .A2_N
+       (n_2835), .B1 (n_2237), .B2 (n_2085), .Y (n_3816));
+  sky130_fd_sc_hd__o2bb2ai_1 g440671(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [7]), .A2_N
+       (n_2835), .B1 (n_2237), .B2 (n_2079), .Y (n_3815));
+  sky130_fd_sc_hd__o2bb2ai_1 g440672(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [8]), .A2_N
+       (n_2835), .B1 (n_2237), .B2 (n_2128), .Y (n_3814));
+  sky130_fd_sc_hd__o2bb2ai_1 g440673(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [1]), .A2_N
+       (n_2693), .B1 (n_1998), .B2 (n_2110), .Y (n_3813));
+  sky130_fd_sc_hd__o2bb2ai_1 g440674(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [2]), .A2_N
+       (n_2766), .B1 (n_2007), .B2 (n_2083), .Y (n_3812));
+  sky130_fd_sc_hd__o2bb2ai_1 g440675(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [3]), .A2_N
+       (n_2766), .B1 (n_2007), .B2 (n_2100), .Y (n_3811));
+  sky130_fd_sc_hd__o2bb2ai_1 g440676(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [5]), .A2_N
+       (n_2766), .B1 (n_2007), .B2 (n_2099), .Y (n_3810));
+  sky130_fd_sc_hd__o2bb2ai_1 g440677(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [6]), .A2_N
+       (n_2766), .B1 (n_2007), .B2 (n_2085), .Y (n_3809));
+  sky130_fd_sc_hd__o2bb2ai_1 g440678(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [8]), .A2_N
+       (n_2766), .B1 (n_2007), .B2 (n_2128), .Y (n_3808));
+  sky130_fd_sc_hd__a22o_1 g440679(.A1 (n_2758), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [2]), .B1
+       (n_2082), .B2 (n_2240), .X (n_3807));
+  sky130_fd_sc_hd__o2bb2ai_1 g440680(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [3]), .A2_N
+       (n_2758), .B1 (n_2239), .B2 (n_2100), .Y (n_3806));
+  sky130_fd_sc_hd__a22o_1 g440681(.A1 (n_2758), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [5]), .B1
+       (n_2098), .B2 (n_2240), .X (n_3805));
+  sky130_fd_sc_hd__a22o_1 g440682(.A1 (n_2758), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [6]), .B1
+       (n_2084), .B2 (n_2240), .X (n_3804));
+  sky130_fd_sc_hd__o2bb2ai_1 g440683(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [2]), .A2_N
+       (n_2709), .B1 (n_2006), .B2 (n_2083), .Y (n_3803));
+  sky130_fd_sc_hd__o2bb2ai_1 g440684(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [3]), .A2_N
+       (n_2709), .B1 (n_2006), .B2 (n_2100), .Y (n_3802));
+  sky130_fd_sc_hd__o2bb2ai_1 g440685(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [5]), .A2_N
+       (n_2709), .B1 (n_2006), .B2 (n_2099), .Y (n_3801));
+  sky130_fd_sc_hd__o2bb2ai_1 g440686(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [6]), .A2_N
+       (n_2709), .B1 (n_2006), .B2 (n_2085), .Y (n_3800));
+  sky130_fd_sc_hd__o2bb2ai_1 g440687(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [7]), .A2_N
+       (n_2709), .B1 (n_2006), .B2 (n_2079), .Y (n_3799));
+  sky130_fd_sc_hd__o2bb2ai_1 g440688(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [8]), .A2_N
+       (n_2709), .B1 (n_2006), .B2 (n_2128), .Y (n_3798));
+  sky130_fd_sc_hd__o2bb2ai_1 g440689(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [1]), .A2_N
+       (n_2836), .B1 (n_2237), .B2 (n_2116), .Y (n_3797));
+  sky130_fd_sc_hd__o2bb2ai_1 g440690(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [3]), .A2_N
+       (n_2836), .B1 (n_2237), .B2 (n_2097), .Y (n_3796));
+  sky130_fd_sc_hd__o2bb2ai_1 g440691(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [6]), .A2_N
+       (n_2836), .B1 (n_2237), .B2 (n_2122), .Y (n_3795));
+  sky130_fd_sc_hd__o2bb2ai_1 g440692(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [3]), .A2_N
+       (n_2698), .B1 (n_2224), .B2 (n_2073), .Y (n_3794));
+  sky130_fd_sc_hd__o2bb2ai_1 g440693(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [7]), .A2_N
+       (n_2836), .B1 (n_2237), .B2 (n_2077), .Y (n_3793));
+  sky130_fd_sc_hd__o2bb2ai_1 g440694(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [3]), .A2_N
+       (n_2474), .B1 (n_2007), .B2 (n_2097), .Y (n_3792));
+  sky130_fd_sc_hd__o2bb2ai_1 g440695(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [5]), .A2_N
+       (n_2474), .B1 (n_2007), .B2 (n_2013), .Y (n_3791));
+  sky130_fd_sc_hd__o2bb2ai_1 g440696(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [7]), .A2_N
+       (n_2474), .B1 (n_2007), .B2 (n_2077), .Y (n_3790));
+  sky130_fd_sc_hd__a22o_1 g440697(.A1 (n_2760), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [1]), .B1
+       (n_2115), .B2 (n_2240), .X (n_3789));
+  sky130_fd_sc_hd__a22o_1 g440698(.A1 (n_2468), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [8]), .B1
+       (n_2502), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3788));
+  sky130_fd_sc_hd__a22o_1 g440699(.A1 (n_2760), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [5]), .B1
+       (n_2014), .B2 (n_2240), .X (n_3787));
+  sky130_fd_sc_hd__a22o_1 g440700(.A1 (n_2760), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [7]), .B1
+       (n_2076), .B2 (n_2240), .X (n_3786));
+  sky130_fd_sc_hd__o2bb2ai_1 g440701(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [1]), .A2_N
+       (n_2516), .B1 (n_2006), .B2 (n_2116), .Y (n_3785));
+  sky130_fd_sc_hd__o2bb2ai_1 g440702(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [2]), .A2_N
+       (n_2516), .B1 (n_2006), .B2 (n_2117), .Y (n_3784));
+  sky130_fd_sc_hd__o2bb2ai_1 g440703(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [3]), .A2_N
+       (n_2516), .B1 (n_2006), .B2 (n_2097), .Y (n_3783));
+  sky130_fd_sc_hd__o2bb2ai_1 g440704(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [4]), .A2_N
+       (n_2516), .B1 (n_2006), .B2 (n_2125), .Y (n_3782));
+  sky130_fd_sc_hd__o2bb2ai_1 g440705(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [5]), .A2_N
+       (n_2516), .B1 (n_2006), .B2 (n_2013), .Y (n_3781));
+  sky130_fd_sc_hd__o2bb2ai_1 g440706(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [6]), .A2_N
+       (n_2516), .B1 (n_2006), .B2 (n_2122), .Y (n_3780));
+  sky130_fd_sc_hd__o2bb2ai_1 g440707(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [7]), .A2_N
+       (n_2516), .B1 (n_2006), .B2 (n_2077), .Y (n_3779));
+  sky130_fd_sc_hd__o2bb2ai_1 g440708(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [8]), .A2_N
+       (n_2516), .B1 (n_2006), .B2 (n_2113), .Y (n_3778));
+  sky130_fd_sc_hd__o2bb2ai_1 g440709(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [1]), .A2_N
+       (n_2718), .B1 (n_2233), .B2 (n_2042), .Y (n_3777));
+  sky130_fd_sc_hd__o2bb2ai_1 g440710(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [2]), .A2_N
+       (n_2718), .B1 (n_2233), .B2 (n_2040), .Y (n_3776));
+  sky130_fd_sc_hd__o2bb2ai_1 g440711(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [4]), .A2_N
+       (n_2718), .B1 (n_2233), .B2 (n_2050), .Y (n_3775));
+  sky130_fd_sc_hd__o2bb2ai_1 g440712(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [6]), .A2_N
+       (n_2718), .B1 (n_2233), .B2 (n_2046), .Y (n_3774));
+  sky130_fd_sc_hd__o2bb2ai_1 g440713(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [8]), .A2_N
+       (n_2718), .B1 (n_2233), .B2 (n_2038), .Y (n_3773));
+  sky130_fd_sc_hd__a22o_1 g440714(.A1 (n_2526), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [3]), .B1
+       (n_2805), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3772));
+  sky130_fd_sc_hd__a22o_1 g440715(.A1 (n_2526), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [5]), .B1
+       (n_2805), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3771));
+  sky130_fd_sc_hd__a22o_1 g440716(.A1 (n_2526), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [6]), .B1
+       (n_2805), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3770));
+  sky130_fd_sc_hd__a22o_1 g440717(.A1 (n_2526), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [8]), .B1
+       (n_2805), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3769));
+  sky130_fd_sc_hd__a22o_1 g440718(.A1 (n_2438), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [1]), .B1
+       (n_2795), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3768));
+  sky130_fd_sc_hd__a22o_1 g440719(.A1 (n_2438), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [2]), .B1
+       (n_2795), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3767));
+  sky130_fd_sc_hd__a22o_1 g440720(.A1 (n_2438), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [3]), .B1
+       (n_2795), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3766));
+  sky130_fd_sc_hd__a22o_1 g440721(.A1 (n_2438), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [4]), .B1
+       (n_2795), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3765));
+  sky130_fd_sc_hd__a22o_1 g440722(.A1 (n_2438), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [6]), .B1
+       (n_2795), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3764));
+  sky130_fd_sc_hd__a22o_1 g440723(.A1 (n_2438), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [7]), .B1
+       (n_2795), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3763));
+  sky130_fd_sc_hd__a22o_1 g440724(.A1 (n_2438), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [8]), .B1
+       (n_2795), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3762));
+  sky130_fd_sc_hd__a22o_1 g440725(.A1 (n_2472), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [1]), .B1
+       (n_2792), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3761));
+  sky130_fd_sc_hd__a22o_1 g440726(.A1 (n_2472), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [2]), .B1
+       (n_2792), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3760));
+  sky130_fd_sc_hd__o2bb2ai_1 g440727(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [1]), .A2_N
+       (n_2717), .B1 (n_2018), .B2 (n_2233), .Y (n_3759));
+  sky130_fd_sc_hd__o2bb2ai_1 g440728(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [2]), .A2_N
+       (n_2717), .B1 (n_2032), .B2 (n_2233), .Y (n_3758));
+  sky130_fd_sc_hd__o2bb2ai_1 g440729(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [3]), .A2_N
+       (n_2717), .B1 (n_2022), .B2 (n_2233), .Y (n_3757));
+  sky130_fd_sc_hd__o2bb2ai_1 g440730(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [4]), .A2_N
+       (n_2717), .B1 (n_2030), .B2 (n_2233), .Y (n_3756));
+  sky130_fd_sc_hd__o2bb2ai_1 g440731(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [5]), .A2_N
+       (n_2717), .B1 (n_2028), .B2 (n_2233), .Y (n_3755));
+  sky130_fd_sc_hd__o2bb2ai_1 g440732(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [6]), .A2_N
+       (n_2717), .B1 (n_2026), .B2 (n_2233), .Y (n_3754));
+  sky130_fd_sc_hd__o2bb2ai_1 g440733(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [7]), .A2_N
+       (n_2717), .B1 (n_2024), .B2 (n_2233), .Y (n_3753));
+  sky130_fd_sc_hd__o2bb2ai_1 g440734(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [8]), .A2_N
+       (n_2717), .B1 (n_2020), .B2 (n_2233), .Y (n_3752));
+  sky130_fd_sc_hd__a22o_1 g440735(.A1 (n_2522), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [1]), .B1
+       (n_2841), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3751));
+  sky130_fd_sc_hd__xnor2_1 g440736(.A
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .B (n_2611), .Y (n_3750));
+  sky130_fd_sc_hd__a22o_1 g440737(.A1 (n_2522), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [3]), .B1
+       (n_2841), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3749));
+  sky130_fd_sc_hd__a22o_1 g440738(.A1 (n_2522), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [5]), .B1
+       (n_2841), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3748));
+  sky130_fd_sc_hd__a22o_1 g440739(.A1 (n_2522), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [7]), .B1
+       (n_2841), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3747));
+  sky130_fd_sc_hd__a22o_1 g440740(.A1 (n_2522), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [8]), .B1
+       (n_2841), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3746));
+  sky130_fd_sc_hd__a22o_1 g440741(.A1 (n_2437), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [1]), .B1
+       (n_2821), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3745));
+  sky130_fd_sc_hd__a22o_1 g440742(.A1 (n_2437), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [2]), .B1
+       (n_2821), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3744));
+  sky130_fd_sc_hd__a22o_1 g440743(.A1 (n_2437), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [3]), .B1
+       (n_2821), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3743));
+  sky130_fd_sc_hd__a22o_1 g440744(.A1 (n_2437), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [4]), .B1
+       (n_2821), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3742));
+  sky130_fd_sc_hd__a22o_1 g440745(.A1 (n_2437), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [5]), .B1
+       (n_2821), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3741));
+  sky130_fd_sc_hd__a22o_1 g440746(.A1 (n_2437), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [6]), .B1
+       (n_2821), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3740));
+  sky130_fd_sc_hd__a22o_1 g440747(.A1 (n_2437), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [7]), .B1
+       (n_2821), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3739));
+  sky130_fd_sc_hd__a22o_1 g440748(.A1 (n_2437), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [8]), .B1
+       (n_2821), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3738));
+  sky130_fd_sc_hd__a22o_1 g440749(.A1 (n_2469), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [1]), .B1
+       (n_2822), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3737));
+  sky130_fd_sc_hd__a22o_1 g440750(.A1 (n_2469), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [2]), .B1
+       (n_2822), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3736));
+  sky130_fd_sc_hd__o2bb2ai_1 g440751(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [8]), .A2_N
+       (n_2828), .B1 (n_2134), .B2 (n_1994), .Y (n_3735));
+  sky130_fd_sc_hd__a22o_1 g440752(.A1 (n_2469), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [3]), .B1
+       (n_2822), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3734));
+  sky130_fd_sc_hd__a22o_1 g440753(.A1 (n_2469), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [4]), .B1
+       (n_2822), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3733));
+  sky130_fd_sc_hd__a22o_1 g440754(.A1 (n_2469), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [5]), .B1
+       (n_2822), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3732));
+  sky130_fd_sc_hd__a22o_1 g440755(.A1 (n_2469), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [6]), .B1
+       (n_2822), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3731));
+  sky130_fd_sc_hd__a22o_1 g440756(.A1 (n_2469), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [8]), .B1
+       (n_2822), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3730));
+  sky130_fd_sc_hd__a22o_1 g440757(.A1 (n_2796), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [1]), .B1
+       (n_2791), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3729));
+  sky130_fd_sc_hd__a22o_1 g440758(.A1 (n_2796), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [3]), .B1
+       (n_2791), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3728));
+  sky130_fd_sc_hd__a22o_1 g440759(.A1 (n_2796), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [4]), .B1
+       (n_2791), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3727));
+  sky130_fd_sc_hd__a22o_1 g440760(.A1 (n_2796), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [5]), .B1
+       (n_2791), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3726));
+  sky130_fd_sc_hd__a22o_1 g440761(.A1 (n_2796), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [7]), .B1
+       (n_2791), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3725));
+  sky130_fd_sc_hd__a22o_1 g440762(.A1 (n_2466), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [1]), .B1
+       (n_2793), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3724));
+  sky130_fd_sc_hd__a22o_1 g440763(.A1 (n_2466), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [2]), .B1
+       (n_2793), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3723));
+  sky130_fd_sc_hd__a22o_1 g440764(.A1 (n_2466), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [3]), .B1
+       (n_2793), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3722));
+  sky130_fd_sc_hd__a22o_1 g440765(.A1 (n_2466), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [4]), .B1
+       (n_2793), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3721));
+  sky130_fd_sc_hd__o2bb2ai_1 g440766(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [7]), .A2_N
+       (n_2454), .B1 (n_1998), .B2 (n_2069), .Y (n_3720));
+  sky130_fd_sc_hd__a22o_1 g440767(.A1 (n_2466), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [5]), .B1
+       (n_2793), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3719));
+  sky130_fd_sc_hd__a22o_1 g440768(.A1 (n_2466), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [6]), .B1
+       (n_2793), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3718));
+  sky130_fd_sc_hd__a22o_1 g440769(.A1 (n_2466), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [7]), .B1
+       (n_2793), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3717));
+  sky130_fd_sc_hd__a22o_1 g440770(.A1 (n_2466), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [8]), .B1
+       (n_2793), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3716));
+  sky130_fd_sc_hd__a22o_1 g440771(.A1 (n_2726), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [1]), .B1
+       (n_2794), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3715));
+  sky130_fd_sc_hd__a22o_1 g440772(.A1 (n_2726), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [4]), .B1
+       (n_2794), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3714));
+  sky130_fd_sc_hd__a22o_1 g440773(.A1 (n_2726), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [6]), .B1
+       (n_2794), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3713));
+  sky130_fd_sc_hd__a22o_1 g440774(.A1 (n_2726), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [7]), .B1
+       (n_2794), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3712));
+  sky130_fd_sc_hd__a22o_1 g440775(.A1 (n_2726), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [8]), .B1
+       (n_2794), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3711));
+  sky130_fd_sc_hd__a22o_1 g440776(.A1 (n_2743), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [2]), .B1
+       (n_2790), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3710));
+  sky130_fd_sc_hd__a22o_1 g440777(.A1 (n_2743), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [3]), .B1
+       (n_2790), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3709));
+  sky130_fd_sc_hd__a22o_1 g440778(.A1 (n_2743), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [5]), .B1
+       (n_2790), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3708));
+  sky130_fd_sc_hd__a22o_1 g440779(.A1 (n_2743), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [6]), .B1
+       (n_2790), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3707));
+  sky130_fd_sc_hd__a22o_1 g440780(.A1 (n_2743), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [7]), .B1
+       (n_2790), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3706));
+  sky130_fd_sc_hd__a22o_1 g440781(.A1 (n_2797), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [1]), .B1
+       (n_2820), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3705));
+  sky130_fd_sc_hd__a22o_1 g440782(.A1 (n_2797), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [2]), .B1
+       (n_2820), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3704));
+  sky130_fd_sc_hd__a22o_1 g440783(.A1 (n_2797), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [3]), .B1
+       (n_2820), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3703));
+  sky130_fd_sc_hd__a22o_1 g440784(.A1 (n_2797), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [4]), .B1
+       (n_2820), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3702));
+  sky130_fd_sc_hd__a22o_1 g440785(.A1 (n_2797), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [5]), .B1
+       (n_2820), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3701));
+  sky130_fd_sc_hd__a22o_1 g440786(.A1 (n_2797), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [6]), .B1
+       (n_2820), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3700));
+  sky130_fd_sc_hd__a22o_1 g440787(.A1 (n_2797), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [7]), .B1
+       (n_2820), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3699));
+  sky130_fd_sc_hd__a22o_1 g440788(.A1 (n_2797), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [8]), .B1
+       (n_2820), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3698));
+  sky130_fd_sc_hd__a22o_1 g440789(.A1 (n_2465), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [1]), .B1
+       (n_2819), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3697));
+  sky130_fd_sc_hd__a22o_1 g440790(.A1 (n_2465), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [2]), .B1
+       (n_2819), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3696));
+  sky130_fd_sc_hd__a22o_1 g440791(.A1 (n_2465), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [4]), .B1
+       (n_2819), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3695));
+  sky130_fd_sc_hd__a22o_1 g440792(.A1 (n_2465), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [5]), .B1
+       (n_2819), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3694));
+  sky130_fd_sc_hd__a22o_1 g440793(.A1 (n_2465), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [6]), .B1
+       (n_2819), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3693));
+  sky130_fd_sc_hd__a22o_1 g440794(.A1 (n_2465), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [8]), .B1
+       (n_2819), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3692));
+  sky130_fd_sc_hd__a22o_1 g440795(.A1 (n_2725), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [2]), .B1
+       (n_2823), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3691));
+  sky130_fd_sc_hd__a22o_1 g440796(.A1 (n_2725), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [3]), .B1
+       (n_2823), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3690));
+  sky130_fd_sc_hd__a22o_1 g440797(.A1 (n_2725), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [4]), .B1
+       (n_2823), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3689));
+  sky130_fd_sc_hd__a22o_1 g440798(.A1 (n_2725), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [5]), .B1
+       (n_2823), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3688));
+  sky130_fd_sc_hd__a22o_1 g440799(.A1 (n_2725), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [6]), .B1
+       (n_2823), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3687));
+  sky130_fd_sc_hd__a22o_1 g440800(.A1 (n_2725), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [7]), .B1
+       (n_2823), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3686));
+  sky130_fd_sc_hd__a22o_1 g440801(.A1 (n_2725), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [8]), .B1
+       (n_2823), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3685));
+  sky130_fd_sc_hd__a22o_1 g440802(.A1 (n_2744), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [1]), .B1
+       (n_2824), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3684));
+  sky130_fd_sc_hd__a22o_1 g440803(.A1 (n_2744), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [2]), .B1
+       (n_2824), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3683));
+  sky130_fd_sc_hd__a22o_1 g440804(.A1 (n_2744), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [3]), .B1
+       (n_2824), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3682));
+  sky130_fd_sc_hd__a22o_1 g440805(.A1 (n_2744), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [5]), .B1
+       (n_2824), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3681));
+  sky130_fd_sc_hd__a22o_1 g440806(.A1 (n_2744), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [7]), .B1
+       (n_2824), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3680));
+  sky130_fd_sc_hd__a22o_1 g440807(.A1 (n_2744), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [8]), .B1
+       (n_2824), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3679));
+  sky130_fd_sc_hd__o2bb2ai_1 g440808(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [1]), .A2_N
+       (n_2714), .B1 (n_2233), .B2 (n_2110), .Y (n_3678));
+  sky130_fd_sc_hd__o2bb2ai_1 g440809(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [3]), .A2_N
+       (n_2714), .B1 (n_2233), .B2 (n_2100), .Y (n_3677));
+  sky130_fd_sc_hd__o2bb2ai_1 g440810(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [2]), .A2_N
+       (n_2731), .B1 (n_2251), .B2 (n_2057), .Y (n_3676));
+  sky130_fd_sc_hd__o2bb2ai_1 g440811(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [5]), .A2_N
+       (n_2714), .B1 (n_2233), .B2 (n_2099), .Y (n_3675));
+  sky130_fd_sc_hd__o2bb2ai_1 g440812(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [7]), .A2_N
+       (n_2714), .B1 (n_2233), .B2 (n_2079), .Y (n_3674));
+  sky130_fd_sc_hd__o2bb2ai_1 g440813(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [8]), .A2_N
+       (n_2714), .B1 (n_2233), .B2 (n_2128), .Y (n_3673));
+  sky130_fd_sc_hd__a22o_1 g440814(.A1 (n_2764), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [1]), .B1
+       (n_2486), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3672));
+  sky130_fd_sc_hd__a22o_1 g440815(.A1 (n_2764), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [2]), .B1
+       (n_2486), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3671));
+  sky130_fd_sc_hd__a22o_1 g440816(.A1 (n_2764), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [4]), .B1
+       (n_2486), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3670));
+  sky130_fd_sc_hd__a22o_1 g440817(.A1 (n_2764), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [6]), .B1
+       (n_2486), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3669));
+  sky130_fd_sc_hd__a22o_1 g440818(.A1 (n_2764), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [8]), .B1
+       (n_2486), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3668));
+  sky130_fd_sc_hd__a22o_1 g440819(.A1 (n_2710), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [4]), .B1
+       (n_2691), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3667));
+  sky130_fd_sc_hd__a22o_1 g440820(.A1 (n_2710), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [6]), .B1
+       (n_2691), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3666));
+  sky130_fd_sc_hd__a22o_1 g440821(.A1 (n_2826), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [2]), .B1
+       (n_2509), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3665));
+  sky130_fd_sc_hd__a22o_1 g440822(.A1 (n_2826), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [3]), .B1
+       (n_2509), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3664));
+  sky130_fd_sc_hd__a22o_1 g440823(.A1 (n_2826), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [5]), .B1
+       (n_2509), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3663));
+  sky130_fd_sc_hd__a22o_1 g440824(.A1 (n_2826), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [6]), .B1
+       (n_2509), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3662));
+  sky130_fd_sc_hd__a22o_1 g440825(.A1 (n_2826), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [7]), .B1
+       (n_2509), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3661));
+  sky130_fd_sc_hd__a22o_1 g440826(.A1 (n_2441), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [1]), .B1
+       (n_2531), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3660));
+  sky130_fd_sc_hd__a22o_1 g440827(.A1 (n_2441), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [3]), .B1
+       (n_2531), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3659));
+  sky130_fd_sc_hd__a22o_1 g440828(.A1 (n_2760), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [6]), .B1
+       (n_2121), .B2 (n_2240), .X (n_3658));
+  sky130_fd_sc_hd__a22o_1 g440829(.A1 (n_2456), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [1]), .B1
+       (n_2435), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3657));
+  sky130_fd_sc_hd__a22o_1 g440830(.A1 (n_2456), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [2]), .B1
+       (n_2435), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3656));
+  sky130_fd_sc_hd__a22o_1 g440831(.A1 (n_2456), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [3]), .B1
+       (n_2435), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3655));
+  sky130_fd_sc_hd__a22o_1 g440832(.A1 (n_2456), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [4]), .B1
+       (n_2435), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3654));
+  sky130_fd_sc_hd__a22o_1 g440833(.A1 (n_2456), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [6]), .B1
+       (n_2435), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3653));
+  sky130_fd_sc_hd__a22o_1 g440834(.A1 (n_2456), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [7]), .B1
+       (n_2435), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3652));
+  sky130_fd_sc_hd__a22o_1 g440835(.A1 (n_2456), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [8]), .B1
+       (n_2435), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3651));
+  sky130_fd_sc_hd__a22o_1 g440836(.A1 (n_2518), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [1]), .B1
+       (n_2459), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3650));
+  sky130_fd_sc_hd__a22o_1 g440837(.A1 (n_2518), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [2]), .B1
+       (n_2459), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3649));
+  sky130_fd_sc_hd__a22o_1 g440838(.A1 (n_2518), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [4]), .B1
+       (n_2459), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3648));
+  sky130_fd_sc_hd__a22o_1 g440839(.A1 (n_2518), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [5]), .B1
+       (n_2459), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3647));
+  sky130_fd_sc_hd__a22o_1 g440840(.A1 (n_2518), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [6]), .B1
+       (n_2459), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3646));
+  sky130_fd_sc_hd__a22o_1 g440841(.A1 (n_2685), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [2]), .B1
+       (n_2493), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3645));
+  sky130_fd_sc_hd__a22o_1 g440842(.A1 (n_2518), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [8]), .B1
+       (n_2459), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3644));
+  sky130_fd_sc_hd__a22o_1 g440843(.A1 (n_2735), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [3]), .B1
+       (n_2532), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3643));
+  sky130_fd_sc_hd__a22o_1 g440844(.A1 (n_2735), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [4]), .B1
+       (n_2532), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3642));
+  sky130_fd_sc_hd__a22o_1 g440845(.A1 (n_2735), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [5]), .B1
+       (n_2532), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3641));
+  sky130_fd_sc_hd__a22o_1 g440846(.A1 (n_2735), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [6]), .B1
+       (n_2532), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3640));
+  sky130_fd_sc_hd__a22o_1 g440847(.A1 (n_2735), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [7]), .B1
+       (n_2532), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3639));
+  sky130_fd_sc_hd__a22o_1 g440848(.A1 (n_2735), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [8]), .B1
+       (n_2532), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3638));
+  sky130_fd_sc_hd__a22o_1 g440849(.A1 (n_2729), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [1]), .B1
+       (n_2460), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3637));
+  sky130_fd_sc_hd__o2bb2ai_1 g440850(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [1]), .A2_N
+       (n_2440), .B1 (n_2106), .B2 (n_2233), .Y (n_3636));
+  sky130_fd_sc_hd__a22o_1 g440851(.A1 (n_2729), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [3]), .B1
+       (n_2460), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3635));
+  sky130_fd_sc_hd__a22o_1 g440852(.A1 (n_2729), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [5]), .B1
+       (n_2460), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3634));
+  sky130_fd_sc_hd__a22o_1 g440853(.A1 (n_2729), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [7]), .B1
+       (n_2460), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3633));
+  sky130_fd_sc_hd__a22o_1 g440854(.A1 (n_2729), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [8]), .B1
+       (n_2460), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3632));
+  sky130_fd_sc_hd__a22o_1 g440855(.A1 (n_2711), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [1]), .B1
+       (n_2500), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3631));
+  sky130_fd_sc_hd__a22o_1 g440856(.A1 (n_2711), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [2]), .B1
+       (n_2500), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3630));
+  sky130_fd_sc_hd__a22o_1 g440857(.A1 (n_2711), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [3]), .B1
+       (n_2500), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3629));
+  sky130_fd_sc_hd__a22o_1 g440858(.A1 (n_2711), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [4]), .B1
+       (n_2500), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3628));
+  sky130_fd_sc_hd__a22o_1 g440859(.A1 (n_2711), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [5]), .B1
+       (n_2500), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3627));
+  sky130_fd_sc_hd__a22o_1 g440860(.A1 (n_2711), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [6]), .B1
+       (n_2500), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3626));
+  sky130_fd_sc_hd__a22o_1 g440861(.A1 (n_2711), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [7]), .B1
+       (n_2500), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3625));
+  sky130_fd_sc_hd__a22o_1 g440862(.A1 (n_2711), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [8]), .B1
+       (n_2500), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3624));
+  sky130_fd_sc_hd__a22o_1 g440863(.A1 (n_2712), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [1]), .B1
+       (n_2706), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3623));
+  sky130_fd_sc_hd__a22o_1 g440864(.A1 (n_2712), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [2]), .B1
+       (n_2706), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3622));
+  sky130_fd_sc_hd__a22o_1 g440865(.A1 (n_2712), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [3]), .B1
+       (n_2706), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3621));
+  sky130_fd_sc_hd__a22o_1 g440866(.A1 (n_2712), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [4]), .B1
+       (n_2706), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3620));
+  sky130_fd_sc_hd__a22o_1 g440867(.A1 (n_2712), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [5]), .B1
+       (n_2706), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3619));
+  sky130_fd_sc_hd__a22o_1 g440868(.A1 (n_2712), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [6]), .B1
+       (n_2706), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3618));
+  sky130_fd_sc_hd__a22o_1 g440869(.A1 (n_2712), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [7]), .B1
+       (n_2706), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3617));
+  sky130_fd_sc_hd__a22o_1 g440870(.A1 (n_2712), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [8]), .B1
+       (n_2706), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3616));
+  sky130_fd_sc_hd__a22o_1 g440871(.A1 (n_2715), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [1]), .B1
+       (n_2445), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3615));
+  sky130_fd_sc_hd__a22o_1 g440872(.A1 (n_2715), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [3]), .B1
+       (n_2445), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3614));
+  sky130_fd_sc_hd__a22o_1 g440873(.A1 (n_2715), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [4]), .B1
+       (n_2445), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3613));
+  sky130_fd_sc_hd__a22o_1 g440874(.A1 (n_2715), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [5]), .B1
+       (n_2445), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3612));
+  sky130_fd_sc_hd__a22o_1 g440875(.A1 (n_2715), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [6]), .B1
+       (n_2445), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3611));
+  sky130_fd_sc_hd__a22o_1 g440876(.A1 (n_2715), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [7]), .B1
+       (n_2445), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3610));
+  sky130_fd_sc_hd__a22o_1 g440877(.A1 (n_2715), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [8]), .B1
+       (n_2445), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3609));
+  sky130_fd_sc_hd__a22o_1 g440878(.A1 (n_2732), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [1]), .B1
+       (n_2434), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3608));
+  sky130_fd_sc_hd__a22o_1 g440879(.A1 (n_2732), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [3]), .B1
+       (n_2434), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3607));
+  sky130_fd_sc_hd__a22o_1 g440880(.A1 (n_2732), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [4]), .B1
+       (n_2434), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3606));
+  sky130_fd_sc_hd__a22o_1 g440881(.A1 (n_2732), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [5]), .B1
+       (n_2434), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3605));
+  sky130_fd_sc_hd__a22o_1 g440882(.A1 (n_2732), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [6]), .B1
+       (n_2434), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3604));
+  sky130_fd_sc_hd__a22o_1 g440883(.A1 (n_2732), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [7]), .B1
+       (n_2434), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3603));
+  sky130_fd_sc_hd__a22o_1 g440884(.A1 (n_2517), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [1]), .B1
+       (n_2530), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3602));
+  sky130_fd_sc_hd__a22o_1 g440885(.A1 (n_2517), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [2]), .B1
+       (n_2530), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3601));
+  sky130_fd_sc_hd__a22o_1 g440886(.A1 (n_2517), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [3]), .B1
+       (n_2530), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3600));
+  sky130_fd_sc_hd__a22o_1 g440887(.A1 (n_2517), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [4]), .B1
+       (n_2530), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3599));
+  sky130_fd_sc_hd__a22o_1 g440888(.A1 (n_2517), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [5]), .B1
+       (n_2530), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3598));
+  sky130_fd_sc_hd__a22o_1 g440889(.A1 (n_2517), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [6]), .B1
+       (n_2530), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3597));
+  sky130_fd_sc_hd__a22o_1 g440890(.A1 (n_2517), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [7]), .B1
+       (n_2530), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3596));
+  sky130_fd_sc_hd__a22o_1 g440891(.A1 (n_2517), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [8]), .B1
+       (n_2530), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3595));
+  sky130_fd_sc_hd__o2bb2ai_1 g440892(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [3]), .A2_N
+       (n_2440), .B1 (n_2108), .B2 (n_2233), .Y (n_3594));
+  sky130_fd_sc_hd__a22o_1 g440893(.A1 (n_2453), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [1]), .B1
+       (n_2115), .B2 (n_2248), .X (n_3593));
+  sky130_fd_sc_hd__o2bb2ai_1 g440894(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [2]), .A2_N
+       (n_2825), .B1 (n_2012), .B2 (n_2089), .Y (n_3592));
+  sky130_fd_sc_hd__o2bb2ai_1 g440895(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [4]), .A2_N
+       (n_2825), .B1 (n_2012), .B2 (n_2093), .Y (n_3591));
+  sky130_fd_sc_hd__a22o_1 g440896(.A1 (n_2453), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [3]), .B1
+       (n_2096), .B2 (n_2248), .X (n_3590));
+  sky130_fd_sc_hd__a22o_1 g440897(.A1 (n_2453), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [4]), .B1
+       (n_2126), .B2 (n_2248), .X (n_3589));
+  sky130_fd_sc_hd__a22o_1 g440898(.A1 (n_2453), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [5]), .B1
+       (n_2014), .B2 (n_2248), .X (n_3588));
+  sky130_fd_sc_hd__o2bb2ai_1 g440899(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [1]), .A2_N
+       (n_2774), .B1 (n_2233), .B2 (n_2087), .Y (n_3587));
+  sky130_fd_sc_hd__a22o_1 g440900(.A1 (n_2453), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [7]), .B1
+       (n_2076), .B2 (n_2248), .X (n_3586));
+  sky130_fd_sc_hd__a22o_1 g440901(.A1 (n_2453), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [8]), .B1
+       (n_2114), .B2 (n_2248), .X (n_3585));
+  sky130_fd_sc_hd__a22o_1 g440902(.A1 (n_2476), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [1]), .B1
+       (n_2423), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3584));
+  sky130_fd_sc_hd__a22o_1 g440903(.A1 (n_2476), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [3]), .B1
+       (n_2423), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3583));
+  sky130_fd_sc_hd__a22o_1 g440904(.A1 (n_2476), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [4]), .B1
+       (n_2423), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3582));
+  sky130_fd_sc_hd__a22o_1 g440905(.A1 (n_2476), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [5]), .B1
+       (n_2423), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3581));
+  sky130_fd_sc_hd__a22o_1 g440906(.A1 (n_2476), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [6]), .B1
+       (n_2423), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3580));
+  sky130_fd_sc_hd__a22o_1 g440907(.A1 (n_2476), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [7]), .B1
+       (n_2423), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3579));
+  sky130_fd_sc_hd__a22o_1 g440908(.A1 (n_2476), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [8]), .B1
+       (n_2423), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3578));
+  sky130_fd_sc_hd__o2bb2ai_1 g440909(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [1]), .A2_N
+       (n_2755), .B1 (n_2226), .B2 (n_2130), .Y (n_3577));
+  sky130_fd_sc_hd__o2bb2ai_1 g440910(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [3]), .A2_N
+       (n_2755), .B1 (n_2226), .B2 (n_2017), .Y (n_3576));
+  sky130_fd_sc_hd__o2bb2ai_1 g440911(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [4]), .A2_N
+       (n_2755), .B1 (n_2226), .B2 (n_2035), .Y (n_3575));
+  sky130_fd_sc_hd__o2bb2ai_1 g440912(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [5]), .A2_N
+       (n_2755), .B1 (n_2226), .B2 (n_2071), .Y (n_3574));
+  sky130_fd_sc_hd__o2bb2ai_1 g440913(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [6]), .A2_N
+       (n_2755), .B1 (n_2226), .B2 (n_2070), .Y (n_3573));
+  sky130_fd_sc_hd__o2bb2ai_1 g440914(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [7]), .A2_N
+       (n_2755), .B1 (n_2226), .B2 (n_2095), .Y (n_3572));
+  sky130_fd_sc_hd__o2bb2ai_1 g440915(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [1]), .A2_N
+       (n_2508), .B1 (n_2238), .B2 (n_2130), .Y (n_3571));
+  sky130_fd_sc_hd__o2bb2ai_1 g440916(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [2]), .A2_N
+       (n_2508), .B1 (n_2238), .B2 (n_2037), .Y (n_3570));
+  sky130_fd_sc_hd__o2bb2ai_1 g440917(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [3]), .A2_N
+       (n_2508), .B1 (n_2238), .B2 (n_2017), .Y (n_3569));
+  sky130_fd_sc_hd__o2bb2ai_1 g440918(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [4]), .A2_N
+       (n_2508), .B1 (n_2238), .B2 (n_2035), .Y (n_3568));
+  sky130_fd_sc_hd__o2bb2ai_1 g440919(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [5]), .A2_N
+       (n_2508), .B1 (n_2238), .B2 (n_2071), .Y (n_3567));
+  sky130_fd_sc_hd__o2bb2ai_1 g440920(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [6]), .A2_N
+       (n_2508), .B1 (n_2238), .B2 (n_2070), .Y (n_3566));
+  sky130_fd_sc_hd__o2bb2ai_1 g440921(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [7]), .A2_N
+       (n_2508), .B1 (n_2238), .B2 (n_2095), .Y (n_3565));
+  sky130_fd_sc_hd__o2bb2ai_1 g440922(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [8]), .A2_N
+       (n_2508), .B1 (n_2238), .B2 (n_2091), .Y (n_3564));
+  sky130_fd_sc_hd__a22o_1 g440923(.A1 (n_2462), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [1]), .B1
+       (n_2129), .B2 (n_2235), .X (n_3563));
+  sky130_fd_sc_hd__a22o_1 g440924(.A1 (n_2462), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [2]), .B1
+       (n_2036), .B2 (n_2235), .X (n_3562));
+  sky130_fd_sc_hd__o2bb2ai_1 g440925(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [3]), .A2_N
+       (n_2462), .B1 (n_2234), .B2 (n_2017), .Y (n_3561));
+  sky130_fd_sc_hd__a22o_1 g440926(.A1 (n_2462), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [4]), .B1
+       (n_2034), .B2 (n_2235), .X (n_3560));
+  sky130_fd_sc_hd__o2bb2ai_1 g440927(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [5]), .A2_N
+       (n_2462), .B1 (n_2234), .B2 (n_2071), .Y (n_3559));
+  sky130_fd_sc_hd__o2bb2ai_1 g440928(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [6]), .A2_N
+       (n_2462), .B1 (n_2234), .B2 (n_2070), .Y (n_3558));
+  sky130_fd_sc_hd__a22o_1 g440929(.A1 (n_2462), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [7]), .B1
+       (n_2094), .B2 (n_2235), .X (n_3557));
+  sky130_fd_sc_hd__a22o_1 g440930(.A1 (n_2462), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [8]), .B1
+       (n_2090), .B2 (n_2235), .X (n_3556));
+  sky130_fd_sc_hd__o2bb2ai_1 g440931(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [1]), .A2_N
+       (n_2489), .B1 (n_2004), .B2 (n_2130), .Y (n_3555));
+  sky130_fd_sc_hd__o2bb2ai_1 g440932(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [2]), .A2_N
+       (n_2489), .B1 (n_2004), .B2 (n_2037), .Y (n_3554));
+  sky130_fd_sc_hd__o2bb2ai_1 g440933(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [4]), .A2_N
+       (n_2489), .B1 (n_2004), .B2 (n_2035), .Y (n_3553));
+  sky130_fd_sc_hd__o2bb2ai_1 g440934(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [5]), .A2_N
+       (n_2489), .B1 (n_2004), .B2 (n_2071), .Y (n_3552));
+  sky130_fd_sc_hd__o2bb2ai_1 g440935(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [6]), .A2_N
+       (n_2489), .B1 (n_2004), .B2 (n_2070), .Y (n_3551));
+  sky130_fd_sc_hd__o2bb2ai_1 g440936(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [7]), .A2_N
+       (n_2489), .B1 (n_2004), .B2 (n_2095), .Y (n_3550));
+  sky130_fd_sc_hd__o2bb2ai_1 g440937(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [2]), .A2_N
+       (n_2753), .B1 (n_2112), .B2 (n_2226), .Y (n_3549));
+  sky130_fd_sc_hd__o2bb2ai_1 g440938(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [3]), .A2_N
+       (n_2753), .B1 (n_2108), .B2 (n_2226), .Y (n_3548));
+  sky130_fd_sc_hd__o2bb2ai_1 g440939(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [4]), .A2_N
+       (n_2753), .B1 (n_2107), .B2 (n_2226), .Y (n_3547));
+  sky130_fd_sc_hd__o2bb2ai_1 g440940(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [5]), .A2_N
+       (n_2753), .B1 (n_2102), .B2 (n_2226), .Y (n_3546));
+  sky130_fd_sc_hd__o2bb2ai_1 g440941(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [6]), .A2_N
+       (n_2753), .B1 (n_2016), .B2 (n_2226), .Y (n_3545));
+  sky130_fd_sc_hd__o2bb2ai_1 g440942(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [7]), .A2_N
+       (n_2753), .B1 (n_2081), .B2 (n_2226), .Y (n_3544));
+  sky130_fd_sc_hd__o2bb2ai_1 g440943(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [8]), .A2_N
+       (n_2753), .B1 (n_2134), .B2 (n_2226), .Y (n_3543));
+  sky130_fd_sc_hd__o2bb2ai_1 g440944(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [1]), .A2_N
+       (n_2507), .B1 (n_2106), .B2 (n_2238), .Y (n_3542));
+  sky130_fd_sc_hd__o2bb2ai_1 g440945(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [3]), .A2_N
+       (n_2507), .B1 (n_2108), .B2 (n_2238), .Y (n_3541));
+  sky130_fd_sc_hd__o2bb2ai_1 g440946(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [4]), .A2_N
+       (n_2507), .B1 (n_2107), .B2 (n_2238), .Y (n_3540));
+  sky130_fd_sc_hd__o2bb2ai_1 g440947(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [5]), .A2_N
+       (n_2507), .B1 (n_2102), .B2 (n_2238), .Y (n_3539));
+  sky130_fd_sc_hd__o2bb2ai_1 g440948(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [6]), .A2_N
+       (n_2507), .B1 (n_2016), .B2 (n_2238), .Y (n_3538));
+  sky130_fd_sc_hd__o2bb2ai_1 g440949(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [8]), .A2_N
+       (n_2507), .B1 (n_2134), .B2 (n_2238), .Y (n_3537));
+  sky130_fd_sc_hd__a22o_1 g440950(.A1 (n_2461), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [2]), .B1
+       (n_2235), .B2 (n_2111), .X (n_3536));
+  sky130_fd_sc_hd__o2bb2ai_1 g440951(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [3]), .A2_N
+       (n_2461), .B1 (n_2108), .B2 (n_2234), .Y (n_3535));
+  sky130_fd_sc_hd__o2bb2ai_1 g440952(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [4]), .A2_N
+       (n_2461), .B1 (n_2107), .B2 (n_2234), .Y (n_3534));
+  sky130_fd_sc_hd__a22o_1 g440953(.A1 (n_2461), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [5]), .B1
+       (n_2235), .B2 (n_2101), .X (n_3533));
+  sky130_fd_sc_hd__a22o_1 g440954(.A1 (n_2461), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [7]), .B1
+       (n_2235), .B2 (n_2080), .X (n_3532));
+  sky130_fd_sc_hd__a22o_1 g440955(.A1 (n_2461), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [8]), .B1
+       (n_2235), .B2 (n_2133), .X (n_3531));
+  sky130_fd_sc_hd__o2bb2ai_1 g440956(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [1]), .A2_N
+       (n_2490), .B1 (n_2106), .B2 (n_2004), .Y (n_3530));
+  sky130_fd_sc_hd__o2bb2ai_1 g440957(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [4]), .A2_N
+       (n_2490), .B1 (n_2107), .B2 (n_2004), .Y (n_3529));
+  sky130_fd_sc_hd__o2bb2ai_1 g440958(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [5]), .A2_N
+       (n_2490), .B1 (n_2102), .B2 (n_2004), .Y (n_3528));
+  sky130_fd_sc_hd__o2bb2ai_1 g440959(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [7]), .A2_N
+       (n_2490), .B1 (n_2081), .B2 (n_2004), .Y (n_3527));
+  sky130_fd_sc_hd__o2bb2ai_1 g440960(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [2]), .A2_N
+       (n_2833), .B1 (n_2237), .B2 (n_2037), .Y (n_3526));
+  sky130_fd_sc_hd__o2bb2ai_1 g440961(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [3]), .A2_N
+       (n_2833), .B1 (n_2237), .B2 (n_2017), .Y (n_3525));
+  sky130_fd_sc_hd__o2bb2ai_1 g440962(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [4]), .A2_N
+       (n_2833), .B1 (n_2237), .B2 (n_2035), .Y (n_3524));
+  sky130_fd_sc_hd__o2bb2ai_1 g440963(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [5]), .A2_N
+       (n_2833), .B1 (n_2237), .B2 (n_2071), .Y (n_3523));
+  sky130_fd_sc_hd__o2bb2ai_1 g440964(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [6]), .A2_N
+       (n_2833), .B1 (n_2237), .B2 (n_2070), .Y (n_3522));
+  sky130_fd_sc_hd__o2bb2ai_1 g440965(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [7]), .A2_N
+       (n_2833), .B1 (n_2237), .B2 (n_2095), .Y (n_3521));
+  sky130_fd_sc_hd__o2bb2ai_1 g440966(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [8]), .A2_N
+       (n_2833), .B1 (n_2237), .B2 (n_2091), .Y (n_3520));
+  sky130_fd_sc_hd__o2bb2ai_1 g440967(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [1]), .A2_N
+       (n_2505), .B1 (n_2007), .B2 (n_2130), .Y (n_3519));
+  sky130_fd_sc_hd__o2bb2ai_1 g440968(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [3]), .A2_N
+       (n_2505), .B1 (n_2007), .B2 (n_2017), .Y (n_3518));
+  sky130_fd_sc_hd__o2bb2ai_1 g440969(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [4]), .A2_N
+       (n_2505), .B1 (n_2007), .B2 (n_2035), .Y (n_3517));
+  sky130_fd_sc_hd__o2bb2ai_1 g440970(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [5]), .A2_N
+       (n_2505), .B1 (n_2007), .B2 (n_2071), .Y (n_3516));
+  sky130_fd_sc_hd__o2bb2ai_1 g440971(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [6]), .A2_N
+       (n_2505), .B1 (n_2007), .B2 (n_2070), .Y (n_3515));
+  sky130_fd_sc_hd__o2bb2ai_1 g440972(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [7]), .A2_N
+       (n_2505), .B1 (n_2007), .B2 (n_2095), .Y (n_3514));
+  sky130_fd_sc_hd__o2bb2ai_1 g440973(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [8]), .A2_N
+       (n_2505), .B1 (n_2007), .B2 (n_2091), .Y (n_3513));
+  sky130_fd_sc_hd__a22o_1 g440974(.A1 (n_2759), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [2]), .B1
+       (n_2036), .B2 (n_2240), .X (n_3512));
+  sky130_fd_sc_hd__o2bb2ai_1 g440975(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [3]), .A2_N
+       (n_2759), .B1 (n_2239), .B2 (n_2017), .Y (n_3511));
+  sky130_fd_sc_hd__a22o_1 g440976(.A1 (n_2759), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [4]), .B1
+       (n_2034), .B2 (n_2240), .X (n_3510));
+  sky130_fd_sc_hd__o2bb2ai_1 g440977(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [5]), .A2_N
+       (n_2759), .B1 (n_2239), .B2 (n_2071), .Y (n_3509));
+  sky130_fd_sc_hd__o2bb2ai_1 g440978(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [6]), .A2_N
+       (n_2759), .B1 (n_2239), .B2 (n_2070), .Y (n_3508));
+  sky130_fd_sc_hd__a22o_1 g440979(.A1 (n_2759), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [8]), .B1
+       (n_2090), .B2 (n_2240), .X (n_3507));
+  sky130_fd_sc_hd__o2bb2ai_1 g440980(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [1]), .A2_N
+       (n_2491), .B1 (n_2006), .B2 (n_2130), .Y (n_3506));
+  sky130_fd_sc_hd__o2bb2ai_1 g440981(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [2]), .A2_N
+       (n_2491), .B1 (n_2006), .B2 (n_2037), .Y (n_3505));
+  sky130_fd_sc_hd__o2bb2ai_1 g440982(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [4]), .A2_N
+       (n_2491), .B1 (n_2006), .B2 (n_2035), .Y (n_3504));
+  sky130_fd_sc_hd__o2bb2ai_1 g440983(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [6]), .A2_N
+       (n_2491), .B1 (n_2006), .B2 (n_2070), .Y (n_3503));
+  sky130_fd_sc_hd__o2bb2ai_1 g440984(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [8]), .A2_N
+       (n_2491), .B1 (n_2006), .B2 (n_2091), .Y (n_3502));
+  sky130_fd_sc_hd__o2bb2ai_1 g440985(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [2]), .A2_N
+       (n_2830), .B1 (n_2112), .B2 (n_2237), .Y (n_3501));
+  sky130_fd_sc_hd__o2bb2ai_1 g440986(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [3]), .A2_N
+       (n_2830), .B1 (n_2108), .B2 (n_2237), .Y (n_3500));
+  sky130_fd_sc_hd__o2bb2ai_1 g440987(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [4]), .A2_N
+       (n_2830), .B1 (n_2107), .B2 (n_2237), .Y (n_3499));
+  sky130_fd_sc_hd__o2bb2ai_1 g440988(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [5]), .A2_N
+       (n_2830), .B1 (n_2102), .B2 (n_2237), .Y (n_3498));
+  sky130_fd_sc_hd__o2bb2ai_1 g440989(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [6]), .A2_N
+       (n_2830), .B1 (n_2016), .B2 (n_2237), .Y (n_3497));
+  sky130_fd_sc_hd__o2bb2ai_1 g440990(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [7]), .A2_N
+       (n_2830), .B1 (n_2081), .B2 (n_2237), .Y (n_3496));
+  sky130_fd_sc_hd__o2bb2ai_1 g440991(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [8]), .A2_N
+       (n_2830), .B1 (n_2134), .B2 (n_2237), .Y (n_3495));
+  sky130_fd_sc_hd__a22o_1 g440992(.A1 (n_2504), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [1]), .B1
+       (n_2450), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3494));
+  sky130_fd_sc_hd__a22o_1 g440993(.A1 (n_2504), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [3]), .B1
+       (n_2450), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3493));
+  sky130_fd_sc_hd__a22o_1 g440994(.A1 (n_2504), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [4]), .B1
+       (n_2450), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3492));
+  sky130_fd_sc_hd__a22o_1 g440995(.A1 (n_2504), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [5]), .B1
+       (n_2450), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3491));
+  sky130_fd_sc_hd__a22o_1 g440996(.A1 (n_2504), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [7]), .B1
+       (n_2450), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3490));
+  sky130_fd_sc_hd__a22o_1 g440997(.A1 (n_2763), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [1]), .B1
+       (n_2528), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3489));
+  sky130_fd_sc_hd__a22o_1 g440998(.A1 (n_2763), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [2]), .B1
+       (n_2528), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3488));
+  sky130_fd_sc_hd__a22o_1 g440999(.A1 (n_2763), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [3]), .B1
+       (n_2528), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3487));
+  sky130_fd_sc_hd__a22o_1 g441000(.A1 (n_2763), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [4]), .B1
+       (n_2528), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3486));
+  sky130_fd_sc_hd__a22o_1 g441001(.A1 (n_2763), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [5]), .B1
+       (n_2528), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3485));
+  sky130_fd_sc_hd__a22o_1 g441002(.A1 (n_2763), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [6]), .B1
+       (n_2528), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3484));
+  sky130_fd_sc_hd__a22o_1 g441003(.A1 (n_2763), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [7]), .B1
+       (n_2528), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3483));
+  sky130_fd_sc_hd__a22o_1 g441004(.A1 (n_2763), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [8]), .B1
+       (n_2528), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3482));
+  sky130_fd_sc_hd__a22o_1 g441005(.A1 (n_2492), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [1]), .B1
+       (n_2529), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3481));
+  sky130_fd_sc_hd__a22o_1 g441006(.A1 (n_2492), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [2]), .B1
+       (n_2529), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3480));
+  sky130_fd_sc_hd__a22o_1 g441007(.A1 (n_2492), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [4]), .B1
+       (n_2529), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3479));
+  sky130_fd_sc_hd__a22o_1 g441008(.A1 (n_2492), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [6]), .B1
+       (n_2529), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3478));
+  sky130_fd_sc_hd__o2bb2ai_1 g441009(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [2]), .A2_N
+       (n_2784), .B1 (n_2226), .B2 (n_2089), .Y (n_3477));
+  sky130_fd_sc_hd__o2bb2ai_1 g441010(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [1]), .A2_N
+       (n_2842), .B1 (n_2018), .B2 (n_2229), .Y (n_3476));
+  sky130_fd_sc_hd__o2bb2ai_1 g441011(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [3]), .A2_N
+       (n_2784), .B1 (n_2226), .B2 (n_2073), .Y (n_3475));
+  sky130_fd_sc_hd__o2bb2ai_1 g441012(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [5]), .A2_N
+       (n_2784), .B1 (n_2226), .B2 (n_2120), .Y (n_3474));
+  sky130_fd_sc_hd__o2bb2ai_1 g441013(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [6]), .A2_N
+       (n_2784), .B1 (n_2226), .B2 (n_2124), .Y (n_3473));
+  sky130_fd_sc_hd__o2bb2ai_1 g441014(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [7]), .A2_N
+       (n_2784), .B1 (n_2226), .B2 (n_2075), .Y (n_3472));
+  sky130_fd_sc_hd__o2bb2ai_1 g441015(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [8]), .A2_N
+       (n_2784), .B1 (n_2226), .B2 (n_2132), .Y (n_3471));
+  sky130_fd_sc_hd__a22o_1 g441016(.A1 (n_2778), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [1]), .B1
+       (n_2769), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3470));
+  sky130_fd_sc_hd__a22o_1 g441017(.A1 (n_2782), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [7]), .B1
+       (n_2074), .B2 (n_2256), .X (n_3469));
+  sky130_fd_sc_hd__a22o_1 g441018(.A1 (n_2778), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [2]), .B1
+       (n_2769), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3468));
+  sky130_fd_sc_hd__a22o_1 g441019(.A1 (n_2778), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [3]), .B1
+       (n_2769), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3467));
+  sky130_fd_sc_hd__o2bb2ai_1 g441020(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [6]), .A2_N
+       (n_2789), .B1 (n_2222), .B2 (n_2122), .Y (n_3466));
+  sky130_fd_sc_hd__a22o_1 g441021(.A1 (n_2778), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [5]), .B1
+       (n_2769), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3465));
+  sky130_fd_sc_hd__a22o_1 g441022(.A1 (n_2778), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [7]), .B1
+       (n_2769), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3464));
+  sky130_fd_sc_hd__a22o_1 g441023(.A1 (n_2781), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [1]), .B1
+       (n_2802), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3463));
+  sky130_fd_sc_hd__a22o_1 g441024(.A1 (n_2781), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [2]), .B1
+       (n_2802), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3462));
+  sky130_fd_sc_hd__a22o_1 g441025(.A1 (n_2781), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [3]), .B1
+       (n_2802), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3461));
+  sky130_fd_sc_hd__a22o_1 g441026(.A1 (n_2781), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [4]), .B1
+       (n_2802), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3460));
+  sky130_fd_sc_hd__a22o_1 g441027(.A1 (n_2781), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [5]), .B1
+       (n_2802), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3459));
+  sky130_fd_sc_hd__a22o_1 g441028(.A1 (n_2781), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [6]), .B1
+       (n_2802), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3458));
+  sky130_fd_sc_hd__a22o_1 g441029(.A1 (n_2781), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [7]), .B1
+       (n_2802), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3457));
+  sky130_fd_sc_hd__a22o_1 g441030(.A1 (n_2781), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [8]), .B1
+       (n_2802), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3456));
+  sky130_fd_sc_hd__a22o_1 g441031(.A1 (n_2799), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [1]), .B1
+       (n_2768), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3455));
+  sky130_fd_sc_hd__a22o_1 g441032(.A1 (n_2799), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [2]), .B1
+       (n_2768), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3454));
+  sky130_fd_sc_hd__a22o_1 g441033(.A1 (n_2799), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [4]), .B1
+       (n_2768), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3453));
+  sky130_fd_sc_hd__a22o_1 g441034(.A1 (n_2799), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [5]), .B1
+       (n_2768), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3452));
+  sky130_fd_sc_hd__a22o_1 g441035(.A1 (n_2799), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [6]), .B1
+       (n_2768), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3451));
+  sky130_fd_sc_hd__a22o_1 g441036(.A1 (n_2799), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [8]), .B1
+       (n_2768), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3450));
+  sky130_fd_sc_hd__o2bb2ai_1 g441037(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [1]), .A2_N
+       (n_2754), .B1 (n_2226), .B2 (n_2059), .Y (n_3449));
+  sky130_fd_sc_hd__o2bb2ai_1 g441038(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [2]), .A2_N
+       (n_2754), .B1 (n_2226), .B2 (n_2057), .Y (n_3448));
+  sky130_fd_sc_hd__o2bb2ai_1 g441039(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [3]), .A2_N
+       (n_2754), .B1 (n_2226), .B2 (n_2054), .Y (n_3447));
+  sky130_fd_sc_hd__o2bb2ai_1 g441040(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [4]), .A2_N
+       (n_2754), .B1 (n_2226), .B2 (n_2061), .Y (n_3446));
+  sky130_fd_sc_hd__o2bb2ai_1 g441041(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [2]), .A2_N
+       (n_2862), .B1 (n_2230), .B2 (n_2037), .Y (n_3445));
+  sky130_fd_sc_hd__o2bb2ai_1 g441042(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [5]), .A2_N
+       (n_2754), .B1 (n_2226), .B2 (n_2066), .Y (n_3444));
+  sky130_fd_sc_hd__o2bb2ai_1 g441043(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [6]), .A2_N
+       (n_2754), .B1 (n_2226), .B2 (n_2063), .Y (n_3443));
+  sky130_fd_sc_hd__o2bb2ai_1 g441044(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [7]), .A2_N
+       (n_2754), .B1 (n_2226), .B2 (n_2069), .Y (n_3442));
+  sky130_fd_sc_hd__o2bb2ai_1 g441045(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [8]), .A2_N
+       (n_2754), .B1 (n_2226), .B2 (n_2065), .Y (n_3441));
+  sky130_fd_sc_hd__a22o_1 g441046(.A1 (n_2685), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [1]), .B1
+       (n_2493), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3440));
+  sky130_fd_sc_hd__o2bb2ai_1 g441047(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [6]), .A2_N
+       (n_2695), .B1 (n_2222), .B2 (n_2085), .Y (n_3439));
+  sky130_fd_sc_hd__a22o_1 g441048(.A1 (n_2685), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [3]), .B1
+       (n_2493), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3438));
+  sky130_fd_sc_hd__o2bb2ai_1 g441049(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [4]), .A2_N
+       (n_2463), .B1 (n_2224), .B2 (n_2061), .Y (n_3437));
+  sky130_fd_sc_hd__a22o_1 g441050(.A1 (n_2685), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [5]), .B1
+       (n_2493), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3436));
+  sky130_fd_sc_hd__a22o_1 g441051(.A1 (n_2685), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [7]), .B1
+       (n_2493), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3435));
+  sky130_fd_sc_hd__a22o_1 g441052(.A1 (n_2687), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [1]), .B1
+       (n_2707), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3434));
+  sky130_fd_sc_hd__a22o_1 g441053(.A1 (n_2687), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [2]), .B1
+       (n_2707), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3433));
+  sky130_fd_sc_hd__a22o_1 g441054(.A1 (n_2687), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [3]), .B1
+       (n_2707), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3432));
+  sky130_fd_sc_hd__a22o_1 g441055(.A1 (n_2687), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [4]), .B1
+       (n_2707), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3431));
+  sky130_fd_sc_hd__a22o_1 g441056(.A1 (n_2687), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [5]), .B1
+       (n_2707), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3430));
+  sky130_fd_sc_hd__a22o_1 g441057(.A1 (n_2687), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [6]), .B1
+       (n_2707), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3429));
+  sky130_fd_sc_hd__a22o_1 g441058(.A1 (n_2687), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [7]), .B1
+       (n_2707), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3428));
+  sky130_fd_sc_hd__a22o_1 g441059(.A1 (n_2687), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [8]), .B1
+       (n_2707), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3427));
+  sky130_fd_sc_hd__a22o_1 g441060(.A1 (n_2716), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [1]), .B1
+       (n_2485), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3426));
+  sky130_fd_sc_hd__a22o_1 g441061(.A1 (n_2716), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [2]), .B1
+       (n_2485), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3425));
+  sky130_fd_sc_hd__a22o_1 g441062(.A1 (n_2716), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [6]), .B1
+       (n_2485), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3424));
+  sky130_fd_sc_hd__a22o_1 g441063(.A1 (n_2829), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [2]), .B1
+       (n_2787), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3423));
+  sky130_fd_sc_hd__a22o_1 g441064(.A1 (n_2829), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [3]), .B1
+       (n_2787), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3422));
+  sky130_fd_sc_hd__a22o_1 g441065(.A1 (n_2829), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [4]), .B1
+       (n_2787), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3421));
+  sky130_fd_sc_hd__a22o_1 g441066(.A1 (n_2829), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [5]), .B1
+       (n_2787), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3420));
+  sky130_fd_sc_hd__a22o_1 g441067(.A1 (n_2829), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [6]), .B1
+       (n_2787), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3419));
+  sky130_fd_sc_hd__a22o_1 g441068(.A1 (n_2829), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [7]), .B1
+       (n_2787), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3418));
+  sky130_fd_sc_hd__a22o_1 g441069(.A1 (n_2840), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [1]), .B1
+       (n_2770), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3417));
+  sky130_fd_sc_hd__a22o_1 g441070(.A1 (n_2840), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [2]), .B1
+       (n_2770), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3416));
+  sky130_fd_sc_hd__a22o_1 g441071(.A1 (n_2840), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [4]), .B1
+       (n_2770), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3415));
+  sky130_fd_sc_hd__a22o_1 g441072(.A1 (n_2840), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [6]), .B1
+       (n_2770), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3414));
+  sky130_fd_sc_hd__a22o_1 g441073(.A1 (n_2840), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [8]), .B1
+       (n_2770), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3413));
+  sky130_fd_sc_hd__a22o_1 g441074(.A1 (n_2783), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [1]), .B1
+       (n_2839), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3412));
+  sky130_fd_sc_hd__a22o_1 g441075(.A1 (n_2783), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [2]), .B1
+       (n_2839), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3411));
+  sky130_fd_sc_hd__a22o_1 g441076(.A1 (n_2783), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [3]), .B1
+       (n_2839), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3410));
+  sky130_fd_sc_hd__a22o_1 g441077(.A1 (n_2783), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [4]), .B1
+       (n_2839), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3409));
+  sky130_fd_sc_hd__o2bb2ai_1 g441078(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [6]), .A2_N
+       (n_2862), .B1 (n_2230), .B2 (n_2070), .Y (n_3408));
+  sky130_fd_sc_hd__a22o_1 g441079(.A1 (n_2783), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [5]), .B1
+       (n_2839), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3407));
+  sky130_fd_sc_hd__a22o_1 g441080(.A1 (n_2783), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [6]), .B1
+       (n_2839), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3406));
+  sky130_fd_sc_hd__a22o_1 g441081(.A1 (n_2783), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [7]), .B1
+       (n_2839), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3405));
+  sky130_fd_sc_hd__a22o_1 g441082(.A1 (n_2783), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [8]), .B1
+       (n_2839), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3404));
+  sky130_fd_sc_hd__a22o_1 g441083(.A1 (n_2777), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [1]), .B1
+       (n_2772), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3403));
+  sky130_fd_sc_hd__a22o_1 g441084(.A1 (n_2777), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [5]), .B1
+       (n_2772), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3402));
+  sky130_fd_sc_hd__a22o_1 g441085(.A1 (n_2777), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [8]), .B1
+       (n_2772), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3401));
+  sky130_fd_sc_hd__a22o_1 g441086(.A1 (n_2831), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [1]), .B1
+       (n_2692), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3400));
+  sky130_fd_sc_hd__a22o_1 g441087(.A1 (n_2831), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [2]), .B1
+       (n_2692), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3399));
+  sky130_fd_sc_hd__a22o_1 g441088(.A1 (n_2831), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [3]), .B1
+       (n_2692), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3398));
+  sky130_fd_sc_hd__a22o_1 g441089(.A1 (n_2831), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [4]), .B1
+       (n_2692), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3397));
+  sky130_fd_sc_hd__a22o_1 g441090(.A1 (n_2831), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [5]), .B1
+       (n_2692), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3396));
+  sky130_fd_sc_hd__a22o_1 g441091(.A1 (n_2831), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [6]), .B1
+       (n_2692), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3395));
+  sky130_fd_sc_hd__a22o_1 g441092(.A1 (n_2831), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [7]), .B1
+       (n_2692), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3394));
+  sky130_fd_sc_hd__a22o_1 g441093(.A1 (n_2831), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [8]), .B1
+       (n_2692), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3393));
+  sky130_fd_sc_hd__a22o_1 g441094(.A1 (n_2742), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [1]), .B1
+       (n_2484), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3392));
+  sky130_fd_sc_hd__a22o_1 g441095(.A1 (n_2742), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [2]), .B1
+       (n_2484), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3391));
+  sky130_fd_sc_hd__a22o_1 g441096(.A1 (n_2742), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [3]), .B1
+       (n_2484), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3390));
+  sky130_fd_sc_hd__a22o_1 g441097(.A1 (n_2742), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [4]), .B1
+       (n_2484), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3389));
+  sky130_fd_sc_hd__a22o_1 g441098(.A1 (n_2742), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [7]), .B1
+       (n_2484), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3388));
+  sky130_fd_sc_hd__a22o_1 g441099(.A1 (n_2762), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [1]), .B1
+       (n_2723), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3387));
+  sky130_fd_sc_hd__a22o_1 g441100(.A1 (n_2762), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [2]), .B1
+       (n_2723), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3386));
+  sky130_fd_sc_hd__a22o_1 g441101(.A1 (n_2762), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [3]), .B1
+       (n_2723), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3385));
+  sky130_fd_sc_hd__a22o_1 g441102(.A1 (n_2762), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [4]), .B1
+       (n_2723), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3384));
+  sky130_fd_sc_hd__a22o_1 g441103(.A1 (n_2762), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [5]), .B1
+       (n_2723), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3383));
+  sky130_fd_sc_hd__a22o_1 g441104(.A1 (n_2762), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [6]), .B1
+       (n_2723), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3382));
+  sky130_fd_sc_hd__o2bb2ai_1 g441105(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [5]), .A2_N
+       (n_2695), .B1 (n_2222), .B2 (n_2099), .Y (n_3381));
+  sky130_fd_sc_hd__a22o_1 g441106(.A1 (n_2762), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [7]), .B1
+       (n_2723), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3380));
+  sky130_fd_sc_hd__o2bb2ai_1 g441107(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [2]), .A2_N
+       (n_2454), .B1 (n_1998), .B2 (n_2057), .Y (n_3379));
+  sky130_fd_sc_hd__a22o_1 g441108(.A1 (n_2762), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [8]), .B1
+       (n_2723), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3378));
+  sky130_fd_sc_hd__a22o_1 g441109(.A1 (n_2684), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [1]), .B1
+       (n_2479), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3377));
+  sky130_fd_sc_hd__a22o_1 g441110(.A1 (n_2684), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [3]), .B1
+       (n_2479), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3376));
+  sky130_fd_sc_hd__a22o_1 g441111(.A1 (n_2684), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [5]), .B1
+       (n_2479), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3375));
+  sky130_fd_sc_hd__a22o_1 g441112(.A1 (n_2684), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [6]), .B1
+       (n_2479), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3374));
+  sky130_fd_sc_hd__o2bb2ai_1 g441113(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [5]), .A2_N
+       (n_2798), .B1 (n_2011), .B2 (n_2066), .Y (n_3373));
+  sky130_fd_sc_hd__a22o_1 g441114(.A1 (n_2684), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [7]), .B1
+       (n_2479), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3372));
+  sky130_fd_sc_hd__a22o_1 g441115(.A1 (n_2684), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [8]), .B1
+       (n_2479), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3371));
+  sky130_fd_sc_hd__a22o_1 g441116(.A1 (n_2439), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [1]), .B1
+       (n_2503), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3370));
+  sky130_fd_sc_hd__a22o_1 g441117(.A1 (n_2439), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [2]), .B1
+       (n_2503), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3369));
+  sky130_fd_sc_hd__a22o_1 g441118(.A1 (n_2439), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [3]), .B1
+       (n_2503), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3368));
+  sky130_fd_sc_hd__a22o_1 g441119(.A1 (n_2439), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [5]), .B1
+       (n_2503), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3367));
+  sky130_fd_sc_hd__a22o_1 g441120(.A1 (n_2439), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [6]), .B1
+       (n_2503), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3366));
+  sky130_fd_sc_hd__a22o_1 g441121(.A1 (n_2439), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [7]), .B1
+       (n_2503), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3365));
+  sky130_fd_sc_hd__a22o_1 g441122(.A1 (n_2439), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [8]), .B1
+       (n_2503), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3364));
+  sky130_fd_sc_hd__a22o_1 g441123(.A1 (n_2496), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [1]), .B1
+       (n_2520), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3363));
+  sky130_fd_sc_hd__a22o_1 g441124(.A1 (n_2496), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [2]), .B1
+       (n_2520), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3362));
+  sky130_fd_sc_hd__a22o_1 g441125(.A1 (n_2496), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [4]), .B1
+       (n_2520), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3361));
+  sky130_fd_sc_hd__a22o_1 g441126(.A1 (n_2496), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [5]), .B1
+       (n_2520), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3360));
+  sky130_fd_sc_hd__a22o_1 g441127(.A1 (n_2496), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [7]), .B1
+       (n_2520), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3359));
+  sky130_fd_sc_hd__a22o_1 g441128(.A1 (n_2488), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [2]), .B1
+       (n_2458), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3358));
+  sky130_fd_sc_hd__a22o_1 g441129(.A1 (n_2488), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [3]), .B1
+       (n_2458), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3357));
+  sky130_fd_sc_hd__a22o_1 g441130(.A1 (n_2488), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [4]), .B1
+       (n_2458), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3356));
+  sky130_fd_sc_hd__a22o_1 g441131(.A1 (n_2488), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [5]), .B1
+       (n_2458), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3355));
+  sky130_fd_sc_hd__a22o_1 g441132(.A1 (n_2488), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [6]), .B1
+       (n_2458), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3354));
+  sky130_fd_sc_hd__a22o_1 g441133(.A1 (n_2488), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [8]), .B1
+       (n_2458), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3353));
+  sky130_fd_sc_hd__a22o_1 g441134(.A1 (n_2468), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [1]), .B1
+       (n_2502), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3352));
+  sky130_fd_sc_hd__a22o_1 g441135(.A1 (n_2468), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [3]), .B1
+       (n_2502), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3351));
+  sky130_fd_sc_hd__a22o_1 g441136(.A1 (n_2468), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [4]), .B1
+       (n_2502), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3350));
+  sky130_fd_sc_hd__a22o_1 g441137(.A1 (n_2468), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [5]), .B1
+       (n_2502), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3349));
+  sky130_fd_sc_hd__a22o_1 g441138(.A1 (n_2468), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [6]), .B1
+       (n_2502), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3348));
+  sky130_fd_sc_hd__o2bb2ai_1 g441139(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [2]), .A2_N
+       (n_2440), .B1 (n_2112), .B2 (n_2233), .Y (n_3347));
+  sky130_fd_sc_hd__o2bb2ai_1 g441140(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [4]), .A2_N
+       (n_2440), .B1 (n_2107), .B2 (n_2233), .Y (n_3346));
+  sky130_fd_sc_hd__o2bb2ai_1 g441141(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [6]), .A2_N
+       (n_2440), .B1 (n_2016), .B2 (n_2233), .Y (n_3345));
+  sky130_fd_sc_hd__o2bb2ai_1 g441142(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [8]), .A2_N
+       (n_2440), .B1 (n_2134), .B2 (n_2233), .Y (n_3344));
+  sky130_fd_sc_hd__o2bb2ai_1 g441143(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [1]), .A2_N
+       (n_2495), .B1 (n_2012), .B2 (n_2106), .Y (n_3343));
+  sky130_fd_sc_hd__o2bb2ai_1 g441144(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [2]), .A2_N
+       (n_2495), .B1 (n_2012), .B2 (n_2112), .Y (n_3342));
+  sky130_fd_sc_hd__o2bb2ai_1 g441145(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [3]), .A2_N
+       (n_2495), .B1 (n_2012), .B2 (n_2108), .Y (n_3341));
+  sky130_fd_sc_hd__o2bb2ai_1 g441146(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [4]), .A2_N
+       (n_2495), .B1 (n_2012), .B2 (n_2107), .Y (n_3340));
+  sky130_fd_sc_hd__o2bb2ai_1 g441147(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [5]), .A2_N
+       (n_2495), .B1 (n_2012), .B2 (n_2102), .Y (n_3339));
+  sky130_fd_sc_hd__o2bb2ai_1 g441148(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [6]), .A2_N
+       (n_2495), .B1 (n_2012), .B2 (n_2016), .Y (n_3338));
+  sky130_fd_sc_hd__o2bb2ai_1 g441149(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [7]), .A2_N
+       (n_2495), .B1 (n_2012), .B2 (n_2081), .Y (n_3337));
+  sky130_fd_sc_hd__o2bb2ai_1 g441150(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [8]), .A2_N
+       (n_2495), .B1 (n_2012), .B2 (n_2134), .Y (n_3336));
+  sky130_fd_sc_hd__a22o_1 g441151(.A1 (n_2487), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [1]), .B1
+       (n_2105), .B2 (n_2250), .X (n_3335));
+  sky130_fd_sc_hd__a22o_1 g441152(.A1 (n_2487), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [2]), .B1
+       (n_2111), .B2 (n_2250), .X (n_3334));
+  sky130_fd_sc_hd__o2bb2ai_1 g441153(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [3]), .A2_N
+       (n_2487), .B1 (n_2108), .B2 (n_2249), .Y (n_3333));
+  sky130_fd_sc_hd__o2bb2ai_1 g441154(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [4]), .A2_N
+       (n_2487), .B1 (n_2107), .B2 (n_2249), .Y (n_3332));
+  sky130_fd_sc_hd__a22o_1 g441155(.A1 (n_2487), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [5]), .B1
+       (n_2101), .B2 (n_2250), .X (n_3331));
+  sky130_fd_sc_hd__a22o_1 g441156(.A1 (n_2487), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [6]), .B1
+       (n_2015), .B2 (n_2250), .X (n_3330));
+  sky130_fd_sc_hd__a22o_1 g441157(.A1 (n_2487), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [7]), .B1
+       (n_2080), .B2 (n_2250), .X (n_3329));
+  sky130_fd_sc_hd__a22o_1 g441158(.A1 (n_2487), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [8]), .B1
+       (n_2133), .B2 (n_2250), .X (n_3328));
+  sky130_fd_sc_hd__o2bb2ai_1 g441159(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [1]), .A2_N
+       (n_2467), .B1 (n_2011), .B2 (n_2106), .Y (n_3327));
+  sky130_fd_sc_hd__o2bb2ai_1 g441160(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [3]), .A2_N
+       (n_2467), .B1 (n_2011), .B2 (n_2108), .Y (n_3326));
+  sky130_fd_sc_hd__o2bb2ai_1 g441161(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [4]), .A2_N
+       (n_2467), .B1 (n_2011), .B2 (n_2107), .Y (n_3325));
+  sky130_fd_sc_hd__o2bb2ai_1 g441162(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [5]), .A2_N
+       (n_2467), .B1 (n_2011), .B2 (n_2102), .Y (n_3324));
+  sky130_fd_sc_hd__o2bb2ai_1 g441163(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [7]), .A2_N
+       (n_2467), .B1 (n_2011), .B2 (n_2081), .Y (n_3323));
+  sky130_fd_sc_hd__a22o_1 g441164(.A1 (n_2727), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [1]), .B1
+       (n_2129), .B2 (n_2252), .X (n_3322));
+  sky130_fd_sc_hd__o2bb2ai_1 g441165(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [3]), .A2_N
+       (n_2727), .B1 (n_2251), .B2 (n_2017), .Y (n_3321));
+  sky130_fd_sc_hd__o2bb2ai_1 g441166(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [5]), .A2_N
+       (n_2727), .B1 (n_2251), .B2 (n_2071), .Y (n_3320));
+  sky130_fd_sc_hd__a22o_1 g441167(.A1 (n_2727), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [7]), .B1
+       (n_2094), .B2 (n_2252), .X (n_3319));
+  sky130_fd_sc_hd__a22o_1 g441168(.A1 (n_2499), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [1]), .B1
+       (n_2501), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3318));
+  sky130_fd_sc_hd__a22o_1 g441169(.A1 (n_2499), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [2]), .B1
+       (n_2501), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3317));
+  sky130_fd_sc_hd__a22o_1 g441170(.A1 (n_2499), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [3]), .B1
+       (n_2501), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3316));
+  sky130_fd_sc_hd__a22o_1 g441171(.A1 (n_2499), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [4]), .B1
+       (n_2501), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3315));
+  sky130_fd_sc_hd__a22o_1 g441172(.A1 (n_2499), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [5]), .B1
+       (n_2501), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3314));
+  sky130_fd_sc_hd__a22o_1 g441173(.A1 (n_2499), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [6]), .B1
+       (n_2501), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3313));
+  sky130_fd_sc_hd__a22o_1 g441174(.A1 (n_2499), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [7]), .B1
+       (n_2501), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3312));
+  sky130_fd_sc_hd__a22o_1 g441175(.A1 (n_2499), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [8]), .B1
+       (n_2501), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3311));
+  sky130_fd_sc_hd__a22o_1 g441176(.A1 (n_2452), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [1]), .B1
+       (n_2846), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3310));
+  sky130_fd_sc_hd__a22o_1 g441177(.A1 (n_2452), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [2]), .B1
+       (n_2846), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3309));
+  sky130_fd_sc_hd__a22o_1 g441178(.A1 (n_2452), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [4]), .B1
+       (n_2846), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3308));
+  sky130_fd_sc_hd__a22o_1 g441179(.A1 (n_2452), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [6]), .B1
+       (n_2846), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3307));
+  sky130_fd_sc_hd__a22o_1 g441180(.A1 (n_2452), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [8]), .B1
+       (n_2846), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3306));
+  sky130_fd_sc_hd__a22o_1 g441181(.A1 (n_2478), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [2]), .B1
+       (n_2533), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3305));
+  sky130_fd_sc_hd__a22o_1 g441182(.A1 (n_2478), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [3]), .B1
+       (n_2533), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3304));
+  sky130_fd_sc_hd__a22o_1 g441183(.A1 (n_2478), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [4]), .B1
+       (n_2533), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3303));
+  sky130_fd_sc_hd__a22o_1 g441184(.A1 (n_2478), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [5]), .B1
+       (n_2533), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3302));
+  sky130_fd_sc_hd__a22o_1 g441185(.A1 (n_2478), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [6]), .B1
+       (n_2533), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3301));
+  sky130_fd_sc_hd__a22o_1 g441186(.A1 (n_2478), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [7]), .B1
+       (n_2533), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3300));
+  sky130_fd_sc_hd__a22o_1 g441187(.A1 (n_2478), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [8]), .B1
+       (n_2533), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3299));
+  sky130_fd_sc_hd__a22o_1 g441188(.A1 (n_2728), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [1]), .B1
+       (n_2433), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3298));
+  sky130_fd_sc_hd__a22o_1 g441189(.A1 (n_2728), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [3]), .B1
+       (n_2433), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3297));
+  sky130_fd_sc_hd__a22o_1 g441190(.A1 (n_2728), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [5]), .B1
+       (n_2433), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3296));
+  sky130_fd_sc_hd__a22o_1 g441191(.A1 (n_2728), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [7]), .B1
+       (n_2433), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3295));
+  sky130_fd_sc_hd__a22o_1 g441192(.A1 (n_2498), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [1]), .B1
+       (n_2527), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3294));
+  sky130_fd_sc_hd__a22o_1 g441193(.A1 (n_2498), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [2]), .B1
+       (n_2527), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3293));
+  sky130_fd_sc_hd__a22o_1 g441194(.A1 (n_2498), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [3]), .B1
+       (n_2527), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3292));
+  sky130_fd_sc_hd__a22o_1 g441195(.A1 (n_2498), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [4]), .B1
+       (n_2527), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3291));
+  sky130_fd_sc_hd__a22o_1 g441196(.A1 (n_2498), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [6]), .B1
+       (n_2527), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3290));
+  sky130_fd_sc_hd__a22o_1 g441197(.A1 (n_2498), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [7]), .B1
+       (n_2527), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3289));
+  sky130_fd_sc_hd__a22o_1 g441198(.A1 (n_2498), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [8]), .B1
+       (n_2527), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3288));
+  sky130_fd_sc_hd__a22o_1 g441199(.A1 (n_2455), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [1]), .B1
+       (n_2838), .B2 (u_soc_u_uart_u_uart_core_rx[0]), .X (n_3287));
+  sky130_fd_sc_hd__a22o_1 g441200(.A1 (n_2455), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [2]), .B1
+       (n_2838), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3286));
+  sky130_fd_sc_hd__a22o_1 g441201(.A1 (n_2455), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [4]), .B1
+       (n_2838), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3285));
+  sky130_fd_sc_hd__a22o_1 g441202(.A1 (n_2455), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [6]), .B1
+       (n_2838), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3284));
+  sky130_fd_sc_hd__a22o_1 g441203(.A1 (n_2455), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [8]), .B1
+       (n_2838), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3283));
+  sky130_fd_sc_hd__a22o_1 g441204(.A1 (n_2475), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [2]), .B1
+       (n_2422), .B2 (u_soc_u_uart_u_uart_core_rx[1]), .X (n_3282));
+  sky130_fd_sc_hd__a22o_1 g441205(.A1 (n_2475), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [3]), .B1
+       (n_2422), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3281));
+  sky130_fd_sc_hd__a22o_1 g441206(.A1 (n_2475), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [4]), .B1
+       (n_2422), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3280));
+  sky130_fd_sc_hd__a22o_1 g441207(.A1 (n_2475), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [5]), .B1
+       (n_2422), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3279));
+  sky130_fd_sc_hd__a22o_1 g441208(.A1 (n_2475), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [6]), .B1
+       (n_2422), .B2 (u_soc_u_uart_u_uart_core_rx[5]), .X (n_3278));
+  sky130_fd_sc_hd__a22o_1 g441209(.A1 (n_2475), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [7]), .B1
+       (n_2422), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3277));
+  sky130_fd_sc_hd__a22o_1 g441210(.A1 (n_2475), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [8]), .B1
+       (n_2422), .B2 (u_soc_u_uart_u_uart_core_rx[7]), .X (n_3276));
+  sky130_fd_sc_hd__o2bb2ai_1 g441211(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [3]), .A2_N
+       (n_2774), .B1 (n_2233), .B2 (n_2073), .Y (n_3275));
+  sky130_fd_sc_hd__o2bb2ai_1 g441212(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [4]), .A2_N
+       (n_2774), .B1 (n_2233), .B2 (n_2093), .Y (n_3274));
+  sky130_fd_sc_hd__o2bb2ai_1 g441213(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [7]), .A2_N
+       (n_2731), .B1 (n_2251), .B2 (n_2069), .Y (n_3273));
+  sky130_fd_sc_hd__o2bb2ai_1 g441214(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [5]), .A2_N
+       (n_2774), .B1 (n_2233), .B2 (n_2120), .Y (n_3272));
+  sky130_fd_sc_hd__o2bb2ai_1 g441215(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [7]), .A2_N
+       (n_2774), .B1 (n_2233), .B2 (n_2075), .Y (n_3271));
+  sky130_fd_sc_hd__o2bb2ai_1 g441216(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [8]), .A2_N
+       (n_2774), .B1 (n_2233), .B2 (n_2132), .Y (n_3270));
+  sky130_fd_sc_hd__o2bb2ai_1 g441217(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [1]), .A2_N
+       (n_2825), .B1 (n_2012), .B2 (n_2087), .Y (n_3269));
+  sky130_fd_sc_hd__o2bb2ai_1 g441218(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [3]), .A2_N
+       (n_2825), .B1 (n_2012), .B2 (n_2073), .Y (n_3268));
+  sky130_fd_sc_hd__o2bb2ai_1 g441219(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [5]), .A2_N
+       (n_2825), .B1 (n_2012), .B2 (n_2120), .Y (n_3267));
+  sky130_fd_sc_hd__o2bb2ai_1 g441220(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [7]), .A2_N
+       (n_2825), .B1 (n_2012), .B2 (n_2075), .Y (n_3266));
+  sky130_fd_sc_hd__a22o_1 g441221(.A1 (n_2776), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [1]), .B1
+       (n_2086), .B2 (n_2250), .X (n_3265));
+  sky130_fd_sc_hd__a22o_1 g441222(.A1 (n_2776), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [2]), .B1
+       (n_2088), .B2 (n_2250), .X (n_3264));
+  sky130_fd_sc_hd__a22o_1 g441223(.A1 (n_2776), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [3]), .B1
+       (n_2072), .B2 (n_2250), .X (n_3263));
+  sky130_fd_sc_hd__o2bb2ai_1 g441224(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [4]), .A2_N
+       (n_2776), .B1 (n_2249), .B2 (n_2093), .Y (n_3262));
+  sky130_fd_sc_hd__o2bb2ai_1 g441225(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [5]), .A2_N
+       (n_2776), .B1 (n_2249), .B2 (n_2120), .Y (n_3261));
+  sky130_fd_sc_hd__o2bb2ai_1 g441226(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [6]), .A2_N
+       (n_2776), .B1 (n_2249), .B2 (n_2124), .Y (n_3260));
+  sky130_fd_sc_hd__o2bb2ai_1 g441227(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [7]), .A2_N
+       (n_2776), .B1 (n_2249), .B2 (n_2075), .Y (n_3259));
+  sky130_fd_sc_hd__a22o_1 g441228(.A1 (n_2776), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [8]), .B1
+       (n_2131), .B2 (n_2250), .X (n_3258));
+  sky130_fd_sc_hd__o2bb2ai_1 g441229(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [1]), .A2_N
+       (n_2859), .B1 (n_2011), .B2 (n_2087), .Y (n_3257));
+  sky130_fd_sc_hd__o2bb2ai_1 g441230(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [2]), .A2_N
+       (n_2859), .B1 (n_2011), .B2 (n_2089), .Y (n_3256));
+  sky130_fd_sc_hd__o2bb2ai_1 g441231(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [4]), .A2_N
+       (n_2859), .B1 (n_2011), .B2 (n_2093), .Y (n_3255));
+  sky130_fd_sc_hd__o2bb2ai_1 g441232(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [5]), .A2_N
+       (n_2859), .B1 (n_2011), .B2 (n_2120), .Y (n_3254));
+  sky130_fd_sc_hd__o2bb2ai_1 g441233(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [6]), .A2_N
+       (n_2859), .B1 (n_2011), .B2 (n_2124), .Y (n_3253));
+  sky130_fd_sc_hd__o2bb2ai_1 g441234(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [7]), .A2_N
+       (n_2859), .B1 (n_2011), .B2 (n_2075), .Y (n_3252));
+  sky130_fd_sc_hd__o2bb2ai_1 g441235(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [8]), .A2_N
+       (n_2859), .B1 (n_2011), .B2 (n_2132), .Y (n_3251));
+  sky130_fd_sc_hd__o2bb2ai_1 g441236(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [1]), .A2_N
+       (n_2837), .B1 (n_2233), .B2 (n_2059), .Y (n_3250));
+  sky130_fd_sc_hd__a22o_1 g441237(.A1 (n_2464), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [8]), .B1
+       (n_2114), .B2 (n_2235), .X (n_3249));
+  sky130_fd_sc_hd__o2bb2ai_1 g441238(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [2]), .A2_N
+       (n_2837), .B1 (n_2233), .B2 (n_2057), .Y (n_3248));
+  sky130_fd_sc_hd__o2bb2ai_1 g441239(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [4]), .A2_N
+       (n_2837), .B1 (n_2233), .B2 (n_2061), .Y (n_3247));
+  sky130_fd_sc_hd__o2bb2ai_1 g441240(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [6]), .A2_N
+       (n_2837), .B1 (n_2233), .B2 (n_2063), .Y (n_3246));
+  sky130_fd_sc_hd__o2bb2ai_1 g441241(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [7]), .A2_N
+       (n_2837), .B1 (n_2233), .B2 (n_2069), .Y (n_3245));
+  sky130_fd_sc_hd__o2bb2ai_1 g441242(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [8]), .A2_N
+       (n_2837), .B1 (n_2233), .B2 (n_2065), .Y (n_3244));
+  sky130_fd_sc_hd__o2bb2ai_1 g441243(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [2]), .A2_N
+       (n_2734), .B1 (n_2012), .B2 (n_2057), .Y (n_3243));
+  sky130_fd_sc_hd__o2bb2ai_1 g441244(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [3]), .A2_N
+       (n_2734), .B1 (n_2012), .B2 (n_2054), .Y (n_3242));
+  sky130_fd_sc_hd__o2bb2ai_1 g441245(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [4]), .A2_N
+       (n_2734), .B1 (n_2012), .B2 (n_2061), .Y (n_3241));
+  sky130_fd_sc_hd__o2bb2ai_1 g441246(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [6]), .A2_N
+       (n_2734), .B1 (n_2012), .B2 (n_2063), .Y (n_3240));
+  sky130_fd_sc_hd__o2bb2ai_1 g441247(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [8]), .A2_N
+       (n_2734), .B1 (n_2012), .B2 (n_2065), .Y (n_3239));
+  sky130_fd_sc_hd__o2bb2ai_1 g441248(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [2]), .A2_N
+       (n_2689), .B1 (n_2249), .B2 (n_2057), .Y (n_3238));
+  sky130_fd_sc_hd__a22o_1 g441249(.A1 (n_2689), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [3]), .B1
+       (n_2055), .B2 (n_2250), .X (n_3237));
+  sky130_fd_sc_hd__o2bb2ai_1 g441250(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [4]), .A2_N
+       (n_2689), .B1 (n_2249), .B2 (n_2061), .Y (n_3236));
+  sky130_fd_sc_hd__a22o_1 g441251(.A1 (n_2689), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [5]), .B1
+       (n_2067), .B2 (n_2250), .X (n_3235));
+  sky130_fd_sc_hd__o2bb2ai_1 g441252(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [6]), .A2_N
+       (n_2689), .B1 (n_2249), .B2 (n_2063), .Y (n_3234));
+  sky130_fd_sc_hd__o2bb2ai_1 g441253(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [7]), .A2_N
+       (n_2689), .B1 (n_2249), .B2 (n_2069), .Y (n_3233));
+  sky130_fd_sc_hd__o2bb2ai_1 g441254(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [8]), .A2_N
+       (n_2689), .B1 (n_2249), .B2 (n_2065), .Y (n_3232));
+  sky130_fd_sc_hd__o2bb2ai_1 g441255(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [1]), .A2_N
+       (n_2798), .B1 (n_2011), .B2 (n_2059), .Y (n_3231));
+  sky130_fd_sc_hd__o2bb2ai_1 g441256(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [2]), .A2_N
+       (n_2798), .B1 (n_2011), .B2 (n_2057), .Y (n_3230));
+  sky130_fd_sc_hd__o2bb2ai_1 g441257(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [3]), .A2_N
+       (n_2798), .B1 (n_2011), .B2 (n_2054), .Y (n_3229));
+  sky130_fd_sc_hd__o2bb2ai_1 g441258(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [4]), .A2_N
+       (n_2798), .B1 (n_2011), .B2 (n_2061), .Y (n_3228));
+  sky130_fd_sc_hd__o2bb2ai_1 g441259(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [6]), .A2_N
+       (n_2798), .B1 (n_2011), .B2 (n_2063), .Y (n_3227));
+  sky130_fd_sc_hd__a22o_1 g441260(.A1 (n_2779), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [2]), .B1
+       (n_2088), .B2 (n_2252), .X (n_3226));
+  sky130_fd_sc_hd__o2bb2ai_1 g441261(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [2]), .A2_N
+       (n_2737), .B1 (n_2004), .B2 (n_2083), .Y (n_3225));
+  sky130_fd_sc_hd__o2bb2ai_1 g441262(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [4]), .A2_N
+       (n_2779), .B1 (n_2251), .B2 (n_2093), .Y (n_3224));
+  sky130_fd_sc_hd__o2bb2ai_1 g441263(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [5]), .A2_N
+       (n_2779), .B1 (n_2251), .B2 (n_2120), .Y (n_3223));
+  sky130_fd_sc_hd__o2bb2ai_1 g441264(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [6]), .A2_N
+       (n_2779), .B1 (n_2251), .B2 (n_2124), .Y (n_3222));
+  sky130_fd_sc_hd__o2bb2ai_1 g441265(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [7]), .A2_N
+       (n_2779), .B1 (n_2251), .B2 (n_2075), .Y (n_3221));
+  sky130_fd_sc_hd__o2bb2ai_1 g441266(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [1]), .A2_N
+       (n_2775), .B1 (n_2137), .B2 (n_2087), .Y (n_3220));
+  sky130_fd_sc_hd__o2bb2ai_1 g441267(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [2]), .A2_N
+       (n_2775), .B1 (n_2137), .B2 (n_2089), .Y (n_3219));
+  sky130_fd_sc_hd__o2bb2ai_1 g441268(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [5]), .A2_N
+       (n_2775), .B1 (n_2137), .B2 (n_2120), .Y (n_3218));
+  sky130_fd_sc_hd__o2bb2ai_1 g441269(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [7]), .A2_N
+       (n_2775), .B1 (n_2137), .B2 (n_2075), .Y (n_3217));
+  sky130_fd_sc_hd__o2bb2ai_1 g441270(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [8]), .A2_N
+       (n_2775), .B1 (n_2137), .B2 (n_2132), .Y (n_3216));
+  sky130_fd_sc_hd__a22o_1 g441271(.A1 (n_2780), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [1]), .B1
+       (n_2086), .B2 (n_2248), .X (n_3215));
+  sky130_fd_sc_hd__a22o_1 g441272(.A1 (n_2780), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [2]), .B1
+       (n_2088), .B2 (n_2248), .X (n_3214));
+  sky130_fd_sc_hd__a22o_1 g441273(.A1 (n_2780), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [3]), .B1
+       (n_2072), .B2 (n_2248), .X (n_3213));
+  sky130_fd_sc_hd__o2bb2ai_1 g441274(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [4]), .A2_N
+       (n_2780), .B1 (n_2247), .B2 (n_2093), .Y (n_3212));
+  sky130_fd_sc_hd__o2bb2ai_1 g441275(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [5]), .A2_N
+       (n_2780), .B1 (n_2247), .B2 (n_2120), .Y (n_3211));
+  sky130_fd_sc_hd__o2bb2ai_1 g441276(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [6]), .A2_N
+       (n_2780), .B1 (n_2247), .B2 (n_2124), .Y (n_3210));
+  sky130_fd_sc_hd__o2bb2ai_1 g441277(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [7]), .A2_N
+       (n_2780), .B1 (n_2247), .B2 (n_2075), .Y (n_3209));
+  sky130_fd_sc_hd__a22o_1 g441278(.A1 (n_2780), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [8]), .B1
+       (n_2131), .B2 (n_2248), .X (n_3208));
+  sky130_fd_sc_hd__a22o_1 g441279(.A1 (n_2782), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [1]), .B1
+       (n_2086), .B2 (n_2256), .X (n_3207));
+  sky130_fd_sc_hd__a22o_1 g441280(.A1 (n_2782), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [3]), .B1
+       (n_2072), .B2 (n_2256), .X (n_3206));
+  sky130_fd_sc_hd__a22o_1 g441281(.A1 (n_2782), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [4]), .B1
+       (n_2092), .B2 (n_2256), .X (n_3205));
+  sky130_fd_sc_hd__o2bb2ai_1 g441282(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [1]), .A2_N
+       (n_2785), .B1 (n_1994), .B2 (n_2110), .Y (n_3204));
+  sky130_fd_sc_hd__a22o_1 g441283(.A1 (n_2782), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [5]), .B1
+       (n_2119), .B2 (n_2256), .X (n_3203));
+  sky130_fd_sc_hd__a22o_1 g441284(.A1 (n_2782), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [8]), .B1
+       (n_2131), .B2 (n_2256), .X (n_3202));
+  sky130_fd_sc_hd__a22o_1 g441285(.A1 (n_2731), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [1]), .B1
+       (n_2058), .B2 (n_2252), .X (n_3201));
+  sky130_fd_sc_hd__a22o_1 g441286(.A1 (n_2731), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [3]), .B1
+       (n_2055), .B2 (n_2252), .X (n_3200));
+  sky130_fd_sc_hd__o2bb2ai_1 g441287(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [6]), .A2_N
+       (n_2825), .B1 (n_2012), .B2 (n_2124), .Y (n_3199));
+  sky130_fd_sc_hd__o2bb2ai_1 g441288(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [4]), .A2_N
+       (n_2731), .B1 (n_2251), .B2 (n_2061), .Y (n_3198));
+  sky130_fd_sc_hd__a22o_1 g441289(.A1 (n_2731), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [5]), .B1
+       (n_2067), .B2 (n_2252), .X (n_3197));
+  sky130_fd_sc_hd__o2bb2ai_1 g441290(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [6]), .A2_N
+       (n_2731), .B1 (n_2251), .B2 (n_2063), .Y (n_3196));
+  sky130_fd_sc_hd__o2bb2ai_1 g441291(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [8]), .A2_N
+       (n_2731), .B1 (n_2251), .B2 (n_2065), .Y (n_3195));
+  sky130_fd_sc_hd__o2bb2ai_1 g441292(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [2]), .A2_N
+       (n_2690), .B1 (n_2137), .B2 (n_2057), .Y (n_3194));
+  sky130_fd_sc_hd__o2bb2ai_1 g441293(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [3]), .A2_N
+       (n_2690), .B1 (n_2137), .B2 (n_2054), .Y (n_3193));
+  sky130_fd_sc_hd__o2bb2ai_1 g441294(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [4]), .A2_N
+       (n_2690), .B1 (n_2137), .B2 (n_2061), .Y (n_3192));
+  sky130_fd_sc_hd__o2bb2ai_1 g441295(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [6]), .A2_N
+       (n_2690), .B1 (n_2137), .B2 (n_2063), .Y (n_3191));
+  sky130_fd_sc_hd__o2bb2ai_1 g441296(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [7]), .A2_N
+       (n_2690), .B1 (n_2137), .B2 (n_2069), .Y (n_3190));
+  sky130_fd_sc_hd__o2bb2ai_1 g441297(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [2]), .A2_N
+       (n_2686), .B1 (n_2247), .B2 (n_2057), .Y (n_3189));
+  sky130_fd_sc_hd__a22o_1 g441298(.A1 (n_2686), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [3]), .B1
+       (n_2055), .B2 (n_2248), .X (n_3188));
+  sky130_fd_sc_hd__o2bb2ai_1 g441299(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [4]), .A2_N
+       (n_2686), .B1 (n_2247), .B2 (n_2061), .Y (n_3187));
+  sky130_fd_sc_hd__a22o_1 g441300(.A1 (n_2686), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [5]), .B1
+       (n_2067), .B2 (n_2248), .X (n_3186));
+  sky130_fd_sc_hd__o2bb2ai_1 g441301(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [6]), .A2_N
+       (n_2686), .B1 (n_2247), .B2 (n_2063), .Y (n_3185));
+  sky130_fd_sc_hd__o2bb2ai_1 g441302(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [7]), .A2_N
+       (n_2686), .B1 (n_2247), .B2 (n_2069), .Y (n_3184));
+  sky130_fd_sc_hd__o2bb2ai_1 g441303(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [8]), .A2_N
+       (n_2686), .B1 (n_2247), .B2 (n_2065), .Y (n_3183));
+  sky130_fd_sc_hd__a22o_1 g441304(.A1 (n_2777), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [3]), .B1
+       (n_2772), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3182));
+  sky130_fd_sc_hd__a22o_1 g441305(.A1 (n_2688), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [1]), .B1
+       (n_2058), .B2 (n_2256), .X (n_3181));
+  sky130_fd_sc_hd__a22o_1 g441306(.A1 (n_2688), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [2]), .B1
+       (n_2056), .B2 (n_2256), .X (n_3180));
+  sky130_fd_sc_hd__a22o_1 g441307(.A1 (n_2688), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [3]), .B1
+       (n_2055), .B2 (n_2256), .X (n_3179));
+  sky130_fd_sc_hd__a22o_1 g441308(.A1 (n_2688), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [4]), .B1
+       (n_2060), .B2 (n_2256), .X (n_3178));
+  sky130_fd_sc_hd__a22o_1 g441309(.A1 (n_2688), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [5]), .B1
+       (n_2067), .B2 (n_2256), .X (n_3177));
+  sky130_fd_sc_hd__a22o_1 g441310(.A1 (n_2688), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [6]), .B1
+       (n_2062), .B2 (n_2256), .X (n_3176));
+  sky130_fd_sc_hd__a22o_1 g441311(.A1 (n_2688), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [7]), .B1
+       (n_2068), .B2 (n_2256), .X (n_3175));
+  sky130_fd_sc_hd__a22o_1 g441312(.A1 (n_2688), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [8]), .B1
+       (n_2064), .B2 (n_2256), .X (n_3174));
+  sky130_fd_sc_hd__o2bb2ai_1 g441313(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [1]), .A2_N
+       (n_2690), .B1 (n_2137), .B2 (n_2059), .Y (n_3173));
+  sky130_fd_sc_hd__a22o_1 g441314(.A1 (n_2438), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [5]), .B1
+       (n_2795), .B2 (u_soc_u_uart_u_uart_core_rx[4]), .X (n_3172));
+  sky130_fd_sc_hd__a22o_1 g441315(.A1 (n_2465), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [7]), .B1
+       (n_2819), .B2 (u_soc_u_uart_u_uart_core_rx[6]), .X (n_3171));
+  sky130_fd_sc_hd__a22o_1 g441316(.A1 (n_2840), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [3]), .B1
+       (n_2770), .B2 (u_soc_u_uart_u_uart_core_rx[2]), .X (n_3170));
+  sky130_fd_sc_hd__a22o_1 g441317(.A1 (n_2782), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [6]), .B1
+       (n_2123), .B2 (n_2256), .X (n_3169));
+  sky130_fd_sc_hd__o2bb2ai_1 g441318(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [4]), .A2_N
+       (n_2443), .B1 (n_2030), .B2 (n_2006), .Y (n_3168));
+  sky130_fd_sc_hd__a22o_1 g441319(.A1 (n_2689), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [1]), .B1
+       (n_2058), .B2 (n_2250), .X (n_3167));
+  sky130_fd_sc_hd__o2bb2ai_1 g441320(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [2]), .A2_N
+       (n_2519), .B1 (n_2238), .B2 (n_2117), .Y (n_3166));
+  sky130_fd_sc_hd__a22o_1 g441321(.A1 (n_2743), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [4]), .B1
+       (n_2790), .B2 (u_soc_u_uart_u_uart_core_rx[3]), .X (n_3165));
+  sky130_fd_sc_hd__o2bb2ai_1 g441322(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [5]), .A2_N
+       (n_2511), .B1 (n_2219), .B2 (n_2066), .Y (n_3164));
+  sky130_fd_sc_hd__o2bb2ai_1 g441323(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [8]), .A2_N
+       (n_2482), .B1 (n_2238), .B2 (n_2020), .Y (n_3163));
+  sky130_fd_sc_hd__o2bb2ai_1 g441324(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [7]), .A2_N
+       (n_2473), .B1 (n_2215), .B2 (n_2095), .Y (n_3162));
+  sky130_fd_sc_hd__o2bb2ai_1 g441325(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [4]), .A2_N
+       (n_2482), .B1 (n_2238), .B2 (n_2030), .Y (n_3161));
+  sky130_fd_sc_hd__o2bb2ai_1 g441326(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [2]), .A2_N
+       (n_2482), .B1 (n_2238), .B2 (n_2032), .Y (n_3160));
+  sky130_fd_sc_hd__o2bb2ai_1 g441327(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [1]), .A2_N
+       (n_2510), .B1 (n_2216), .B2 (n_2059), .Y (n_3159));
+  sky130_fd_sc_hd__o2bb2ai_1 g441328(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [1]), .A2_N
+       (n_2734), .B1 (n_2012), .B2 (n_2059), .Y (n_3158));
+  sky130_fd_sc_hd__o2bb2ai_1 g441329(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [1]), .A2_N
+       (n_2696), .B1 (n_2223), .B2 (n_2087), .Y (n_3157));
+  sky130_fd_sc_hd__o2bb2ai_1 g441330(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [7]), .A2_N
+       (n_2860), .B1 (n_2237), .B2 (n_2044), .Y (n_3156));
+  sky130_fd_sc_hd__a22o_1 g441331(.A1 (n_2760), .A2
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [8]), .B1
+       (n_2114), .B2 (n_2240), .X (n_3155));
+  sky130_fd_sc_hd__o2bb2ai_1 g441332(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [5]), .A2_N
+       (n_2860), .B1 (n_2237), .B2 (n_2048), .Y (n_3154));
+  sky130_fd_sc_hd__o2bb2ai_1 g441333(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [3]), .A2_N
+       (n_2860), .B1 (n_2237), .B2 (n_2052), .Y (n_3153));
+  sky130_fd_sc_hd__o2bb2ai_1 g441334(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [8]), .A2_N
+       (n_2730), .B1 (n_1996), .B2 (n_2132), .Y (n_3152));
+  sky130_fd_sc_hd__o2bb2ai_1 g441335(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [6]), .A2_N
+       (n_2815), .B1 (n_2218), .B2 (n_2070), .Y (n_3151));
+  sky130_fd_sc_hd__o2bb2ai_1 g441336(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [3]), .A2_N
+       (n_2849), .B1 (n_2022), .B2 (n_2223), .Y (n_3150));
+  sky130_fd_sc_hd__o2bb2ai_1 g441337(.A1_N
+       (\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [2]), .A2_N
+       (n_2844), .B1 (n_2032), .B2 (n_2219), .Y (n_3149));
+  sky130_fd_sc_hd__inv_2 g441338(.A (n_3140), .Y (n_3139));
+  sky130_fd_sc_hd__inv_2 g441339(.A (n_3135), .Y (n_3134));
+  sky130_fd_sc_hd__inv_2 g441340(.A (n_3133), .Y (n_3132));
+  sky130_fd_sc_hd__inv_2 g441341(.A (n_3131), .Y (n_3130));
+  sky130_fd_sc_hd__inv_2 g441342(.A (n_3128), .Y (n_3127));
+  sky130_fd_sc_hd__inv_2 g441343(.A (n_3125), .Y (n_3126));
+  sky130_fd_sc_hd__inv_2 g441344(.A (n_3122), .Y (n_3121));
+  sky130_fd_sc_hd__inv_2 g441345(.A (n_3120), .Y (n_3119));
+  sky130_fd_sc_hd__inv_2 g441346(.A (n_3116), .Y (n_3115));
+  sky130_fd_sc_hd__inv_2 g441347(.A (n_3105), .Y (n_3104));
+  sky130_fd_sc_hd__inv_1 g441348(.A (n_3102), .Y (n_3103));
+  sky130_fd_sc_hd__clkinv_1 g441349(.A (n_3100), .Y (n_3099));
+  sky130_fd_sc_hd__inv_1 g441350(.A (n_3098), .Y (n_3097));
+  sky130_fd_sc_hd__inv_2 g441351(.A (n_3096), .Y (n_3095));
+  sky130_fd_sc_hd__inv_2 g441353(.A (n_15920), .Y (n_3090));
+  sky130_fd_sc_hd__clkinv_1 g441354(.A (n_3085), .Y (n_3084));
+  sky130_fd_sc_hd__inv_2 g441355(.A (n_3082), .Y (n_3081));
+  sky130_fd_sc_hd__inv_2 g441356(.A (n_3080), .Y (n_3079));
+  sky130_fd_sc_hd__a221oi_1 g441357(.A1 (n_1749), .A2
+       (u_soc_u_top_u_core_csr_mtvec[22]), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[22]), .C1 (n_1950), .Y (n_3073));
+  sky130_fd_sc_hd__o21ai_1 g441358(.A1 (n_1078), .A2 (n_2288), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [2]), .Y (n_3072));
+  sky130_fd_sc_hd__a221oi_1 g441359(.A1 (n_1749), .A2
+       (u_soc_u_top_u_core_csr_mtvec[16]), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[16]), .C1 (n_1974), .Y (n_3071));
+  sky130_fd_sc_hd__a211o_1 g441360(.A1 (n_15926), .A2 (io_out[37]), .B1
+       (n_626), .C1 (n_2158), .X (n_3070));
+  sky130_fd_sc_hd__nor2_1 g441361(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_2358), .Y
+       (n_3069));
+  sky130_fd_sc_hd__o31ai_1 g441362(.A1 (n_1078), .A2 (n_1833), .A3
+       (n_2158), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3]
+       [11]), .Y (n_3068));
+  sky130_fd_sc_hd__o31ai_1 g441363(.A1 (n_1070), .A2 (n_1832), .A3
+       (n_2159), .B1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3]
+       [11]), .Y (n_3067));
+  sky130_fd_sc_hd__nand4_1 g441364(.A (n_1270), .B (n_1733), .C
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .D
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Y (n_3066));
+  sky130_fd_sc_hd__o21ai_1 g441365(.A1 (n_1935), .A2 (n_2158), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1]
+       [11]), .Y (n_3065));
+  sky130_fd_sc_hd__o41ai_1 g441366(.A1
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [2]), .A2 (n_1047), .A3 (n_1461), .A4 (n_1266), .B1 (n_1933), .Y
+       (n_3064));
+  sky130_fd_sc_hd__a21o_1 g441367(.A1 (n_2152), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [1]), .B1 (n_2891), .X (n_3063));
+  sky130_fd_sc_hd__a221oi_1 g441368(.A1 (n_1749), .A2
+       (u_soc_u_top_u_core_csr_mtvec[29]), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[29]), .C1 (n_1957), .Y (n_3062));
+  sky130_fd_sc_hd__and2_1 g441369(.A
+       (\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]), .B (n_2583),
+       .X (n_3061));
+  sky130_fd_sc_hd__a221oi_1 g441370(.A1 (n_1749), .A2
+       (u_soc_u_top_u_core_csr_mtvec[28]), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[28]), .C1 (n_1979), .Y (n_3060));
+  sky130_fd_sc_hd__a221oi_1 g441371(.A1 (n_1749), .A2
+       (u_soc_u_top_u_core_csr_mtvec[27]), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[27]), .C1 (n_1956), .Y (n_3059));
+  sky130_fd_sc_hd__a221oi_1 g441372(.A1 (n_1749), .A2
+       (u_soc_u_top_u_core_csr_mtvec[26]), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[26]), .C1 (n_1983), .Y (n_3058));
+  sky130_fd_sc_hd__nand2_1 g441373(.A (n_2545), .B (n_2621), .Y
+       (n_3057));
+  sky130_fd_sc_hd__a221oi_1 g441374(.A1 (n_1749), .A2
+       (u_soc_u_top_u_core_csr_mtvec[25]), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[25]), .C1 (n_1955), .Y (n_3056));
+  sky130_fd_sc_hd__nor2_1 g441375(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [0]), .B (n_2669), .Y (n_3055));
+  sky130_fd_sc_hd__nor2b_1 g441376(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B_N (n_1990), .Y
+       (n_3054));
+  sky130_fd_sc_hd__a221oi_1 g441377(.A1 (n_1749), .A2
+       (u_soc_u_top_u_core_csr_mtvec[24]), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[24]), .C1 (n_1954), .Y (n_3053));
+  sky130_fd_sc_hd__nand2_1 g441378(.A (n_2545), .B (n_2632), .Y
+       (n_3052));
+  sky130_fd_sc_hd__a221oi_1 g441379(.A1 (n_1749), .A2
+       (u_soc_u_top_u_core_csr_mtvec[23]), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[23]), .C1 (n_1953), .Y (n_3051));
+  sky130_fd_sc_hd__a221oi_1 g441380(.A1 (n_1749), .A2
+       (u_soc_u_top_u_core_csr_mtvec[18]), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[18]), .C1 (n_1947), .Y (n_3050));
+  sky130_fd_sc_hd__nand2_1 g441381(.A (n_2418), .B (n_1391), .Y
+       (n_3049));
+  sky130_fd_sc_hd__a221oi_1 g441382(.A1 (n_1749), .A2
+       (u_soc_u_top_u_core_csr_mtvec[19]), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[19]), .C1 (n_1987), .Y (n_3048));
+  sky130_fd_sc_hd__nand2_1 g441383(.A (n_2545), .B (n_2618), .Y
+       (n_3047));
+  sky130_fd_sc_hd__nand2_1 g441384(.A (n_2545), .B (n_2626), .Y
+       (n_3046));
+  sky130_fd_sc_hd__o21ai_1 g441385(.A1 (n_1941), .A2 (n_2159), .B1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2]
+       [11]), .Y (n_3045));
+  sky130_fd_sc_hd__nand2_1 g441386(.A (n_2418), .B (n_1366), .Y
+       (n_3044));
+  sky130_fd_sc_hd__nand2_1 g441387(.A (n_2893), .B (n_1068), .Y
+       (n_3043));
+  sky130_fd_sc_hd__a21oi_1 g441388(.A1 (n_1737), .A2 (n_1940), .B1
+       (u_soc_u_top_u_core_id_stage_i_id_fsm_q), .Y (n_3042));
+  sky130_fd_sc_hd__nor2_1 g441390(.A (n_2303), .B (n_2540), .Y
+       (n_3041));
+  sky130_fd_sc_hd__nand2_1 g441391(.A (n_2542), .B (n_13310), .Y
+       (n_3148));
+  sky130_fd_sc_hd__nand2_1 g441392(.A (n_2893), .B (n_1255), .Y
+       (n_3147));
+  sky130_fd_sc_hd__a21oi_1 g441393(.A1 (n_1928), .A2
+       (u_soc_u_uart_u_uart_core_tx_fifo_re), .B1
+       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .Y (n_3040));
+  sky130_fd_sc_hd__nand2_1 g441394(.A (n_2537), .B (n_1757), .Y
+       (n_3146));
+  sky130_fd_sc_hd__o211ai_1 g441395(.A1 (n_1244), .A2 (n_1562), .B1
+       (n_1073), .C1 (n_1951), .Y (n_3145));
+  sky130_fd_sc_hd__nor2b_1 g441396(.A (n_16011), .B_N (n_2608), .Y
+       (n_3144));
+  sky130_fd_sc_hd__nand2b_1 g441397(.A_N (n_13345), .B (n_2893), .Y
+       (n_3143));
+  sky130_fd_sc_hd__nor2_1 g441402(.A (n_16011), .B (n_2603), .Y
+       (n_3142));
+  sky130_fd_sc_hd__nand2_1 g441403(.A (n_2901), .B (n_1450), .Y
+       (n_3141));
+  sky130_fd_sc_hd__a221oi_1 g441404(.A1 (n_1876), .A2
+       (u_soc_u_iccm_rdata3[25]), .B1 (n_1875), .B2
+       (u_soc_u_iccm_rdata2[25]), .C1 (n_2375), .Y (n_3140));
+  sky130_fd_sc_hd__nand2_1 g441405(.A (n_2897), .B
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[6]), .Y (n_3138));
+  sky130_fd_sc_hd__nand2_1 g441406(.A (n_2542), .B
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_3137));
+  sky130_fd_sc_hd__nand2_1 g441407(.A (n_2893), .B
+       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .Y (n_3136));
+  sky130_fd_sc_hd__a221oi_1 g441408(.A1 (n_1883), .A2
+       (u_soc_u_iccm_rdata4[29]), .B1 (n_1876), .B2
+       (u_soc_u_iccm_rdata3[29]), .C1 (n_2352), .Y (n_3135));
+  sky130_fd_sc_hd__a221oi_1 g441410(.A1 (n_1883), .A2
+       (u_soc_u_iccm_rdata4[31]), .B1 (n_1876), .B2
+       (u_soc_u_iccm_rdata3[31]), .C1 (n_2353), .Y (n_3133));
+  sky130_fd_sc_hd__a221oi_1 g441411(.A1 (n_1883), .A2
+       (u_soc_u_iccm_rdata4[28]), .B1 (n_1876), .B2
+       (u_soc_u_iccm_rdata3[28]), .C1 (n_2363), .Y (n_3131));
+  sky130_fd_sc_hd__nor2_1 g441412(.A (n_1929), .B (n_2538), .Y
+       (n_3129));
+  sky130_fd_sc_hd__a221oi_1 g441413(.A1 (n_1883), .A2
+       (u_soc_u_iccm_rdata4[26]), .B1 (n_1876), .B2
+       (u_soc_u_iccm_rdata3[26]), .C1 (n_2360), .Y (n_3128));
+  sky130_fd_sc_hd__nor2_1 g441416(.A (n_13467), .B (n_2894), .Y
+       (n_3125));
+  sky130_fd_sc_hd__nor2_1 g441418(.A (n_471), .B (n_2537), .Y (n_3124));
+  sky130_fd_sc_hd__nor2_1 g441419(.A (n_471), .B (n_2539), .Y (n_3123));
+  sky130_fd_sc_hd__a221oi_1 g441420(.A1 (n_1880), .A2
+       (u_soc_u_iccm_rdata4[8]), .B1 (n_1882), .B2
+       (u_soc_u_iccm_rdata3[8]), .C1 (n_2347), .Y (n_3122));
+  sky130_fd_sc_hd__a221oi_1 g441421(.A1 (n_1880), .A2
+       (u_soc_u_iccm_rdata4[12]), .B1 (n_1882), .B2
+       (u_soc_u_iccm_rdata3[12]), .C1 (n_2349), .Y (n_3120));
+  sky130_fd_sc_hd__nor2_1 g441422(.A (n_1931), .B (n_2540), .Y
+       (n_3118));
+  sky130_fd_sc_hd__nand2_1 g441423(.A (n_2888), .B (n_1068), .Y
+       (n_3117));
+  sky130_fd_sc_hd__nor2_1 g441424(.A (n_13468), .B (n_2894), .Y
+       (n_3116));
+  sky130_fd_sc_hd__nor2_1 g441426(.A (n_471), .B (n_2889), .Y (n_3114));
+  sky130_fd_sc_hd__nor2_1 g441427(.A (n_471), .B (n_2536), .Y (n_3113));
+  sky130_fd_sc_hd__nor2_1 g441428(.A (n_471), .B (n_2535), .Y (n_3112));
+  sky130_fd_sc_hd__nor2_1 g441430(.A (n_13468), .B (n_2895), .Y
+       (n_3111));
+  sky130_fd_sc_hd__a21oi_1 g441432(.A1 (n_1672), .A2 (n_1327), .B1
+       (n_2538), .Y (n_3110));
+  sky130_fd_sc_hd__o21ai_1 g441433(.A1
+       (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125), .A2 (n_1072), .B1
+       (n_2537), .Y (n_3109));
+  sky130_fd_sc_hd__nor2_1 g441434(.A (n_13469), .B (n_2895), .Y
+       (n_3108));
+  sky130_fd_sc_hd__o21ai_1 g441435(.A1 (n_1075), .A2
+       (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125), .B1 (n_2539), .Y
+       (n_3107));
+  sky130_fd_sc_hd__nor2_1 g441437(.A (n_13467), .B (n_2895), .Y
+       (n_3106));
+  sky130_fd_sc_hd__nor2_1 g441438(.A (n_13469), .B (n_2894), .Y
+       (n_3105));
+  sky130_fd_sc_hd__nand2_1 g441439(.A (n_2604), .B (n_652), .Y
+       (n_3102));
+  sky130_fd_sc_hd__nand2_1 g441440(.A (n_2604), .B
+       (u_soc_u_top_u_core_rf_waddr_wb[1]), .Y (n_3101));
+  sky130_fd_sc_hd__nand2_1 g441441(.A (n_2542), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q
+       [0]), .Y (n_3100));
+  sky130_fd_sc_hd__nand2b_1 g441443(.A_N (n_2548), .B (n_13325), .Y
+       (n_3098));
+  sky130_fd_sc_hd__nor2_1 g441444(.A (n_13345), .B (n_2887), .Y
+       (n_3096));
+  sky130_fd_sc_hd__nor2_1 g441445(.A (n_479), .B (n_2899), .Y (n_3094));
+  sky130_fd_sc_hd__nand2_1 g441446(.A (n_2605), .B
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[3]), .Y (n_3093));
+  sky130_fd_sc_hd__nor2_1 g441447(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [0]), .B (n_2899), .Y (n_3091));
+  sky130_fd_sc_hd__nand2_1 g441449(.A (n_2888), .B (n_1255), .Y
+       (n_3088));
+  sky130_fd_sc_hd__nor2_1 g441450(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [0]), .B (n_2898), .Y (n_3087));
+  sky130_fd_sc_hd__nor2_1 g441452(.A (n_479), .B (n_2898), .Y (n_3086));
+  sky130_fd_sc_hd__nor2_1 g441454(.A (n_2421), .B (n_1750), .Y
+       (n_3085));
+  sky130_fd_sc_hd__nand2_1 g441456(.A (n_1260), .B (n_2583), .Y
+       (n_3083));
+  sky130_fd_sc_hd__nand2_1 g441457(.A (n_2414), .B (n_1431), .Y
+       (n_3082));
+  sky130_fd_sc_hd__nand4_1 g441458(.A (n_1768), .B (n_13455), .C
+       (u_soc_u_top_u_core_alu_operator_ex[3]), .D (n_621), .Y
+       (n_3080));
+  sky130_fd_sc_hd__nor2_1 g441459(.A (n_16011), .B (n_2414), .Y
+       (n_3078));
+  sky130_fd_sc_hd__nor2_1 g441460(.A (n_2001), .B (n_2414), .Y
+       (n_3077));
+  sky130_fd_sc_hd__nand2_1 g441461(.A (n_2888), .B (n_1051), .Y
+       (n_3076));
+  sky130_fd_sc_hd__and2_1 g441462(.A (n_2676), .B (n_2674), .X
+       (n_3075));
+  sky130_fd_sc_hd__and2_1 g441463(.A (n_2678), .B (n_2680), .X
+       (n_3074));
+  sky130_fd_sc_hd__inv_1 g441464(.A (n_2953), .Y (n_3039));
+  sky130_fd_sc_hd__inv_1 g441465(.A (n_2952), .Y (n_3038));
+  sky130_fd_sc_hd__inv_1 g441466(.A (n_2951), .Y (n_3037));
+  sky130_fd_sc_hd__inv_1 g441467(.A (n_2950), .Y (n_3036));
+  sky130_fd_sc_hd__inv_1 g441468(.A (n_2949), .Y (n_3035));
+  sky130_fd_sc_hd__inv_1 g441469(.A (n_2941), .Y (n_3034));
+  sky130_fd_sc_hd__inv_1 g441470(.A (n_2940), .Y (n_3033));
+  sky130_fd_sc_hd__inv_2 g441471(.A (n_3032), .Y (n_3031));
+  sky130_fd_sc_hd__inv_2 g441472(.A (n_3030), .Y (n_3029));
+  sky130_fd_sc_hd__inv_2 g441473(.A (n_3028), .Y (n_3027));
+  sky130_fd_sc_hd__inv_2 g441474(.A (n_3026), .Y (n_3025));
+  sky130_fd_sc_hd__inv_2 g441475(.A (n_3024), .Y (n_3023));
+  sky130_fd_sc_hd__inv_2 g441476(.A (n_3022), .Y (n_3021));
+  sky130_fd_sc_hd__inv_2 g441477(.A (n_3020), .Y (n_3019));
+  sky130_fd_sc_hd__inv_2 g441478(.A (n_3018), .Y (n_3017));
+  sky130_fd_sc_hd__inv_2 g441479(.A (n_3016), .Y (n_3015));
+  sky130_fd_sc_hd__inv_2 g441480(.A (n_3013), .Y (n_3012));
+  sky130_fd_sc_hd__inv_2 g441481(.A (n_3011), .Y (n_3010));
+  sky130_fd_sc_hd__inv_2 g441482(.A (n_3009), .Y (n_3008));
+  sky130_fd_sc_hd__inv_2 g441483(.A (n_3007), .Y (n_3006));
+  sky130_fd_sc_hd__inv_2 g441484(.A (n_3004), .Y (n_3005));
+  sky130_fd_sc_hd__inv_2 g441485(.A (n_3002), .Y (n_3003));
+  sky130_fd_sc_hd__inv_2 g441486(.A (n_3001), .Y (n_3000));
+  sky130_fd_sc_hd__inv_2 g441487(.A (n_2999), .Y (n_2998));
+  sky130_fd_sc_hd__inv_2 g441488(.A (n_2997), .Y (n_2996));
+  sky130_fd_sc_hd__inv_2 g441489(.A (n_2994), .Y (n_2995));
+  sky130_fd_sc_hd__inv_2 g441490(.A (n_2992), .Y (n_2993));
+  sky130_fd_sc_hd__inv_2 g441491(.A (n_2991), .Y (n_2990));
+  sky130_fd_sc_hd__inv_2 g441492(.A (n_2989), .Y (n_2988));
+  sky130_fd_sc_hd__inv_1 g441493(.A (n_2984), .Y (n_2983));
+  sky130_fd_sc_hd__o21ai_1 g441494(.A1 (n_1070), .A2 (n_2290), .B1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [2]), .Y (n_2979));
+  sky130_fd_sc_hd__a21o_1 g441495(.A1 (n_2152), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [4]), .B1 (n_2891), .X (n_2978));
+  sky130_fd_sc_hd__a21o_1 g441496(.A1 (n_2152), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [3]), .B1 (n_2891), .X (n_2977));
+  sky130_fd_sc_hd__a21o_1 g441497(.A1 (n_2152), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [2]), .B1 (n_2891), .X (n_2976));
+  sky130_fd_sc_hd__o22ai_1 g441498(.A1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .A2 (n_2288), .B1 (n_499), .B2 (n_2289), .Y (n_2975));
+  sky130_fd_sc_hd__o2111ai_1 g441499(.A1 (n_13367), .A2 (n_164), .B1
+       (n_13375), .C1 (n_2196), .D1 (n_13369), .Y (n_2974));
+  sky130_fd_sc_hd__a22oi_1 g441500(.A1 (n_2246), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [14]), .B1 (n_1513), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[14]), .Y
+       (n_2973));
+  sky130_fd_sc_hd__a22oi_1 g441501(.A1 (n_2243), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[4]), .Y (n_2972));
+  sky130_fd_sc_hd__a22oi_1 g441502(.A1 (n_2246), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [12]), .B1 (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[12]), .Y (n_2971));
+  sky130_fd_sc_hd__a22oi_1 g441503(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]), .B1
+       (n_2242), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]), .Y
+       (n_2970));
+  sky130_fd_sc_hd__a22oi_1 g441504(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[3]), .Y (n_2969));
+  sky130_fd_sc_hd__o21ai_1 g441505(.A1 (n_1939), .A2 (n_1532), .B1
+       (u_soc_u_uart_u_uart_core_tx_fifo_init), .Y (n_2968));
+  sky130_fd_sc_hd__a22oi_1 g441506(.A1 (n_2246), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [10]), .B1 (n_1513), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[10]), .Y
+       (n_2967));
+  sky130_fd_sc_hd__a22oi_1 g441507(.A1 (n_2242), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]), .B1
+       (n_2244), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]), .Y
+       (n_2966));
+  sky130_fd_sc_hd__a22oi_1 g441508(.A1 (n_2243), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]), .B1
+       (n_1214), .B2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[2]),
+       .Y (n_2965));
+  sky130_fd_sc_hd__a22oi_1 g441509(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]), .B1
+       (n_2246), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [1]), .Y (n_2964));
+  sky130_fd_sc_hd__a22oi_1 g441510(.A1 (n_2246), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [6]), .B1 (n_1513), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[6]), .Y (n_2963));
+  sky130_fd_sc_hd__a22oi_1 g441511(.A1 (n_2149), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [4]), .B1 (n_1411), .B2 (\u_soc_uart_to_xbar[d_data] [3]), .Y
+       (n_2962));
+  sky130_fd_sc_hd__a22oi_1 g441512(.A1 (n_2149), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [3]), .B1 (n_1411), .B2 (\u_soc_uart_to_xbar[d_data] [2]), .Y
+       (n_2961));
+  sky130_fd_sc_hd__a22oi_1 g441513(.A1 (n_2149), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [2]), .B1 (n_1411), .B2 (\u_soc_uart_to_xbar[d_data] [1]), .Y
+       (n_2960));
+  sky130_fd_sc_hd__or4_1 g441514(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .B (n_1776), .C
+       (n_1166), .D (n_13482), .X (n_2959));
+  sky130_fd_sc_hd__a222oi_1 g441515(.A1
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[7]), .A2
+       (n_1513), .B1 (n_1409), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[7]), .C1 (n_2243),
+       .C2 (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]), .Y
+       (n_2958));
+  sky130_fd_sc_hd__a222oi_1 g441516(.A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [0]), .A2
+       (n_1735), .B1 (n_1341), .B2
+       (u_soc_u_uart_u_uart_core_fifo_read_size[3]), .C1 (n_690), .C2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [0]), .Y
+       (n_2957));
+  sky130_fd_sc_hd__a222oi_1 g441517(.A1
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[30]), .A2
+       (n_1513), .B1 (n_1409), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[30]), .C1 (n_2243),
+       .C2 (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]), .Y
+       (n_2956));
+  sky130_fd_sc_hd__a22oi_1 g441518(.A1 (n_2150), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [1]), .B1 (n_2151), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [1]), .Y (n_2955));
+  sky130_fd_sc_hd__o22ai_1 g441519(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .A2 (n_2294), .B1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B2 (n_1081), .Y (n_2954));
+  sky130_fd_sc_hd__a222oi_1 g441520(.A1
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[2]), .A2 (n_2147), .B1
+       (n_13303), .B2 (u_soc_u_uart_u_uart_core_rx_val[2]), .C1
+       (n_13558), .C2 (\u_soc_uart_to_xbar[d_data] [2]), .Y (n_2953));
+  sky130_fd_sc_hd__a222oi_1 g441521(.A1
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[4]), .A2 (n_2147), .B1
+       (n_13303), .B2 (u_soc_u_uart_u_uart_core_rx_val[4]), .C1
+       (n_13558), .C2 (\u_soc_uart_to_xbar[d_data] [4]), .Y (n_2952));
+  sky130_fd_sc_hd__a222oi_1 g441522(.A1
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[5]), .A2 (n_2147), .B1
+       (n_13303), .B2 (u_soc_u_uart_u_uart_core_rx_val[5]), .C1
+       (n_13558), .C2 (\u_soc_uart_to_xbar[d_data] [5]), .Y (n_2951));
+  sky130_fd_sc_hd__a222oi_1 g441523(.A1
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[6]), .A2 (n_2147), .B1
+       (n_13303), .B2 (u_soc_u_uart_u_uart_core_rx_val[6]), .C1
+       (n_13558), .C2 (\u_soc_uart_to_xbar[d_data] [6]), .Y (n_2950));
+  sky130_fd_sc_hd__a222oi_1 g441524(.A1
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[8]), .A2 (n_2147), .B1
+       (n_13303), .B2 (u_soc_u_uart_u_uart_core_rx_val[8]), .C1
+       (n_13558), .C2 (\u_soc_uart_to_xbar[d_data] [8]), .Y (n_2949));
+  sky130_fd_sc_hd__or4_1 g441525(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [27]), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [28]), .C
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [26]), .D (n_1692), .X (n_2948));
+  sky130_fd_sc_hd__or4_1 g441526(.A (n_13416), .B (n_13410), .C
+       (n_13411), .D (n_1690), .X (n_2947));
+  sky130_fd_sc_hd__a222oi_1 g441527(.A1
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[12]), .A2
+       (n_1513), .B1 (n_1516), .B2 (u_soc_u_top_u_core_csr_depc[12]),
+       .C1 (n_2242), .C2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]), .Y
+       (n_2946));
+  sky130_fd_sc_hd__o21ai_0 g441528(.A1
+       (u_soc_u_uart_u_uart_core_fifo_read_size[1]), .A2 (n_1445), .B1
+       (n_2886), .Y (n_2945));
+  sky130_fd_sc_hd__nand3b_1 g441529(.A_N (n_15923), .B (n_1255), .C
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [2]), .Y (n_2944));
+  sky130_fd_sc_hd__a22oi_1 g441530(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]), .B1
+       (n_2242), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]), .Y
+       (n_2943));
+  sky130_fd_sc_hd__nand3_1 g441531(.A (n_2291), .B
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .C (n_98), .Y (n_2942));
+  sky130_fd_sc_hd__a222oi_1 g441532(.A1
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[1]), .A2 (n_2147), .B1
+       (n_13303), .B2 (u_soc_u_uart_u_uart_core_rx_val[1]), .C1
+       (n_13558), .C2 (\u_soc_uart_to_xbar[d_data] [1]), .Y (n_2941));
+  sky130_fd_sc_hd__a222oi_1 g441533(.A1
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[3]), .A2 (n_2147), .B1
+       (n_13303), .B2 (u_soc_u_uart_u_uart_core_rx_val[3]), .C1
+       (n_13558), .C2 (\u_soc_uart_to_xbar[d_data] [3]), .Y (n_2940));
+  sky130_fd_sc_hd__a32oi_1 g441534(.A1 (n_1783), .A2 (n_1427), .A3
+       (u_soc_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]), .B1
+       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[2]), .Y
+       (n_2939));
+  sky130_fd_sc_hd__o21ai_1 g441535(.A1 (n_497), .A2 (n_2148), .B1
+       (n_1314), .Y (n_2938));
+  sky130_fd_sc_hd__a32oi_1 g441536(.A1 (n_1476), .A2 (n_1090), .A3
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2]
+       [1]), .B1 (n_2304), .B2
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3]
+       [1]), .Y (n_2937));
+  sky130_fd_sc_hd__o22ai_1 g441537(.A1 (n_1531), .A2 (n_1805), .B1
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[0]), .B2 (n_2148), .Y
+       (n_2936));
+  sky130_fd_sc_hd__a22oi_1 g441538(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[16]), .Y
+       (n_2935));
+  sky130_fd_sc_hd__a22oi_1 g441539(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]), .B1
+       (n_2242), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]), .Y
+       (n_2934));
+  sky130_fd_sc_hd__a22oi_1 g441540(.A1 (n_2149), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [6]), .B1 (n_1411), .B2 (\u_soc_uart_to_xbar[d_data] [5]), .Y
+       (n_2933));
+  sky130_fd_sc_hd__a22oi_1 g441541(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]), .B1
+       (n_2244), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]), .Y
+       (n_2932));
+  sky130_fd_sc_hd__a22oi_1 g441542(.A1 (n_2149), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3]
+       [5]), .B1 (n_1411), .B2 (\u_soc_uart_to_xbar[d_data] [4]), .Y
+       (n_2931));
+  sky130_fd_sc_hd__a22oi_1 g441543(.A1 (n_2246), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [8]), .B1 (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[8]), .Y (n_2930));
+  sky130_fd_sc_hd__a22oi_1 g441544(.A1 (n_2244), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]), .B1
+       (n_1233), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ), .Y
+       (n_2929));
+  sky130_fd_sc_hd__a22oi_1 g441545(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]), .B1
+       (n_2242), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]), .Y
+       (n_2928));
+  sky130_fd_sc_hd__a22oi_1 g441546(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[17]), .Y
+       (n_2927));
+  sky130_fd_sc_hd__o2bb2ai_1 g441547(.A1_N
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .A2_N (n_2301), .B1 (n_13317), .B2 (n_1885), .Y (n_2926));
+  sky130_fd_sc_hd__a31oi_1 g441548(.A1 (n_1741), .A2 (n_1052), .A3
+       (n_1116), .B1 (n_2211), .Y (n_2925));
+  sky130_fd_sc_hd__a22o_1 g441549(.A1 (n_2244), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[31]), .X
+       (n_2924));
+  sky130_fd_sc_hd__a22oi_1 g441550(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]), .B1
+       (n_2243), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]), .Y
+       (n_2923));
+  sky130_fd_sc_hd__a22oi_1 g441551(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]), .B1
+       (n_2242), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]), .Y
+       (n_2922));
+  sky130_fd_sc_hd__a2bb2oi_1 g441552(.A1_N (n_1033), .A2_N (n_2161),
+       .B1 (u_soc_u_uart_u_uart_core_rx_buffer_size[5]), .B2 (n_2161),
+       .Y (n_2921));
+  sky130_fd_sc_hd__a22oi_1 g441553(.A1 (n_2242), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[18]), .Y
+       (n_2920));
+  sky130_fd_sc_hd__a22oi_1 g441554(.A1 (n_2242), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[19]), .Y
+       (n_2919));
+  sky130_fd_sc_hd__a22oi_1 g441555(.A1 (n_2244), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]), .B1
+       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[4]), .Y
+       (n_2918));
+  sky130_fd_sc_hd__a22oi_1 g441556(.A1 (n_2243), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]), .B1
+       (n_1749), .B2 (u_soc_u_top_u_core_csr_mtvec[20]), .Y (n_2917));
+  sky130_fd_sc_hd__a22oi_1 g441557(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[20]), .Y
+       (n_2916));
+  sky130_fd_sc_hd__a22oi_1 g441558(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[21]), .Y
+       (n_2915));
+  sky130_fd_sc_hd__a22oi_1 g441559(.A1 (n_2246), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [9]), .B1 (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[9]), .Y (n_2914));
+  sky130_fd_sc_hd__a22oi_1 g441560(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[22]), .Y
+       (n_2913));
+  sky130_fd_sc_hd__a22oi_1 g441561(.A1 (n_2242), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[23]), .Y
+       (n_2912));
+  sky130_fd_sc_hd__a22oi_1 g441562(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[24]), .Y
+       (n_2911));
+  sky130_fd_sc_hd__a22oi_1 g441563(.A1 (n_2242), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[25]), .Y
+       (n_2910));
+  sky130_fd_sc_hd__a22oi_1 g441564(.A1 (n_2009), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3]
+       [1]), .B1 (n_1411), .B2 (\u_soc_uart_to_xbar[d_data] [0]), .Y
+       (n_2909));
+  sky130_fd_sc_hd__a22oi_1 g441565(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[26]), .Y
+       (n_2908));
+  sky130_fd_sc_hd__a22oi_1 g441566(.A1 (n_2135), .A2
+       (u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[0]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[0]), .Y (n_2907));
+  sky130_fd_sc_hd__a22oi_1 g441567(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[27]), .Y
+       (n_2906));
+  sky130_fd_sc_hd__a22oi_1 g441568(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[28]), .Y
+       (n_2905));
+  sky130_fd_sc_hd__a22oi_1 g441569(.A1 (n_2241), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[29]), .Y
+       (n_2904));
+  sky130_fd_sc_hd__a22oi_1 g441570(.A1 (n_2242), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]), .B1
+       (n_2244), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]), .Y
+       (n_2903));
+  sky130_fd_sc_hd__nand4_1 g441571(.A (n_1889), .B (n_15936), .C
+       (io_out[37]), .D (\u_soc_xbar_to_dccm[a_address] [5]), .Y
+       (n_2902));
+  sky130_fd_sc_hd__a221oi_1 g441572(.A1 (n_1880), .A2
+       (u_soc_u_iccm_rdata4[11]), .B1 (n_1882), .B2
+       (u_soc_u_iccm_rdata3[11]), .C1 (n_2395), .Y (n_3032));
+  sky130_fd_sc_hd__a221oi_1 g441573(.A1 (n_1880), .A2
+       (u_soc_u_iccm_rdata4[15]), .B1 (n_1882), .B2
+       (u_soc_u_iccm_rdata3[15]), .C1 (n_2354), .Y (n_3030));
+  sky130_fd_sc_hd__a221oi_1 g441574(.A1 (n_1876), .A2
+       (u_soc_u_iccm_rdata3[27]), .B1 (n_1875), .B2
+       (u_soc_u_iccm_rdata2[27]), .C1 (n_2362), .Y (n_3028));
+  sky130_fd_sc_hd__a221oi_1 g441575(.A1 (n_1880), .A2
+       (u_soc_u_iccm_rdata4[9]), .B1 (n_1882), .B2
+       (u_soc_u_iccm_rdata3[9]), .C1 (n_2409), .Y (n_3026));
+  sky130_fd_sc_hd__a221oi_1 g441576(.A1 (n_1883), .A2
+       (u_soc_u_iccm_rdata4[30]), .B1 (n_1876), .B2
+       (u_soc_u_iccm_rdata3[30]), .C1 (n_2348), .Y (n_3024));
+  sky130_fd_sc_hd__a221oi_1 g441577(.A1 (n_1880), .A2
+       (u_soc_u_iccm_rdata4[13]), .B1 (n_1882), .B2
+       (u_soc_u_iccm_rdata3[13]), .C1 (n_2388), .Y (n_3022));
+  sky130_fd_sc_hd__a221oi_1 g441578(.A1 (n_1880), .A2
+       (u_soc_u_iccm_rdata4[10]), .B1 (n_1882), .B2
+       (u_soc_u_iccm_rdata3[10]), .C1 (n_2374), .Y (n_3020));
+  sky130_fd_sc_hd__a221oi_1 g441579(.A1 (n_1880), .A2
+       (u_soc_u_iccm_rdata4[14]), .B1 (n_1882), .B2
+       (u_soc_u_iccm_rdata3[14]), .C1 (n_2345), .Y (n_3018));
+  sky130_fd_sc_hd__a221oi_1 g441580(.A1 (n_1883), .A2
+       (u_soc_u_iccm_rdata4[24]), .B1 (n_1876), .B2
+       (u_soc_u_iccm_rdata3[24]), .C1 (n_2381), .Y (n_3016));
+  sky130_fd_sc_hd__a21oi_1 g441581(.A1 (n_1670), .A2 (n_1335), .B1
+       (n_2540), .Y (n_3014));
+  sky130_fd_sc_hd__a221oi_1 g441582(.A1 (n_13494), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [3]), .B1 (n_13493), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [3]), .C1 (n_2197), .Y (n_3013));
+  sky130_fd_sc_hd__a221oi_1 g441583(.A1 (n_13493), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [22]), .B1 (n_13494), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [22]), .C1 (n_2205), .Y (n_3011));
+  sky130_fd_sc_hd__a221oi_1 g441584(.A1 (n_13493), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [24]), .B1 (n_13494), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [24]), .C1 (n_2207), .Y (n_3009));
+  sky130_fd_sc_hd__a221oi_1 g441585(.A1 (n_13493), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [23]), .B1 (n_13494), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [23]), .C1 (n_2206), .Y (n_3007));
+  sky130_fd_sc_hd__a221oi_1 g441586(.A1 (n_13494), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [21]), .B1 (n_13493), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [21]), .C1 (n_2204), .Y (n_3004));
+  sky130_fd_sc_hd__nor4_1 g441587(.A
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .C (n_1681), .D (n_1534), .Y (n_3002));
+  sky130_fd_sc_hd__a221oi_1 g441588(.A1 (n_13494), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [20]), .B1 (n_13493), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [20]), .C1 (n_2203), .Y (n_3001));
+  sky130_fd_sc_hd__a221oi_1 g441589(.A1 (n_13494), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [19]), .B1 (n_13493), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [19]), .C1 (n_2202), .Y (n_2999));
+  sky130_fd_sc_hd__a221oi_1 g441590(.A1 (n_13493), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [8]), .B1 (n_13494), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [8]), .C1 (n_2201), .Y (n_2997));
+  sky130_fd_sc_hd__a221oi_1 g441591(.A1 (n_13493), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [7]), .B1 (n_13494), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [7]), .C1 (n_2200), .Y (n_2994));
+  sky130_fd_sc_hd__a221oi_1 g441592(.A1 (n_13493), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [6]), .B1 (n_13494), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [6]), .C1 (n_2208), .Y (n_2992));
+  sky130_fd_sc_hd__a221oi_1 g441593(.A1 (n_13494), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [5]), .B1 (n_13493), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [5]), .C1 (n_2199), .Y (n_2991));
+  sky130_fd_sc_hd__a221oi_1 g441594(.A1 (n_13494), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0]
+       [4]), .B1 (n_13493), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1]
+       [4]), .C1 (n_2198), .Y (n_2989));
+  sky130_fd_sc_hd__nor2_1 g441595(.A (n_511), .B (n_2378), .Y (n_2987));
+  sky130_fd_sc_hd__and3b_1 g441596(.A_N
+       (u_soc_u_top_u_core_alu_operator_ex[1]), .B (n_2292), .C
+       (n_621), .X (n_2986));
+  sky130_fd_sc_hd__nor2_1 g441597(.A
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B (n_2377), .Y (n_2985));
+  sky130_fd_sc_hd__and3_1 g441598(.A (n_2292), .B (n_13343), .C
+       (u_soc_u_top_u_core_alu_operator_ex[2]), .X (n_2984));
+  sky130_fd_sc_hd__or3_1 g441599(.A (n_1068), .B (n_1726), .C (n_2887),
+       .X (n_2982));
+  sky130_fd_sc_hd__a21o_1 g441600(.A1 (n_1926), .A2 (io_out[37]), .B1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .X (n_2981));
+  sky130_fd_sc_hd__o21ai_2 g441601(.A1 (n_471), .A2 (n_2300), .B1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_2980));
+  sky130_fd_sc_hd__inv_1 g441602(.A (n_1900), .Y (n_2900));
+  sky130_fd_sc_hd__inv_2 g441603(.A (n_2888), .Y (n_2887));
+  sky130_fd_sc_hd__inv_2 g441604(.A (n_2858), .Y (n_2857));
+  sky130_fd_sc_hd__inv_2 g441605(.A (n_2683), .Y (n_2682));
+  sky130_fd_sc_hd__inv_2 g441606(.A (n_2680), .Y (n_2679));
+  sky130_fd_sc_hd__inv_2 g441607(.A (n_2678), .Y (n_2677));
+  sky130_fd_sc_hd__inv_2 g441608(.A (n_2676), .Y (n_2675));
+  sky130_fd_sc_hd__inv_2 g441609(.A (n_2674), .Y (n_2673));
+  sky130_fd_sc_hd__ha_1 g441610(.A (n_1307), .B (n_1260), .COUT
+       (n_2901), .SUM (n_2672));
+  sky130_fd_sc_hd__nand2_1 g441611(.A (n_2151), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [6]), .Y (n_2671));
+  sky130_fd_sc_hd__a21oi_1 g441612(.A1 (n_1485), .A2 (n_1835), .B1
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .Y (n_2670));
+  sky130_fd_sc_hd__nor2_1 g441613(.A (n_2295), .B (n_1754), .Y
+       (n_2669));
+  sky130_fd_sc_hd__nand2_1 g441614(.A (n_2283), .B (n_1050), .Y
+       (n_2668));
+  sky130_fd_sc_hd__nand2_1 g441615(.A (n_689), .B (n_1942), .Y
+       (n_2667));
+  sky130_fd_sc_hd__nand2_1 g441616(.A (n_689), .B (n_1943), .Y
+       (n_2666));
+  sky130_fd_sc_hd__nand2_1 g441617(.A (n_2246), .B
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [4]), .Y (n_2665));
+  sky130_fd_sc_hd__nand2_1 g441618(.A (n_2244), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]), .Y
+       (n_2664));
+  sky130_fd_sc_hd__nand2_1 g441619(.A (n_2244), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]), .Y
+       (n_2663));
+  sky130_fd_sc_hd__nor2_1 g441620(.A
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[3]), .B (n_2305), .Y
+       (n_2662));
+  sky130_fd_sc_hd__nand2_1 g441621(.A (n_2244), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]), .Y
+       (n_2661));
+  sky130_fd_sc_hd__nand2_1 g441622(.A (n_2135), .B
+       (u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[2]), .Y
+       (n_2660));
+  sky130_fd_sc_hd__nand2_1 g441623(.A (n_2244), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]), .Y
+       (n_2659));
+  sky130_fd_sc_hd__nand2_1 g441624(.A (n_2241), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]), .Y
+       (n_2658));
+  sky130_fd_sc_hd__nand2_1 g441625(.A (n_2246), .B
+       (\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0]
+       [20]), .Y (n_2657));
+  sky130_fd_sc_hd__nand2_1 g441626(.A (n_2242), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]), .Y
+       (n_2656));
+  sky130_fd_sc_hd__nand2_1 g441627(.A (n_2244), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]), .Y
+       (n_2655));
+  sky130_fd_sc_hd__nand2_1 g441628(.A (n_2243), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]), .Y
+       (n_2654));
+  sky130_fd_sc_hd__nand2_1 g441629(.A (n_2244), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]), .Y
+       (n_2653));
+  sky130_fd_sc_hd__nand2_1 g441630(.A (n_2241), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]), .Y
+       (n_2652));
+  sky130_fd_sc_hd__nand2_1 g441631(.A (n_2242), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]), .Y
+       (n_2651));
+  sky130_fd_sc_hd__nand2_1 g441632(.A (n_2244), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]), .Y
+       (n_2650));
+  sky130_fd_sc_hd__nand2_1 g441633(.A (n_2241), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]), .Y
+       (n_2649));
+  sky130_fd_sc_hd__nand2_1 g441634(.A (n_2244), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]), .Y
+       (n_2648));
+  sky130_fd_sc_hd__nand2_1 g441635(.A (n_2242), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]), .Y
+       (n_2647));
+  sky130_fd_sc_hd__nand2_1 g441636(.A (n_2150), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [4]), .Y (n_2646));
+  sky130_fd_sc_hd__nand2_1 g441637(.A (n_2244), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]), .Y
+       (n_2645));
+  sky130_fd_sc_hd__nand2_1 g441638(.A (n_2241), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]), .Y
+       (n_2644));
+  sky130_fd_sc_hd__nand2_1 g441639(.A (n_2244), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]), .Y
+       (n_2643));
+  sky130_fd_sc_hd__nand2_1 g441640(.A (n_2242), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]), .Y
+       (n_2642));
+  sky130_fd_sc_hd__nand2_1 g441641(.A (n_2244), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]), .Y
+       (n_2641));
+  sky130_fd_sc_hd__nand2_1 g441642(.A (n_2242), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]), .Y
+       (n_2640));
+  sky130_fd_sc_hd__nand2_1 g441643(.A (n_2244), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]), .Y
+       (n_2639));
+  sky130_fd_sc_hd__nand2_1 g441644(.A (n_2242), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]), .Y
+       (n_2638));
+  sky130_fd_sc_hd__nand2_1 g441645(.A (n_2244), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]), .Y
+       (n_2637));
+  sky130_fd_sc_hd__nand2_1 g441646(.A (n_2242), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]), .Y
+       (n_2636));
+  sky130_fd_sc_hd__nand2_1 g441647(.A (n_2241), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]), .Y
+       (n_2635));
+  sky130_fd_sc_hd__nand2_1 g441648(.A (n_2150), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [3]), .Y (n_2634));
+  sky130_fd_sc_hd__nand2_1 g441649(.A (n_2244), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]), .Y
+       (n_2633));
+  sky130_fd_sc_hd__nand2_1 g441650(.A (n_2153), .B
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [3]), .Y (n_2632));
+  sky130_fd_sc_hd__nand2_1 g441651(.A (n_2243), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]), .Y
+       (n_2631));
+  sky130_fd_sc_hd__nand2_1 g441652(.A (n_2244), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]), .Y
+       (n_2630));
+  sky130_fd_sc_hd__nand2_1 g441653(.A (n_2243), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]), .Y
+       (n_2629));
+  sky130_fd_sc_hd__nand2_1 g441654(.A (n_2150), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [6]), .Y (n_2628));
+  sky130_fd_sc_hd__nand2_1 g441655(.A (n_2242), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]), .Y
+       (n_2627));
+  sky130_fd_sc_hd__nand2_1 g441656(.A (n_2153), .B
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [2]), .Y (n_2626));
+  sky130_fd_sc_hd__nand2_1 g441657(.A (n_2151), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [5]), .Y (n_2625));
+  sky130_fd_sc_hd__nand2_1 g441658(.A (n_2241), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]), .Y
+       (n_2624));
+  sky130_fd_sc_hd__nand2_1 g441659(.A (n_2243), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]), .Y
+       (n_2623));
+  sky130_fd_sc_hd__nor2_1 g441660(.A
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[2]), .B (n_2160), .Y
+       (n_2622));
+  sky130_fd_sc_hd__nand2_1 g441661(.A (n_2153), .B
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [4]), .Y (n_2621));
+  sky130_fd_sc_hd__nand2_1 g441662(.A (n_2243), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]), .Y
+       (n_2620));
+  sky130_fd_sc_hd__nand2b_1 g441663(.A_N (n_2297), .B
+       (u_soc_main_swith_host_lsu_num_req_outstanding[13]), .Y
+       (n_2619));
+  sky130_fd_sc_hd__nand2_1 g441664(.A (n_2153), .B
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [1]), .Y (n_2618));
+  sky130_fd_sc_hd__nand2_1 g441665(.A (n_2150), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [2]), .Y (n_2617));
+  sky130_fd_sc_hd__nand2_1 g441666(.A (n_2151), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [2]), .Y (n_2616));
+  sky130_fd_sc_hd__nand2_1 g441667(.A (n_2151), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [3]), .Y (n_2615));
+  sky130_fd_sc_hd__nand2_1 g441668(.A (n_2151), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2]
+       [4]), .Y (n_2614));
+  sky130_fd_sc_hd__nand2_1 g441669(.A (n_2150), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1]
+       [5]), .Y (n_2613));
+  sky130_fd_sc_hd__nand2_1 g441671(.A (n_2162), .B (n_533), .Y
+       (n_2899));
+  sky130_fd_sc_hd__nand2_1 g441673(.A (n_2162), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [3]), .Y (n_2898));
+  sky130_fd_sc_hd__nor2_1 g441675(.A (n_1235), .B (n_2161), .Y
+       (n_2897));
+  sky130_fd_sc_hd__nand2_1 g441676(.A (n_2300), .B
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_2612));
+  sky130_fd_sc_hd__nand2b_1 g441677(.A_N (n_2301), .B
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_2611));
+  sky130_fd_sc_hd__nor2b_1 g441680(.A (n_1448), .B_N
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[3]), .Y (n_2896));
+  sky130_fd_sc_hd__nand2_1 g441681(.A (n_2163), .B
+       (u_soc_u_top_u_core_rf_waddr_wb[1]), .Y (n_2895));
+  sky130_fd_sc_hd__nand2_1 g441686(.A (n_2163), .B (n_652), .Y
+       (n_2894));
+  sky130_fd_sc_hd__nor2_1 g441687(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [2]), .B (n_15923), .Y (n_2893));
+  sky130_fd_sc_hd__nand2_1 g441688(.A (n_1223), .B (n_2135), .Y
+       (n_2892));
+  sky130_fd_sc_hd__nor2_1 g441690(.A (n_471), .B (n_2152), .Y (n_2891));
+  sky130_fd_sc_hd__nand2_1 g441691(.A (n_2160), .B
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[2]), .Y (n_2890));
+  sky130_fd_sc_hd__nand3_1 g441692(.A (n_1721), .B (n_53), .C
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Y (n_2889));
+  sky130_fd_sc_hd__nor2_1 g441693(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [2]), .B (n_2282), .Y (n_2888));
+  sky130_fd_sc_hd__nand2_1 g441694(.A (n_1445), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[1]), .Y (n_2886));
+  sky130_fd_sc_hd__nand2_1 g441696(.A (n_2266), .B (n_2276), .Y
+       (n_2885));
+  sky130_fd_sc_hd__nand2_1 g441697(.A (n_2272), .B (n_2266), .Y
+       (n_2884));
+  sky130_fd_sc_hd__nand2_1 g441698(.A (n_2275), .B (n_2278), .Y
+       (n_2883));
+  sky130_fd_sc_hd__nand2_1 g441699(.A (n_2272), .B (n_2262), .Y
+       (n_2882));
+  sky130_fd_sc_hd__nand2_1 g441700(.A (n_2262), .B (n_2276), .Y
+       (n_2881));
+  sky130_fd_sc_hd__nand2_1 g441701(.A (n_2280), .B (n_2276), .Y
+       (n_2880));
+  sky130_fd_sc_hd__nand2_1 g441702(.A (n_2272), .B (n_2280), .Y
+       (n_2879));
+  sky130_fd_sc_hd__nand2_1 g441703(.A (n_2278), .B (n_2265), .Y
+       (n_2878));
+  sky130_fd_sc_hd__nand2_1 g441704(.A (n_2272), .B (n_2277), .Y
+       (n_2877));
+  sky130_fd_sc_hd__nand2_1 g441705(.A (n_2277), .B (n_2276), .Y
+       (n_2876));
+  sky130_fd_sc_hd__nand2_1 g441706(.A (n_2266), .B (n_2269), .Y
+       (n_2875));
+  sky130_fd_sc_hd__nand2_1 g441707(.A (n_2269), .B (n_2144), .Y
+       (n_2874));
+  sky130_fd_sc_hd__nand2_1 g441708(.A (n_2143), .B (n_2269), .Y
+       (n_2873));
+  sky130_fd_sc_hd__nand2_1 g441709(.A (n_2261), .B (n_2270), .Y
+       (n_2872));
+  sky130_fd_sc_hd__nand2_1 g441710(.A (n_2272), .B (n_2141), .Y
+       (n_2871));
+  sky130_fd_sc_hd__nand2_1 g441711(.A (n_2276), .B (n_2141), .Y
+       (n_2870));
+  sky130_fd_sc_hd__nand2_1 g441712(.A (n_2272), .B (n_2268), .Y
+       (n_2869));
+  sky130_fd_sc_hd__nand2_1 g441713(.A (n_2268), .B (n_2276), .Y
+       (n_2868));
+  sky130_fd_sc_hd__nand2_1 g441714(.A (n_2272), .B (n_2279), .Y
+       (n_2867));
+  sky130_fd_sc_hd__nand2_1 g441715(.A (n_2279), .B (n_2276), .Y
+       (n_2866));
+  sky130_fd_sc_hd__nand2_1 g441716(.A (n_2272), .B (n_2270), .Y
+       (n_2865));
+  sky130_fd_sc_hd__nand2_1 g441717(.A (n_2270), .B (n_2276), .Y
+       (n_2864));
+  sky130_fd_sc_hd__nand2_1 g441718(.A (n_2266), .B (n_2265), .Y
+       (n_2863));
+  sky130_fd_sc_hd__nand2_1 g441719(.A (n_2275), .B (n_2266), .Y
+       (n_2862));
+  sky130_fd_sc_hd__nand2_1 g441720(.A (n_2266), .B (n_2271), .Y
+       (n_2861));
+  sky130_fd_sc_hd__nand2_1 g441721(.A (n_2263), .B (n_2266), .Y
+       (n_2860));
+  sky130_fd_sc_hd__nand2_1 g441722(.A (n_2264), .B (n_2267), .Y
+       (n_2859));
+  sky130_fd_sc_hd__nand2_1 g441723(.A (n_16011), .B (n_1431), .Y
+       (n_2858));
+  sky130_fd_sc_hd__nand2_1 g441724(.A (n_2257), .B (n_2269), .Y
+       (n_2856));
+  sky130_fd_sc_hd__nand2_1 g441725(.A (n_2262), .B (n_2269), .Y
+       (n_2855));
+  sky130_fd_sc_hd__nand2_1 g441726(.A (n_2280), .B (n_2269), .Y
+       (n_2854));
+  sky130_fd_sc_hd__nand2_1 g441727(.A (n_2267), .B (n_2269), .Y
+       (n_2853));
+  sky130_fd_sc_hd__nand2_1 g441728(.A (n_2272), .B (n_2278), .Y
+       (n_2852));
+  sky130_fd_sc_hd__nand2_1 g441729(.A (n_2278), .B (n_2276), .Y
+       (n_2851));
+  sky130_fd_sc_hd__nand2_1 g441730(.A (n_2261), .B (n_2266), .Y
+       (n_2850));
+  sky130_fd_sc_hd__nand2_1 g441731(.A (n_2276), .B (n_2139), .Y
+       (n_2849));
+  sky130_fd_sc_hd__nand2_1 g441732(.A (n_2272), .B (n_2139), .Y
+       (n_2848));
+  sky130_fd_sc_hd__nand2_1 g441733(.A (n_2277), .B (n_2269), .Y
+       (n_2847));
+  sky130_fd_sc_hd__nor2_1 g441734(.A (n_1857), .B (n_2247), .Y
+       (n_2846));
+  sky130_fd_sc_hd__nand2_1 g441735(.A (n_2272), .B (n_2145), .Y
+       (n_2845));
+  sky130_fd_sc_hd__nand2_1 g441736(.A (n_2276), .B (n_2145), .Y
+       (n_2844));
+  sky130_fd_sc_hd__nand2_1 g441737(.A (n_2272), .B (n_2140), .Y
+       (n_2843));
+  sky130_fd_sc_hd__nand2_1 g441738(.A (n_2140), .B (n_2276), .Y
+       (n_2842));
+  sky130_fd_sc_hd__nor2_1 g441739(.A (n_1855), .B (n_2012), .Y
+       (n_2841));
+  sky130_fd_sc_hd__nand2_1 g441740(.A (n_2264), .B (n_2144), .Y
+       (n_2840));
+  sky130_fd_sc_hd__nor2_1 g441741(.A (n_1866), .B (n_2239), .Y
+       (n_2839));
+  sky130_fd_sc_hd__nor2_1 g441742(.A (n_1865), .B (n_2247), .Y
+       (n_2838));
+  sky130_fd_sc_hd__nand2_1 g441743(.A (n_2270), .B (n_2258), .Y
+       (n_2837));
+  sky130_fd_sc_hd__nand2_1 g441744(.A (n_2266), .B (n_2142), .Y
+       (n_2836));
+  sky130_fd_sc_hd__nand2_1 g441745(.A (n_2274), .B (n_2266), .Y
+       (n_2835));
+  sky130_fd_sc_hd__nand2_1 g441746(.A (n_2266), .B (n_2138), .Y
+       (n_2834));
+  sky130_fd_sc_hd__nand2_1 g441747(.A (n_2260), .B (n_2266), .Y
+       (n_2833));
+  sky130_fd_sc_hd__nand2_1 g441748(.A (n_2273), .B (n_2266), .Y
+       (n_2832));
+  sky130_fd_sc_hd__nand2_1 g441749(.A (n_2266), .B (n_2258), .Y
+       (n_2831));
+  sky130_fd_sc_hd__nand2_1 g441750(.A (n_2266), .B (n_2259), .Y
+       (n_2830));
+  sky130_fd_sc_hd__nand2_1 g441751(.A (n_2264), .B (n_2266), .Y
+       (n_2829));
+  sky130_fd_sc_hd__nand2_1 g441752(.A (n_2262), .B (n_2265), .Y
+       (n_2828));
+  sky130_fd_sc_hd__nand2_1 g441753(.A (n_2275), .B (n_2262), .Y
+       (n_2827));
+  sky130_fd_sc_hd__nand2_1 g441754(.A (n_2274), .B (n_2267), .Y
+       (n_2826));
+  sky130_fd_sc_hd__nand2_1 g441755(.A (n_2264), .B (n_2257), .Y
+       (n_2825));
+  sky130_fd_sc_hd__nor2_1 g441756(.A (n_1855), .B (n_2255), .Y
+       (n_2824));
+  sky130_fd_sc_hd__nor2_1 g441757(.A (n_1855), .B (n_2247), .Y
+       (n_2823));
+  sky130_fd_sc_hd__nor2_1 g441758(.A (n_1855), .B (n_2011), .Y
+       (n_2822));
+  sky130_fd_sc_hd__nor2_1 g441759(.A (n_1855), .B (n_2249), .Y
+       (n_2821));
+  sky130_fd_sc_hd__nor2_1 g441760(.A (n_1855), .B (n_2251), .Y
+       (n_2820));
+  sky130_fd_sc_hd__nor2_1 g441761(.A (n_1855), .B (n_2137), .Y
+       (n_2819));
+  sky130_fd_sc_hd__nand2_1 g441762(.A (n_2262), .B (n_2271), .Y
+       (n_2818));
+  sky130_fd_sc_hd__nand2_1 g441763(.A (n_2263), .B (n_2262), .Y
+       (n_2817));
+  sky130_fd_sc_hd__nand2_1 g441764(.A (n_2280), .B (n_2265), .Y
+       (n_2816));
+  sky130_fd_sc_hd__nand2_1 g441765(.A (n_2275), .B (n_2280), .Y
+       (n_2815));
+  sky130_fd_sc_hd__nand2_1 g441766(.A (n_2273), .B (n_2267), .Y
+       (n_2814));
+  sky130_fd_sc_hd__nand2_1 g441767(.A (n_2276), .B (n_2144), .Y
+       (n_2813));
+  sky130_fd_sc_hd__nand2_1 g441768(.A (n_2257), .B (n_2276), .Y
+       (n_2812));
+  sky130_fd_sc_hd__nand2_1 g441769(.A (n_2143), .B (n_2276), .Y
+       (n_2811));
+  sky130_fd_sc_hd__nand2_1 g441770(.A (n_2272), .B (n_2143), .Y
+       (n_2810));
+  sky130_fd_sc_hd__nand2_1 g441771(.A (n_2272), .B (n_2144), .Y
+       (n_2809));
+  sky130_fd_sc_hd__nand2_1 g441772(.A (n_2272), .B (n_2257), .Y
+       (n_2808));
+  sky130_fd_sc_hd__nand2_1 g441773(.A (n_2272), .B (n_2267), .Y
+       (n_2807));
+  sky130_fd_sc_hd__nand2_1 g441774(.A (n_2267), .B (n_2276), .Y
+       (n_2806));
+  sky130_fd_sc_hd__nor2_1 g441775(.A (n_1870), .B (n_2012), .Y
+       (n_2805));
+  sky130_fd_sc_hd__nand2_1 g441776(.A (n_2263), .B (n_2280), .Y
+       (n_2804));
+  sky130_fd_sc_hd__nand2_1 g441777(.A (n_2280), .B (n_2271), .Y
+       (n_2803));
+  sky130_fd_sc_hd__nor2_1 g441778(.A (n_1866), .B (n_2234), .Y
+       (n_2802));
+  sky130_fd_sc_hd__nand2_1 g441779(.A (n_2275), .B (n_2277), .Y
+       (n_2801));
+  sky130_fd_sc_hd__nand2_1 g441780(.A (n_2277), .B (n_2265), .Y
+       (n_2800));
+  sky130_fd_sc_hd__nand2_1 g441781(.A (n_2264), .B (n_2143), .Y
+       (n_2799));
+  sky130_fd_sc_hd__nand2_1 g441782(.A (n_2267), .B (n_2258), .Y
+       (n_2798));
+  sky130_fd_sc_hd__nand2_1 g441783(.A (n_2277), .B (n_2271), .Y
+       (n_2797));
+  sky130_fd_sc_hd__nand2_1 g441784(.A (n_2263), .B (n_2277), .Y
+       (n_2796));
+  sky130_fd_sc_hd__nor2_1 g441785(.A (n_1870), .B (n_2249), .Y
+       (n_2795));
+  sky130_fd_sc_hd__nor2_1 g441786(.A (n_1870), .B (n_2247), .Y
+       (n_2794));
+  sky130_fd_sc_hd__nor2_1 g441787(.A (n_1870), .B (n_2137), .Y
+       (n_2793));
+  sky130_fd_sc_hd__nor2_1 g441788(.A (n_1870), .B (n_2011), .Y
+       (n_2792));
+  sky130_fd_sc_hd__nor2_1 g441789(.A (n_1870), .B (n_2251), .Y
+       (n_2791));
+  sky130_fd_sc_hd__nor2_1 g441790(.A (n_1870), .B (n_2255), .Y
+       (n_2790));
+  sky130_fd_sc_hd__nand2_1 g441791(.A (n_2269), .B (n_2141), .Y
+       (n_2789));
+  sky130_fd_sc_hd__nand2_1 g441792(.A (n_2268), .B (n_2269), .Y
+       (n_2788));
+  sky130_fd_sc_hd__nor2_1 g441793(.A (n_1866), .B (n_2237), .Y
+       (n_2787));
+  sky130_fd_sc_hd__nand2_1 g441794(.A (n_2279), .B (n_2269), .Y
+       (n_2786));
+  sky130_fd_sc_hd__nand2_1 g441795(.A (n_2261), .B (n_2262), .Y
+       (n_2785));
+  sky130_fd_sc_hd__nand2_1 g441796(.A (n_2264), .B (n_2280), .Y
+       (n_2784));
+  sky130_fd_sc_hd__nand2_1 g441797(.A (n_2264), .B (n_2262), .Y
+       (n_2783));
+  sky130_fd_sc_hd__nand2_1 g441798(.A (n_2264), .B (n_2141), .Y
+       (n_2782));
+  sky130_fd_sc_hd__nand2_1 g441799(.A (n_2264), .B (n_2268), .Y
+       (n_2781));
+  sky130_fd_sc_hd__nand2_1 g441800(.A (n_2264), .B (n_2279), .Y
+       (n_2780));
+  sky130_fd_sc_hd__nand2_1 g441801(.A (n_2264), .B (n_2277), .Y
+       (n_2779));
+  sky130_fd_sc_hd__nand2_1 g441802(.A (n_2264), .B (n_2278), .Y
+       (n_2778));
+  sky130_fd_sc_hd__nand2_1 g441803(.A (n_2264), .B (n_2145), .Y
+       (n_2777));
+  sky130_fd_sc_hd__nand2_1 g441804(.A (n_2264), .B (n_2140), .Y
+       (n_2776));
+  sky130_fd_sc_hd__nand2_1 g441805(.A (n_2264), .B (n_2139), .Y
+       (n_2775));
+  sky130_fd_sc_hd__nand2_1 g441806(.A (n_2264), .B (n_2270), .Y
+       (n_2774));
+  sky130_fd_sc_hd__nand2_1 g441807(.A (n_2261), .B (n_2280), .Y
+       (n_2773));
+  sky130_fd_sc_hd__nor2_1 g441808(.A (n_1866), .B (n_2006), .Y
+       (n_2772));
+  sky130_fd_sc_hd__nand2_1 g441809(.A (n_2270), .B (n_2269), .Y
+       (n_2771));
+  sky130_fd_sc_hd__nor2_1 g441810(.A (n_1866), .B (n_2007), .Y
+       (n_2770));
+  sky130_fd_sc_hd__nor2_1 g441811(.A (n_1866), .B (n_2238), .Y
+       (n_2769));
+  sky130_fd_sc_hd__nor2_1 g441812(.A (n_1866), .B (n_2004), .Y
+       (n_2768));
+  sky130_fd_sc_hd__nand2_1 g441813(.A (n_2261), .B (n_2277), .Y
+       (n_2767));
+  sky130_fd_sc_hd__nand2_1 g441814(.A (n_2274), .B (n_2144), .Y
+       (n_2766));
+  sky130_fd_sc_hd__nand2_1 g441815(.A (n_2273), .B (n_2144), .Y
+       (n_2765));
+  sky130_fd_sc_hd__nand2_1 g441816(.A (n_2274), .B (n_2257), .Y
+       (n_2764));
+  sky130_fd_sc_hd__nand2_1 g441817(.A (n_2262), .B (n_2259), .Y
+       (n_2763));
+  sky130_fd_sc_hd__nand2_1 g441818(.A (n_2262), .B (n_2258), .Y
+       (n_2762));
+  sky130_fd_sc_hd__nand2_1 g441819(.A (n_2273), .B (n_2262), .Y
+       (n_2761));
+  sky130_fd_sc_hd__nand2_1 g441820(.A (n_2262), .B (n_2142), .Y
+       (n_2760));
+  sky130_fd_sc_hd__nand2_1 g441821(.A (n_2260), .B (n_2262), .Y
+       (n_2759));
+  sky130_fd_sc_hd__nand2_1 g441822(.A (n_2274), .B (n_2262), .Y
+       (n_2758));
+  sky130_fd_sc_hd__nand2_1 g441823(.A (n_2262), .B (n_2138), .Y
+       (n_2757));
+  sky130_fd_sc_hd__nand2_1 g441824(.A (n_2273), .B (n_2257), .Y
+       (n_2756));
+  sky130_fd_sc_hd__nand2_1 g441825(.A (n_2260), .B (n_2280), .Y
+       (n_2755));
+  sky130_fd_sc_hd__nand2_1 g441826(.A (n_2280), .B (n_2258), .Y
+       (n_2754));
+  sky130_fd_sc_hd__nand2_1 g441827(.A (n_2280), .B (n_2259), .Y
+       (n_2753));
+  sky130_fd_sc_hd__nand2_1 g441828(.A (n_2280), .B (n_2138), .Y
+       (n_2752));
+  sky130_fd_sc_hd__nand2_1 g441829(.A (n_2274), .B (n_2280), .Y
+       (n_2751));
+  sky130_fd_sc_hd__nand2_1 g441830(.A (n_2280), .B (n_2142), .Y
+       (n_2750));
+  sky130_fd_sc_hd__nand2_1 g441831(.A (n_2273), .B (n_2280), .Y
+       (n_2749));
+  sky130_fd_sc_hd__nand2_1 g441832(.A (n_2275), .B (n_2141), .Y
+       (n_2748));
+  sky130_fd_sc_hd__nand2_1 g441833(.A (n_2265), .B (n_2141), .Y
+       (n_2747));
+  sky130_fd_sc_hd__nand2_1 g441834(.A (n_2268), .B (n_2265), .Y
+       (n_2746));
+  sky130_fd_sc_hd__nand2_1 g441835(.A (n_2275), .B (n_2268), .Y
+       (n_2745));
+  sky130_fd_sc_hd__nand2_1 g441836(.A (n_2271), .B (n_2141), .Y
+       (n_2744));
+  sky130_fd_sc_hd__nand2_1 g441837(.A (n_2263), .B (n_2141), .Y
+       (n_2743));
+  sky130_fd_sc_hd__nand2_1 g441838(.A (n_2258), .B (n_2144), .Y
+       (n_2742));
+  sky130_fd_sc_hd__nand2_1 g441839(.A (n_2263), .B (n_2268), .Y
+       (n_2741));
+  sky130_fd_sc_hd__nand2_1 g441840(.A (n_2268), .B (n_2271), .Y
+       (n_2740));
+  sky130_fd_sc_hd__nand2_1 g441841(.A (n_2275), .B (n_2279), .Y
+       (n_2739));
+  sky130_fd_sc_hd__nand2_1 g441842(.A (n_2279), .B (n_2265), .Y
+       (n_2738));
+  sky130_fd_sc_hd__nand2_1 g441843(.A (n_2274), .B (n_2143), .Y
+       (n_2737));
+  sky130_fd_sc_hd__nand2_1 g441844(.A (n_2278), .B (n_2269), .Y
+       (n_2736));
+  sky130_fd_sc_hd__nand2_1 g441845(.A (n_2267), .B (n_2142), .Y
+       (n_2735));
+  sky130_fd_sc_hd__nand2_1 g441846(.A (n_2257), .B (n_2258), .Y
+       (n_2734));
+  sky130_fd_sc_hd__nand2_1 g441847(.A (n_2277), .B (n_2138), .Y
+       (n_2733));
+  sky130_fd_sc_hd__nand2_1 g441848(.A (n_2277), .B (n_2142), .Y
+       (n_2732));
+  sky130_fd_sc_hd__nand2_1 g441849(.A (n_2277), .B (n_2258), .Y
+       (n_2731));
+  sky130_fd_sc_hd__nand2_1 g441850(.A (n_2273), .B (n_2277), .Y
+       (n_2730));
+  sky130_fd_sc_hd__nand2_1 g441851(.A (n_2274), .B (n_2277), .Y
+       (n_2729));
+  sky130_fd_sc_hd__nand2_1 g441852(.A (n_2277), .B (n_2259), .Y
+       (n_2728));
+  sky130_fd_sc_hd__nand2_1 g441853(.A (n_2260), .B (n_2277), .Y
+       (n_2727));
+  sky130_fd_sc_hd__nand2_1 g441854(.A (n_2263), .B (n_2279), .Y
+       (n_2726));
+  sky130_fd_sc_hd__nand2_1 g441855(.A (n_2279), .B (n_2271), .Y
+       (n_2725));
+  sky130_fd_sc_hd__nand2_1 g441856(.A (n_2273), .B (n_2143), .Y
+       (n_2724));
+  sky130_fd_sc_hd__nor2_1 g441857(.A (n_1861), .B (n_2239), .Y
+       (n_2723));
+  sky130_fd_sc_hd__nand2_1 g441858(.A (n_2267), .B (n_2138), .Y
+       (n_2722));
+  sky130_fd_sc_hd__nand2_1 g441859(.A (n_2269), .B (n_2139), .Y
+       (n_2721));
+  sky130_fd_sc_hd__nand2_1 g441860(.A (n_2270), .B (n_2265), .Y
+       (n_2720));
+  sky130_fd_sc_hd__nand2_1 g441861(.A (n_2275), .B (n_2270), .Y
+       (n_2719));
+  sky130_fd_sc_hd__nand2_1 g441862(.A (n_2263), .B (n_2270), .Y
+       (n_2718));
+  sky130_fd_sc_hd__nand2_1 g441863(.A (n_2270), .B (n_2271), .Y
+       (n_2717));
+  sky130_fd_sc_hd__nand2_1 g441864(.A (n_2143), .B (n_2258), .Y
+       (n_2716));
+  sky130_fd_sc_hd__nand2_1 g441865(.A (n_2274), .B (n_2141), .Y
+       (n_2715));
+  sky130_fd_sc_hd__nand2_1 g441866(.A (n_2274), .B (n_2270), .Y
+       (n_2714));
+  sky130_fd_sc_hd__nand2_1 g441867(.A (n_2274), .B (n_2278), .Y
+       (n_2713));
+  sky130_fd_sc_hd__nand2_1 g441868(.A (n_2274), .B (n_2279), .Y
+       (n_2712));
+  sky130_fd_sc_hd__nand2_1 g441869(.A (n_2274), .B (n_2139), .Y
+       (n_2711));
+  sky130_fd_sc_hd__nand2_1 g441870(.A (n_2274), .B (n_2140), .Y
+       (n_2710));
+  sky130_fd_sc_hd__nand2_1 g441871(.A (n_2274), .B (n_2145), .Y
+       (n_2709));
+  sky130_fd_sc_hd__nand2_1 g441872(.A (n_2274), .B (n_2268), .Y
+       (n_2708));
+  sky130_fd_sc_hd__nor2_1 g441873(.A (n_1861), .B (n_2234), .Y
+       (n_2707));
+  sky130_fd_sc_hd__nor2_1 g441874(.A (n_1862), .B (n_2247), .Y
+       (n_2706));
+  sky130_fd_sc_hd__nand2_1 g441875(.A (n_2269), .B (n_2145), .Y
+       (n_2705));
+  sky130_fd_sc_hd__nand2_1 g441876(.A (n_2140), .B (n_2269), .Y
+       (n_2704));
+  sky130_fd_sc_hd__nand2_1 g441877(.A (n_2273), .B (n_2279), .Y
+       (n_2703));
+  sky130_fd_sc_hd__nand2_1 g441878(.A (n_2273), .B (n_2140), .Y
+       (n_2702));
+  sky130_fd_sc_hd__nand2_1 g441879(.A (n_2273), .B (n_2278), .Y
+       (n_2701));
+  sky130_fd_sc_hd__nand2_1 g441880(.A (n_2273), .B (n_2145), .Y
+       (n_2700));
+  sky130_fd_sc_hd__nand2_1 g441881(.A (n_2273), .B (n_2141), .Y
+       (n_2699));
+  sky130_fd_sc_hd__nand2_1 g441882(.A (n_2273), .B (n_2268), .Y
+       (n_2698));
+  sky130_fd_sc_hd__nand2_1 g441883(.A (n_2273), .B (n_2270), .Y
+       (n_2697));
+  sky130_fd_sc_hd__nand2_1 g441884(.A (n_2273), .B (n_2139), .Y
+       (n_2696));
+  sky130_fd_sc_hd__nand2_1 g441885(.A (n_2261), .B (n_2141), .Y
+       (n_2695));
+  sky130_fd_sc_hd__nand2_1 g441886(.A (n_2261), .B (n_2268), .Y
+       (n_2694));
+  sky130_fd_sc_hd__nand2_1 g441887(.A (n_2261), .B (n_2279), .Y
+       (n_2693));
+  sky130_fd_sc_hd__nor2_1 g441888(.A (n_1861), .B (n_2237), .Y
+       (n_2692));
+  sky130_fd_sc_hd__nor2_1 g441889(.A (n_1862), .B (n_2249), .Y
+       (n_2691));
+  sky130_fd_sc_hd__nand2_1 g441890(.A (n_2258), .B (n_2139), .Y
+       (n_2690));
+  sky130_fd_sc_hd__nand2_1 g441891(.A (n_2140), .B (n_2258), .Y
+       (n_2689));
+  sky130_fd_sc_hd__nand2_1 g441892(.A (n_2258), .B (n_2141), .Y
+       (n_2688));
+  sky130_fd_sc_hd__nand2_1 g441893(.A (n_2268), .B (n_2258), .Y
+       (n_2687));
+  sky130_fd_sc_hd__nand2_1 g441894(.A (n_2279), .B (n_2258), .Y
+       (n_2686));
+  sky130_fd_sc_hd__nand2_1 g441895(.A (n_2278), .B (n_2258), .Y
+       (n_2685));
+  sky130_fd_sc_hd__nand2_1 g441896(.A (n_2258), .B (n_2145), .Y
+       (n_2684));
+  sky130_fd_sc_hd__nor2_1 g441901(.A (n_1776), .B (n_2284), .Y
+       (n_2683));
+  sky130_fd_sc_hd__nand2_1 g441902(.A (n_2169), .B (n_1553), .Y
+       (n_2681));
+  sky130_fd_sc_hd__nand2_1 g441903(.A (n_1223), .B (n_2243), .Y
+       (n_2680));
+  sky130_fd_sc_hd__nand2_1 g441904(.A (n_1223), .B (n_2244), .Y
+       (n_2678));
+  sky130_fd_sc_hd__nand2_1 g441905(.A (n_1223), .B (n_2241), .Y
+       (n_2676));
+  sky130_fd_sc_hd__nand2_1 g441906(.A (n_1223), .B (n_2242), .Y
+       (n_2674));
+  sky130_fd_sc_hd__clkinv_1 g441908(.A (n_2600), .Y (n_2601));
+  sky130_fd_sc_hd__inv_1 g441909(.A (n_2563), .Y (n_2564));
+  sky130_fd_sc_hd__inv_1 g441910(.A (n_2542), .Y (n_2541));
+  sky130_fd_sc_hd__clkinv_1 g441911(.A (n_2540), .Y (n_2539));
+  sky130_fd_sc_hd__inv_2 g441912(.A (n_2538), .Y (n_2537));
+  sky130_fd_sc_hd__inv_2 g441913(.A (n_2420), .Y (n_2419));
+  sky130_fd_sc_hd__inv_2 g441914(.A (n_2418), .Y (n_2417));
+  sky130_fd_sc_hd__inv_2 g441915(.A (n_2414), .Y (n_2413));
+  sky130_fd_sc_hd__a22oi_1 g441916(.A1 (n_1749), .A2
+       (u_soc_u_top_u_core_csr_mtvec[12]), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[12]), .Y (n_2410));
+  sky130_fd_sc_hd__a22o_1 g441917(.A1 (n_1881), .A2
+       (u_soc_u_iccm_rdata2[9]), .B1 (n_1877), .B2
+       (u_soc_u_iccm_rdata1[9]), .X (n_2409));
+  sky130_fd_sc_hd__a22oi_1 g441918(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[20]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[20]), .Y (n_2408));
+  sky130_fd_sc_hd__nand2_1 g441919(.A (n_2289), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_2407));
+  sky130_fd_sc_hd__a22oi_1 g441920(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[27]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[27]), .Y (n_2406));
+  sky130_fd_sc_hd__nor3_1 g441921(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .B (n_1510), .C
+       (n_2305), .Y (n_2405));
+  sky130_fd_sc_hd__a22oi_1 g441922(.A1 (n_1852), .A2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[21]), .B1
+       (n_1753), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]), .Y (n_2404));
+  sky130_fd_sc_hd__a22oi_1 g441923(.A1 (n_1852), .A2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[17]), .B1
+       (n_1753), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]), .Y (n_2403));
+  sky130_fd_sc_hd__a21oi_1 g441924(.A1 (n_1742), .A2 (n_1617), .B1
+       (n_1927), .Y (n_2402));
+  sky130_fd_sc_hd__a22o_1 g441925(.A1 (n_1741), .A2 (n_1479), .B1
+       (n_1742), .B2 (n_1582), .X (n_2401));
+  sky130_fd_sc_hd__a22oi_1 g441926(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[28]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[28]), .Y (n_2400));
+  sky130_fd_sc_hd__a21oi_1 g441927(.A1 (n_1742), .A2 (n_1602), .B1
+       (n_1930), .Y (n_2399));
+  sky130_fd_sc_hd__a22oi_1 g441928(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[12]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[12]), .Y (n_2398));
+  sky130_fd_sc_hd__a21oi_1 g441929(.A1 (n_1759), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .B1 (n_522), .Y (n_2397));
+  sky130_fd_sc_hd__o31ai_1 g441930(.A1 (n_1047), .A2 (n_1461), .A3
+       (n_1541), .B1 (n_1729), .Y (n_2396));
+  sky130_fd_sc_hd__a22o_1 g441931(.A1 (n_1881), .A2
+       (u_soc_u_iccm_rdata2[11]), .B1 (n_1877), .B2
+       (u_soc_u_iccm_rdata1[11]), .X (n_2395));
+  sky130_fd_sc_hd__o21ai_1 g441932(.A1 (n_1696), .A2 (n_1541), .B1
+       (n_1713), .Y (n_2394));
+  sky130_fd_sc_hd__o21ai_1 g441933(.A1 (n_1700), .A2 (n_1541), .B1
+       (n_1725), .Y (n_2393));
+  sky130_fd_sc_hd__a22oi_1 g441934(.A1 (n_1749), .A2
+       (u_soc_u_top_u_core_csr_mtvec[17]), .B1 (n_1413), .B2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]), .Y
+       (n_2392));
+  sky130_fd_sc_hd__a21o_1 g441935(.A1 (n_1068), .A2 (n_587), .B1
+       (n_2282), .X (n_2391));
+  sky130_fd_sc_hd__a21oi_1 g441936(.A1 (n_1749), .A2
+       (u_soc_u_top_u_core_csr_mtvec[11]), .B1 (n_1699), .Y (n_2390));
+  sky130_fd_sc_hd__o211ai_1 g441937(.A1 (n_1096), .A2 (n_1424), .B1
+       (n_1064), .C1 (n_1830), .Y (n_2389));
+  sky130_fd_sc_hd__a22o_1 g441938(.A1 (n_1881), .A2
+       (u_soc_u_iccm_rdata2[13]), .B1 (n_1877), .B2
+       (u_soc_u_iccm_rdata1[13]), .X (n_2388));
+  sky130_fd_sc_hd__o21ai_1 g441939(.A1 (n_1730), .A2 (n_1541), .B1
+       (n_1723), .Y (n_2387));
+  sky130_fd_sc_hd__o21a_1 g441940(.A1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .A2 (n_1921), .B1 (n_2296), .X (n_2386));
+  sky130_fd_sc_hd__o21a_1 g441941(.A1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .A2 (n_1920), .B1 (n_2299), .X (n_2385));
+  sky130_fd_sc_hd__a22oi_1 g441942(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[22]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[22]), .Y (n_2384));
+  sky130_fd_sc_hd__a22oi_1 g441943(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[17]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[17]), .Y (n_2383));
+  sky130_fd_sc_hd__or4_1 g441944(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .C (n_1428), .D
+       (n_13473), .X (n_2382));
+  sky130_fd_sc_hd__a22o_1 g441945(.A1 (n_1875), .A2
+       (u_soc_u_iccm_rdata2[24]), .B1 (n_1879), .B2
+       (u_soc_u_iccm_rdata1[24]), .X (n_2381));
+  sky130_fd_sc_hd__o311ai_1 g441946(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .A2 (n_511), .A3 (n_1246), .B1 (n_1062), .C1 (n_1478), .Y
+       (n_2380));
+  sky130_fd_sc_hd__a222oi_1 g441947(.A1
+       (u_soc_u_top_u_core_cs_registers_i_mcause_q[4]), .A2 (n_1527),
+       .B1 (n_1516), .B2 (u_soc_u_top_u_core_csr_depc[4]), .C1
+       (n_1513), .C2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[4]), .Y (n_2379));
+  sky130_fd_sc_hd__nand2_1 g441948(.A (n_2294), .B (n_1474), .Y
+       (n_2378));
+  sky130_fd_sc_hd__o21ai_1 g441949(.A1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .A2 (n_1082), .B1 (n_2294), .Y (n_2377));
+  sky130_fd_sc_hd__o21ai_1 g441950(.A1 (n_534), .A2 (n_1908), .B1
+       (n_1054), .Y (n_2376));
+  sky130_fd_sc_hd__a22o_1 g441951(.A1 (n_1883), .A2
+       (u_soc_u_iccm_rdata4[25]), .B1 (n_1879), .B2
+       (u_soc_u_iccm_rdata1[25]), .X (n_2375));
+  sky130_fd_sc_hd__a22o_1 g441952(.A1 (n_1881), .A2
+       (u_soc_u_iccm_rdata2[10]), .B1 (n_1877), .B2
+       (u_soc_u_iccm_rdata1[10]), .X (n_2374));
+  sky130_fd_sc_hd__nand2_1 g441953(.A (n_1434), .B (n_1966), .Y
+       (n_2373));
+  sky130_fd_sc_hd__o22ai_1 g441954(.A1
+       (u_soc_u_top_u_core_alu_operator_ex[3]), .A2 (n_1739), .B1
+       (u_soc_u_top_u_core_alu_operator_ex[2]), .B2 (n_1685), .Y
+       (n_2372));
+  sky130_fd_sc_hd__a22oi_1 g441955(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[8]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[8]), .Y (n_2371));
+  sky130_fd_sc_hd__a22oi_1 g441956(.A1 (n_1741), .A2 (n_1582), .B1
+       (n_1742), .B2 (n_1608), .Y (n_2370));
+  sky130_fd_sc_hd__a22oi_1 g441957(.A1 (n_1741), .A2 (n_1616), .B1
+       (n_1742), .B2 (n_1615), .Y (n_2369));
+  sky130_fd_sc_hd__a22oi_1 g441958(.A1 (n_1852), .A2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[23]), .B1
+       (n_1753), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]), .Y (n_2368));
+  sky130_fd_sc_hd__a22o_1 g441959(.A1 (n_1741), .A2 (n_1602), .B1
+       (n_1742), .B2 (n_1580), .X (n_2367));
+  sky130_fd_sc_hd__a22oi_1 g441960(.A1 (n_1852), .A2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[20]), .B1
+       (n_1753), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]), .Y (n_2366));
+  sky130_fd_sc_hd__a22o_1 g441961(.A1 (n_1741), .A2 (n_1617), .B1
+       (n_1742), .B2 (n_1595), .X (n_2365));
+  sky130_fd_sc_hd__o221ai_1 g441962(.A1 (n_494), .A2 (n_1427), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .B2 (n_1426), .C1
+       (n_1385), .Y (n_2364));
+  sky130_fd_sc_hd__a22o_1 g441963(.A1 (n_1875), .A2
+       (u_soc_u_iccm_rdata2[28]), .B1 (n_1879), .B2
+       (u_soc_u_iccm_rdata1[28]), .X (n_2363));
+  sky130_fd_sc_hd__a22o_1 g441964(.A1 (n_1883), .A2
+       (u_soc_u_iccm_rdata4[27]), .B1 (n_1879), .B2
+       (u_soc_u_iccm_rdata1[27]), .X (n_2362));
+  sky130_fd_sc_hd__a22oi_1 g441965(.A1 (n_1852), .A2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[22]), .B1
+       (n_1753), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]), .Y (n_2361));
+  sky130_fd_sc_hd__a22o_1 g441966(.A1 (n_1875), .A2
+       (u_soc_u_iccm_rdata2[26]), .B1 (n_1879), .B2
+       (u_soc_u_iccm_rdata1[26]), .X (n_2360));
+  sky130_fd_sc_hd__nor3_1 g441967(.A
+       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .B (n_1491), .C
+       (n_1445), .Y (n_2359));
+  sky130_fd_sc_hd__a2bb2oi_1 g441968(.A1_N
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[1]), .A2_N (n_1089),
+       .B1 (u_soc_u_uart_u_uart_core_rx_buffer_size[1]), .B2 (n_1089),
+       .Y (n_2358));
+  sky130_fd_sc_hd__a22oi_1 g441969(.A1 (n_1749), .A2
+       (u_soc_u_top_u_core_csr_mtvec[13]), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[13]), .Y (n_2357));
+  sky130_fd_sc_hd__a22oi_1 g441970(.A1 (n_1745), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [31]), .B1 (n_13380), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[31]), .Y (n_2356));
+  sky130_fd_sc_hd__a22oi_1 g441971(.A1 (n_1749), .A2
+       (u_soc_u_top_u_core_csr_mtvec[21]), .B1 (n_1413), .B2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]), .Y
+       (n_2355));
+  sky130_fd_sc_hd__a22o_1 g441972(.A1 (n_1881), .A2
+       (u_soc_u_iccm_rdata2[15]), .B1 (n_1877), .B2
+       (u_soc_u_iccm_rdata1[15]), .X (n_2354));
+  sky130_fd_sc_hd__a22o_1 g441973(.A1 (n_1875), .A2
+       (u_soc_u_iccm_rdata2[31]), .B1 (n_1879), .B2
+       (u_soc_u_iccm_rdata1[31]), .X (n_2353));
+  sky130_fd_sc_hd__a22o_1 g441974(.A1 (n_1875), .A2
+       (u_soc_u_iccm_rdata2[29]), .B1 (n_1879), .B2
+       (u_soc_u_iccm_rdata1[29]), .X (n_2352));
+  sky130_fd_sc_hd__a22oi_1 g441975(.A1 (n_1852), .A2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[16]), .B1
+       (n_1753), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]), .Y (n_2351));
+  sky130_fd_sc_hd__a22oi_1 g441976(.A1 (n_1852), .A2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[18]), .B1
+       (n_1753), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]), .Y (n_2350));
+  sky130_fd_sc_hd__a22o_1 g441977(.A1 (n_1881), .A2
+       (u_soc_u_iccm_rdata2[12]), .B1 (n_1877), .B2
+       (u_soc_u_iccm_rdata1[12]), .X (n_2349));
+  sky130_fd_sc_hd__a22o_1 g441978(.A1 (n_1875), .A2
+       (u_soc_u_iccm_rdata2[30]), .B1 (n_1879), .B2
+       (u_soc_u_iccm_rdata1[30]), .X (n_2348));
+  sky130_fd_sc_hd__a22o_1 g441979(.A1 (n_1881), .A2
+       (u_soc_u_iccm_rdata2[8]), .B1 (n_1877), .B2
+       (u_soc_u_iccm_rdata1[8]), .X (n_2347));
+  sky130_fd_sc_hd__a22oi_1 g441980(.A1 (n_1749), .A2
+       (u_soc_u_top_u_core_csr_mtvec[8]), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[8]), .Y (n_2346));
+  sky130_fd_sc_hd__a22o_1 g441981(.A1 (n_1881), .A2
+       (u_soc_u_iccm_rdata2[14]), .B1 (n_1877), .B2
+       (u_soc_u_iccm_rdata1[14]), .X (n_2345));
+  sky130_fd_sc_hd__a22oi_1 g441982(.A1 (n_1852), .A2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]), .B1
+       (n_1849), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[16]), .Y (n_2344));
+  sky130_fd_sc_hd__a22oi_1 g441983(.A1 (n_1852), .A2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[19]), .B1
+       (n_1753), .B2
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]), .Y (n_2343));
+  sky130_fd_sc_hd__a22oi_1 g441984(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[5]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[5]), .Y (n_2342));
+  sky130_fd_sc_hd__a22oi_1 g441985(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[6]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[6]), .Y (n_2341));
+  sky130_fd_sc_hd__a22oi_1 g441986(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[9]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[9]), .Y (n_2340));
+  sky130_fd_sc_hd__a22oi_1 g441987(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[10]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[10]), .Y (n_2339));
+  sky130_fd_sc_hd__a22oi_1 g441988(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[11]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[11]), .Y (n_2338));
+  sky130_fd_sc_hd__a22oi_1 g441989(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[13]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[13]), .Y (n_2337));
+  sky130_fd_sc_hd__a22oi_1 g441990(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[14]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[14]), .Y (n_2336));
+  sky130_fd_sc_hd__a22oi_1 g441991(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[15]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[15]), .Y (n_2335));
+  sky130_fd_sc_hd__a22oi_1 g441992(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[16]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[16]), .Y (n_2334));
+  sky130_fd_sc_hd__a22oi_1 g441993(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[18]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[18]), .Y (n_2333));
+  sky130_fd_sc_hd__a22oi_1 g441994(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[19]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[19]), .Y (n_2332));
+  sky130_fd_sc_hd__a22oi_1 g441995(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[21]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[21]), .Y (n_2331));
+  sky130_fd_sc_hd__a22oi_1 g441996(.A1 (n_1746), .A2
+       (\u_soc_xbar_to_dccm[a_address] [7]), .B1 (n_13380), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[8]), .Y (n_2330));
+  sky130_fd_sc_hd__a22oi_1 g441997(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[23]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[23]), .Y (n_2329));
+  sky130_fd_sc_hd__a22oi_1 g441998(.A1 (n_1746), .A2
+       (\u_soc_xbar_to_dccm[a_address] [12]), .B1 (n_13380), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[13]), .Y (n_2328));
+  sky130_fd_sc_hd__a22oi_1 g441999(.A1 (n_1746), .A2
+       (\u_soc_xbar_to_dccm[a_address] [8]), .B1 (n_13380), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[9]), .Y (n_2327));
+  sky130_fd_sc_hd__a22oi_1 g442000(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[24]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[24]), .Y (n_2326));
+  sky130_fd_sc_hd__a22oi_1 g442001(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[25]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[25]), .Y (n_2325));
+  sky130_fd_sc_hd__a22oi_1 g442002(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[26]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[26]), .Y (n_2324));
+  sky130_fd_sc_hd__a22oi_1 g442003(.A1 (n_1746), .A2
+       (\u_soc_xbar_to_dccm[a_address] [9]), .B1 (n_13380), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[10]), .Y (n_2323));
+  sky130_fd_sc_hd__a22oi_1 g442004(.A1 (n_1746), .A2
+       (\u_soc_xbar_to_dccm[a_address] [10]), .B1 (n_13380), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[11]), .Y (n_2322));
+  sky130_fd_sc_hd__a22oi_1 g442005(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[29]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[29]), .Y (n_2321));
+  sky130_fd_sc_hd__a22oi_1 g442006(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[30]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[30]), .Y (n_2320));
+  sky130_fd_sc_hd__a22o_1 g442007(.A1 (n_1760), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [1]), .B1 (n_1757), .B2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
+       [1]), .X (n_2319));
+  sky130_fd_sc_hd__a22oi_1 g442008(.A1 (n_1746), .A2
+       (\u_soc_xbar_to_dccm[a_address] [11]), .B1 (n_13380), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[12]), .Y (n_2318));
+  sky130_fd_sc_hd__a22o_1 g442009(.A1 (n_1760), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [2]), .B1 (n_1757), .B2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
+       [2]), .X (n_2317));
+  sky130_fd_sc_hd__a22oi_1 g442010(.A1 (n_1746), .A2
+       (\u_soc_lsu_to_xbar[a_address] [25]), .B1 (n_13380), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[26]), .Y (n_2316));
+  sky130_fd_sc_hd__a22oi_1 g442011(.A1 (n_1745), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [27]), .B1 (n_13380), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[27]), .Y (n_2315));
+  sky130_fd_sc_hd__a22oi_1 g442012(.A1 (n_1746), .A2
+       (\u_soc_lsu_to_xbar[a_address] [27]), .B1 (n_13380), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[28]), .Y (n_2314));
+  sky130_fd_sc_hd__a22oi_1 g442013(.A1 (n_1746), .A2
+       (\u_soc_lsu_to_xbar[a_address] [28]), .B1 (n_13380), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[29]), .Y (n_2313));
+  sky130_fd_sc_hd__a22oi_1 g442014(.A1 (n_1745), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [30]), .B1 (n_13380), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[30]), .Y (n_2312));
+  sky130_fd_sc_hd__a22oi_1 g442015(.A1 (n_1850), .A2
+       (u_soc_u_top_u_core_pc_id[7]), .B1 (n_1851), .B2
+       (u_soc_u_top_u_core_pc_if[7]), .Y (n_2311));
+  sky130_fd_sc_hd__a22o_1 g442016(.A1 (n_1760), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [4]), .B1 (n_1757), .B2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
+       [4]), .X (n_2310));
+  sky130_fd_sc_hd__a22o_1 g442017(.A1 (n_1760), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [3]), .B1 (n_1757), .B2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3]
+       [3]), .X (n_2309));
+  sky130_fd_sc_hd__a22oi_1 g442018(.A1 (n_1745), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [25]), .B1 (n_13380), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[25]), .Y (n_2308));
+  sky130_fd_sc_hd__a22oi_1 g442019(.A1 (n_1741), .A2 (n_1570), .B1
+       (n_1742), .B2 (n_1568), .Y (n_2610));
+  sky130_fd_sc_hd__a22oi_1 g442020(.A1 (n_1741), .A2 (n_1587), .B1
+       (n_1742), .B2 (n_1623), .Y (n_2609));
+  sky130_fd_sc_hd__nor3_1 g442021(.A (n_1052), .B (n_1742), .C
+       (n_1744), .Y (n_2608));
+  sky130_fd_sc_hd__nor3b_1 g442023(.A
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[2]), .B (n_1446),
+       .C_N (n_2160), .Y (n_2605));
+  sky130_fd_sc_hd__o21ai_1 g442024(.A1 (n_13352), .A2 (n_1782), .B1
+       (n_13371), .Y (n_2604));
+  sky130_fd_sc_hd__nand3_1 g442025(.A (n_1743), .B (n_1741), .C
+       (n_1548), .Y (n_2603));
+  sky130_fd_sc_hd__a22oi_1 g442026(.A1 (n_1741), .A2 (n_1572), .B1
+       (n_1742), .B2 (n_1570), .Y (n_2602));
+  sky130_fd_sc_hd__o21ai_1 g442027(.A1 (n_1457), .A2 (n_1742), .B1
+       (n_2167), .Y (n_2600));
+  sky130_fd_sc_hd__a22oi_1 g442028(.A1 (n_1741), .A2 (n_1584), .B1
+       (n_1742), .B2 (n_1586), .Y (n_2599));
+  sky130_fd_sc_hd__a22oi_1 g442029(.A1 (n_1741), .A2 (n_1620), .B1
+       (n_1742), .B2 (n_1574), .Y (n_2598));
+  sky130_fd_sc_hd__a22oi_1 g442030(.A1 (n_1741), .A2 (n_1615), .B1
+       (n_1742), .B2 (n_1622), .Y (n_2597));
+  sky130_fd_sc_hd__a22oi_1 g442031(.A1 (n_1741), .A2 (n_1566), .B1
+       (n_1742), .B2 (n_1583), .Y (n_2596));
+  sky130_fd_sc_hd__a22oi_1 g442032(.A1 (n_1741), .A2 (n_1613), .B1
+       (n_1742), .B2 (n_1591), .Y (n_2595));
+  sky130_fd_sc_hd__a22oi_1 g442033(.A1 (n_1741), .A2 (n_1606), .B1
+       (n_1742), .B2 (n_1611), .Y (n_2594));
+  sky130_fd_sc_hd__a22oi_1 g442034(.A1 (n_1741), .A2 (n_1623), .B1
+       (n_1742), .B2 (n_1601), .Y (n_2593));
+  sky130_fd_sc_hd__a22oi_1 g442035(.A1 (n_1741), .A2 (n_1589), .B1
+       (n_1742), .B2 (n_1610), .Y (n_2592));
+  sky130_fd_sc_hd__a22oi_1 g442036(.A1 (n_1742), .A2 (n_15925), .B1
+       (n_1741), .B2 (n_1609), .Y (n_2591));
+  sky130_fd_sc_hd__a22oi_1 g442037(.A1 (n_1741), .A2 (n_1588), .B1
+       (n_1742), .B2 (n_1587), .Y (n_2590));
+  sky130_fd_sc_hd__a22oi_1 g442038(.A1 (n_1741), .A2 (n_1604), .B1
+       (n_1742), .B2 (n_1607), .Y (n_2589));
+  sky130_fd_sc_hd__a22oi_1 g442039(.A1 (n_1741), .A2 (n_1614), .B1
+       (n_1742), .B2 (n_1579), .Y (n_2588));
+  sky130_fd_sc_hd__a22oi_1 g442040(.A1 (n_1741), .A2 (n_1583), .B1
+       (n_1742), .B2 (n_1618), .Y (n_2587));
+  sky130_fd_sc_hd__a22oi_1 g442041(.A1 (n_1741), .A2 (n_1591), .B1
+       (n_1742), .B2 (n_1566), .Y (n_2586));
+  sky130_fd_sc_hd__a22oi_1 g442042(.A1 (n_1741), .A2 (n_1579), .B1
+       (n_1742), .B2 (n_1456), .Y (n_2585));
+  sky130_fd_sc_hd__a22oi_1 g442043(.A1 (n_1741), .A2 (n_1622), .B1
+       (n_1742), .B2 (n_1620), .Y (n_2584));
+  sky130_fd_sc_hd__nand3_1 g442044(.A (n_1778), .B (n_13325), .C
+       (n_641), .Y (n_2583));
+  sky130_fd_sc_hd__a22oi_1 g442045(.A1 (n_1741), .A2 (n_1574), .B1
+       (n_1742), .B2 (n_1584), .Y (n_2582));
+  sky130_fd_sc_hd__a22o_1 g442046(.A1 (n_1741), .A2 (n_1595), .B1
+       (n_1742), .B2 (n_1600), .X (n_2581));
+  sky130_fd_sc_hd__a22oi_1 g442047(.A1 (n_1741), .A2 (n_1611), .B1
+       (n_1742), .B2 (n_1589), .Y (n_2580));
+  sky130_fd_sc_hd__a22oi_1 g442048(.A1 (n_1741), .A2 (n_1601), .B1
+       (n_1742), .B2 (n_1606), .Y (n_2579));
+  sky130_fd_sc_hd__a22oi_1 g442049(.A1 (n_1741), .A2 (n_1610), .B1
+       (n_1742), .B2 (n_1609), .Y (n_2578));
+  sky130_fd_sc_hd__a22oi_1 g442050(.A1 (n_1741), .A2 (n_1621), .B1
+       (n_1742), .B2 (n_1604), .Y (n_2577));
+  sky130_fd_sc_hd__a22oi_1 g442051(.A1 (n_1741), .A2 (n_1598), .B1
+       (n_1742), .B2 (n_1596), .Y (n_2576));
+  sky130_fd_sc_hd__a22oi_1 g442052(.A1 (n_1741), .A2 (n_1573), .B1
+       (n_1742), .B2 (n_1598), .Y (n_2575));
+  sky130_fd_sc_hd__and2_1 g442053(.A (n_2169), .B (n_1427), .X
+       (n_2307));
+  sky130_fd_sc_hd__a22oi_1 g442054(.A1 (n_1741), .A2 (n_1569), .B1
+       (n_1742), .B2 (n_1573), .Y (n_2574));
+  sky130_fd_sc_hd__a22oi_1 g442055(.A1 (n_1741), .A2 (n_1571), .B1
+       (n_1742), .B2 (n_1569), .Y (n_2573));
+  sky130_fd_sc_hd__a22oi_1 g442056(.A1 (n_1741), .A2 (n_1576), .B1
+       (n_1742), .B2 (n_1575), .Y (n_2572));
+  sky130_fd_sc_hd__a22oi_1 g442057(.A1 (n_1741), .A2 (n_1593), .B1
+       (n_1742), .B2 (n_1605), .Y (n_2571));
+  sky130_fd_sc_hd__a22oi_1 g442058(.A1 (n_1741), .A2 (n_1590), .B1
+       (n_1742), .B2 (n_1592), .Y (n_2570));
+  sky130_fd_sc_hd__a22oi_1 g442059(.A1 (n_1741), .A2 (n_1577), .B1
+       (n_1742), .B2 (n_1593), .Y (n_2569));
+  sky130_fd_sc_hd__a22oi_1 g442060(.A1 (n_1741), .A2 (n_1625), .B1
+       (n_1742), .B2 (n_1578), .Y (n_2568));
+  sky130_fd_sc_hd__a22o_1 g442061(.A1 (n_1741), .A2 (n_1603), .B1
+       (n_1742), .B2 (n_1581), .X (n_2567));
+  sky130_fd_sc_hd__a22o_1 g442062(.A1 (n_1741), .A2 (n_1600), .B1
+       (n_1742), .B2 (n_1625), .X (n_2566));
+  sky130_fd_sc_hd__a22o_1 g442063(.A1 (n_1741), .A2 (n_1580), .B1
+       (n_1742), .B2 (n_1603), .X (n_2565));
+  sky130_fd_sc_hd__nand3b_1 g442064(.A_N (n_1909), .B (n_1432), .C
+       (io_out[37]), .Y (n_2306));
+  sky130_fd_sc_hd__a22oi_1 g442065(.A1 (n_1754), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [0]), .B1 (n_1755), .B2
+       (n_16012), .Y (n_2563));
+  sky130_fd_sc_hd__a22oi_1 g442066(.A1 (n_1741), .A2 (n_1599), .B1
+       (n_1742), .B2 (n_1619), .Y (n_2562));
+  sky130_fd_sc_hd__a22o_1 g442067(.A1 (n_1741), .A2 (n_1608), .B1
+       (n_1742), .B2 (n_1621), .X (n_2561));
+  sky130_fd_sc_hd__a22oi_1 g442068(.A1 (n_1741), .A2 (n_1586), .B1
+       (n_1742), .B2 (n_1613), .Y (n_2560));
+  sky130_fd_sc_hd__a22oi_1 g442069(.A1 (n_1741), .A2 (n_1618), .B1
+       (n_1742), .B2 (n_1614), .Y (n_2559));
+  sky130_fd_sc_hd__a22oi_1 g442070(.A1 (n_1741), .A2 (n_1578), .B1
+       (n_1742), .B2 (n_1590), .Y (n_2558));
+  sky130_fd_sc_hd__a22oi_1 g442071(.A1 (n_1741), .A2 (n_1581), .B1
+       (n_1742), .B2 (n_1577), .Y (n_2557));
+  sky130_fd_sc_hd__a22oi_1 g442072(.A1 (n_1741), .A2 (n_1567), .B1
+       (n_1742), .B2 (n_1585), .Y (n_2556));
+  sky130_fd_sc_hd__a22oi_1 g442073(.A1 (n_1741), .A2 (n_1605), .B1
+       (n_1742), .B2 (n_1576), .Y (n_2555));
+  sky130_fd_sc_hd__a22oi_1 g442074(.A1 (n_1741), .A2 (n_1592), .B1
+       (n_1742), .B2 (n_1599), .Y (n_2554));
+  sky130_fd_sc_hd__a22oi_1 g442075(.A1 (n_1741), .A2 (n_1568), .B1
+       (n_1742), .B2 (n_1567), .Y (n_2553));
+  sky130_fd_sc_hd__a22oi_1 g442076(.A1 (n_1741), .A2 (n_1619), .B1
+       (n_1742), .B2 (n_1572), .Y (n_2552));
+  sky130_fd_sc_hd__a22oi_1 g442077(.A1 (n_1741), .A2 (n_1607), .B1
+       (n_1742), .B2 (n_1588), .Y (n_2551));
+  sky130_fd_sc_hd__a22oi_1 g442078(.A1 (n_1741), .A2 (n_1575), .B1
+       (n_1742), .B2 (n_1571), .Y (n_2550));
+  sky130_fd_sc_hd__o22ai_1 g442079(.A1 (n_1597), .A2 (n_1742), .B1
+       (n_1052), .B2 (n_1741), .Y (n_2549));
+  sky130_fd_sc_hd__nand3_1 g442080(.A
+       (\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]), .B (n_1778),
+       .C (n_641), .Y (n_2548));
+  sky130_fd_sc_hd__a22oi_1 g442081(.A1 (n_1741), .A2 (n_1585), .B1
+       (n_1742), .B2 (n_1548), .Y (n_2547));
+  sky130_fd_sc_hd__nand3b_1 g442082(.A_N (n_13479), .B (n_1736), .C
+       (n_1166), .Y (n_2546));
+  sky130_fd_sc_hd__nand2_1 g442083(.A (n_2154), .B (io_out[37]), .Y
+       (n_2545));
+  sky130_fd_sc_hd__or3_1 g442084(.A (n_471), .B (u_soc_u_top_data_we),
+       .C (n_1762), .X (n_2544));
+  sky130_fd_sc_hd__or3_1 g442085(.A (n_471), .B (u_soc_u_top_data_we),
+       .C (n_1761), .X (n_2543));
+  sky130_fd_sc_hd__a211oi_1 g442086(.A1 (n_1311), .A2 (n_1018), .B1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst
+       ), .C1 (n_1464), .Y (n_2542));
+  sky130_fd_sc_hd__nand3_1 g442087(.A (n_1787), .B
+       (u_soc_u_tcam_rvalid_o), .C (n_641), .Y (n_2540));
+  sky130_fd_sc_hd__nand3_1 g442088(.A (n_15884), .B
+       (u_soc_dccm_adapter_rvalid_o), .C (n_641), .Y (n_2538));
+  sky130_fd_sc_hd__nand3_1 g442089(.A (n_1710), .B (n_487), .C
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_2536));
+  sky130_fd_sc_hd__nand3_1 g442090(.A (n_1709), .B
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .C
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_2535));
+  sky130_fd_sc_hd__nor4_1 g442091(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .C (n_1477), .D (n_1429), .Y (n_2534));
+  sky130_fd_sc_hd__nor2_1 g442092(.A (n_1857), .B (n_2255), .Y
+       (n_2533));
+  sky130_fd_sc_hd__nor2_1 g442093(.A (n_1859), .B (n_2011), .Y
+       (n_2532));
+  sky130_fd_sc_hd__nor2_1 g442094(.A (n_1859), .B (n_2233), .Y
+       (n_2531));
+  sky130_fd_sc_hd__nor2_1 g442095(.A (n_1859), .B (n_2137), .Y
+       (n_2530));
+  sky130_fd_sc_hd__nor2_1 g442096(.A (n_1865), .B (n_2006), .Y
+       (n_2529));
+  sky130_fd_sc_hd__nor2_1 g442097(.A (n_1865), .B (n_2239), .Y
+       (n_2528));
+  sky130_fd_sc_hd__nor2_1 g442098(.A (n_1865), .B (n_2137), .Y
+       (n_2527));
+  sky130_fd_sc_hd__nand2_1 g442099(.A (n_2263), .B (n_2257), .Y
+       (n_2526));
+  sky130_fd_sc_hd__nand2_1 g442100(.A (n_2143), .B (n_2271), .Y
+       (n_2525));
+  sky130_fd_sc_hd__nand2_1 g442101(.A (n_2271), .B (n_2144), .Y
+       (n_2524));
+  sky130_fd_sc_hd__nand2_1 g442102(.A (n_2263), .B (n_2143), .Y
+       (n_2523));
+  sky130_fd_sc_hd__nand2_1 g442103(.A (n_2257), .B (n_2271), .Y
+       (n_2522));
+  sky130_fd_sc_hd__nand2_1 g442104(.A (n_2263), .B (n_2144), .Y
+       (n_2521));
+  sky130_fd_sc_hd__nor2_1 g442105(.A (n_1857), .B (n_2012), .Y
+       (n_2520));
+  sky130_fd_sc_hd__nand2_1 g442106(.A (n_2278), .B (n_2142), .Y
+       (n_2519));
+  sky130_fd_sc_hd__nand2_1 g442107(.A (n_2140), .B (n_2142), .Y
+       (n_2518));
+  sky130_fd_sc_hd__nand2_1 g442108(.A (n_2142), .B (n_2139), .Y
+       (n_2517));
+  sky130_fd_sc_hd__nand2_1 g442109(.A (n_2142), .B (n_2145), .Y
+       (n_2516));
+  sky130_fd_sc_hd__nand2_1 g442110(.A (n_2261), .B (n_2145), .Y
+       (n_2515));
+  sky130_fd_sc_hd__nand2_1 g442111(.A (n_2261), .B (n_2140), .Y
+       (n_2514));
+  sky130_fd_sc_hd__nand2_1 g442112(.A (n_2140), .B (n_2138), .Y
+       (n_2513));
+  sky130_fd_sc_hd__nand2_1 g442113(.A (n_2138), .B (n_2139), .Y
+       (n_2512));
+  sky130_fd_sc_hd__nand2_1 g442114(.A (n_2138), .B (n_2145), .Y
+       (n_2511));
+  sky130_fd_sc_hd__nand2_1 g442115(.A (n_2278), .B (n_2138), .Y
+       (n_2510));
+  sky130_fd_sc_hd__nor2_1 g442116(.A (n_1862), .B (n_2011), .Y
+       (n_2509));
+  sky130_fd_sc_hd__nand2_1 g442117(.A (n_2260), .B (n_2278), .Y
+       (n_2508));
+  sky130_fd_sc_hd__nand2_1 g442118(.A (n_2278), .B (n_2259), .Y
+       (n_2507));
+  sky130_fd_sc_hd__nand2_1 g442119(.A (n_2261), .B (n_2144), .Y
+       (n_2506));
+  sky130_fd_sc_hd__nand2_1 g442120(.A (n_2260), .B (n_2144), .Y
+       (n_2505));
+  sky130_fd_sc_hd__nand2_1 g442121(.A (n_2259), .B (n_2144), .Y
+       (n_2504));
+  sky130_fd_sc_hd__nor2_1 g442122(.A (n_1857), .B (n_2233), .Y
+       (n_2503));
+  sky130_fd_sc_hd__nor2_1 g442123(.A (n_1857), .B (n_2011), .Y
+       (n_2502));
+  sky130_fd_sc_hd__nor2_1 g442124(.A (n_1857), .B (n_2137), .Y
+       (n_2501));
+  sky130_fd_sc_hd__nor2_1 g442125(.A (n_1862), .B (n_2137), .Y
+       (n_2500));
+  sky130_fd_sc_hd__nand2_1 g442126(.A (n_2260), .B (n_2139), .Y
+       (n_2499));
+  sky130_fd_sc_hd__nand2_1 g442127(.A (n_2259), .B (n_2139), .Y
+       (n_2498));
+  sky130_fd_sc_hd__nand2_1 g442128(.A (n_2261), .B (n_2257), .Y
+       (n_2497));
+  sky130_fd_sc_hd__nand2_1 g442129(.A (n_2260), .B (n_2257), .Y
+       (n_2496));
+  sky130_fd_sc_hd__nand2_1 g442130(.A (n_2257), .B (n_2259), .Y
+       (n_2495));
+  sky130_fd_sc_hd__nand2_1 g442131(.A (n_2261), .B (n_2143), .Y
+       (n_2494));
+  sky130_fd_sc_hd__nor2_1 g442132(.A (n_1861), .B (n_2238), .Y
+       (n_2493));
+  sky130_fd_sc_hd__nand2_1 g442133(.A (n_2259), .B (n_2145), .Y
+       (n_2492));
+  sky130_fd_sc_hd__nand2_1 g442134(.A (n_2260), .B (n_2145), .Y
+       (n_2491));
+  sky130_fd_sc_hd__nand2_1 g442135(.A (n_2143), .B (n_2259), .Y
+       (n_2490));
+  sky130_fd_sc_hd__nand2_1 g442136(.A (n_2260), .B (n_2143), .Y
+       (n_2489));
+  sky130_fd_sc_hd__nand2_1 g442137(.A (n_2260), .B (n_2140), .Y
+       (n_2488));
+  sky130_fd_sc_hd__nand2_1 g442138(.A (n_2140), .B (n_2259), .Y
+       (n_2487));
+  sky130_fd_sc_hd__nor2_1 g442139(.A (n_1862), .B (n_2012), .Y
+       (n_2486));
+  sky130_fd_sc_hd__nor2_1 g442140(.A (n_1861), .B (n_2004), .Y
+       (n_2485));
+  sky130_fd_sc_hd__nor2_1 g442141(.A (n_1861), .B (n_2007), .Y
+       (n_2484));
+  sky130_fd_sc_hd__nand2_1 g442142(.A (n_2263), .B (n_2278), .Y
+       (n_2483));
+  sky130_fd_sc_hd__nand2_1 g442143(.A (n_2278), .B (n_2271), .Y
+       (n_2482));
+  sky130_fd_sc_hd__nand2_1 g442144(.A (n_2275), .B (n_2139), .Y
+       (n_2481));
+  sky130_fd_sc_hd__nand2_1 g442145(.A (n_2265), .B (n_2139), .Y
+       (n_2480));
+  sky130_fd_sc_hd__nor2_1 g442146(.A (n_1861), .B (n_2006), .Y
+       (n_2479));
+  sky130_fd_sc_hd__nand2_1 g442147(.A (n_2260), .B (n_2141), .Y
+       (n_2478));
+  sky130_fd_sc_hd__nand2_1 g442148(.A (n_2138), .B (n_2141), .Y
+       (n_2477));
+  sky130_fd_sc_hd__nand2_1 g442149(.A (n_2142), .B (n_2141), .Y
+       (n_2476));
+  sky130_fd_sc_hd__nand2_1 g442150(.A (n_2259), .B (n_2141), .Y
+       (n_2475));
+  sky130_fd_sc_hd__nand2_1 g442151(.A (n_2142), .B (n_2144), .Y
+       (n_2474));
+  sky130_fd_sc_hd__nand2_1 g442152(.A (n_2275), .B (n_2267), .Y
+       (n_2473));
+  sky130_fd_sc_hd__nand2_1 g442153(.A (n_2263), .B (n_2267), .Y
+       (n_2472));
+  sky130_fd_sc_hd__nand2_1 g442154(.A (n_2261), .B (n_2267), .Y
+       (n_2471));
+  sky130_fd_sc_hd__nand2_1 g442155(.A (n_2267), .B (n_2265), .Y
+       (n_2470));
+  sky130_fd_sc_hd__nand2_1 g442156(.A (n_2267), .B (n_2271), .Y
+       (n_2469));
+  sky130_fd_sc_hd__nand2_1 g442157(.A (n_2260), .B (n_2267), .Y
+       (n_2468));
+  sky130_fd_sc_hd__nand2_1 g442158(.A (n_2267), .B (n_2259), .Y
+       (n_2467));
+  sky130_fd_sc_hd__nand2_1 g442159(.A (n_2263), .B (n_2139), .Y
+       (n_2466));
+  sky130_fd_sc_hd__nand2_1 g442160(.A (n_2271), .B (n_2139), .Y
+       (n_2465));
+  sky130_fd_sc_hd__nand2_1 g442161(.A (n_2268), .B (n_2142), .Y
+       (n_2464));
+  sky130_fd_sc_hd__nand2_1 g442162(.A (n_2268), .B (n_2138), .Y
+       (n_2463));
+  sky130_fd_sc_hd__nand2_1 g442163(.A (n_2260), .B (n_2268), .Y
+       (n_2462));
+  sky130_fd_sc_hd__nand2_1 g442164(.A (n_2268), .B (n_2259), .Y
+       (n_2461));
+  sky130_fd_sc_hd__nor2_1 g442165(.A (n_1862), .B (n_2251), .Y
+       (n_2460));
+  sky130_fd_sc_hd__nor2_1 g442166(.A (n_1859), .B (n_2249), .Y
+       (n_2459));
+  sky130_fd_sc_hd__nor2_1 g442167(.A (n_1857), .B (n_2249), .Y
+       (n_2458));
+  sky130_fd_sc_hd__nand2_1 g442168(.A (n_2138), .B (n_2144), .Y
+       (n_2457));
+  sky130_fd_sc_hd__nand2_1 g442169(.A (n_2257), .B (n_2142), .Y
+       (n_2456));
+  sky130_fd_sc_hd__nand2_1 g442170(.A (n_2279), .B (n_2259), .Y
+       (n_2455));
+  sky130_fd_sc_hd__nand2_1 g442171(.A (n_2279), .B (n_2138), .Y
+       (n_2454));
+  sky130_fd_sc_hd__nand2_1 g442172(.A (n_2279), .B (n_2142), .Y
+       (n_2453));
+  sky130_fd_sc_hd__nand2_1 g442173(.A (n_2260), .B (n_2279), .Y
+       (n_2452));
+  sky130_fd_sc_hd__nand2_1 g442174(.A (n_2257), .B (n_2138), .Y
+       (n_2451));
+  sky130_fd_sc_hd__nor2_1 g442175(.A (n_1865), .B (n_2007), .Y
+       (n_2450));
+  sky130_fd_sc_hd__nand2_1 g442176(.A (n_2275), .B (n_2145), .Y
+       (n_2449));
+  sky130_fd_sc_hd__nand2_1 g442177(.A (n_2265), .B (n_2145), .Y
+       (n_2448));
+  sky130_fd_sc_hd__nand2_1 g442178(.A (n_2275), .B (n_2140), .Y
+       (n_2447));
+  sky130_fd_sc_hd__nand2_1 g442179(.A (n_2140), .B (n_2265), .Y
+       (n_2446));
+  sky130_fd_sc_hd__nor2_1 g442180(.A (n_1862), .B (n_2255), .Y
+       (n_2445));
+  sky130_fd_sc_hd__nand2_1 g442181(.A (n_2263), .B (n_2145), .Y
+       (n_2444));
+  sky130_fd_sc_hd__nand2_1 g442182(.A (n_2271), .B (n_2145), .Y
+       (n_2443));
+  sky130_fd_sc_hd__nand2_1 g442183(.A (n_2270), .B (n_2138), .Y
+       (n_2442));
+  sky130_fd_sc_hd__nand2_1 g442184(.A (n_2270), .B (n_2142), .Y
+       (n_2441));
+  sky130_fd_sc_hd__nand2_1 g442185(.A (n_2270), .B (n_2259), .Y
+       (n_2440));
+  sky130_fd_sc_hd__nand2_1 g442186(.A (n_2260), .B (n_2270), .Y
+       (n_2439));
+  sky130_fd_sc_hd__nand2_1 g442187(.A (n_2263), .B (n_2140), .Y
+       (n_2438));
+  sky130_fd_sc_hd__nand2_1 g442188(.A (n_2140), .B (n_2271), .Y
+       (n_2437));
+  sky130_fd_sc_hd__nand2_1 g442189(.A (n_2143), .B (n_2142), .Y
+       (n_2436));
+  sky130_fd_sc_hd__nor2_1 g442190(.A (n_1859), .B (n_2012), .Y
+       (n_2435));
+  sky130_fd_sc_hd__nor2_1 g442191(.A (n_1859), .B (n_2251), .Y
+       (n_2434));
+  sky130_fd_sc_hd__nor2_1 g442192(.A (n_1865), .B (n_2251), .Y
+       (n_2433));
+  sky130_fd_sc_hd__nand2_1 g442193(.A (n_2261), .B (n_2278), .Y
+       (n_2432));
+  sky130_fd_sc_hd__nand2_1 g442194(.A (n_2143), .B (n_2138), .Y
+       (n_2431));
+  sky130_fd_sc_hd__nand2_1 g442195(.A (n_2261), .B (n_2139), .Y
+       (n_2430));
+  sky130_fd_sc_hd__nand2_1 g442196(.A (n_2275), .B (n_2143), .Y
+       (n_2429));
+  sky130_fd_sc_hd__nand2_1 g442197(.A (n_2275), .B (n_2144), .Y
+       (n_2428));
+  sky130_fd_sc_hd__nand2_1 g442198(.A (n_2275), .B (n_2257), .Y
+       (n_2427));
+  sky130_fd_sc_hd__nand2_1 g442199(.A (n_2265), .B (n_2144), .Y
+       (n_2426));
+  sky130_fd_sc_hd__nand2_1 g442200(.A (n_2257), .B (n_2265), .Y
+       (n_2425));
+  sky130_fd_sc_hd__nand2_1 g442201(.A (n_2143), .B (n_2265), .Y
+       (n_2424));
+  sky130_fd_sc_hd__nor2_1 g442202(.A (n_1859), .B (n_2255), .Y
+       (n_2423));
+  sky130_fd_sc_hd__nor2_1 g442203(.A (n_1865), .B (n_2255), .Y
+       (n_2422));
+  sky130_fd_sc_hd__a211oi_1 g442204(.A1 (n_1494), .A2 (n_13342), .B1
+       (\u_soc_xbar_to_lsu[d_valid] ), .C1 (n_164), .Y (n_2421));
+  sky130_fd_sc_hd__nand3_1 g442205(.A (n_1768), .B (n_1373), .C
+       (u_soc_u_top_u_core_alu_operator_ex[3]), .Y (n_2420));
+  sky130_fd_sc_hd__and3_1 g442206(.A (n_2292), .B (n_1381), .C
+       (n_13459), .X (n_2418));
+  sky130_fd_sc_hd__or2_2 g442207(.A
+       (u_soc_u_top_u_core_load_store_unit_i_data_we_q), .B (n_2213),
+       .X (n_2416));
+  sky130_fd_sc_hd__o21a_1 g442208(.A1 (n_1748), .A2 (n_1222), .B1
+       (n_2253), .X (n_2415));
+  sky130_fd_sc_hd__a2bb2o_1 g442209(.A1_N (n_577), .A2_N (n_1777), .B1
+       (n_577), .B2 (n_1777), .X (n_2414));
+  sky130_fd_sc_hd__nand4_1 g442210(.A (n_1532), .B (n_1432), .C
+       (io_out[37]), .D (\u_soc_xbar_to_dccm[a_address] [3]), .Y
+       (n_2412));
+  sky130_fd_sc_hd__or2_2 g442211(.A (n_1781), .B (n_1972), .X (n_2411));
+  sky130_fd_sc_hd__inv_1 g442212(.A (n_1448), .Y (n_2305));
+  sky130_fd_sc_hd__inv_2 g442213(.A (n_2303), .Y (n_2304));
+  sky130_fd_sc_hd__inv_1 g442214(.A (n_2291), .Y (n_2290));
+  sky130_fd_sc_hd__inv_2 g442215(.A (n_2289), .Y (n_2288));
+  sky130_fd_sc_hd__clkinv_1 g442216(.A (n_2287), .Y (n_2286));
+  sky130_fd_sc_hd__inv_2 g442217(.A (n_2285), .Y (n_2284));
+  sky130_fd_sc_hd__inv_2 g442218(.A (n_2283), .Y (n_2282));
+  sky130_fd_sc_hd__inv_2 g442219(.A (n_2256), .Y (n_2255));
+  sky130_fd_sc_hd__clkinv_1 g442220(.A (n_2254), .Y (n_2253));
+  sky130_fd_sc_hd__inv_2 g442221(.A (n_2252), .Y (n_2251));
+  sky130_fd_sc_hd__inv_2 g442222(.A (n_2250), .Y (n_2249));
+  sky130_fd_sc_hd__inv_2 g442223(.A (n_2248), .Y (n_2247));
+  sky130_fd_sc_hd__inv_2 g442224(.A (n_2240), .Y (n_2239));
+  sky130_fd_sc_hd__inv_2 g442225(.A (n_2237), .Y (n_2236));
+  sky130_fd_sc_hd__inv_2 g442226(.A (n_2235), .Y (n_2234));
+  sky130_fd_sc_hd__inv_2 g442227(.A (n_2233), .Y (n_2232));
+  sky130_fd_sc_hd__inv_2 g442228(.A (n_2229), .Y (n_2228));
+  sky130_fd_sc_hd__inv_2 g442230(.A (n_2222), .Y (n_2221));
+  sky130_fd_sc_hd__inv_2 g442231(.A (n_2215), .Y (n_2214));
+  sky130_fd_sc_hd__nor2_1 g442232(.A (n_13491), .B (n_1781), .Y
+       (n_2213));
+  sky130_fd_sc_hd__nand2_1 g442260(.A (n_1744), .B (n_1548), .Y
+       (n_2212));
+  sky130_fd_sc_hd__nor2_1 g442265(.A (n_1616), .B (n_1741), .Y
+       (n_2211));
+  sky130_fd_sc_hd__nand2_1 g442266(.A (n_1746), .B
+       (\u_soc_lsu_to_xbar[a_address] [16]), .Y (n_2210));
+  sky130_fd_sc_hd__nand2_1 g442267(.A (n_1746), .B
+       (\u_soc_lsu_to_xbar[a_address] [18]), .Y (n_2209));
+  sky130_fd_sc_hd__nor2_1 g442268(.A (n_1773), .B (n_1251), .Y
+       (n_2208));
+  sky130_fd_sc_hd__nor2_1 g442269(.A (n_1764), .B (n_1250), .Y
+       (n_2207));
+  sky130_fd_sc_hd__nor2_1 g442270(.A (n_1765), .B (n_1250), .Y
+       (n_2206));
+  sky130_fd_sc_hd__nor2_1 g442271(.A (n_1770), .B (n_1250), .Y
+       (n_2205));
+  sky130_fd_sc_hd__nor2_1 g442272(.A (n_1774), .B (n_1250), .Y
+       (n_2204));
+  sky130_fd_sc_hd__nor2_1 g442273(.A (n_1772), .B (n_1250), .Y
+       (n_2203));
+  sky130_fd_sc_hd__nor2_1 g442274(.A (n_1763), .B (n_1250), .Y
+       (n_2202));
+  sky130_fd_sc_hd__nor2_1 g442275(.A (n_1766), .B (n_1251), .Y
+       (n_2201));
+  sky130_fd_sc_hd__nor2_1 g442276(.A (n_1769), .B (n_1251), .Y
+       (n_2200));
+  sky130_fd_sc_hd__nor2_1 g442277(.A (n_1775), .B (n_1251), .Y
+       (n_2199));
+  sky130_fd_sc_hd__nor2_1 g442278(.A (n_1767), .B (n_1251), .Y
+       (n_2198));
+  sky130_fd_sc_hd__nor2_1 g442279(.A (n_1771), .B (n_1251), .Y
+       (n_2197));
+  sky130_fd_sc_hd__o21ai_1 g442280(.A1 (n_1380), .A2 (n_164), .B1
+       (u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q), .Y
+       (n_2196));
+  sky130_fd_sc_hd__nor2_1 g442281(.A (n_1376), .B (n_1751), .Y
+       (n_2195));
+  sky130_fd_sc_hd__nand2_1 g442282(.A (n_1745), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [8]), .Y (n_2194));
+  sky130_fd_sc_hd__nand2_1 g442283(.A (n_1745), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [7]), .Y (n_2193));
+  sky130_fd_sc_hd__nand2_1 g442284(.A (n_1745), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [9]), .Y (n_2192));
+  sky130_fd_sc_hd__nand2_1 g442285(.A (n_1745), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [10]), .Y (n_2191));
+  sky130_fd_sc_hd__nand2_1 g442286(.A (n_1745), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [18]), .Y (n_2190));
+  sky130_fd_sc_hd__nand2_1 g442287(.A (n_1745), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [4]), .Y (n_2189));
+  sky130_fd_sc_hd__nand2_1 g442288(.A (n_1745), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [12]), .Y (n_2188));
+  sky130_fd_sc_hd__nand2_1 g442289(.A (n_1745), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [24]), .Y (n_2187));
+  sky130_fd_sc_hd__nand2b_1 g442291(.A_N (n_1272), .B
+       (u_soc_main_swith_host_lsu_num_req_outstanding[2]), .Y (n_2186));
+  sky130_fd_sc_hd__nand2_1 g442292(.A (n_1745), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [21]), .Y (n_2185));
+  sky130_fd_sc_hd__nand2_1 g442293(.A (n_1745), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [20]), .Y (n_2184));
+  sky130_fd_sc_hd__nand2_1 g442294(.A (n_1745), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [16]), .Y (n_2183));
+  sky130_fd_sc_hd__nand2_1 g442295(.A (n_1745), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [13]), .Y (n_2182));
+  sky130_fd_sc_hd__nand2_1 g442296(.A (n_1745), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [11]), .Y (n_2181));
+  sky130_fd_sc_hd__nand2_1 g442297(.A (n_1745), .B
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [6]), .Y (n_2180));
+  sky130_fd_sc_hd__nand2_1 g442298(.A (n_1749), .B
+       (u_soc_u_top_u_core_csr_mtvec[15]), .Y (n_2179));
+  sky130_fd_sc_hd__nand2_1 g442299(.A (n_1749), .B
+       (u_soc_u_top_u_core_csr_mtvec[30]), .Y (n_2178));
+  sky130_fd_sc_hd__nand2_1 g442300(.A (n_1749), .B
+       (u_soc_u_top_u_core_csr_mtvec[31]), .Y (n_2177));
+  sky130_fd_sc_hd__o211ai_1 g442302(.A1 (n_1047), .A2 (n_111), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .C1
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_2303));
+  sky130_fd_sc_hd__nor2_1 g442303(.A (n_556), .B (n_1754), .Y (n_2302));
+  sky130_fd_sc_hd__nand2_1 g442304(.A (n_1886), .B (n_13315), .Y
+       (n_2301));
+  sky130_fd_sc_hd__nor2_1 g442305(.A (n_1292), .B (n_1885), .Y
+       (n_2300));
+  sky130_fd_sc_hd__nor2_1 g442317(.A (n_13378), .B (n_1909), .Y
+       (n_2176));
+  sky130_fd_sc_hd__nand2_1 g442340(.A (n_1920), .B
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Y (n_2299));
+  sky130_fd_sc_hd__nand2b_1 g442341(.A_N
+       (u_soc_main_swith_host_lsu_num_req_outstanding[2]), .B (n_1272),
+       .Y (n_2298));
+  sky130_fd_sc_hd__nor2b_1 g442342(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[12]), .B_N
+       (n_1907), .Y (n_2297));
+  sky130_fd_sc_hd__nand2_1 g442344(.A (n_1921), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Y (n_2296));
+  sky130_fd_sc_hd__nand2_1 g442345(.A (n_1910), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [0]), .Y (n_2295));
+  sky130_fd_sc_hd__nor2b_1 g442346(.A
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .B_N (n_1759), .Y (n_2294));
+  sky130_fd_sc_hd__nor2b_1 g442349(.A
+       (u_soc_u_top_u_core_alu_operator_ex[3]), .B_N (n_1768), .Y
+       (n_2292));
+  sky130_fd_sc_hd__nor2_1 g442350(.A (n_13321), .B (n_1762), .Y
+       (n_2291));
+  sky130_fd_sc_hd__nor2_1 g442351(.A (n_13344), .B (n_1761), .Y
+       (n_2289));
+  sky130_fd_sc_hd__nor2_1 g442352(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [0]), .B (n_1911), .Y (n_2287));
+  sky130_fd_sc_hd__nand2_1 g442353(.A (n_1223), .B (n_1884), .Y
+       (n_2285));
+  sky130_fd_sc_hd__nor2_1 g442354(.A (n_13875), .B (n_1779), .Y
+       (n_2283));
+  sky130_fd_sc_hd__nor2_1 g442359(.A
+       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[0]), .B
+       (n_1752), .Y (n_2281));
+  sky130_fd_sc_hd__nor2_1 g442360(.A (n_1902), .B (n_1442), .Y
+       (n_2280));
+  sky130_fd_sc_hd__nor2_1 g442361(.A (n_1893), .B (n_1447), .Y
+       (n_2279));
+  sky130_fd_sc_hd__nor2_1 g442362(.A (n_1888), .B (n_1442), .Y
+       (n_2278));
+  sky130_fd_sc_hd__nor2_1 g442363(.A (n_1893), .B (n_1443), .Y
+       (n_2277));
+  sky130_fd_sc_hd__nor2_1 g442364(.A (n_1435), .B (n_1906), .Y
+       (n_2276));
+  sky130_fd_sc_hd__nor2_1 g442365(.A (n_1440), .B (n_1901), .Y
+       (n_2275));
+  sky130_fd_sc_hd__nor2_1 g442366(.A (n_15932), .B (n_1892), .Y
+       (n_2274));
+  sky130_fd_sc_hd__nor2_1 g442367(.A (n_1440), .B (n_1892), .Y
+       (n_2273));
+  sky130_fd_sc_hd__nor2_1 g442368(.A (n_1435), .B (n_1901), .Y
+       (n_2272));
+  sky130_fd_sc_hd__nor2_1 g442369(.A (n_15932), .B (n_1906), .Y
+       (n_2271));
+  sky130_fd_sc_hd__nor2_1 g442370(.A (n_1902), .B (n_1443), .Y
+       (n_2270));
+  sky130_fd_sc_hd__nor2_1 g442371(.A (n_1435), .B (n_1903), .Y
+       (n_2269));
+  sky130_fd_sc_hd__nor2_1 g442372(.A (n_1902), .B (n_1444), .Y
+       (n_2268));
+  sky130_fd_sc_hd__nor2_1 g442373(.A (n_1888), .B (n_1447), .Y
+       (n_2267));
+  sky130_fd_sc_hd__nor2_1 g442374(.A (n_1893), .B (n_1442), .Y
+       (n_2266));
+  sky130_fd_sc_hd__nor2_1 g442375(.A (n_1440), .B (n_1906), .Y
+       (n_2265));
+  sky130_fd_sc_hd__and2_1 g442376(.A (n_1891), .B (n_1538), .X
+       (n_2264));
+  sky130_fd_sc_hd__nor2_1 g442377(.A (n_15932), .B (n_1901), .Y
+       (n_2263));
+  sky130_fd_sc_hd__nor2_1 g442378(.A (n_1893), .B (n_1444), .Y
+       (n_2262));
+  sky130_fd_sc_hd__nor2_1 g442379(.A (n_1435), .B (n_1892), .Y
+       (n_2261));
+  sky130_fd_sc_hd__nor2_1 g442380(.A (n_1539), .B (n_1901), .Y
+       (n_2260));
+  sky130_fd_sc_hd__nor2_1 g442381(.A (n_1539), .B (n_1906), .Y
+       (n_2259));
+  sky130_fd_sc_hd__nor2_1 g442382(.A (n_1539), .B (n_1903), .Y
+       (n_2258));
+  sky130_fd_sc_hd__nor2_1 g442383(.A (n_1888), .B (n_1443), .Y
+       (n_2257));
+  sky130_fd_sc_hd__nor2_1 g442384(.A (n_1235), .B (n_1899), .Y
+       (n_2256));
+  sky130_fd_sc_hd__and2_1 g442385(.A (u_soc_u_top_u_core_pc_set), .B
+       (n_1281), .X (n_2254));
+  sky130_fd_sc_hd__nor2_1 g442386(.A (n_1032), .B (n_1905), .Y
+       (n_2252));
+  sky130_fd_sc_hd__nor2_1 g442387(.A (n_1235), .B (n_1895), .Y
+       (n_2250));
+  sky130_fd_sc_hd__nor2_1 g442388(.A (n_1235), .B (n_1905), .Y
+       (n_2248));
+  sky130_fd_sc_hd__and2_1 g442389(.A (n_1783), .B (n_1553), .X
+       (n_2246));
+  sky130_fd_sc_hd__nor2b_1 g442390(.A (n_13378), .B_N (n_1889), .Y
+       (n_2245));
+  sky130_fd_sc_hd__nor2_1 g442391(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .B (n_1916), .Y
+       (n_2244));
+  sky130_fd_sc_hd__nor2_1 g442392(.A (n_494), .B (n_1916), .Y (n_2243));
+  sky130_fd_sc_hd__nor2_1 g442393(.A (n_494), .B (n_1913), .Y (n_2242));
+  sky130_fd_sc_hd__nor2_1 g442394(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .B (n_1913), .Y
+       (n_2241));
+  sky130_fd_sc_hd__nor2_2 g442396(.A (n_1033), .B (n_1905), .Y
+       (n_2240));
+  sky130_fd_sc_hd__nand2_1 g442397(.A (n_1887), .B (n_1237), .Y
+       (n_2238));
+  sky130_fd_sc_hd__nand2_1 g442398(.A (n_1904), .B (n_1237), .Y
+       (n_2237));
+  sky130_fd_sc_hd__nor2_2 g442399(.A (n_1033), .B (n_1895), .Y
+       (n_2235));
+  sky130_fd_sc_hd__nand2_1 g442400(.A (n_1894), .B (n_1031), .Y
+       (n_2233));
+  sky130_fd_sc_hd__nand2_1 g442401(.A (n_1897), .B (n_1031), .Y
+       (n_2231));
+  sky130_fd_sc_hd__nand2_1 g442402(.A (n_1898), .B (n_1237), .Y
+       (n_2230));
+  sky130_fd_sc_hd__nand2_1 g442403(.A (n_1896), .B (n_1234), .Y
+       (n_2229));
+  sky130_fd_sc_hd__nand2_1 g442404(.A (n_1896), .B (n_1031), .Y
+       (n_2227));
+  sky130_fd_sc_hd__nand2_1 g442405(.A (n_1894), .B (n_1237), .Y
+       (n_2226));
+  sky130_fd_sc_hd__nand2_1 g442406(.A (n_1896), .B (n_1034), .Y
+       (n_2224));
+  sky130_fd_sc_hd__nand2_1 g442407(.A (n_1890), .B (n_1031), .Y
+       (n_2223));
+  sky130_fd_sc_hd__nand2_1 g442408(.A (n_1890), .B (n_1234), .Y
+       (n_2222));
+  sky130_fd_sc_hd__nand2_1 g442409(.A (n_1897), .B (n_1034), .Y
+       (n_2220));
+  sky130_fd_sc_hd__nand2_1 g442410(.A (n_1890), .B (n_1034), .Y
+       (n_2219));
+  sky130_fd_sc_hd__nand2_1 g442411(.A (n_1896), .B (n_1237), .Y
+       (n_2218));
+  sky130_fd_sc_hd__nand2_1 g442412(.A (n_1890), .B (n_1237), .Y
+       (n_2217));
+  sky130_fd_sc_hd__nand2_1 g442413(.A (n_1897), .B (n_1237), .Y
+       (n_2216));
+  sky130_fd_sc_hd__nand2_1 g442414(.A (n_1897), .B (n_1234), .Y
+       (n_2215));
+  sky130_fd_sc_hd__inv_2 g442417(.A (n_2153), .Y (n_2154));
+  sky130_fd_sc_hd__inv_2 g442418(.A (n_2148), .Y (n_2147));
+  sky130_fd_sc_hd__clkinv_1 g442419(.A (n_2136), .Y (n_2135));
+  sky130_fd_sc_hd__inv_2 g442420(.A (n_2134), .Y (n_2133));
+  sky130_fd_sc_hd__inv_2 g442421(.A (n_2132), .Y (n_2131));
+  sky130_fd_sc_hd__inv_2 g442422(.A (n_2130), .Y (n_2129));
+  sky130_fd_sc_hd__inv_2 g442423(.A (n_2128), .Y (n_2127));
+  sky130_fd_sc_hd__inv_2 g442424(.A (n_2126), .Y (n_2125));
+  sky130_fd_sc_hd__inv_2 g442425(.A (n_2124), .Y (n_2123));
+  sky130_fd_sc_hd__inv_2 g442426(.A (n_2122), .Y (n_2121));
+  sky130_fd_sc_hd__inv_2 g442427(.A (n_2120), .Y (n_2119));
+  sky130_fd_sc_hd__inv_2 g442428(.A (n_2118), .Y (n_2117));
+  sky130_fd_sc_hd__inv_2 g442429(.A (n_2116), .Y (n_2115));
+  sky130_fd_sc_hd__inv_2 g442430(.A (n_2114), .Y (n_2113));
+  sky130_fd_sc_hd__inv_2 g442431(.A (n_2112), .Y (n_2111));
+  sky130_fd_sc_hd__inv_2 g442432(.A (n_2110), .Y (n_2109));
+  sky130_fd_sc_hd__inv_2 g442433(.A (n_2106), .Y (n_2105));
+  sky130_fd_sc_hd__inv_2 g442434(.A (n_2104), .Y (n_2103));
+  sky130_fd_sc_hd__inv_2 g442435(.A (n_2102), .Y (n_2101));
+  sky130_fd_sc_hd__inv_2 g442436(.A (n_2099), .Y (n_2098));
+  sky130_fd_sc_hd__inv_2 g442437(.A (n_2097), .Y (n_2096));
+  sky130_fd_sc_hd__inv_2 g442438(.A (n_2095), .Y (n_2094));
+  sky130_fd_sc_hd__inv_2 g442439(.A (n_2093), .Y (n_2092));
+  sky130_fd_sc_hd__inv_2 g442440(.A (n_2091), .Y (n_2090));
+  sky130_fd_sc_hd__inv_2 g442441(.A (n_2089), .Y (n_2088));
+  sky130_fd_sc_hd__inv_2 g442442(.A (n_2087), .Y (n_2086));
+  sky130_fd_sc_hd__inv_2 g442443(.A (n_2085), .Y (n_2084));
+  sky130_fd_sc_hd__inv_2 g442444(.A (n_2083), .Y (n_2082));
+  sky130_fd_sc_hd__inv_2 g442445(.A (n_2081), .Y (n_2080));
+  sky130_fd_sc_hd__inv_2 g442446(.A (n_2079), .Y (n_2078));
+  sky130_fd_sc_hd__inv_2 g442447(.A (n_2077), .Y (n_2076));
+  sky130_fd_sc_hd__inv_2 g442448(.A (n_2075), .Y (n_2074));
+  sky130_fd_sc_hd__inv_2 g442449(.A (n_2073), .Y (n_2072));
+  sky130_fd_sc_hd__inv_2 g442450(.A (n_2069), .Y (n_2068));
+  sky130_fd_sc_hd__inv_2 g442451(.A (n_2067), .Y (n_2066));
+  sky130_fd_sc_hd__inv_2 g442452(.A (n_2065), .Y (n_2064));
+  sky130_fd_sc_hd__inv_2 g442453(.A (n_2063), .Y (n_2062));
+  sky130_fd_sc_hd__inv_2 g442454(.A (n_2061), .Y (n_2060));
+  sky130_fd_sc_hd__inv_2 g442455(.A (n_2059), .Y (n_2058));
+  sky130_fd_sc_hd__inv_2 g442456(.A (n_2057), .Y (n_2056));
+  sky130_fd_sc_hd__inv_2 g442457(.A (n_2055), .Y (n_2054));
+  sky130_fd_sc_hd__inv_2 g442458(.A (n_2053), .Y (n_2052));
+  sky130_fd_sc_hd__inv_2 g442459(.A (n_2051), .Y (n_2050));
+  sky130_fd_sc_hd__inv_2 g442460(.A (n_2049), .Y (n_2048));
+  sky130_fd_sc_hd__inv_2 g442461(.A (n_2047), .Y (n_2046));
+  sky130_fd_sc_hd__inv_2 g442462(.A (n_2045), .Y (n_2044));
+  sky130_fd_sc_hd__inv_2 g442463(.A (n_2043), .Y (n_2042));
+  sky130_fd_sc_hd__inv_2 g442464(.A (n_2041), .Y (n_2040));
+  sky130_fd_sc_hd__inv_2 g442465(.A (n_2039), .Y (n_2038));
+  sky130_fd_sc_hd__inv_2 g442466(.A (n_2037), .Y (n_2036));
+  sky130_fd_sc_hd__inv_2 g442467(.A (n_2035), .Y (n_2034));
+  sky130_fd_sc_hd__inv_2 g442468(.A (n_2033), .Y (n_2032));
+  sky130_fd_sc_hd__inv_2 g442469(.A (n_2031), .Y (n_2030));
+  sky130_fd_sc_hd__inv_2 g442470(.A (n_2029), .Y (n_2028));
+  sky130_fd_sc_hd__inv_2 g442471(.A (n_2027), .Y (n_2026));
+  sky130_fd_sc_hd__inv_2 g442472(.A (n_2025), .Y (n_2024));
+  sky130_fd_sc_hd__inv_2 g442473(.A (n_2023), .Y (n_2022));
+  sky130_fd_sc_hd__inv_2 g442474(.A (n_2021), .Y (n_2020));
+  sky130_fd_sc_hd__inv_2 g442475(.A (n_2019), .Y (n_2018));
+  sky130_fd_sc_hd__inv_2 g442476(.A (n_2016), .Y (n_2015));
+  sky130_fd_sc_hd__inv_2 g442477(.A (n_2014), .Y (n_2013));
+  sky130_fd_sc_hd__clkinv_1 g442478(.A (n_2011), .Y (n_2010));
+  sky130_fd_sc_hd__clkinv_1 g442479(.A (n_2006), .Y (n_2005));
+  sky130_fd_sc_hd__inv_1 g442480(.A (n_2004), .Y (n_2003));
+  sky130_fd_sc_hd__inv_2 g442481(.A (n_16011), .Y (n_2001));
+  sky130_fd_sc_hd__inv_2 g442482(.A (n_1998), .Y (n_1997));
+  sky130_fd_sc_hd__inv_2 g442483(.A (n_1996), .Y (n_1995));
+  sky130_fd_sc_hd__inv_2 g442484(.A (n_1994), .Y (n_1993));
+  sky130_fd_sc_hd__xor2_1 g442485(.A
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [2]), .B (n_1470), .X (n_1991));
+  sky130_fd_sc_hd__xor2_1 g442486(.A
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[3]), .B (n_1552), .X
+       (n_1990));
+  sky130_fd_sc_hd__xor2_1 g442487(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [2]), .B (n_1469), .X (n_1989));
+  sky130_fd_sc_hd__a22oi_1 g442488(.A1 (n_1409), .A2
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[12]), .B1 (n_1233),
+       .B2 (u_soc_u_top_u_core_debug_ebreaku), .Y (n_1988));
+  sky130_fd_sc_hd__a22o_1 g442489(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[19]), .B1
+       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[19]),
+       .X (n_1987));
+  sky130_fd_sc_hd__a22oi_1 g442490(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[2]), .B1
+       (n_1516), .B2 (u_soc_u_top_u_core_csr_depc[2]), .Y (n_1986));
+  sky130_fd_sc_hd__a22oi_1 g442491(.A1 (n_1527), .A2
+       (u_soc_u_top_u_core_cs_registers_i_mcause_q[2]), .B1 (n_1518),
+       .B2 (u_soc_u_top_u_core_csr_mepc[2]), .Y (n_1985));
+  sky130_fd_sc_hd__a22oi_1 g442492(.A1 (n_1410), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[1]), .B1
+       (n_1233), .B2 (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv]
+       [1]), .Y (n_1984));
+  sky130_fd_sc_hd__a22o_1 g442493(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[26]), .B1
+       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[26]),
+       .X (n_1983));
+  sky130_fd_sc_hd__a22oi_1 g442494(.A1 (n_1413), .A2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]), .B1
+       (n_1214), .B2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[7]),
+       .Y (n_1982));
+  sky130_fd_sc_hd__a22oi_1 g442495(.A1 (n_1413), .A2
+       (u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]), .B1
+       (n_1518), .B2 (u_soc_u_top_u_core_csr_mepc[3]), .Y (n_1981));
+  sky130_fd_sc_hd__a22oi_1 g442496(.A1 (n_1527), .A2
+       (u_soc_u_top_u_core_cs_registers_i_mcause_q[0]), .B1 (n_1214),
+       .B2 (u_soc_u_top_u_core_cs_registers_i_mscratch_q[0]), .Y
+       (n_1980));
+  sky130_fd_sc_hd__a22o_1 g442497(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[28]), .B1
+       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[28]),
+       .X (n_1979));
+  sky130_fd_sc_hd__a22oi_1 g442498(.A1 (n_1516), .A2
+       (u_soc_u_top_u_core_csr_depc[14]), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[14]), .Y (n_1978));
+  sky130_fd_sc_hd__a22oi_1 g442499(.A1 (n_1516), .A2
+       (u_soc_u_top_u_core_csr_depc[9]), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[9]), .Y (n_1977));
+  sky130_fd_sc_hd__a22oi_1 g442500(.A1 (n_1518), .A2
+       (u_soc_u_top_u_core_csr_mepc[4]), .B1 (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[4]), .Y (n_1976));
+  sky130_fd_sc_hd__a22oi_1 g442501(.A1 (n_1527), .A2
+       (u_soc_u_top_u_core_cs_registers_i_mcause_q[1]), .B1 (n_1518),
+       .B2 (u_soc_u_top_u_core_csr_mepc[1]), .Y (n_1975));
+  sky130_fd_sc_hd__a22o_1 g442502(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[16]), .B1
+       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[16]),
+       .X (n_1974));
+  sky130_fd_sc_hd__a22oi_1 g442503(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[15]), .B1
+       (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[15]), .Y
+       (n_1973));
+  sky130_fd_sc_hd__o22ai_1 g442504(.A1 (n_1358), .A2 (n_13377), .B1
+       (n_13880), .B2 (n_164), .Y (n_1972));
+  sky130_fd_sc_hd__a22oi_1 g442505(.A1 (n_1412), .A2
+       (u_soc_u_top_u_core_pc_id[14]), .B1 (n_1519), .B2
+       (u_soc_u_top_u_core_pc_if[14]), .Y (n_1971));
+  sky130_fd_sc_hd__a22oi_1 g442506(.A1 (n_1412), .A2
+       (u_soc_u_top_u_core_pc_id[13]), .B1 (n_1519), .B2
+       (u_soc_u_top_u_core_pc_if[13]), .Y (n_1970));
+  sky130_fd_sc_hd__a22oi_1 g442507(.A1 (n_1412), .A2
+       (u_soc_u_top_u_core_pc_id[12]), .B1 (n_1519), .B2
+       (u_soc_u_top_u_core_pc_if[12]), .Y (n_1969));
+  sky130_fd_sc_hd__a22oi_1 g442508(.A1 (n_1412), .A2
+       (u_soc_u_top_u_core_pc_id[10]), .B1 (n_1519), .B2
+       (u_soc_u_top_u_core_pc_if[10]), .Y (n_1968));
+  sky130_fd_sc_hd__a22oi_1 g442509(.A1 (n_1412), .A2
+       (u_soc_u_top_u_core_pc_id[9]), .B1 (n_1519), .B2
+       (u_soc_u_top_u_core_pc_if[9]), .Y (n_1967));
+  sky130_fd_sc_hd__nand2_1 g442510(.A (n_1054), .B (n_1908), .Y
+       (n_1966));
+  sky130_fd_sc_hd__a22oi_1 g442511(.A1 (n_1516), .A2
+       (u_soc_u_top_u_core_csr_depc[10]), .B1 (n_1518), .B2
+       (u_soc_u_top_u_core_csr_mepc[10]), .Y (n_1965));
+  sky130_fd_sc_hd__a22oi_1 g442512(.A1 (n_1412), .A2
+       (u_soc_u_top_u_core_pc_id[6]), .B1 (n_1519), .B2
+       (u_soc_u_top_u_core_pc_if[6]), .Y (n_1964));
+  sky130_fd_sc_hd__a22oi_1 g442513(.A1 (n_1412), .A2
+       (u_soc_u_top_u_core_pc_id[5]), .B1 (n_1519), .B2
+       (u_soc_u_top_u_core_pc_if[5]), .Y (n_1963));
+  sky130_fd_sc_hd__a22oi_1 g442514(.A1 (n_1412), .A2
+       (u_soc_u_top_u_core_pc_id[4]), .B1 (n_1519), .B2
+       (u_soc_u_top_u_core_pc_if[4]), .Y (n_1962));
+  sky130_fd_sc_hd__a22oi_1 g442515(.A1 (n_1518), .A2
+       (u_soc_u_top_u_core_csr_mepc[6]), .B1 (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[6]), .Y (n_1961));
+  sky130_fd_sc_hd__a22oi_1 g442516(.A1 (n_1518), .A2
+       (u_soc_u_top_u_core_csr_mepc[15]), .B1 (n_1409), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[15]), .Y (n_1960));
+  sky130_fd_sc_hd__a22oi_1 g442517(.A1 (n_1518), .A2
+       (u_soc_u_top_u_core_csr_mepc[31]), .B1 (n_1214), .B2
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[31]), .Y (n_1959));
+  sky130_fd_sc_hd__a22oi_1 g442518(.A1 (n_1516), .A2
+       (u_soc_u_top_u_core_csr_depc[30]), .B1 (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[30]), .Y
+       (n_1958));
+  sky130_fd_sc_hd__a22o_1 g442519(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[29]), .B1
+       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[29]),
+       .X (n_1957));
+  sky130_fd_sc_hd__a22o_1 g442520(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[27]), .B1
+       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[27]),
+       .X (n_1956));
+  sky130_fd_sc_hd__a22o_1 g442521(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[25]), .B1
+       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[25]),
+       .X (n_1955));
+  sky130_fd_sc_hd__a22o_1 g442522(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[24]), .B1
+       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[24]),
+       .X (n_1954));
+  sky130_fd_sc_hd__a22o_1 g442523(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[23]), .B1
+       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[23]),
+       .X (n_1953));
+  sky130_fd_sc_hd__a22o_1 g442524(.A1 (n_1439), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [3]), .B1 (n_1533), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [5]), .X (n_1952));
+  sky130_fd_sc_hd__a22oi_1 g442525(.A1 (n_1562), .A2 (n_1244), .B1
+       (n_16), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q
+       ), .Y (n_1951));
+  sky130_fd_sc_hd__a22o_1 g442526(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[22]), .B1
+       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[22]),
+       .X (n_1950));
+  sky130_fd_sc_hd__a22oi_1 g442527(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[5]), .B1
+       (n_1516), .B2 (u_soc_u_top_u_core_csr_depc[5]), .Y (n_1949));
+  sky130_fd_sc_hd__a22oi_1 g442528(.A1 (n_1412), .A2
+       (u_soc_u_top_u_core_pc_id[2]), .B1 (n_1519), .B2
+       (u_soc_u_top_u_core_pc_if[2]), .Y (n_1948));
+  sky130_fd_sc_hd__a22o_1 g442529(.A1 (n_1513), .A2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[18]), .B1
+       (n_1409), .B2 (u_soc_u_top_u_core_cs_registers_i_mtval_q[18]),
+       .X (n_1947));
+  sky130_fd_sc_hd__a22oi_1 g442530(.A1 (n_1516), .A2
+       (u_soc_u_top_u_core_csr_depc[7]), .B1 (n_1233), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]), .Y
+       (n_1946));
+  sky130_fd_sc_hd__a22oi_1 g442531(.A1 (n_1516), .A2
+       (u_soc_u_top_u_core_csr_depc[6]), .B1 (n_1410), .B2
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[6]), .Y (n_1945));
+  sky130_fd_sc_hd__a21oi_1 g442532(.A1 (n_1460), .A2 (n_53), .B1
+       (n_1900), .Y (n_1944));
+  sky130_fd_sc_hd__a221oi_1 g442533(.A1
+       (u_soc_u_top_u_core_pc_mux_id[2]), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]), .B1
+       (u_soc_u_top_u_core_csr_restore_mret_id), .B2
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]), .C1
+       (n_1360), .Y (n_1943));
+  sky130_fd_sc_hd__a221oi_1 g442534(.A1
+       (u_soc_u_top_u_core_pc_mux_id[2]), .A2
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]), .B1
+       (u_soc_u_top_u_core_csr_restore_mret_id), .B2
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]), .C1
+       (n_1361), .Y (n_1942));
+  sky130_fd_sc_hd__a21o_1 g442535(.A1 (n_15927), .A2 (io_out[37]), .B1
+       (n_1085), .X (n_1941));
+  sky130_fd_sc_hd__o2111ai_1 g442536(.A1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [1]), .A2 (n_556), .B1
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [2]), .C1 (n_132), .D1 (n_13381), .Y (n_1940));
+  sky130_fd_sc_hd__o21a_1 g442537(.A1 (n_480), .A2 (n_1531), .B1
+       (n_1054), .X (n_1939));
+  sky130_fd_sc_hd__a221o_1 g442538(.A1 (n_690), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [0]), .B1
+       (n_831), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[48]
+       [0]), .C1 (n_1347), .X (n_1938));
+  sky130_fd_sc_hd__nor3_1 g442539(.A (n_13885), .B (n_1509), .C
+       (u_soc_u_top_u_core_illegal_csr_insn_id), .Y (n_1937));
+  sky130_fd_sc_hd__a211o_1 g442540(.A1 (n_1256), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .B1 (n_1063), .C1 (n_1480), .X (n_1936));
+  sky130_fd_sc_hd__o21ai_1 g442541(.A1 (n_471), .A2 (n_1564), .B1
+       (n_1077), .Y (n_1935));
+  sky130_fd_sc_hd__a32o_1 g442542(.A1 (n_1049), .A2 (n_13340), .A3
+       (u_soc_u_top_data_we), .B1 (n_1048), .B2
+       (u_soc_main_swith_host_lsu_err_resp_err_opcode[0]), .X (n_1934));
+  sky130_fd_sc_hd__o21ai_1 g442543(.A1 (n_1047), .A2 (n_1424), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [2]), .Y (n_1933));
+  sky130_fd_sc_hd__o41ai_1 g442544(.A1
+       (u_soc_u_uart_u_uart_core_rx_sbit), .A2 (n_981), .A3
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[0]), .A4
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B1 (n_1471),
+       .Y (n_1932));
+  sky130_fd_sc_hd__nor3_1 g442545(.A
+       (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125), .B (n_1387), .C
+       (n_1369), .Y (n_1931));
+  sky130_fd_sc_hd__a21oi_1 g442546(.A1 (n_1128), .A2 (n_1106), .B1
+       (n_1742), .Y (n_1930));
+  sky130_fd_sc_hd__a221oi_1 g442547(.A1 (n_1086), .A2 (n_1062), .B1
+       (n_1211), .B2 (n_522), .C1
+       (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125), .Y (n_1929));
+  sky130_fd_sc_hd__a31o_1 g442548(.A1 (n_15900), .A2
+       (u_soc_u_uart_u_uart_core_fifo_read_size[7]), .A3
+       (u_soc_u_uart_u_uart_core_fifo_read_size[6]), .B1
+       (u_soc_u_uart_u_uart_core_fifo_read_size[8]), .X (n_1928));
+  sky130_fd_sc_hd__a21oi_1 g442549(.A1 (n_1122), .A2 (n_1105), .B1
+       (n_1742), .Y (n_1927));
+  sky130_fd_sc_hd__o21ai_1 g442550(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .A2 (u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108), .B1
+       (n_1886), .Y (n_1926));
+  sky130_fd_sc_hd__nor2_1 g442551(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1343), .Y
+       (n_1925));
+  sky130_fd_sc_hd__a221o_1 g442552(.A1 (n_1192), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .B1 (n_1093), .B2 (n_568), .C1 (n_1350), .X (n_1924));
+  sky130_fd_sc_hd__nor2b_1 g442553(.A (n_1531), .B_N (n_1889), .Y
+       (n_1923));
+  sky130_fd_sc_hd__nand2_1 g442554(.A (n_1641), .B (n_1688), .Y
+       (n_2175));
+  sky130_fd_sc_hd__nand2_1 g442555(.A (n_1639), .B (n_1715), .Y
+       (n_2174));
+  sky130_fd_sc_hd__nand2_1 g442556(.A (n_1638), .B (n_1642), .Y
+       (n_2173));
+  sky130_fd_sc_hd__nand2_1 g442557(.A (n_1637), .B (n_1635), .Y
+       (n_2172));
+  sky130_fd_sc_hd__nand2_1 g442558(.A (n_1634), .B (n_1704), .Y
+       (n_2171));
+  sky130_fd_sc_hd__nand2_1 g442559(.A (n_1631), .B (n_1658), .Y
+       (n_2170));
+  sky130_fd_sc_hd__and3b_1 g442560(.A_N (n_13475), .B (n_1462), .C
+       (u_soc_u_top_u_core_debug_mode), .X (n_2169));
+  sky130_fd_sc_hd__nand2_1 g442561(.A (n_1742), .B (n_1431), .Y
+       (n_2167));
+  sky130_fd_sc_hd__nand2_1 g442562(.A (n_1676), .B (n_1628), .Y
+       (n_2166));
+  sky130_fd_sc_hd__nand2_1 g442563(.A (n_1727), .B (n_1722), .Y
+       (n_2165));
+  sky130_fd_sc_hd__nand2_1 g442564(.A (n_1714), .B (n_1677), .Y
+       (n_2164));
+  sky130_fd_sc_hd__nand2_1 g442565(.A (n_1782), .B (n_11130), .Y
+       (n_2163));
+  sky130_fd_sc_hd__nor2_1 g442567(.A (n_1846), .B (n_1754), .Y
+       (n_2162));
+  sky130_fd_sc_hd__nand3_1 g442568(.A (n_1537), .B
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[3]), .C (n_94), .Y
+       (n_2161));
+  sky130_fd_sc_hd__nor3_1 g442569(.A (\u_soc_xbar_to_dccm[a_address]
+       [3]), .B (n_13378), .C (n_1434), .Y (n_2160));
+  sky130_fd_sc_hd__nor2b_1 g442570(.A (n_471), .B_N (n_1762), .Y
+       (n_2159));
+  sky130_fd_sc_hd__nor2b_1 g442571(.A (n_471), .B_N (n_1761), .Y
+       (n_2158));
+  sky130_fd_sc_hd__nor3_1 g442572(.A
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .C (n_1475), .Y (n_2157));
+  sky130_fd_sc_hd__nand2_1 g442573(.A (n_1744), .B (n_1431), .Y
+       (n_2156));
+  sky130_fd_sc_hd__o21ai_1 g442574(.A1 (n_471), .A2 (n_1565), .B1
+       (n_636), .Y (n_2153));
+  sky130_fd_sc_hd__a21o_1 g442575(.A1 (n_1449), .A2 (io_out[37]), .B1
+       (n_636), .X (n_2152));
+  sky130_fd_sc_hd__nor2_1 g442576(.A (n_1694), .B (n_1429), .Y
+       (n_2151));
+  sky130_fd_sc_hd__nor2_1 g442577(.A (n_1679), .B (n_1429), .Y
+       (n_2150));
+  sky130_fd_sc_hd__nor3_1 g442578(.A (n_1075), .B (n_15934), .C
+       (n_1429), .Y (n_2149));
+  sky130_fd_sc_hd__nand3_1 g442579(.A (n_1463), .B (n_15936), .C
+       (\u_soc_xbar_to_dccm[a_address] [5]), .Y (n_2148));
+  sky130_fd_sc_hd__a21oi_1 g442580(.A1 (n_1223), .A2 (n_1527), .B1
+       (n_1406), .Y (n_2146));
+  sky130_fd_sc_hd__o21ai_1 g442581(.A1 (n_1033), .A2 (n_1529), .B1
+       (n_478), .Y (n_2145));
+  sky130_fd_sc_hd__o21ai_1 g442582(.A1 (n_1236), .A2 (n_1529), .B1
+       (n_478), .Y (n_2144));
+  sky130_fd_sc_hd__nor2_1 g442583(.A (n_1888), .B (n_1444), .Y
+       (n_2143));
+  sky130_fd_sc_hd__nor2_1 g442584(.A (n_15932), .B (n_1903), .Y
+       (n_2142));
+  sky130_fd_sc_hd__o21ai_1 g442585(.A1 (n_1235), .A2 (n_1529), .B1
+       (n_478), .Y (n_2141));
+  sky130_fd_sc_hd__nor2_1 g442586(.A (n_1902), .B (n_1447), .Y
+       (n_2140));
+  sky130_fd_sc_hd__o21ai_1 g442587(.A1 (n_1032), .A2 (n_1529), .B1
+       (n_478), .Y (n_2139));
+  sky130_fd_sc_hd__nor2_1 g442588(.A (n_1440), .B (n_1903), .Y
+       (n_2138));
+  sky130_fd_sc_hd__or2_2 g442589(.A (n_1032), .B (n_1899), .X (n_2137));
+  sky130_fd_sc_hd__nand3b_1 g442590(.A_N (n_13479), .B (n_1426), .C
+       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .Y (n_2136));
+  sky130_fd_sc_hd__nand2_1 g442591(.A (n_1864), .B
+       (u_soc_u_uart_u_uart_core_rx[7]), .Y (n_2134));
+  sky130_fd_sc_hd__nand2_1 g442592(.A (n_1867), .B
+       (u_soc_u_uart_u_uart_core_rx[7]), .Y (n_2132));
+  sky130_fd_sc_hd__nand2_1 g442593(.A (n_1856), .B
+       (u_soc_u_uart_u_uart_core_rx[0]), .Y (n_2130));
+  sky130_fd_sc_hd__nand2_1 g442594(.A (n_1863), .B
+       (u_soc_u_uart_u_uart_core_rx[7]), .Y (n_2128));
+  sky130_fd_sc_hd__nor2_1 g442595(.A (n_1), .B (n_1859), .Y (n_2126));
+  sky130_fd_sc_hd__nand2_1 g442596(.A (n_1867), .B
+       (u_soc_u_uart_u_uart_core_rx[5]), .Y (n_2124));
+  sky130_fd_sc_hd__nand2_1 g442597(.A (n_1858), .B
+       (u_soc_u_uart_u_uart_core_rx[5]), .Y (n_2122));
+  sky130_fd_sc_hd__nand2_1 g442598(.A (n_1867), .B
+       (u_soc_u_uart_u_uart_core_rx[4]), .Y (n_2120));
+  sky130_fd_sc_hd__nor2_1 g442599(.A (n_473), .B (n_1859), .Y (n_2118));
+  sky130_fd_sc_hd__nand2_1 g442600(.A (n_1858), .B
+       (u_soc_u_uart_u_uart_core_rx[0]), .Y (n_2116));
+  sky130_fd_sc_hd__nor2_1 g442601(.A (n_474), .B (n_1859), .Y (n_2114));
+  sky130_fd_sc_hd__nand2_1 g442602(.A (n_1864), .B
+       (u_soc_u_uart_u_uart_core_rx[1]), .Y (n_2112));
+  sky130_fd_sc_hd__nand2_1 g442603(.A (n_1863), .B
+       (u_soc_u_uart_u_uart_core_rx[0]), .Y (n_2110));
+  sky130_fd_sc_hd__nand2_1 g442604(.A (n_1864), .B
+       (u_soc_u_uart_u_uart_core_rx[2]), .Y (n_2108));
+  sky130_fd_sc_hd__nand2_1 g442605(.A (n_1864), .B
+       (u_soc_u_uart_u_uart_core_rx[3]), .Y (n_2107));
+  sky130_fd_sc_hd__nand2_1 g442606(.A (n_1864), .B
+       (u_soc_u_uart_u_uart_core_rx[0]), .Y (n_2106));
+  sky130_fd_sc_hd__nand2_1 g442607(.A (n_1863), .B
+       (u_soc_u_uart_u_uart_core_rx[3]), .Y (n_2104));
+  sky130_fd_sc_hd__nand2_1 g442608(.A (n_1864), .B
+       (u_soc_u_uart_u_uart_core_rx[4]), .Y (n_2102));
+  sky130_fd_sc_hd__nand2_1 g442609(.A (n_1863), .B
+       (u_soc_u_uart_u_uart_core_rx[2]), .Y (n_2100));
+  sky130_fd_sc_hd__nand2_1 g442610(.A (n_1863), .B
+       (u_soc_u_uart_u_uart_core_rx[4]), .Y (n_2099));
+  sky130_fd_sc_hd__nand2_1 g442611(.A (n_1858), .B
+       (u_soc_u_uart_u_uart_core_rx[2]), .Y (n_2097));
+  sky130_fd_sc_hd__nand2_1 g442612(.A (n_1856), .B
+       (u_soc_u_uart_u_uart_core_rx[6]), .Y (n_2095));
+  sky130_fd_sc_hd__nand2_1 g442613(.A (n_1867), .B
+       (u_soc_u_uart_u_uart_core_rx[3]), .Y (n_2093));
+  sky130_fd_sc_hd__nand2_1 g442614(.A (n_1856), .B
+       (u_soc_u_uart_u_uart_core_rx[7]), .Y (n_2091));
+  sky130_fd_sc_hd__nand2_1 g442615(.A (n_1867), .B
+       (u_soc_u_uart_u_uart_core_rx[1]), .Y (n_2089));
+  sky130_fd_sc_hd__nand2_1 g442616(.A (n_1867), .B
+       (u_soc_u_uart_u_uart_core_rx[0]), .Y (n_2087));
+  sky130_fd_sc_hd__nand2_1 g442617(.A (n_1863), .B
+       (u_soc_u_uart_u_uart_core_rx[5]), .Y (n_2085));
+  sky130_fd_sc_hd__nand2_1 g442618(.A (n_1863), .B
+       (u_soc_u_uart_u_uart_core_rx[1]), .Y (n_2083));
+  sky130_fd_sc_hd__nand2_1 g442619(.A (n_1864), .B
+       (u_soc_u_uart_u_uart_core_rx[6]), .Y (n_2081));
+  sky130_fd_sc_hd__nand2_1 g442620(.A (n_1863), .B
+       (u_soc_u_uart_u_uart_core_rx[6]), .Y (n_2079));
+  sky130_fd_sc_hd__nand2_1 g442621(.A (n_1858), .B
+       (u_soc_u_uart_u_uart_core_rx[6]), .Y (n_2077));
+  sky130_fd_sc_hd__nand2_1 g442622(.A (n_1867), .B
+       (u_soc_u_uart_u_uart_core_rx[6]), .Y (n_2075));
+  sky130_fd_sc_hd__nand2_1 g442623(.A (n_1867), .B
+       (u_soc_u_uart_u_uart_core_rx[2]), .Y (n_2073));
+  sky130_fd_sc_hd__nand2_1 g442624(.A (n_1856), .B
+       (u_soc_u_uart_u_uart_core_rx[4]), .Y (n_2071));
+  sky130_fd_sc_hd__nand2_1 g442625(.A (n_1856), .B
+       (u_soc_u_uart_u_uart_core_rx[5]), .Y (n_2070));
+  sky130_fd_sc_hd__nand2_1 g442626(.A (n_1860), .B
+       (u_soc_u_uart_u_uart_core_rx[6]), .Y (n_2069));
+  sky130_fd_sc_hd__nor2_1 g442627(.A (n_0), .B (n_1861), .Y (n_2067));
+  sky130_fd_sc_hd__nand2_1 g442628(.A (n_1860), .B
+       (u_soc_u_uart_u_uart_core_rx[7]), .Y (n_2065));
+  sky130_fd_sc_hd__nand2_1 g442629(.A (n_1860), .B
+       (u_soc_u_uart_u_uart_core_rx[5]), .Y (n_2063));
+  sky130_fd_sc_hd__nand2_1 g442630(.A (n_1860), .B
+       (u_soc_u_uart_u_uart_core_rx[3]), .Y (n_2061));
+  sky130_fd_sc_hd__nand2_1 g442631(.A (n_1860), .B
+       (u_soc_u_uart_u_uart_core_rx[0]), .Y (n_2059));
+  sky130_fd_sc_hd__nand2_1 g442632(.A (n_1860), .B
+       (u_soc_u_uart_u_uart_core_rx[1]), .Y (n_2057));
+  sky130_fd_sc_hd__nor2_1 g442633(.A (n_5), .B (n_1861), .Y (n_2055));
+  sky130_fd_sc_hd__nor2_1 g442634(.A (n_5), .B (n_1870), .Y (n_2053));
+  sky130_fd_sc_hd__nor2_1 g442635(.A (n_1), .B (n_1870), .Y (n_2051));
+  sky130_fd_sc_hd__nor2_1 g442636(.A (n_0), .B (n_1870), .Y (n_2049));
+  sky130_fd_sc_hd__nor2_1 g442637(.A (n_4), .B (n_1870), .Y (n_2047));
+  sky130_fd_sc_hd__nor2_1 g442638(.A (n_3), .B (n_1870), .Y (n_2045));
+  sky130_fd_sc_hd__nor2_1 g442639(.A (n_2), .B (n_1870), .Y (n_2043));
+  sky130_fd_sc_hd__nor2_1 g442640(.A (n_473), .B (n_1870), .Y (n_2041));
+  sky130_fd_sc_hd__nor2_1 g442641(.A (n_474), .B (n_1870), .Y (n_2039));
+  sky130_fd_sc_hd__nand2_1 g442642(.A (n_1856), .B
+       (u_soc_u_uart_u_uart_core_rx[1]), .Y (n_2037));
+  sky130_fd_sc_hd__nand2_1 g442643(.A (n_1856), .B
+       (u_soc_u_uart_u_uart_core_rx[3]), .Y (n_2035));
+  sky130_fd_sc_hd__nor2_1 g442644(.A (n_473), .B (n_1855), .Y (n_2033));
+  sky130_fd_sc_hd__nor2_1 g442645(.A (n_1), .B (n_1855), .Y (n_2031));
+  sky130_fd_sc_hd__nor2_1 g442646(.A (n_0), .B (n_1855), .Y (n_2029));
+  sky130_fd_sc_hd__nor2_1 g442647(.A (n_4), .B (n_1855), .Y (n_2027));
+  sky130_fd_sc_hd__nor2_1 g442648(.A (n_3), .B (n_1855), .Y (n_2025));
+  sky130_fd_sc_hd__nor2_1 g442649(.A (n_5), .B (n_1855), .Y (n_2023));
+  sky130_fd_sc_hd__nor2_1 g442650(.A (n_474), .B (n_1855), .Y (n_2021));
+  sky130_fd_sc_hd__nor2_1 g442651(.A (n_2), .B (n_1855), .Y (n_2019));
+  sky130_fd_sc_hd__nand2_1 g442652(.A (n_1856), .B
+       (u_soc_u_uart_u_uart_core_rx[2]), .Y (n_2017));
+  sky130_fd_sc_hd__nand2_1 g442653(.A (n_1864), .B
+       (u_soc_u_uart_u_uart_core_rx[5]), .Y (n_2016));
+  sky130_fd_sc_hd__nor2_1 g442654(.A (n_0), .B (n_1859), .Y (n_2014));
+  sky130_fd_sc_hd__nand2_1 g442655(.A (n_1887), .B (n_1031), .Y
+       (n_2012));
+  sky130_fd_sc_hd__nand2_1 g442656(.A (n_1887), .B (n_1234), .Y
+       (n_2011));
+  sky130_fd_sc_hd__o211a_2 g442657(.A1 (n_615), .A2 (n_1097), .B1
+       (n_1071), .C1 (n_1759), .X (n_2009));
+  sky130_fd_sc_hd__o211a_2 g442658(.A1 (n_522), .A2 (n_1082), .B1
+       (n_1061), .C1 (n_1759), .X (n_2008));
+  sky130_fd_sc_hd__nand2b_1 g442659(.A_N (n_1899), .B (n_1237), .Y
+       (n_2007));
+  sky130_fd_sc_hd__or2_2 g442660(.A (n_1033), .B (n_1899), .X (n_2006));
+  sky130_fd_sc_hd__nand2_1 g442661(.A (n_1887), .B (n_1034), .Y
+       (n_2004));
+  sky130_fd_sc_hd__o21a_1 g442663(.A1 (n_1517), .A2 (n_1222), .B1
+       (n_1405), .X (n_2000));
+  sky130_fd_sc_hd__o21a_1 g442664(.A1 (n_1515), .A2 (n_1222), .B1
+       (n_1213), .X (n_1999));
+  sky130_fd_sc_hd__nand2_1 g442665(.A (n_1898), .B (n_1234), .Y
+       (n_1998));
+  sky130_fd_sc_hd__nand2_1 g442666(.A (n_1898), .B (n_1031), .Y
+       (n_1996));
+  sky130_fd_sc_hd__nand2_1 g442667(.A (n_1898), .B (n_1034), .Y
+       (n_1994));
+  sky130_fd_sc_hd__o21a_1 g442668(.A1 (n_1408), .A2 (n_1222), .B1
+       (n_1405), .X (n_1992));
+  sky130_fd_sc_hd__inv_1 g442669(.A (n_1914), .Y (n_1915));
+  sky130_fd_sc_hd__inv_1 g442670(.A (n_1910), .Y (n_1911));
+  sky130_fd_sc_hd__inv_1 g442671(.A (n_1905), .Y (n_1904));
+  sky130_fd_sc_hd__inv_1 g442672(.A (n_1895), .Y (n_1894));
+  sky130_fd_sc_hd__inv_2 g442673(.A (n_1891), .Y (n_1892));
+  sky130_fd_sc_hd__clkinv_1 g442674(.A (n_1886), .Y (n_1885));
+  sky130_fd_sc_hd__inv_2 g442675(.A (n_1868), .Y (n_1869));
+  sky130_fd_sc_hd__inv_2 g442676(.A (n_1867), .Y (n_1866));
+  sky130_fd_sc_hd__inv_2 g442677(.A (n_1865), .Y (n_1864));
+  sky130_fd_sc_hd__inv_2 g442678(.A (n_1863), .Y (n_1862));
+  sky130_fd_sc_hd__inv_2 g442679(.A (n_1861), .Y (n_1860));
+  sky130_fd_sc_hd__inv_2 g442680(.A (n_1859), .Y (n_1858));
+  sky130_fd_sc_hd__inv_2 g442681(.A (n_1857), .Y (n_1856));
+  sky130_fd_sc_hd__inv_2 g442682(.A (n_1854), .Y (n_1853));
+  sky130_fd_sc_hd__inv_1 g442683(.A (n_1848), .Y (n_1847));
+  sky130_fd_sc_hd__inv_2 g442684(.A (n_1846), .Y (n_1845));
+  sky130_fd_sc_hd__ha_1 g442685(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B (\u_soc_tcam_to_xbar[d_valid] ), .COUT (n_1921), .SUM
+       (n_1841));
+  sky130_fd_sc_hd__ha_1 g442686(.A
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B (\u_soc_dccm_to_xbar[d_valid] ), .COUT (n_1920), .SUM
+       (n_1840));
+  sky130_fd_sc_hd__ha_1 g442687(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[24]), .B (n_13519), .COUT
+       (n_1838), .SUM (n_1839));
+  sky130_fd_sc_hd__nand2b_1 g442688(.A_N
+       (u_soc_u_uart_u_uart_core_rx_timeout[0]), .B (n_1514), .Y
+       (n_1837));
+  sky130_fd_sc_hd__nor2b_1 g442689(.A (n_471), .B_N (n_1624), .Y
+       (n_1836));
+  sky130_fd_sc_hd__o21ai_1 g442690(.A1 (n_670), .A2 (n_1067), .B1
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[2]), .Y (n_1835));
+  sky130_fd_sc_hd__nor2_1 g442691(.A (n_471), .B (n_1561), .Y (n_1834));
+  sky130_fd_sc_hd__nor2_1 g442692(.A (n_471), .B (n_1558), .Y (n_1833));
+  sky130_fd_sc_hd__nor2_1 g442693(.A (n_471), .B (n_1557), .Y (n_1832));
+  sky130_fd_sc_hd__nand2_1 g442694(.A (n_1564), .B (n_1077), .Y
+       (n_1831));
+  sky130_fd_sc_hd__nand2_1 g442695(.A (n_1424), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Y (n_1830));
+  sky130_fd_sc_hd__and2_1 g442696(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[21]), .X (n_1829));
+  sky130_fd_sc_hd__nand2_1 g442697(.A (n_1410), .B
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[7]), .Y (n_1828));
+  sky130_fd_sc_hd__and2_1 g442698(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[24]), .X (n_1827));
+  sky130_fd_sc_hd__and2_1 g442699(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[14]), .X (n_1826));
+  sky130_fd_sc_hd__and2_1 g442700(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[27]), .X (n_1825));
+  sky130_fd_sc_hd__and2_1 g442701(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[19]), .X (n_1824));
+  sky130_fd_sc_hd__and2_1 g442702(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[17]), .X (n_1823));
+  sky130_fd_sc_hd__and2_1 g442703(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[11]), .X (n_1822));
+  sky130_fd_sc_hd__and2_1 g442704(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[13]), .X (n_1821));
+  sky130_fd_sc_hd__and2_1 g442706(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[22]), .X (n_1820));
+  sky130_fd_sc_hd__nand2b_1 g442707(.A_N
+       (u_soc_u_uart_u_uart_core_rx_timeout[1]), .B (n_1514), .Y
+       (n_1819));
+  sky130_fd_sc_hd__and2_1 g442708(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[5]), .X (n_1818));
+  sky130_fd_sc_hd__nand2b_1 g442709(.A_N
+       (u_soc_u_uart_u_uart_core_rx_timeout[3]), .B (n_1514), .Y
+       (n_1817));
+  sky130_fd_sc_hd__and2_1 g442710(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[7]), .X (n_1816));
+  sky130_fd_sc_hd__and2_1 g442711(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[12]), .X (n_1815));
+  sky130_fd_sc_hd__and2_1 g442712(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[23]), .X (n_1814));
+  sky130_fd_sc_hd__nand2_1 g442713(.A (n_1513), .B
+       (u_soc_u_top_u_core_cs_registers_i_dscratch0_q[0]), .Y (n_1813));
+  sky130_fd_sc_hd__and2_1 g442714(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[8]), .X (n_1812));
+  sky130_fd_sc_hd__nand2_1 g442715(.A (n_1370), .B (n_1022), .Y
+       (n_1811));
+  sky130_fd_sc_hd__and2_1 g442716(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[20]), .X (n_1810));
+  sky130_fd_sc_hd__and2_1 g442717(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[25]), .X (n_1809));
+  sky130_fd_sc_hd__and2_1 g442718(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[15]), .X (n_1808));
+  sky130_fd_sc_hd__nand2_1 g442719(.A (n_1516), .B
+       (u_soc_u_top_u_core_csr_depc[13]), .Y (n_1807));
+  sky130_fd_sc_hd__nand2_1 g442720(.A (n_1516), .B
+       (u_soc_u_top_u_core_csr_depc[1]), .Y (n_1806));
+  sky130_fd_sc_hd__nand2_1 g442721(.A (n_1463), .B
+       (u_soc_u_uart_u_uart_core_rx_status), .Y (n_1805));
+  sky130_fd_sc_hd__and2_1 g442723(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[16]), .X (n_1804));
+  sky130_fd_sc_hd__nand2_1 g442724(.A (n_1409), .B
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[13]), .Y (n_1803));
+  sky130_fd_sc_hd__and2_1 g442725(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[9]), .X (n_1802));
+  sky130_fd_sc_hd__nand2_1 g442726(.A (n_1410), .B
+       (u_soc_u_top_u_core_cs_registers_i_dscratch1_q[5]), .Y (n_1801));
+  sky130_fd_sc_hd__nand2_1 g442727(.A (n_1516), .B
+       (u_soc_u_top_u_core_csr_depc[17]), .Y (n_1800));
+  sky130_fd_sc_hd__nand2_1 g442728(.A (n_1516), .B
+       (u_soc_u_top_u_core_csr_depc[8]), .Y (n_1799));
+  sky130_fd_sc_hd__and2_1 g442729(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[10]), .X (n_1798));
+  sky130_fd_sc_hd__and2_1 g442730(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[30]), .X (n_1797));
+  sky130_fd_sc_hd__nand2_1 g442731(.A (n_1516), .B
+       (u_soc_u_top_u_core_csr_depc[21]), .Y (n_1796));
+  sky130_fd_sc_hd__and2_1 g442732(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[4]), .X (n_1795));
+  sky130_fd_sc_hd__nand2_1 g442733(.A (n_1527), .B
+       (u_soc_u_top_u_core_cs_registers_i_mcause_q[5]), .Y (n_1794));
+  sky130_fd_sc_hd__and2_1 g442734(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[6]), .X (n_1793));
+  sky130_fd_sc_hd__nand2b_1 g442735(.A_N
+       (u_soc_u_uart_u_uart_core_rx_timeout[2]), .B (n_1514), .Y
+       (n_1792));
+  sky130_fd_sc_hd__and2_1 g442736(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[28]), .X (n_1791));
+  sky130_fd_sc_hd__nand2_1 g442737(.A (n_1409), .B
+       (u_soc_u_top_u_core_cs_registers_i_mtval_q[8]), .Y (n_1790));
+  sky130_fd_sc_hd__and2_1 g442738(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[31]), .X (n_1789));
+  sky130_fd_sc_hd__and2_1 g442739(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[18]), .X (n_1788));
+  sky130_fd_sc_hd__nand2_1 g442740(.A (n_13462), .B (n_1337), .Y
+       (n_1787));
+  sky130_fd_sc_hd__and2_1 g442741(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[29]), .X (n_1786));
+  sky130_fd_sc_hd__and2_1 g442743(.A (n_1514), .B
+       (u_soc_u_uart_u_uart_core_rx_timeout[26]), .X (n_1784));
+  sky130_fd_sc_hd__nand2b_1 g442753(.A_N (n_1070), .B (n_1557), .Y
+       (n_1918));
+  sky130_fd_sc_hd__nand2b_1 g442754(.A_N (n_1078), .B (n_1558), .Y
+       (n_1917));
+  sky130_fd_sc_hd__nand2_1 g442763(.A (n_1459), .B (n_1553), .Y
+       (n_1916));
+  sky130_fd_sc_hd__nand2_1 g442774(.A (n_1565), .B (n_636), .Y
+       (n_1914));
+  sky130_fd_sc_hd__nand2_1 g442776(.A (n_1459), .B (n_1426), .Y
+       (n_1913));
+  sky130_fd_sc_hd__nand2_1 g442778(.A (n_1551), .B
+       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .Y (n_1912));
+  sky130_fd_sc_hd__nor2_1 g442779(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [3]), .B (n_1526), .Y (n_1910));
+  sky130_fd_sc_hd__nand2b_1 g442780(.A_N (n_1434), .B
+       (\u_soc_xbar_to_dccm[a_address] [3]), .Y (n_1909));
+  sky130_fd_sc_hd__nand2_1 g442781(.A (n_1432), .B (n_480), .Y
+       (n_1908));
+  sky130_fd_sc_hd__nor2b_1 g442782(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[11]), .B_N
+       (n_1550), .Y (n_1907));
+  sky130_fd_sc_hd__nor2_1 g442783(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1535), .Y
+       (n_1906));
+  sky130_fd_sc_hd__nand2_1 g442784(.A (n_1545), .B
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .Y (n_1905));
+  sky130_fd_sc_hd__nor2_1 g442785(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1537), .Y
+       (n_1903));
+  sky130_fd_sc_hd__nor2_1 g442786(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1547), .Y
+       (n_1902));
+  sky130_fd_sc_hd__nor2_1 g442787(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_15928), .Y
+       (n_1901));
+  sky130_fd_sc_hd__nor2_1 g442788(.A (n_53), .B (n_1460), .Y (n_1900));
+  sky130_fd_sc_hd__nand2_1 g442789(.A (n_1528), .B
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .Y (n_1899));
+  sky130_fd_sc_hd__nor2_1 g442790(.A
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .B (n_1544), .Y
+       (n_1898));
+  sky130_fd_sc_hd__nor2_1 g442791(.A
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .B (n_1549), .Y
+       (n_1897));
+  sky130_fd_sc_hd__nor2_1 g442792(.A
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .B (n_1546), .Y
+       (n_1896));
+  sky130_fd_sc_hd__nand2_1 g442793(.A (n_1547), .B
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .Y (n_1895));
+  sky130_fd_sc_hd__nor2_1 g442795(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1545), .Y
+       (n_1893));
+  sky130_fd_sc_hd__nand2_1 g442797(.A (n_1542), .B (n_478), .Y
+       (n_1891));
+  sky130_fd_sc_hd__nor2_1 g442798(.A
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .B (n_1529), .Y
+       (n_1890));
+  sky130_fd_sc_hd__and2_1 g442799(.A (n_1532), .B (n_480), .X (n_1889));
+  sky130_fd_sc_hd__nor2b_1 g442800(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B_N (n_1549), .Y
+       (n_1888));
+  sky130_fd_sc_hd__nor2_1 g442801(.A (n_497), .B (n_1549), .Y (n_1887));
+  sky130_fd_sc_hd__nor2_1 g442805(.A (n_13458), .B (n_1464), .Y
+       (n_1886));
+  sky130_fd_sc_hd__nor2_1 g442806(.A (n_1425), .B (n_13478), .Y
+       (n_1884));
+  sky130_fd_sc_hd__nor2_1 g442807(.A (n_13358), .B (n_1438), .Y
+       (n_1883));
+  sky130_fd_sc_hd__nor2_1 g442808(.A (n_13359), .B (n_1433), .Y
+       (n_1882));
+  sky130_fd_sc_hd__nor2_1 g442809(.A (n_13360), .B (n_1433), .Y
+       (n_1881));
+  sky130_fd_sc_hd__nor2_1 g442810(.A (n_13358), .B (n_1433), .Y
+       (n_1880));
+  sky130_fd_sc_hd__nor2_1 g442811(.A (n_13361), .B (n_1438), .Y
+       (n_1879));
+  sky130_fd_sc_hd__nor2_1 g442812(.A (n_1280), .B (n_1556), .Y
+       (n_1878));
+  sky130_fd_sc_hd__nor2_1 g442813(.A (n_13361), .B (n_1433), .Y
+       (n_1877));
+  sky130_fd_sc_hd__nor2_1 g442814(.A (n_13359), .B (n_1438), .Y
+       (n_1876));
+  sky130_fd_sc_hd__nor2_1 g442815(.A (n_13360), .B (n_1438), .Y
+       (n_1875));
+  sky130_fd_sc_hd__nor2_1 g442816(.A (n_1280), .B (n_1543), .Y
+       (n_1874));
+  sky130_fd_sc_hd__nor2_1 g442817(.A (n_1241), .B (n_1543), .Y
+       (n_1873));
+  sky130_fd_sc_hd__nor2_1 g442818(.A
+       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]), .B
+       (n_1418), .Y (n_1872));
+  sky130_fd_sc_hd__nor2_1 g442819(.A (n_1556), .B (n_1241), .Y
+       (n_1871));
+  sky130_fd_sc_hd__nand2_1 g442820(.A (n_15928), .B (n_1040), .Y
+       (n_1870));
+  sky130_fd_sc_hd__nand2_1 g442821(.A (n_1419), .B (n_1241), .Y
+       (n_1868));
+  sky130_fd_sc_hd__nor2_1 g442822(.A (n_1044), .B (n_1542), .Y
+       (n_1867));
+  sky130_fd_sc_hd__nand2_1 g442823(.A (n_1535), .B (n_1043), .Y
+       (n_1865));
+  sky130_fd_sc_hd__nor2_1 g442824(.A (n_1039), .B (n_1542), .Y
+       (n_1863));
+  sky130_fd_sc_hd__nand2_1 g442825(.A (n_1537), .B (n_1043), .Y
+       (n_1861));
+  sky130_fd_sc_hd__nand2_1 g442826(.A (n_1537), .B (n_1040), .Y
+       (n_1859));
+  sky130_fd_sc_hd__nand2_1 g442827(.A (n_15928), .B (n_1043), .Y
+       (n_1857));
+  sky130_fd_sc_hd__nand2_1 g442828(.A (n_1040), .B (n_1535), .Y
+       (n_1855));
+  sky130_fd_sc_hd__nand2_1 g442829(.A (n_1415), .B (n_1213), .Y
+       (n_1854));
+  sky130_fd_sc_hd__nor2_1 g442830(.A (n_1240), .B (n_1543), .Y
+       (n_1852));
+  sky130_fd_sc_hd__and2_1 g442831(.A (n_1406), .B
+       (u_soc_u_top_u_core_csr_save_if), .X (n_1851));
+  sky130_fd_sc_hd__nor2_1 g442832(.A (n_1282), .B (n_1405), .Y
+       (n_1850));
+  sky130_fd_sc_hd__and2_0 g442833(.A (n_1555), .B (n_1241), .X
+       (n_1849));
+  sky130_fd_sc_hd__nor2_1 g442834(.A
+       (u_soc_u_top_u_core_csr_restore_mret_id), .B (n_1405), .Y
+       (n_1848));
+  sky130_fd_sc_hd__nand2_1 g442835(.A (n_1551), .B (n_16), .Y (n_1846));
+  sky130_fd_sc_hd__nand2_1 g442836(.A (n_1223), .B (n_1413), .Y
+       (n_1844));
+  sky130_fd_sc_hd__nand2_1 g442837(.A (n_1223), .B (n_1410), .Y
+       (n_1843));
+  sky130_fd_sc_hd__nand2_1 g442838(.A (n_1223), .B (n_1513), .Y
+       (n_1842));
+  sky130_fd_sc_hd__inv_2 g442840(.A (n_1755), .Y (n_1754));
+  sky130_fd_sc_hd__inv_2 g442841(.A (n_1753), .Y (n_1752));
+  sky130_fd_sc_hd__inv_2 g442842(.A (n_1751), .Y (n_1750));
+  sky130_fd_sc_hd__inv_1 g442843(.A (n_1749), .Y (n_1748));
+  sky130_fd_sc_hd__inv_2 g442844(.A (n_1744), .Y (n_1743));
+  sky130_fd_sc_hd__inv_2 g442845(.A (n_1742), .Y (n_1741));
+  sky130_fd_sc_hd__a22oi_1 g442846(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[13]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[13]), .Y (n_1740));
+  sky130_fd_sc_hd__o211ai_1 g442847(.A1 (n_13455), .A2 (n_1208), .B1
+       (n_13872), .C1 (n_13341), .Y (n_1739));
+  sky130_fd_sc_hd__nand2_1 g442848(.A (n_1552), .B
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[3]), .Y (n_1738));
+  sky130_fd_sc_hd__nand2_1 g442849(.A (n_1354), .B
+       (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .Y (n_1737));
+  sky130_fd_sc_hd__o31ai_1 g442850(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .A2 (n_15943), .A3
+       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .B1 (n_1425), .Y
+       (n_1736));
+  sky130_fd_sc_hd__o21ai_0 g442851(.A1 (n_1186), .A2 (n_13329), .B1
+       (n_13326), .Y (n_1735));
+  sky130_fd_sc_hd__nand2_1 g442852(.A (n_1514), .B (n_1196), .Y
+       (n_1734));
+  sky130_fd_sc_hd__nor2_1 g442853(.A
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [2]), .B (n_1489), .Y (n_1733));
+  sky130_fd_sc_hd__a22o_1 g442854(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_600), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[7]), .X (n_1732));
+  sky130_fd_sc_hd__a22o_1 g442855(.A1 (n_1023), .A2
+       (u_soc_u_uart_u_uart_core_rx_time_n_606), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[1]), .X (n_1731));
+  sky130_fd_sc_hd__o31ai_1 g442856(.A1
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .A3 (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), .B1
+       (n_1163), .Y (n_1730));
+  sky130_fd_sc_hd__o21ai_1 g442857(.A1 (io_out[37]), .A2 (n_1047), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3]
+       [1]), .Y (n_1729));
+  sky130_fd_sc_hd__a22oi_1 g442858(.A1 (n_1227), .A2
+       (u_soc_u_dccm_rdata1[18]), .B1 (n_1224), .B2
+       (u_soc_u_dccm_rdata4[18]), .Y (n_1728));
+  sky130_fd_sc_hd__a22oi_1 g442859(.A1 (n_1230), .A2
+       (u_soc_u_dccm_rdata2[19]), .B1 (n_1224), .B2
+       (u_soc_u_dccm_rdata4[19]), .Y (n_1727));
+  sky130_fd_sc_hd__o21ai_0 g442860(.A1 (n_43), .A2 (n_1055), .B1
+       (n_13334), .Y (n_1726));
+  sky130_fd_sc_hd__o21ai_1 g442861(.A1 (io_out[37]), .A2 (n_1096), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [1]), .Y (n_1725));
+  sky130_fd_sc_hd__o21ai_1 g442862(.A1
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .A2 (n_1069), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [1]), .Y (n_1724));
+  sky130_fd_sc_hd__o21ai_1 g442863(.A1 (io_out[37]), .A2 (n_1164), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [1]), .Y (n_1723));
+  sky130_fd_sc_hd__a22oi_1 g442864(.A1 (n_1227), .A2
+       (u_soc_u_dccm_rdata1[19]), .B1 (n_1228), .B2
+       (u_soc_u_dccm_rdata3[19]), .Y (n_1722));
+  sky130_fd_sc_hd__a21oi_1 g442865(.A1 (n_1261), .A2 (n_1087), .B1
+       (n_1534), .Y (n_1721));
+  sky130_fd_sc_hd__a22o_1 g442866(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_579), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[28]), .X (n_1720));
+  sky130_fd_sc_hd__a22o_1 g442867(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_581), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[26]), .X (n_1719));
+  sky130_fd_sc_hd__a22o_1 g442868(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_591), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[16]), .X (n_1718));
+  sky130_fd_sc_hd__a22o_1 g442869(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_603), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[4]), .X (n_1717));
+  sky130_fd_sc_hd__a22o_1 g442870(.A1 (n_1023), .A2
+       (u_soc_u_uart_u_uart_core_rx_time_n_605), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[2]), .X (n_1716));
+  sky130_fd_sc_hd__a22oi_1 g442871(.A1 (n_1227), .A2
+       (u_soc_u_dccm_rdata1[12]), .B1 (n_1224), .B2
+       (u_soc_u_dccm_rdata4[12]), .Y (n_1715));
+  sky130_fd_sc_hd__a22oi_1 g442872(.A1 (n_1227), .A2
+       (u_soc_u_dccm_rdata1[20]), .B1 (n_1228), .B2
+       (u_soc_u_dccm_rdata3[20]), .Y (n_1714));
+  sky130_fd_sc_hd__o21ai_1 g442873(.A1 (io_out[37]), .A2 (n_1064), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2]
+       [1]), .Y (n_1713));
+  sky130_fd_sc_hd__a22o_1 g442874(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_601), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[6]), .X (n_1712));
+  sky130_fd_sc_hd__a22o_1 g442875(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_602), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[5]), .X (n_1711));
+  sky130_fd_sc_hd__a21oi_1 g442876(.A1 (n_1261), .A2 (n_1083), .B1
+       (n_1534), .Y (n_1710));
+  sky130_fd_sc_hd__a21oi_1 g442877(.A1 (n_1261), .A2 (n_1079), .B1
+       (n_1534), .Y (n_1709));
+  sky130_fd_sc_hd__a22o_1 g442878(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_585), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[22]), .X (n_1708));
+  sky130_fd_sc_hd__a22o_1 g442879(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_584), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[23]), .X (n_1707));
+  sky130_fd_sc_hd__a22o_1 g442880(.A1 (n_1023), .A2
+       (u_soc_u_uart_u_uart_core_rx_time_n_604), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[3]), .X (n_1706));
+  sky130_fd_sc_hd__a22o_1 g442881(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_577), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[30]), .X (n_1705));
+  sky130_fd_sc_hd__a22oi_1 g442882(.A1 (n_1227), .A2
+       (u_soc_u_dccm_rdata1[23]), .B1 (n_1228), .B2
+       (u_soc_u_dccm_rdata3[23]), .Y (n_1704));
+  sky130_fd_sc_hd__a22o_1 g442883(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_586), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[21]), .X (n_1703));
+  sky130_fd_sc_hd__a21boi_1 g442884(.A1 (n_1283), .A2 (n_589), .B1_N
+       (n_1612), .Y (n_1702));
+  sky130_fd_sc_hd__a22oi_1 g442885(.A1 (n_1227), .A2
+       (u_soc_u_dccm_rdata1[17]), .B1 (n_1224), .B2
+       (u_soc_u_dccm_rdata4[17]), .Y (n_1701));
+  sky130_fd_sc_hd__a21o_1 g442886(.A1 (n_111), .A2 (n_1094), .B1
+       (n_1096), .X (n_1700));
+  sky130_fd_sc_hd__nor4b_1 g442887(.A (n_12), .B (n_13484), .C
+       (n_13474), .D_N (u_soc_n_289), .Y (n_1699));
+  sky130_fd_sc_hd__a22oi_1 g442888(.A1 (n_1227), .A2
+       (u_soc_u_dccm_rdata1[27]), .B1 (n_1224), .B2
+       (u_soc_u_dccm_rdata4[27]), .Y (n_1698));
+  sky130_fd_sc_hd__a22o_1 g442889(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_582), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[25]), .X (n_1697));
+  sky130_fd_sc_hd__a21o_1 g442890(.A1 (n_1090), .A2 (n_111), .B1
+       (n_1064), .X (n_1696));
+  sky130_fd_sc_hd__a22o_1 g442891(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_597), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[10]), .X (n_1695));
+  sky130_fd_sc_hd__nand2_1 g442892(.A (n_1473), .B (n_1063), .Y
+       (n_1694));
+  sky130_fd_sc_hd__nor3_1 g442893(.A (n_471), .B
+       (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125), .C (n_1062), .Y
+       (n_1693));
+  sky130_fd_sc_hd__or4_1 g442894(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [1]), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [2]), .C
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [29]), .D
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [30]), .X (n_1692));
+  sky130_fd_sc_hd__a22o_1 g442895(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_587), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[20]), .X (n_1691));
+  sky130_fd_sc_hd__or4_1 g442896(.A (n_13386), .B (n_13388), .C
+       (n_13387), .D (n_13389), .X (n_1690));
+  sky130_fd_sc_hd__a221oi_1 g442897(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [0]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[36]
+       [0]), .C1 (n_1404), .Y (n_1689));
+  sky130_fd_sc_hd__a22oi_1 g442898(.A1 (n_1230), .A2
+       (u_soc_u_dccm_rdata2[9]), .B1 (n_1224), .B2
+       (u_soc_u_dccm_rdata4[9]), .Y (n_1688));
+  sky130_fd_sc_hd__a22o_1 g442899(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_588), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[19]), .X (n_1687));
+  sky130_fd_sc_hd__nor4_1 g442900(.A
+       (u_soc_u_top_u_core_id_stage_i_ebrk_insn), .B
+       (u_soc_u_top_u_core_id_stage_i_ecall_insn_dec), .C
+       (u_soc_u_top_u_core_id_stage_i_illegal_insn_dec), .D
+       (u_soc_u_top_u_core_illegal_csr_insn_id), .Y (n_1686));
+  sky130_fd_sc_hd__or4_1 g442901(.A
+       (u_soc_u_top_u_core_alu_operator_ex[1]), .B (n_720), .C
+       (u_soc_u_top_u_core_alu_operator_ex[0]), .D
+       (u_soc_u_top_u_core_alu_operator_ex[5]), .X (n_1685));
+  sky130_fd_sc_hd__a22oi_1 g442902(.A1 (n_1230), .A2
+       (u_soc_u_dccm_rdata2[27]), .B1 (n_1228), .B2
+       (u_soc_u_dccm_rdata3[27]), .Y (n_1684));
+  sky130_fd_sc_hd__a221oi_1 g442903(.A1 (n_831), .A2
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [0]), .B1
+       (n_690), .B2 (\u_soc_u_uart_u_uart_core_write_fifo_buffer[20]
+       [0]), .C1 (n_1348), .Y (n_1683));
+  sky130_fd_sc_hd__a22oi_1 g442904(.A1 (n_1230), .A2
+       (u_soc_u_dccm_rdata2[18]), .B1 (n_1228), .B2
+       (u_soc_u_dccm_rdata3[18]), .Y (n_1682));
+  sky130_fd_sc_hd__and3_1 g442905(.A (n_1261), .B (n_610), .C (n_62),
+       .X (n_1681));
+  sky130_fd_sc_hd__and3_1 g442906(.A (n_1092), .B (n_568), .C (n_579),
+       .X (n_1680));
+  sky130_fd_sc_hd__o21ai_1 g442907(.A1 (n_32), .A2 (n_1093), .B1
+       (n_1091), .Y (n_1679));
+  sky130_fd_sc_hd__a22o_1 g442908(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_580), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[27]), .X (n_1678));
+  sky130_fd_sc_hd__a22oi_1 g442909(.A1 (n_1230), .A2
+       (u_soc_u_dccm_rdata2[20]), .B1 (n_1224), .B2
+       (u_soc_u_dccm_rdata4[20]), .Y (n_1677));
+  sky130_fd_sc_hd__a22oi_1 g442910(.A1 (n_1230), .A2
+       (u_soc_u_dccm_rdata2[21]), .B1 (n_1228), .B2
+       (u_soc_u_dccm_rdata3[21]), .Y (n_1676));
+  sky130_fd_sc_hd__a22o_1 g442911(.A1 (n_1212), .A2
+       (u_soc_u_top_u_core_debug_cause[1]), .B1 (n_1213), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]), .X
+       (n_1675));
+  sky130_fd_sc_hd__a22o_1 g442912(.A1 (n_1212), .A2
+       (u_soc_u_top_u_core_debug_cause[2]), .B1 (n_1213), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]), .X
+       (n_1674));
+  sky130_fd_sc_hd__a22o_1 g442913(.A1 (n_1212), .A2
+       (u_soc_u_top_u_core_debug_cause[0]), .B1 (n_1213), .B2
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]), .X
+       (n_1673));
+  sky130_fd_sc_hd__o22ai_1 g442914(.A1
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .A2 (n_1274), .B1 (n_62), .B2 (n_1278), .Y (n_1672));
+  sky130_fd_sc_hd__a32o_1 g442915(.A1 (n_475), .A2
+       (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .A3
+       (u_soc_u_top_data_we), .B1 (n_13380), .B2
+       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q
+       [0]), .X (n_1671));
+  sky130_fd_sc_hd__o21ai_1 g442916(.A1
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .A2 (n_1069), .B1 (n_1352), .Y (n_1670));
+  sky130_fd_sc_hd__a22oi_1 g442917(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[21]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[21]), .Y (n_1669));
+  sky130_fd_sc_hd__a22oi_1 g442918(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[14]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[14]), .Y (n_1668));
+  sky130_fd_sc_hd__a22oi_1 g442919(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[17]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[17]), .Y (n_1667));
+  sky130_fd_sc_hd__a22o_1 g442920(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_593), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[14]), .X (n_1666));
+  sky130_fd_sc_hd__nor2b_1 g442921(.A (n_471), .B_N (n_1594), .Y
+       (n_1665));
+  sky130_fd_sc_hd__a22o_1 g442922(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_594), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[13]), .X (n_1664));
+  sky130_fd_sc_hd__a22o_1 g442923(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_598), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[9]), .X (n_1663));
+  sky130_fd_sc_hd__a22oi_1 g442924(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[15]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[15]), .Y (n_1662));
+  sky130_fd_sc_hd__a22o_1 g442925(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_595), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[12]), .X (n_1661));
+  sky130_fd_sc_hd__a22o_1 g442926(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_596), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[11]), .X (n_1660));
+  sky130_fd_sc_hd__a22oi_1 g442927(.A1 (n_1059), .A2
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [2]), .B1 (n_1060), .B2
+       (\u_soc_u_top_u_core_imd_val_q_ex[1] [4]), .Y (n_1659));
+  sky130_fd_sc_hd__a22oi_1 g442928(.A1 (n_1227), .A2
+       (u_soc_u_dccm_rdata1[22]), .B1 (n_1224), .B2
+       (u_soc_u_dccm_rdata4[22]), .Y (n_1658));
+  sky130_fd_sc_hd__a22o_1 g442929(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_576), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[31]), .X (n_1657));
+  sky130_fd_sc_hd__a22oi_1 g442930(.A1 (n_1218), .A2 (n_13743), .B1
+       (n_1219), .B2 (u_soc_u_top_u_core_csr_mepc[1]), .Y (n_1656));
+  sky130_fd_sc_hd__a22oi_1 g442931(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[12]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[12]), .Y (n_1655));
+  sky130_fd_sc_hd__a22oi_1 g442932(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[16]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[16]), .Y (n_1654));
+  sky130_fd_sc_hd__a22oi_1 g442933(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[18]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[18]), .Y (n_1653));
+  sky130_fd_sc_hd__a22oi_1 g442934(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[19]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[19]), .Y (n_1652));
+  sky130_fd_sc_hd__a22oi_1 g442935(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[20]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[20]), .Y (n_1651));
+  sky130_fd_sc_hd__a22oi_1 g442936(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[22]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[22]), .Y (n_1650));
+  sky130_fd_sc_hd__a22oi_1 g442937(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[24]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[24]), .Y (n_1649));
+  sky130_fd_sc_hd__a22oi_1 g442938(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[26]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[26]), .Y (n_1648));
+  sky130_fd_sc_hd__a22oi_1 g442939(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[28]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[28]), .Y (n_1647));
+  sky130_fd_sc_hd__a22o_1 g442940(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_583), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[24]), .X (n_1646));
+  sky130_fd_sc_hd__a22oi_1 g442941(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[30]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[30]), .Y (n_1645));
+  sky130_fd_sc_hd__a22oi_1 g442942(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[25]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[25]), .Y (n_1644));
+  sky130_fd_sc_hd__a22o_1 g442943(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_599), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[8]), .X (n_1643));
+  sky130_fd_sc_hd__a22oi_1 g442944(.A1 (n_1227), .A2
+       (u_soc_u_dccm_rdata1[14]), .B1 (n_1230), .B2
+       (u_soc_u_dccm_rdata2[14]), .Y (n_1642));
+  sky130_fd_sc_hd__a22oi_1 g442945(.A1 (n_1227), .A2
+       (u_soc_u_dccm_rdata1[9]), .B1 (n_1228), .B2
+       (u_soc_u_dccm_rdata3[9]), .Y (n_1641));
+  sky130_fd_sc_hd__a22oi_1 g442946(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[23]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[23]), .Y (n_1640));
+  sky130_fd_sc_hd__a22oi_1 g442947(.A1 (n_1230), .A2
+       (u_soc_u_dccm_rdata2[12]), .B1 (n_1228), .B2
+       (u_soc_u_dccm_rdata3[12]), .Y (n_1639));
+  sky130_fd_sc_hd__a22oi_1 g442948(.A1 (n_1228), .A2
+       (u_soc_u_dccm_rdata3[14]), .B1 (n_1224), .B2
+       (u_soc_u_dccm_rdata4[14]), .Y (n_1638));
+  sky130_fd_sc_hd__a22oi_1 g442949(.A1 (n_1230), .A2
+       (u_soc_u_dccm_rdata2[16]), .B1 (n_1228), .B2
+       (u_soc_u_dccm_rdata3[16]), .Y (n_1637));
+  sky130_fd_sc_hd__a22oi_1 g442950(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[27]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[27]), .Y (n_1636));
+  sky130_fd_sc_hd__a22oi_1 g442951(.A1 (n_1227), .A2
+       (u_soc_u_dccm_rdata1[16]), .B1 (n_1224), .B2
+       (u_soc_u_dccm_rdata4[16]), .Y (n_1635));
+  sky130_fd_sc_hd__a22oi_1 g442952(.A1 (n_1230), .A2
+       (u_soc_u_dccm_rdata2[23]), .B1 (n_1224), .B2
+       (u_soc_u_dccm_rdata4[23]), .Y (n_1634));
+  sky130_fd_sc_hd__a22o_1 g442953(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_592), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[15]), .X (n_1633));
+  sky130_fd_sc_hd__a22oi_1 g442954(.A1 (n_1230), .A2
+       (u_soc_u_dccm_rdata2[17]), .B1 (n_1228), .B2
+       (u_soc_u_dccm_rdata3[17]), .Y (n_1632));
+  sky130_fd_sc_hd__a22oi_1 g442955(.A1 (n_1230), .A2
+       (u_soc_u_dccm_rdata2[22]), .B1 (n_1228), .B2
+       (u_soc_u_dccm_rdata3[22]), .Y (n_1631));
+  sky130_fd_sc_hd__a22o_1 g442956(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_578), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[29]), .X (n_1630));
+  sky130_fd_sc_hd__a22oi_1 g442957(.A1 (n_1219), .A2
+       (u_soc_u_top_u_core_csr_mepc[31]), .B1 (n_1221), .B2
+       (u_soc_u_top_u_core_csr_mtvec[31]), .Y (n_1629));
+  sky130_fd_sc_hd__a22oi_1 g442958(.A1 (n_1227), .A2
+       (u_soc_u_dccm_rdata1[21]), .B1 (n_1224), .B2
+       (u_soc_u_dccm_rdata4[21]), .Y (n_1628));
+  sky130_fd_sc_hd__a22o_1 g442959(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_589), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[18]), .X (n_1627));
+  sky130_fd_sc_hd__a22o_1 g442960(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_n_590), .A2 (n_1023), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[17]), .X (n_1626));
+  sky130_fd_sc_hd__nor4_1 g442961(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .B (n_30), .C
+       (n_13476), .D (n_13480), .Y (n_1783));
+  sky130_fd_sc_hd__nand2_1 g442962(.A (\u_soc_xbar_to_lsu[d_valid] ),
+       .B (n_1349), .Y (n_1782));
+  sky130_fd_sc_hd__nor3_1 g442963(.A
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .B
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]), .C
+       (n_1301), .Y (n_1781));
+  sky130_fd_sc_hd__nor4b_1 g442964(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [0]), .B (n_587), .C (u_soc_u_top_u_core_ready_wb), .D_N
+       (n_13879), .Y (n_1779));
+  sky130_fd_sc_hd__a22oi_1 g442965(.A1 (n_1297), .A2 (n_636), .B1
+       (n_1304), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_1778));
+  sky130_fd_sc_hd__o21ai_1 g442966(.A1
+       (u_soc_u_top_u_core_instr_first_cycle_id), .A2 (n_142), .B1
+       (n_1441), .Y (n_1777));
+  sky130_fd_sc_hd__o21ai_1 g442967(.A1 (n_115), .A2
+       (u_soc_u_top_u_core_csr_save_cause), .B1 (n_1405), .Y (n_1776));
+  sky130_fd_sc_hd__a221oi_1 g442968(.A1 (n_495), .A2
+       (u_soc_u_iccm_rdata4[4]), .B1 (n_496), .B2
+       (u_soc_u_iccm_rdata1[4]), .C1 (n_1323), .Y (n_1775));
+  sky130_fd_sc_hd__a221oi_1 g442969(.A1 (n_495), .A2
+       (u_soc_u_iccm_rdata4[20]), .B1 (n_496), .B2
+       (u_soc_u_iccm_rdata1[20]), .C1 (n_1329), .Y (n_1774));
+  sky130_fd_sc_hd__a221oi_1 g442970(.A1 (n_495), .A2
+       (u_soc_u_iccm_rdata4[5]), .B1 (n_15), .B2
+       (u_soc_u_iccm_rdata2[5]), .C1 (n_1340), .Y (n_1773));
+  sky130_fd_sc_hd__a221oi_1 g442971(.A1 (n_495), .A2
+       (u_soc_u_iccm_rdata4[19]), .B1 (n_15), .B2
+       (u_soc_u_iccm_rdata2[19]), .C1 (n_1315), .Y (n_1772));
+  sky130_fd_sc_hd__a221oi_1 g442972(.A1 (n_495), .A2
+       (u_soc_u_iccm_rdata4[2]), .B1 (n_496), .B2
+       (u_soc_u_iccm_rdata1[2]), .C1 (n_1325), .Y (n_1771));
+  sky130_fd_sc_hd__a221oi_1 g442973(.A1 (n_495), .A2
+       (u_soc_u_iccm_rdata4[21]), .B1 (n_14), .B2
+       (u_soc_u_iccm_rdata3[21]), .C1 (n_1320), .Y (n_1770));
+  sky130_fd_sc_hd__a221oi_1 g442974(.A1 (n_495), .A2
+       (u_soc_u_iccm_rdata4[6]), .B1 (n_496), .B2
+       (u_soc_u_iccm_rdata1[6]), .C1 (n_1330), .Y (n_1769));
+  sky130_fd_sc_hd__nor3_1 g442975(.A (n_13452), .B (n_13477), .C
+       (n_1258), .Y (n_1768));
+  sky130_fd_sc_hd__a221oi_1 g442976(.A1 (n_495), .A2
+       (u_soc_u_iccm_rdata4[3]), .B1 (n_14), .B2
+       (u_soc_u_iccm_rdata3[3]), .C1 (n_1324), .Y (n_1767));
+  sky130_fd_sc_hd__a221oi_1 g442977(.A1 (n_495), .A2
+       (u_soc_u_iccm_rdata4[7]), .B1 (n_15), .B2
+       (u_soc_u_iccm_rdata2[7]), .C1 (n_1333), .Y (n_1766));
+  sky130_fd_sc_hd__a221oi_1 g442978(.A1 (n_495), .A2
+       (u_soc_u_iccm_rdata4[22]), .B1 (n_15), .B2
+       (u_soc_u_iccm_rdata2[22]), .C1 (n_1326), .Y (n_1765));
+  sky130_fd_sc_hd__a221oi_1 g442979(.A1 (n_495), .A2
+       (u_soc_u_iccm_rdata4[23]), .B1 (n_14), .B2
+       (u_soc_u_iccm_rdata3[23]), .C1 (n_1336), .Y (n_1764));
+  sky130_fd_sc_hd__a221oi_1 g442980(.A1 (n_495), .A2
+       (u_soc_u_iccm_rdata4[18]), .B1 (n_14), .B2
+       (u_soc_u_iccm_rdata3[18]), .C1 (n_1342), .Y (n_1763));
+  sky130_fd_sc_hd__nand4b_1 g442981(.A_N
+       (u_soc_dccm_adapter_data_mem_error_internal), .B (n_15902), .C
+       (n_13882), .D (n_641), .Y (n_1762));
+  sky130_fd_sc_hd__nand4b_1 g442982(.A_N (n_15897), .B (n_15901), .C
+       (n_13884), .D (n_641), .Y (n_1761));
+  sky130_fd_sc_hd__o21a_1 g442983(.A1
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .A2 (n_1278), .B1 (n_1083), .X (n_1760));
+  sky130_fd_sc_hd__nor3_1 g442984(.A
+       (u_soc_main_swith_host_lsu_dev_select_outstanding[0]), .B
+       (u_soc_main_swith_host_lsu_dev_select_outstanding[1]), .C
+       (n_1246), .Y (n_1759));
+  sky130_fd_sc_hd__a21oi_1 g442985(.A1 (n_1273), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B1 (n_1088), .Y (n_1758));
+  sky130_fd_sc_hd__a21oi_1 g442986(.A1 (n_1277), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B1 (n_1080), .Y (n_1757));
+  sky130_fd_sc_hd__nor3_1 g442987(.A (n_642), .B (n_1249), .C (n_28),
+       .Y (n_1756));
+  sky130_fd_sc_hd__maj3_1 g442988(.A (n_153), .B (n_597), .C
+       (\u_soc_u_top_u_core_imd_val_q_ex[0] [31]), .X (n_1755));
+  sky130_fd_sc_hd__nor3_1 g442989(.A
+       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]), .B
+       (n_1249), .C (n_28), .Y (n_1753));
+  sky130_fd_sc_hd__a21boi_1 g442990(.A1 (n_15899), .A2
+       (\u_soc_xbar_to_lsu[d_valid] ), .B1_N (n_1301), .Y (n_1751));
+  sky130_fd_sc_hd__and3b_1 g442991(.A_N (n_13478), .B (n_1065), .C
+       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .X (n_1749));
+  sky130_fd_sc_hd__or4b_2 g442992(.A (n_657), .B
+       (u_soc_main_swith_host_lsu_err_resp_err_opcode[0]), .C (n_646),
+       .D_N (u_soc_main_swith_host_lsu_err_resp_err_opcode[2]), .X
+       (n_1747));
+  sky130_fd_sc_hd__and3b_1 g442993(.A_N
+       (u_soc_u_top_u_core_id_stage_i_div_en_dec), .B (n_1259), .C
+       (n_13452), .X (n_1746));
+  sky130_fd_sc_hd__and3_1 g442994(.A (n_1259), .B
+       (u_soc_u_top_u_core_id_stage_i_div_en_dec), .C (n_13452), .X
+       (n_1745));
+  sky130_fd_sc_hd__mux2_2 g442995(.A0
+       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .A1 (n_12), .S
+       (n_15935), .X (n_1744));
+  sky130_fd_sc_hd__mux2_2 g442996(.A0 (n_15943), .A1 (n_17), .S
+       (n_1169), .X (n_1742));
+  sky130_fd_sc_hd__inv_1 g442997(.A (n_1596), .Y (n_1597));
+  sky130_fd_sc_hd__inv_1 g442998(.A (n_1555), .Y (n_1556));
+  sky130_fd_sc_hd__clkinv_1 g442999(.A (n_1546), .Y (n_1547));
+  sky130_fd_sc_hd__clkinv_1 g443000(.A (n_1544), .Y (n_1545));
+  sky130_fd_sc_hd__inv_2 g443001(.A (n_1538), .Y (n_1539));
+  sky130_fd_sc_hd__inv_2 g443003(.A (n_1529), .Y (n_1528));
+  sky130_fd_sc_hd__inv_2 g443004(.A (n_1526), .Y (n_1525));
+  sky130_fd_sc_hd__inv_2 g443005(.A (n_1521), .Y (n_1522));
+  sky130_fd_sc_hd__inv_1 g443006(.A (n_1518), .Y (n_1517));
+  sky130_fd_sc_hd__inv_1 g443007(.A (n_1516), .Y (n_1515));
+  sky130_fd_sc_hd__nand2_1 g443010(.A (n_1214), .B
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[17]), .Y (n_1512));
+  sky130_fd_sc_hd__nand2_1 g443011(.A (n_1233), .B
+       (\u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ), .Y
+       (n_1511));
+  sky130_fd_sc_hd__nor2_1 g443012(.A
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[2]), .B (n_1300), .Y
+       (n_1510));
+  sky130_fd_sc_hd__o21ai_1 g443013(.A1 (n_1019), .A2 (n_13874), .B1
+       (u_soc_u_top_u_core_id_stage_i_rf_we_dec), .Y (n_1509));
+  sky130_fd_sc_hd__nand2_1 g443014(.A (n_1218), .B (n_13755), .Y
+       (n_1508));
+  sky130_fd_sc_hd__nand2_1 g443015(.A (n_1214), .B
+       (u_soc_u_top_u_core_cs_registers_i_mscratch_q[21]), .Y (n_1507));
+  sky130_fd_sc_hd__nand2_1 g443016(.A (n_1218), .B (n_13769), .Y
+       (n_1506));
+  sky130_fd_sc_hd__nand2_1 g443017(.A (n_1218), .B (n_13764), .Y
+       (n_1505));
+  sky130_fd_sc_hd__nand2_1 g443018(.A (n_1218), .B (n_13763), .Y
+       (n_1504));
+  sky130_fd_sc_hd__nand2_1 g443019(.A (n_1233), .B
+       (u_soc_u_top_u_core_debug_single_step), .Y (n_1503));
+  sky130_fd_sc_hd__nand2_1 g443020(.A (n_1218), .B (n_13756), .Y
+       (n_1502));
+  sky130_fd_sc_hd__nand2_1 g443021(.A (n_1218), .B (n_13761), .Y
+       (n_1501));
+  sky130_fd_sc_hd__nand2_1 g443022(.A (n_1218), .B (n_13765), .Y
+       (n_1500));
+  sky130_fd_sc_hd__nand2_1 g443023(.A (n_1218), .B (n_13766), .Y
+       (n_1499));
+  sky130_fd_sc_hd__nand2_1 g443024(.A (n_1218), .B (n_13767), .Y
+       (n_1498));
+  sky130_fd_sc_hd__nand2_1 g443025(.A (n_1218), .B (n_13770), .Y
+       (n_1497));
+  sky130_fd_sc_hd__nand2_1 g443026(.A (n_1220), .B
+       (u_soc_u_top_u_core_csr_depc[29]), .Y (n_1496));
+  sky130_fd_sc_hd__nand2_1 g443027(.A (n_1218), .B (n_13773), .Y
+       (n_1495));
+  sky130_fd_sc_hd__o21bai_1 g443028(.A1
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .A2
+       (n_1020), .B1_N
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .Y
+       (n_1494));
+  sky130_fd_sc_hd__nand2_1 g443029(.A (n_1218), .B (n_13760), .Y
+       (n_1493));
+  sky130_fd_sc_hd__nand2_1 g443030(.A (n_1218), .B (n_13757), .Y
+       (n_1492));
+  sky130_fd_sc_hd__nor2_1 g443031(.A
+       (u_soc_u_uart_u_uart_core_fifo_read_size[0]), .B (n_1299), .Y
+       (n_1491));
+  sky130_fd_sc_hd__nand2_1 g443032(.A (n_1218), .B (n_13759), .Y
+       (n_1490));
+  sky130_fd_sc_hd__nor2_1 g443033(.A (n_1080), .B
+       (u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69), .Y (n_1489));
+  sky130_fd_sc_hd__nand2_1 g443034(.A (n_1218), .B (n_13772), .Y
+       (n_1488));
+  sky130_fd_sc_hd__nand2_1 g443035(.A (n_1218), .B (n_13762), .Y
+       (n_1487));
+  sky130_fd_sc_hd__nand2b_1 g443036(.A_N (n_1292), .B (n_13320), .Y
+       (n_1486));
+  sky130_fd_sc_hd__nand2_1 g443037(.A (n_1276), .B (n_1066), .Y
+       (n_1485));
+  sky130_fd_sc_hd__nand2_1 g443038(.A (n_1218), .B (n_13758), .Y
+       (n_1484));
+  sky130_fd_sc_hd__nand2_1 g443039(.A (n_1218), .B (n_13754), .Y
+       (n_1483));
+  sky130_fd_sc_hd__nand2_1 g443040(.A (n_1218), .B (n_13768), .Y
+       (n_1482));
+  sky130_fd_sc_hd__nor2_1 g443041(.A (u_soc_iccm_ctrl_we), .B (n_1269),
+       .Y (n_1481));
+  sky130_fd_sc_hd__nor2b_1 g443042(.A (n_1256), .B_N (n_1091), .Y
+       (n_1480));
+  sky130_fd_sc_hd__nand2_1 g443044(.A (n_1099), .B (n_1121), .Y
+       (n_1479));
+  sky130_fd_sc_hd__nand2_1 g443045(.A (n_1246), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Y (n_1478));
+  sky130_fd_sc_hd__nor2_1 g443046(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B (n_1093), .Y (n_1477));
+  sky130_fd_sc_hd__nand2b_1 g443047(.A_N (n_1064), .B
+       (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), .Y (n_1476));
+  sky130_fd_sc_hd__nor2_1 g443048(.A
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B (n_1274), .Y (n_1475));
+  sky130_fd_sc_hd__nand2_1 g443049(.A (n_1057), .B
+       (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125), .Y (n_1474));
+  sky130_fd_sc_hd__nand2_1 g443050(.A (n_1058), .B
+       (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125), .Y (n_1473));
+  sky130_fd_sc_hd__nand2_1 g443052(.A (n_1284), .B (n_1268), .Y
+       (n_1471));
+  sky130_fd_sc_hd__nand2_1 g443053(.A (n_1130), .B (n_1098), .Y
+       (n_1625));
+  sky130_fd_sc_hd__nor2b_1 g443055(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B_N (n_1287), .Y (n_1624));
+  sky130_fd_sc_hd__nand2_1 g443056(.A (n_1138), .B (n_1124), .Y
+       (n_1623));
+  sky130_fd_sc_hd__nand2_1 g443057(.A (n_1142), .B (n_1114), .Y
+       (n_1622));
+  sky130_fd_sc_hd__nand2_1 g443058(.A (n_1151), .B (n_1135), .Y
+       (n_1621));
+  sky130_fd_sc_hd__nand2_1 g443059(.A (n_1154), .B (n_1134), .Y
+       (n_1620));
+  sky130_fd_sc_hd__nand2_1 g443060(.A (n_1165), .B (n_1119), .Y
+       (n_1619));
+  sky130_fd_sc_hd__nand2_1 g443061(.A (n_1133), .B (n_1158), .Y
+       (n_1618));
+  sky130_fd_sc_hd__nand2_1 g443062(.A (n_1115), .B (n_1143), .Y
+       (n_1617));
+  sky130_fd_sc_hd__nand2_1 g443063(.A (n_1144), .B (n_1126), .Y
+       (n_1616));
+  sky130_fd_sc_hd__nand2_1 g443064(.A (n_1101), .B (n_1108), .Y
+       (n_1615));
+  sky130_fd_sc_hd__nand2_1 g443065(.A (n_1137), .B (n_1147), .Y
+       (n_1614));
+  sky130_fd_sc_hd__nand2_1 g443066(.A (n_1149), .B (n_1127), .Y
+       (n_1613));
+  sky130_fd_sc_hd__nand2b_1 g443067(.A_N (n_1283), .B (n_1036), .Y
+       (n_1612));
+  sky130_fd_sc_hd__nand2_1 g443068(.A (n_1110), .B (n_1098), .Y
+       (n_1611));
+  sky130_fd_sc_hd__nand2_1 g443069(.A (n_1115), .B (n_1145), .Y
+       (n_1610));
+  sky130_fd_sc_hd__nand2_1 g443070(.A (n_1122), .B (n_1143), .Y
+       (n_1609));
+  sky130_fd_sc_hd__nand2_1 g443071(.A (n_1159), .B (n_1113), .Y
+       (n_1608));
+  sky130_fd_sc_hd__nand2_1 g443072(.A (n_1100), .B (n_1119), .Y
+       (n_1607));
+  sky130_fd_sc_hd__nand2_1 g443073(.A (n_1130), .B (n_1107), .Y
+       (n_1606));
+  sky130_fd_sc_hd__nand2_1 g443074(.A (n_1131), .B (n_1149), .Y
+       (n_1605));
+  sky130_fd_sc_hd__nand2_1 g443075(.A (n_1140), .B (n_1123), .Y
+       (n_1604));
+  sky130_fd_sc_hd__nand2_1 g443076(.A (n_1111), .B (n_1158), .Y
+       (n_1603));
+  sky130_fd_sc_hd__nand2_1 g443077(.A (n_1137), .B (n_1102), .Y
+       (n_1602));
+  sky130_fd_sc_hd__nand2_1 g443078(.A (n_1125), .B (n_1139), .Y
+       (n_1601));
+  sky130_fd_sc_hd__nand2_1 g443079(.A (n_1150), .B (n_1110), .Y
+       (n_1600));
+  sky130_fd_sc_hd__nand2_1 g443080(.A (n_1155), .B (n_1118), .Y
+       (n_1599));
+  sky130_fd_sc_hd__nand2_1 g443081(.A (n_1101), .B (n_1126), .Y
+       (n_1598));
+  sky130_fd_sc_hd__nand2_1 g443082(.A (n_1144), .B (n_1116), .Y
+       (n_1596));
+  sky130_fd_sc_hd__nand2_1 g443083(.A (n_1120), .B (n_1145), .Y
+       (n_1595));
+  sky130_fd_sc_hd__nor2b_1 g443084(.A
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B_N (n_1293), .Y (n_1594));
+  sky130_fd_sc_hd__nand2_1 g443085(.A (n_1148), .B (n_1127), .Y
+       (n_1593));
+  sky130_fd_sc_hd__nand2_1 g443086(.A (n_1138), .B (n_1117), .Y
+       (n_1592));
+  sky130_fd_sc_hd__nand2_1 g443087(.A (n_1136), .B (n_1148), .Y
+       (n_1591));
+  sky130_fd_sc_hd__nand2_1 g443088(.A (n_1139), .B (n_1124), .Y
+       (n_1590));
+  sky130_fd_sc_hd__nand2_1 g443089(.A (n_1120), .B (n_1150), .Y
+       (n_1589));
+  sky130_fd_sc_hd__nand2_1 g443090(.A (n_1165), .B (n_1118), .Y
+       (n_1588));
+  sky130_fd_sc_hd__nand2_1 g443091(.A (n_1155), .B (n_1117), .Y
+       (n_1587));
+  sky130_fd_sc_hd__nand2_1 g443092(.A (n_1157), .B (n_1131), .Y
+       (n_1586));
+  sky130_fd_sc_hd__nand2_1 g443093(.A (n_1162), .B (n_1121), .Y
+       (n_1585));
+  sky130_fd_sc_hd__nand2_1 g443094(.A (n_1152), .B (n_1129), .Y
+       (n_1584));
+  sky130_fd_sc_hd__nand2_1 g443095(.A (n_1161), .B (n_1111), .Y
+       (n_1583));
+  sky130_fd_sc_hd__nand2_1 g443096(.A (n_1162), .B (n_1109), .Y
+       (n_1582));
+  sky130_fd_sc_hd__nand2_1 g443097(.A (n_1161), .B (n_1132), .Y
+       (n_1581));
+  sky130_fd_sc_hd__nand2_1 g443098(.A (n_1147), .B (n_1133), .Y
+       (n_1580));
+  sky130_fd_sc_hd__nand2_1 g443099(.A (n_1128), .B (n_1102), .Y
+       (n_1579));
+  sky130_fd_sc_hd__nand2_1 g443100(.A (n_1125), .B (n_1107), .Y
+       (n_1578));
+  sky130_fd_sc_hd__nand2_1 g443101(.A (n_1136), .B (n_1156), .Y
+       (n_1577));
+  sky130_fd_sc_hd__nand2_1 g443102(.A (n_1157), .B (n_1129), .Y
+       (n_1576));
+  sky130_fd_sc_hd__nand2_1 g443103(.A (n_1152), .B (n_1112), .Y
+       (n_1575));
+  sky130_fd_sc_hd__nand2_1 g443104(.A (n_1160), .B (n_1112), .Y
+       (n_1574));
+  sky130_fd_sc_hd__nand2_1 g443105(.A (n_1142), .B (n_1108), .Y
+       (n_1573));
+  sky130_fd_sc_hd__nand2_1 g443106(.A (n_1100), .B (n_1123), .Y
+       (n_1572));
+  sky130_fd_sc_hd__nand2_1 g443107(.A (n_1160), .B (n_1134), .Y
+       (n_1571));
+  sky130_fd_sc_hd__nand2_1 g443108(.A (n_1140), .B (n_1135), .Y
+       (n_1570));
+  sky130_fd_sc_hd__nand2_1 g443109(.A (n_1154), .B (n_1114), .Y
+       (n_1569));
+  sky130_fd_sc_hd__nand2_1 g443110(.A (n_1151), .B (n_1113), .Y
+       (n_1568));
+  sky130_fd_sc_hd__nand2_1 g443111(.A (n_1159), .B (n_1109), .Y
+       (n_1567));
+  sky130_fd_sc_hd__nand2_1 g443113(.A (n_1132), .B (n_1156), .Y
+       (n_1566));
+  sky130_fd_sc_hd__nor2_1 g443114(.A (n_1072), .B (n_1246), .Y
+       (n_1470));
+  sky130_fd_sc_hd__nor2_1 g443115(.A (n_1297), .B (n_1269), .Y
+       (n_1565));
+  sky130_fd_sc_hd__nand2_1 g443116(.A (n_1287), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_1564));
+  sky130_fd_sc_hd__nand2_1 g443117(.A (n_1244), .B (n_15946), .Y
+       (n_1563));
+  sky130_fd_sc_hd__nor2_1 g443118(.A
+       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .B (n_1263), .Y
+       (n_1562));
+  sky130_fd_sc_hd__nor2_1 g443119(.A (n_1075), .B (n_1256), .Y
+       (n_1469));
+  sky130_fd_sc_hd__nand2_1 g443120(.A (n_1293), .B
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_1561));
+  sky130_fd_sc_hd__nand2_1 g443122(.A (n_1288), .B
+       (u_soc_u_uart_u_uart_core_rx_clr), .Y (n_1468));
+  sky130_fd_sc_hd__nand2_1 g443124(.A (n_1302), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_1558));
+  sky130_fd_sc_hd__nand2_1 g443125(.A (n_1290), .B
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_1557));
+  sky130_fd_sc_hd__nor2_1 g443126(.A
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]), .B
+       (n_1249), .Y (n_1555));
+  sky130_fd_sc_hd__nor2_1 g443128(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .B (n_1095), .Y
+       (n_1553));
+  sky130_fd_sc_hd__nor2_1 g443129(.A (n_1271), .B (n_1067), .Y
+       (n_1552));
+  sky130_fd_sc_hd__nor2_1 g443130(.A (n_1254), .B (n_1074), .Y
+       (n_1551));
+  sky130_fd_sc_hd__nor2b_1 g443131(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[10]), .B_N
+       (n_1279), .Y (n_1550));
+  sky130_fd_sc_hd__nand2_1 g443132(.A (n_1286), .B (n_94), .Y (n_1549));
+  sky130_fd_sc_hd__nand2_1 g443133(.A (n_1099), .B (n_1141), .Y
+       (n_1548));
+  sky130_fd_sc_hd__nand2_1 g443134(.A (n_1286), .B
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[0]), .Y (n_1546));
+  sky130_fd_sc_hd__nand2_1 g443135(.A (n_1146), .B
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[0]), .Y (n_1544));
+  sky130_fd_sc_hd__nand2_1 g443136(.A (n_1253), .B
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]), .Y
+       (n_1543));
+  sky130_fd_sc_hd__nand2_1 g443137(.A (n_1276), .B
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[4]), .Y (n_1542));
+  sky130_fd_sc_hd__nand2_1 g443138(.A (n_1267), .B (io_out[37]), .Y
+       (n_1541));
+  sky130_fd_sc_hd__nor2_1 g443140(.A (n_1040), .B (n_1103), .Y
+       (n_1538));
+  sky130_fd_sc_hd__nor2_1 g443141(.A (n_653), .B (n_1271), .Y (n_1537));
+  sky130_fd_sc_hd__nor2_1 g443143(.A
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[4]), .B (n_1271), .Y
+       (n_1535));
+  sky130_fd_sc_hd__nor2_1 g443144(.A (n_471), .B (n_1270), .Y (n_1534));
+  sky130_fd_sc_hd__nor2_1 g443145(.A (n_479), .B (n_1257), .Y (n_1533));
+  sky130_fd_sc_hd__nor2_1 g443146(.A (\u_soc_xbar_to_dccm[a_address]
+       [2]), .B (n_1053), .Y (n_1532));
+  sky130_fd_sc_hd__nand2_1 g443147(.A (n_15936), .B (n_555), .Y
+       (n_1531));
+  sky130_fd_sc_hd__nand2_1 g443148(.A (n_1146), .B (n_94), .Y (n_1529));
+  sky130_fd_sc_hd__nor2_1 g443149(.A (n_1095), .B (n_13483), .Y
+       (n_1527));
+  sky130_fd_sc_hd__nand2_1 g443150(.A (n_1264), .B (n_11), .Y (n_1526));
+  sky130_fd_sc_hd__nor2_1 g443151(.A (n_1257), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [4]), .Y (n_1524));
+  sky130_fd_sc_hd__nor2_1 g443152(.A (n_11), .B (n_1257), .Y (n_1523));
+  sky130_fd_sc_hd__nand2_1 g443153(.A (n_1264), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [4]), .Y (n_1521));
+  sky130_fd_sc_hd__nor2_1 g443154(.A (u_soc_u_top_u_core_pc_set), .B
+       (n_1260), .Y (n_1520));
+  sky130_fd_sc_hd__and2_1 g443155(.A (n_1212), .B
+       (u_soc_u_top_u_core_csr_save_if), .X (n_1519));
+  sky130_fd_sc_hd__nor2b_1 g443156(.A (n_13483), .B_N (n_1065), .Y
+       (n_1518));
+  sky130_fd_sc_hd__nor2b_1 g443157(.A (n_13485), .B_N (n_1065), .Y
+       (n_1516));
+  sky130_fd_sc_hd__nand2_1 g443158(.A (n_1285), .B (n_1268), .Y
+       (n_1514));
+  sky130_fd_sc_hd__nor2_1 g443159(.A (n_1095), .B (n_13485), .Y
+       (n_1513));
+  sky130_fd_sc_hd__inv_1 g443160(.A (n_1456), .Y (n_1457));
+  sky130_fd_sc_hd__inv_1 g443161(.A (n_1454), .Y (n_1455));
+  sky130_fd_sc_hd__inv_2 g443163(.A (n_1428), .Y (n_1427));
+  sky130_fd_sc_hd__inv_1 g443164(.A (n_1426), .Y (n_1425));
+  sky130_fd_sc_hd__inv_2 g443165(.A (n_1420), .Y (n_1421));
+  sky130_fd_sc_hd__inv_2 g443166(.A (n_1418), .Y (n_1419));
+  sky130_fd_sc_hd__inv_2 g443167(.A (n_1416), .Y (n_1417));
+  sky130_fd_sc_hd__inv_1 g443168(.A (n_1409), .Y (n_1408));
+  sky130_fd_sc_hd__inv_2 g443169(.A (n_1406), .Y (n_1405));
+  sky130_fd_sc_hd__o22ai_1 g443170(.A1 (n_1015), .A2 (n_13449), .B1
+       (n_1017), .B2 (n_13327), .Y (n_1404));
+  sky130_fd_sc_hd__o2bb2ai_1 g443171(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .A2_N (n_118), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .B2 (n_118), .Y
+       (n_1403));
+  sky130_fd_sc_hd__xor2_1 g443172(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[11]), .B (n_13506), .X
+       (n_1402));
+  sky130_fd_sc_hd__nand2b_1 g443173(.A_N (n_1069), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_1401));
+  sky130_fd_sc_hd__o2bb2ai_1 g443174(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[17]), .A2_N (n_131), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[17]), .B2 (n_131), .Y
+       (n_1400));
+  sky130_fd_sc_hd__o2bb2ai_1 g443175(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[18]), .A2_N (n_637), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[18]), .B2 (n_637), .Y
+       (n_1399));
+  sky130_fd_sc_hd__o2bb2ai_1 g443176(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[20]), .A2_N (n_619), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[20]), .B2 (n_619), .Y
+       (n_1398));
+  sky130_fd_sc_hd__o2bb2ai_1 g443177(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[21]), .A2_N (n_623), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[21]), .B2 (n_623), .Y
+       (n_1397));
+  sky130_fd_sc_hd__o2bb2ai_1 g443178(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[19]), .A2_N (n_638), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[19]), .B2 (n_638), .Y
+       (n_1396));
+  sky130_fd_sc_hd__o2bb2ai_1 g443179(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[27]), .A2_N (n_614), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[27]), .B2 (n_614), .Y
+       (n_1395));
+  sky130_fd_sc_hd__o2bb2ai_1 g443180(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[16]), .A2_N (n_130), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[16]), .B2 (n_130), .Y
+       (n_1394));
+  sky130_fd_sc_hd__o2bb2ai_1 g443181(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[29]), .A2_N (n_639), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[29]), .B2 (n_639), .Y
+       (n_1393));
+  sky130_fd_sc_hd__o2bb2ai_1 g443182(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[25]), .A2_N (n_128), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[25]), .B2 (n_128), .Y
+       (n_1392));
+  sky130_fd_sc_hd__o22ai_1 g443183(.A1 (n_109), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .B1 (n_13502), .B2
+       (n_494), .Y (n_1391));
+  sky130_fd_sc_hd__o2bb2ai_1 g443184(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[23]), .A2_N (n_620), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[23]), .B2 (n_620), .Y
+       (n_1390));
+  sky130_fd_sc_hd__o2bb2ai_1 g443185(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[15]), .A2_N (n_625), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[15]), .B2 (n_625), .Y
+       (n_1389));
+  sky130_fd_sc_hd__o2bb2ai_1 g443186(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[14]), .A2_N (n_616), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[14]), .B2 (n_616), .Y
+       (n_1388));
+  sky130_fd_sc_hd__nor2b_1 g443187(.A (n_1063), .B_N (n_1058), .Y
+       (n_1387));
+  sky130_fd_sc_hd__or2_0 g443188(.A (n_1094), .B (n_1090), .X (n_1386));
+  sky130_fd_sc_hd__a2bb2oi_1 g443189(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .A2_N (n_494), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .B2 (n_494), .Y
+       (n_1385));
+  sky130_fd_sc_hd__o22ai_1 g443190(.A1 (n_110), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .B1 (n_13497), .B2
+       (n_12), .Y (n_1384));
+  sky130_fd_sc_hd__a22o_1 g443191(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[27]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [27]), .X (n_1383));
+  sky130_fd_sc_hd__o2bb2ai_1 g443192(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[13]), .A2_N (n_135), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[13]), .B2 (n_135), .Y
+       (n_1382));
+  sky130_fd_sc_hd__nand2_1 g443193(.A (n_1188), .B
+       (u_soc_u_top_u_core_alu_operator_ex[0]), .Y (n_1381));
+  sky130_fd_sc_hd__nor2_1 g443194(.A (n_13491), .B (n_1184), .Y
+       (n_1380));
+  sky130_fd_sc_hd__a22o_1 g443195(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[17]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [17]), .X (n_1379));
+  sky130_fd_sc_hd__o2bb2ai_1 g443196(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[12]), .A2_N (n_113), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[12]), .B2 (n_113), .Y
+       (n_1378));
+  sky130_fd_sc_hd__a21oi_1 g443197(.A1
+       (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125), .A2
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B1 (n_511), .Y (n_1377));
+  sky130_fd_sc_hd__a21oi_1 g443198(.A1
+       (u_soc_main_swith_host_lsu_num_req_outstanding[1]), .A2
+       (u_soc_main_swith_host_lsu_num_req_outstanding[0]), .B1
+       (n_1272), .Y (n_1376));
+  sky130_fd_sc_hd__o21a_1 g443199(.A1 (u_soc_u_top_u_core_core_busy_q),
+       .A2 (\u_soc_u_top_u_core_irqs[irq_external] ), .B1
+       (u_soc_u_top_u_core_fetch_enable_q), .X (n_1375));
+  sky130_fd_sc_hd__a21oi_1 g443200(.A1 (n_13472), .A2
+       (u_soc_u_top_u_core_pc_mux_id[2]), .B1 (n_1281), .Y (n_1374));
+  sky130_fd_sc_hd__a21oi_1 g443201(.A1
+       (u_soc_u_top_u_core_alu_operator_ex[2]), .A2
+       (u_soc_u_top_u_core_alu_operator_ex[0]), .B1 (n_13455), .Y
+       (n_1373));
+  sky130_fd_sc_hd__o22ai_1 g443202(.A1 (n_617), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[3]), .B1 (n_13498), .B2
+       (n_142), .Y (n_1372));
+  sky130_fd_sc_hd__a22o_1 g443203(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[16]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [16]), .X (n_1371));
+  sky130_fd_sc_hd__o21ai_1 g443204(.A1
+       (u_soc_u_uart_u_uart_core_rx_sbit), .A2
+       (u_soc_u_uart_u_uart_core_rx_time_n_217), .B1 (n_1268), .Y
+       (n_1370));
+  sky130_fd_sc_hd__a21oi_1 g443205(.A1 (n_13457), .A2 (n_568), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Y (n_1369));
+  sky130_fd_sc_hd__o2bb2ai_1 g443206(.A1_N
+       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q
+       [1]), .A2_N (n_13380), .B1
+       (u_soc_u_top_u_core_id_stage_i_lsu_req_dec), .B2 (n_13380), .Y
+       (n_1368));
+  sky130_fd_sc_hd__o2bb2ai_1 g443207(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[28]), .A2_N (n_134), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[28]), .B2 (n_134), .Y
+       (n_1367));
+  sky130_fd_sc_hd__o21ai_1 g443208(.A1 (n_13495), .A2 (n_472), .B1
+       (n_1052), .Y (n_1366));
+  sky130_fd_sc_hd__o22ai_1 g443209(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .A2 (n_133), .B1
+       (n_13505), .B2 (n_114), .Y (n_1365));
+  sky130_fd_sc_hd__a22o_1 g443210(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[10]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [10]), .X (n_1364));
+  sky130_fd_sc_hd__o2bb2ai_1 g443211(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[26]), .A2_N (n_622), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[26]), .B2 (n_622), .Y
+       (n_1363));
+  sky130_fd_sc_hd__o2bb2ai_1 g443212(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[30]), .A2_N (n_119), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[30]), .B2 (n_119), .Y
+       (n_1362));
+  sky130_fd_sc_hd__nor3b_1 g443213(.A
+       (u_soc_u_top_u_core_csr_restore_mret_id), .B
+       (u_soc_u_top_u_core_pc_mux_id[2]), .C_N
+       (u_soc_u_top_u_core_priv_mode_id[0]), .Y (n_1361));
+  sky130_fd_sc_hd__nor3b_1 g443214(.A
+       (u_soc_u_top_u_core_csr_restore_mret_id), .B
+       (u_soc_u_top_u_core_pc_mux_id[2]), .C_N
+       (u_soc_u_top_u_core_priv_mode_id[1]), .Y (n_1360));
+  sky130_fd_sc_hd__a22o_1 g443215(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[23]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [23]), .X (n_1359));
+  sky130_fd_sc_hd__nor3b_1 g443216(.A (n_13383), .B (n_13491), .C_N
+       (n_13466), .Y (n_1358));
+  sky130_fd_sc_hd__o2bb2ai_1 g443217(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[9]), .A2_N (n_127), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[9]), .B2 (n_127), .Y
+       (n_1357));
+  sky130_fd_sc_hd__o22ai_1 g443218(.A1
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .A2
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B1 (n_71), .B2 (n_19), .Y (n_1356));
+  sky130_fd_sc_hd__o2bb2ai_1 g443219(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[22]), .A2_N (n_624), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[22]), .B2 (n_624), .Y
+       (n_1355));
+  sky130_fd_sc_hd__nor3_1 g443220(.A
+       (u_soc_u_top_u_core_load_store_unit_i_n_937), .B
+       (u_soc_u_top_u_core_load_store_unit_i_n_939), .C
+       (u_soc_u_top_u_core_load_store_unit_i_n_938), .Y (n_1354));
+  sky130_fd_sc_hd__a22o_1 g443221(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[18]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [18]), .X (n_1353));
+  sky130_fd_sc_hd__nand3_1 g443222(.A
+       (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .C
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Y (n_1352));
+  sky130_fd_sc_hd__o22ai_1 g443223(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .A2 (n_117), .B1
+       (n_13499), .B2 (n_577), .Y (n_1351));
+  sky130_fd_sc_hd__o22ai_1 g443224(.A1
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .A2 (n_579), .B1
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B2 (n_32), .Y (n_1350));
+  sky130_fd_sc_hd__nor3_1 g443225(.A
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]), .B
+       (n_13461), .C (u_soc_u_top_u_core_load_store_unit_i_data_we_q),
+       .Y (n_1349));
+  sky130_fd_sc_hd__o22ai_1 g443226(.A1 (n_1012), .A2 (n_13449), .B1
+       (n_1016), .B2 (n_13327), .Y (n_1348));
+  sky130_fd_sc_hd__o22ai_1 g443227(.A1 (n_467), .A2 (n_13449), .B1
+       (n_1010), .B2 (n_13327), .Y (n_1347));
+  sky130_fd_sc_hd__o2bb2ai_1 g443228(.A1_N
+       (u_soc_u_top_u_core_alu_operand_b_ex[8]), .A2_N (n_116), .B1
+       (u_soc_u_top_u_core_alu_operand_b_ex[8]), .B2 (n_116), .Y
+       (n_1346));
+  sky130_fd_sc_hd__o22ai_1 g443229(.A1 (n_112), .A2
+       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .B1 (n_13500), .B2
+       (n_30), .Y (n_1345));
+  sky130_fd_sc_hd__o22ai_1 g443230(.A1 (n_634), .A2 (n_15943), .B1
+       (n_13496), .B2 (n_17), .Y (n_1344));
+  sky130_fd_sc_hd__o21ai_1 g443231(.A1
+       (u_soc_u_uart_u_uart_core_rx_done), .A2 (n_94), .B1 (n_1089), .Y
+       (n_1343));
+  sky130_fd_sc_hd__a22o_1 g443232(.A1 (n_15), .A2
+       (u_soc_u_iccm_rdata2[18]), .B1 (n_496), .B2
+       (u_soc_u_iccm_rdata1[18]), .X (n_1342));
+  sky130_fd_sc_hd__mux2_2 g443233(.A0
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [0]), .A1
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [0]), .S
+       (u_soc_u_uart_u_uart_core_fifo_read_size[2]), .X (n_1341));
+  sky130_fd_sc_hd__a22o_1 g443234(.A1 (n_14), .A2
+       (u_soc_u_iccm_rdata3[5]), .B1 (n_496), .B2
+       (u_soc_u_iccm_rdata1[5]), .X (n_1340));
+  sky130_fd_sc_hd__a22o_1 g443235(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[15]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [15]), .X (n_1339));
+  sky130_fd_sc_hd__a22o_1 g443236(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[13]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [13]), .X (n_1338));
+  sky130_fd_sc_hd__o22ai_1 g443237(.A1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B1 (n_599), .B2 (n_499), .Y (n_1337));
+  sky130_fd_sc_hd__a22o_1 g443238(.A1 (n_15), .A2
+       (u_soc_u_iccm_rdata2[23]), .B1 (n_496), .B2
+       (u_soc_u_iccm_rdata1[23]), .X (n_1336));
+  sky130_fd_sc_hd__o22ai_1 g443239(.A1
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .A2
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B1 (n_536), .B2 (n_547), .Y (n_1335));
+  sky130_fd_sc_hd__a22o_1 g443240(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[26]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [26]), .X (n_1334));
+  sky130_fd_sc_hd__a22o_1 g443241(.A1 (n_14), .A2
+       (u_soc_u_iccm_rdata3[7]), .B1 (n_496), .B2
+       (u_soc_u_iccm_rdata1[7]), .X (n_1333));
+  sky130_fd_sc_hd__a22o_1 g443242(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[20]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [20]), .X (n_1332));
+  sky130_fd_sc_hd__a22o_1 g443243(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[11]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [11]), .X (n_1331));
+  sky130_fd_sc_hd__a22o_1 g443244(.A1 (n_14), .A2
+       (u_soc_u_iccm_rdata3[6]), .B1 (n_15), .B2
+       (u_soc_u_iccm_rdata2[6]), .X (n_1330));
+  sky130_fd_sc_hd__a22o_1 g443245(.A1 (n_14), .A2
+       (u_soc_u_iccm_rdata3[20]), .B1 (n_15), .B2
+       (u_soc_u_iccm_rdata2[20]), .X (n_1329));
+  sky130_fd_sc_hd__a22o_1 g443246(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[31]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [31]), .X (n_1328));
+  sky130_fd_sc_hd__o22ai_1 g443247(.A1
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .A2
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B1 (n_610), .B2 (n_487), .Y (n_1327));
+  sky130_fd_sc_hd__a22o_1 g443248(.A1 (n_14), .A2
+       (u_soc_u_iccm_rdata3[22]), .B1 (n_496), .B2
+       (u_soc_u_iccm_rdata1[22]), .X (n_1326));
+  sky130_fd_sc_hd__a22o_1 g443249(.A1 (n_14), .A2
+       (u_soc_u_iccm_rdata3[2]), .B1 (n_15), .B2
+       (u_soc_u_iccm_rdata2[2]), .X (n_1325));
+  sky130_fd_sc_hd__a22o_1 g443250(.A1 (n_15), .A2
+       (u_soc_u_iccm_rdata2[3]), .B1 (n_496), .B2
+       (u_soc_u_iccm_rdata1[3]), .X (n_1324));
+  sky130_fd_sc_hd__a22o_1 g443251(.A1 (n_14), .A2
+       (u_soc_u_iccm_rdata3[4]), .B1 (n_15), .B2
+       (u_soc_u_iccm_rdata2[4]), .X (n_1323));
+  sky130_fd_sc_hd__a22o_1 g443252(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[28]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [28]), .X (n_1322));
+  sky130_fd_sc_hd__a22o_1 g443253(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[14]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [14]), .X (n_1321));
+  sky130_fd_sc_hd__a22o_1 g443254(.A1 (n_15), .A2
+       (u_soc_u_iccm_rdata2[21]), .B1 (n_496), .B2
+       (u_soc_u_iccm_rdata1[21]), .X (n_1320));
+  sky130_fd_sc_hd__a22o_1 g443255(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[12]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [12]), .X (n_1319));
+  sky130_fd_sc_hd__a22o_1 g443256(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[19]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [19]), .X (n_1318));
+  sky130_fd_sc_hd__a22o_1 g443257(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[21]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [21]), .X (n_1317));
+  sky130_fd_sc_hd__a22o_1 g443258(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[25]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [25]), .X (n_1316));
+  sky130_fd_sc_hd__a22o_1 g443259(.A1 (n_14), .A2
+       (u_soc_u_iccm_rdata3[19]), .B1 (n_496), .B2
+       (u_soc_u_iccm_rdata1[19]), .X (n_1315));
+  sky130_fd_sc_hd__a22oi_1 g443260(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[7]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [7]), .Y (n_1314));
+  sky130_fd_sc_hd__a22o_1 g443261(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[9]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [9]), .X (n_1313));
+  sky130_fd_sc_hd__a22o_1 g443262(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[30]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [30]), .X (n_1312));
+  sky130_fd_sc_hd__o22ai_1 g443263(.A1 (n_13312), .A2 (n_13309), .B1
+       (n_13320), .B2 (n_13308), .Y (n_1311));
+  sky130_fd_sc_hd__a22o_1 g443264(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[24]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [24]), .X (n_1310));
+  sky130_fd_sc_hd__a22o_1 g443265(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[29]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [29]), .X (n_1309));
+  sky130_fd_sc_hd__a22o_1 g443266(.A1 (n_13303), .A2
+       (u_soc_u_uart_u_uart_core_rx_val[22]), .B1 (n_13558), .B2
+       (\u_soc_uart_to_xbar[d_data] [22]), .X (n_1308));
+  sky130_fd_sc_hd__o22a_1 g443267(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [2]), .A2 (u_soc_u_top_u_core_pc_set), .B1 (n_13531), .B2 (n_6),
+       .X (n_1307));
+  sky130_fd_sc_hd__o22ai_1 g443268(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [4]), .A2 (u_soc_u_top_u_core_pc_set), .B1 (n_13532), .B2 (n_6),
+       .Y (n_1467));
+  sky130_fd_sc_hd__o22ai_1 g443269(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [10]), .A2 (u_soc_u_top_u_core_pc_set), .B1 (n_13529), .B2
+       (n_6), .Y (n_1306));
+  sky130_fd_sc_hd__o22ai_1 g443270(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [8]), .A2 (u_soc_u_top_u_core_pc_set), .B1 (n_13527), .B2 (n_6),
+       .Y (n_1466));
+  sky130_fd_sc_hd__o22ai_1 g443271(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [6]), .A2 (u_soc_u_top_u_core_pc_set), .B1 (n_13533), .B2 (n_6),
+       .Y (n_1465));
+  sky130_fd_sc_hd__o22ai_1 g443272(.A1
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .A2 (n_13313), .B1 (n_136), .B2 (n_13311), .Y (n_1464));
+  sky130_fd_sc_hd__nor3_1 g443273(.A (n_534), .B
+       (\u_soc_xbar_to_dccm[a_address] [3]), .C (n_13558), .Y (n_1463));
+  sky130_fd_sc_hd__nor3_1 g443274(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[6]), .B (n_114), .C
+       (n_1222), .Y (n_1462));
+  sky130_fd_sc_hd__nor3_1 g443275(.A (n_536), .B (n_559), .C
+       (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), .Y (n_1461));
+  sky130_fd_sc_hd__nand3_1 g443276(.A (n_1270), .B (n_15902), .C
+       (n_13882), .Y (n_1460));
+  sky130_fd_sc_hd__nor3_1 g443277(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .B (n_633), .C
+       (n_13481), .Y (n_1459));
+  sky130_fd_sc_hd__nor3_1 g443278(.A (n_471), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .C (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125), .Y
+       (n_1458));
+  sky130_fd_sc_hd__o21ai_1 g443279(.A1 (n_472), .A2 (n_141), .B1
+       (n_1106), .Y (n_1456));
+  sky130_fd_sc_hd__a21oi_1 g443280(.A1 (n_1011), .A2
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[8]), .B1
+       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .Y (n_1305));
+  sky130_fd_sc_hd__o21ai_1 g443281(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q
+       ), .A2 (u_soc_u_top_u_core_pc_set), .B1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
+       ), .Y (n_1454));
+  sky130_fd_sc_hd__nand2_1 g443282(.A (n_1298), .B (n_1199), .Y
+       (n_1453));
+  sky130_fd_sc_hd__nand2_1 g443283(.A (n_1187), .B (n_1294), .Y
+       (n_1452));
+  sky130_fd_sc_hd__nand2_1 g443284(.A (n_1183), .B (n_1295), .Y
+       (n_1451));
+  sky130_fd_sc_hd__nand2_1 g443285(.A (n_1198), .B (n_1296), .Y
+       (n_1450));
+  sky130_fd_sc_hd__or2_0 g443286(.A (n_1304), .B (n_1269), .X (n_1449));
+  sky130_fd_sc_hd__nand2_1 g443287(.A (n_1300), .B
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[2]), .Y (n_1448));
+  sky130_fd_sc_hd__nor2_1 g443288(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1234), .Y
+       (n_1447));
+  sky130_fd_sc_hd__or3_1 g443289(.A
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[8]), .B
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[6]), .C
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[7]), .X (n_1446));
+  sky130_fd_sc_hd__and2_1 g443290(.A (n_1299), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[0]), .X (n_1445));
+  sky130_fd_sc_hd__nor2_1 g443291(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1034), .Y
+       (n_1444));
+  sky130_fd_sc_hd__nor2_1 g443292(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1031), .Y
+       (n_1443));
+  sky130_fd_sc_hd__nor2_1 g443293(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B (n_1237), .Y
+       (n_1442));
+  sky130_fd_sc_hd__o21bai_1 g443294(.A1
+       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .A2 (n_13484), .B1_N
+       (u_soc_u_top_u_core_instr_first_cycle_id), .Y (n_1441));
+  sky130_fd_sc_hd__nand2_1 g443295(.A (n_1153), .B (n_1039), .Y
+       (n_1440));
+  sky130_fd_sc_hd__nor2b_1 g443296(.A (n_479), .B_N (n_1060), .Y
+       (n_1439));
+  sky130_fd_sc_hd__a22oi_1 g443297(.A1 (n_469), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [4]), .B1 (n_955), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [4]), .Y (n_1438));
+  sky130_fd_sc_hd__nand2_1 g443299(.A (n_1045), .B (n_476), .Y
+       (n_1436));
+  sky130_fd_sc_hd__nand2_1 g443300(.A (n_1153), .B (n_1044), .Y
+       (n_1435));
+  sky130_fd_sc_hd__nand2_1 g443301(.A (n_1054), .B
+       (\u_soc_xbar_to_dccm[a_address] [2]), .Y (n_1434));
+  sky130_fd_sc_hd__a22oi_1 g443302(.A1 (n_469), .A2
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1]
+       [2]), .B1 (n_955), .B2
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0]
+       [2]), .Y (n_1433));
+  sky130_fd_sc_hd__nor3_1 g443303(.A (\u_soc_xbar_to_dccm[a_address]
+       [4]), .B (n_555), .C (n_13373), .Y (n_1432));
+  sky130_fd_sc_hd__nor3_1 g443304(.A
+       (u_soc_u_top_u_core_alu_operator_ex[0]), .B
+       (u_soc_u_top_u_core_alu_operator_ex[2]), .C (n_141), .Y
+       (n_1431));
+  sky130_fd_sc_hd__nand3_1 g443305(.A (n_13878), .B (n_657), .C
+       (u_soc_main_swith_host_lsu_dev_select_outstanding[0]), .Y
+       (n_1429));
+  sky130_fd_sc_hd__nand2_1 g443306(.A (n_1065), .B (n_12), .Y (n_1428));
+  sky130_fd_sc_hd__nor3_1 g443307(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_15943), .C
+       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .Y (n_1426));
+  sky130_fd_sc_hd__nand3_1 g443308(.A (n_1267), .B (n_15901), .C
+       (n_13884), .Y (n_1424));
+  sky130_fd_sc_hd__nor2b_1 g443309(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [4]), .B_N (n_1060), .Y (n_1423));
+  sky130_fd_sc_hd__nor2b_1 g443310(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [4]), .B_N (n_1059), .Y (n_1422));
+  sky130_fd_sc_hd__nand2_1 g443311(.A (n_1060), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [4]), .Y (n_1420));
+  sky130_fd_sc_hd__nand2_1 g443312(.A (n_1253), .B (n_28), .Y (n_1418));
+  sky130_fd_sc_hd__nand2_1 g443313(.A (n_1059), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [4]), .Y (n_1416));
+  sky130_fd_sc_hd__nand2_1 g443314(.A (n_1223), .B (n_1233), .Y
+       (n_1415));
+  sky130_fd_sc_hd__a21oi_1 g443315(.A1 (n_13466), .A2 (n_13464), .B1
+       (n_13377), .Y (n_1414));
+  sky130_fd_sc_hd__nor3_1 g443316(.A (n_12), .B (n_13484), .C
+       (n_13478), .Y (n_1413));
+  sky130_fd_sc_hd__nor2_1 g443317(.A (n_1282), .B (n_1213), .Y
+       (n_1412));
+  sky130_fd_sc_hd__and3_1 g443318(.A (n_646), .B
+       (\u_soc_uart_to_xbar[d_opcode] [0]), .C
+       (u_soc_main_swith_host_lsu_dev_select_outstanding[1]), .X
+       (n_1411));
+  sky130_fd_sc_hd__and3b_1 g443319(.A_N (n_13485), .B (n_15943), .C
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .X (n_1410));
+  sky130_fd_sc_hd__and3b_1 g443320(.A_N (n_13483), .B (n_15943), .C
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .X (n_1409));
+  sky130_fd_sc_hd__nand2_1 g443321(.A (n_1223), .B (n_1214), .Y
+       (n_1407));
+  sky130_fd_sc_hd__and2_1 g443322(.A
+       (u_soc_u_top_u_core_csr_save_cause), .B (n_1210), .X (n_1406));
+  sky130_fd_sc_hd__inv_1 g443325(.A (n_1288), .Y (n_1289));
+  sky130_fd_sc_hd__clkinv_1 g443326(.A (n_1284), .Y (n_1285));
+  sky130_fd_sc_hd__inv_1 g443327(.A (n_1278), .Y (n_1277));
+  sky130_fd_sc_hd__inv_1 g443329(.A (n_1274), .Y (n_1273));
+  sky130_fd_sc_hd__inv_1 g443330(.A (n_1267), .Y (n_1266));
+  sky130_fd_sc_hd__inv_1 g443331(.A (n_1258), .Y (n_1259));
+  sky130_fd_sc_hd__clkinv_1 g443332(.A (n_1254), .Y (n_1255));
+  sky130_fd_sc_hd__inv_1 g443333(.A (n_1253), .Y (n_1252));
+  sky130_fd_sc_hd__inv_2 g443335(.A (n_1245), .Y (n_1244));
+  sky130_fd_sc_hd__inv_2 g443337(.A (n_1241), .Y (n_1240));
+  sky130_fd_sc_hd__inv_2 g443338(.A (n_1239), .Y (n_1238));
+  sky130_fd_sc_hd__inv_1 g443339(.A (n_1237), .Y (n_1236));
+  sky130_fd_sc_hd__inv_2 g443340(.A (n_1235), .Y (n_1234));
+  sky130_fd_sc_hd__inv_2 g443342(.A (n_1231), .Y (n_1230));
+  sky130_fd_sc_hd__inv_2 g443343(.A (n_1229), .Y (n_1228));
+  sky130_fd_sc_hd__inv_2 g443344(.A (n_1227), .Y (n_1226));
+  sky130_fd_sc_hd__inv_2 g443345(.A (n_1225), .Y (n_1224));
+  sky130_fd_sc_hd__inv_2 g443346(.A (n_1223), .Y (n_1222));
+  sky130_fd_sc_hd__clkinv_1 g443347(.A (n_1217), .Y (n_1216));
+  sky130_fd_sc_hd__inv_2 g443348(.A (n_1213), .Y (n_1212));
+  sky130_fd_sc_hd__nand2_1 g443350(.A (n_13456), .B (n_82), .Y
+       (n_1211));
+  sky130_fd_sc_hd__nor2_1 g443351(.A (u_soc_u_top_u_core_debug_mode),
+       .B (u_soc_u_top_u_core_debug_csr_save), .Y (n_1210));
+  sky130_fd_sc_hd__nor2_1 g443353(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[31]), .B (n_13526), .Y
+       (n_1209));
+  sky130_fd_sc_hd__nand2_1 g443354(.A (n_13343), .B
+       (u_soc_u_top_u_core_alu_operator_ex[5]), .Y (n_1208));
+  sky130_fd_sc_hd__nand2_1 g443355(.A (n_13380), .B
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[15]), .Y (n_1207));
+  sky130_fd_sc_hd__nor2_1 g443356(.A (n_136), .B
+       (u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68), .Y (n_1206));
+  sky130_fd_sc_hd__nand2_1 g443357(.A (n_13380), .B
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[5]), .Y (n_1205));
+  sky130_fd_sc_hd__nor2b_1 g443358(.A (u_soc_u_tcam_n_26), .B_N
+       (u_soc_u_tcam_n_27), .Y (n_1204));
+  sky130_fd_sc_hd__nor2_1 g443359(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[16]), .B (n_13511), .Y
+       (n_1203));
+  sky130_fd_sc_hd__nor2_1 g443360(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[19]), .B (n_13514), .Y
+       (n_1202));
+  sky130_fd_sc_hd__nor2_1 g443361(.A
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B (u_soc_iccm_adapter_inst_mem_u_reqfifo_n_68), .Y
+       (n_1201));
+  sky130_fd_sc_hd__nor2_1 g443362(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[29]), .B (n_13524), .Y
+       (n_1200));
+  sky130_fd_sc_hd__nand2_1 g443363(.A (n_6), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [9]), .Y (n_1199));
+  sky130_fd_sc_hd__nand2_1 g443364(.A (n_6), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [3]), .Y (n_1198));
+  sky130_fd_sc_hd__nor2b_1 g443365(.A
+       (\u_soc_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ), .B_N
+       (u_soc_u_uart_u_uart_core_tx_done), .Y (n_1197));
+  sky130_fd_sc_hd__nand2_1 g443366(.A
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .B
+       (u_soc_intr_u_rx), .Y (n_1196));
+  sky130_fd_sc_hd__nor2_1 g443367(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[21]), .B (n_13516), .Y
+       (n_1195));
+  sky130_fd_sc_hd__nand2_1 g443368(.A (n_13380), .B
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[14]), .Y (n_1194));
+  sky130_fd_sc_hd__nand2_1 g443370(.A
+       (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Y (n_1192));
+  sky130_fd_sc_hd__nand2_1 g443371(.A (n_13380), .B
+       (u_soc_u_top_u_core_ready_wb), .Y (n_1191));
+  sky130_fd_sc_hd__nand2_1 g443373(.A
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata
+       [1]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata
+       [0]), .Y (n_1189));
+  sky130_fd_sc_hd__nand2_1 g443374(.A (n_13873), .B
+       (u_soc_u_top_u_core_alu_operator_ex[2]), .Y (n_1188));
+  sky130_fd_sc_hd__nand2_1 g443375(.A (n_6), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [7]), .Y (n_1187));
+  sky130_fd_sc_hd__nor2_1 g443376(.A
+       (u_soc_u_uart_u_uart_core_fifo_read_size[0]), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[1]), .Y (n_1186));
+  sky130_fd_sc_hd__nor2_1 g443377(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[30]), .B (n_13525), .Y
+       (n_1185));
+  sky130_fd_sc_hd__nand2_1 g443378(.A (n_13466), .B (n_13342), .Y
+       (n_1184));
+  sky130_fd_sc_hd__nand2_1 g443379(.A (n_6), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q
+       [5]), .Y (n_1183));
+  sky130_fd_sc_hd__nor2_1 g443380(.A (n_13498), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[3]), .Y (n_1182));
+  sky130_fd_sc_hd__nand2_1 g443381(.A
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0]
+       [11]), .Y (n_1181));
+  sky130_fd_sc_hd__nor2_1 g443382(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[18]), .B (n_13513), .Y
+       (n_1180));
+  sky130_fd_sc_hd__nor2_1 g443383(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[20]), .B (n_13515), .Y
+       (n_1179));
+  sky130_fd_sc_hd__nor2_1 g443384(.A (n_13499), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[4]), .Y (n_1178));
+  sky130_fd_sc_hd__nor2_1 g443385(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[26]), .B (n_13521), .Y
+       (n_1177));
+  sky130_fd_sc_hd__nor2_1 g443386(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[27]), .B (n_13522), .Y
+       (n_1176));
+  sky130_fd_sc_hd__nor2_1 g443387(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[28]), .B (n_13523), .Y
+       (n_1175));
+  sky130_fd_sc_hd__nor2b_1 g443388(.A (u_soc_dccm_adapter_data_csbD),
+       .B_N (u_soc_dccm_adapter_data_weD), .Y (n_1174));
+  sky130_fd_sc_hd__nor2_1 g443389(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[25]), .B (n_13520), .Y
+       (n_1173));
+  sky130_fd_sc_hd__nor2_1 g443391(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[14]), .B (n_13509), .Y
+       (n_1172));
+  sky130_fd_sc_hd__nor2_1 g443392(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[15]), .B (n_13510), .Y
+       (n_1171));
+  sky130_fd_sc_hd__nor2_1 g443393(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[17]), .B (n_13512), .Y
+       (n_1170));
+  sky130_fd_sc_hd__nor2_1 g443394(.A (n_728), .B
+       (u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52), .Y (n_1304));
+  sky130_fd_sc_hd__nor2_1 g443395(.A (n_730), .B
+       (u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85), .Y (n_1302));
+  sky130_fd_sc_hd__nor2_1 g443396(.A
+       (u_soc_u_top_u_core_instr_first_cycle_id), .B (n_472), .Y
+       (n_1169));
+  sky130_fd_sc_hd__nand2_1 g443397(.A (\u_soc_xbar_to_lsu[d_valid] ),
+       .B (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]), .Y
+       (n_1301));
+  sky130_fd_sc_hd__nor2_1 g443398(.A
+       (u_soc_u_uart_u_uart_core_read_fifo_buffer_empty), .B (n_13324),
+       .Y (n_1300));
+  sky130_fd_sc_hd__nor2b_1 g443399(.A
+       (u_soc_u_uart_u_uart_core_write_fifo_buffer_empty), .B_N
+       (u_soc_u_uart_u_uart_core_tx_fifo_re), .Y (n_1299));
+  sky130_fd_sc_hd__nand2_1 g443400(.A (u_soc_u_top_u_core_pc_set), .B
+       (n_13528), .Y (n_1298));
+  sky130_fd_sc_hd__nor2_1 g443401(.A
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B (u_soc_iccm_adapter_inst_mem_u_sramreqfifo_n_52), .Y
+       (n_1297));
+  sky130_fd_sc_hd__nand2_1 g443402(.A (u_soc_u_top_u_core_pc_set), .B
+       (n_13348), .Y (n_1296));
+  sky130_fd_sc_hd__nand2_1 g443403(.A (u_soc_u_top_u_core_pc_set), .B
+       (n_13349), .Y (n_1295));
+  sky130_fd_sc_hd__nand2_1 g443404(.A (u_soc_u_top_u_core_pc_set), .B
+       (n_13347), .Y (n_1294));
+  sky130_fd_sc_hd__nor2_1 g443405(.A
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .B (u_soc_dccm_adapter_data_mem_u_reqfifo_n_85), .Y
+       (n_1293));
+  sky130_fd_sc_hd__nor2_1 g443406(.A (n_188), .B
+       (u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108), .Y (n_1292));
+  sky130_fd_sc_hd__nor2_1 g443407(.A (n_198), .B
+       (u_soc_dccm_adapter_data_mem_u_reqfifo_n_85), .Y (n_1290));
+  sky130_fd_sc_hd__nand2_1 g443408(.A (u_soc_intr_u_rx), .B
+       (io_in[33]), .Y (n_1288));
+  sky130_fd_sc_hd__nor2_1 g443409(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .B (u_soc_u_tcam_u_tcam_adapter_u_reqfifo_n_85), .Y
+       (n_1287));
+  sky130_fd_sc_hd__nor2_1 g443410(.A
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[3]), .B
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[8]), .Y (n_1286));
+  sky130_fd_sc_hd__nor2_1 g443411(.A (\u_soc_uart_to_xbar[d_valid] ),
+       .B (n_7), .Y (n_1168));
+  sky130_fd_sc_hd__nand2b_1 g443412(.A_N
+       (u_soc_u_uart_u_uart_core_rx_sbit), .B
+       (u_soc_u_uart_u_uart_core_rx_time_n_217), .Y (n_1284));
+  sky130_fd_sc_hd__nand2_1 g443413(.A (n_13609), .B (n_13608), .Y
+       (n_1283));
+  sky130_fd_sc_hd__nor2b_1 g443414(.A (u_soc_u_top_u_core_csr_save_id),
+       .B_N (u_soc_u_top_u_core_csr_save_if), .Y (n_1282));
+  sky130_fd_sc_hd__nor2_1 g443415(.A (u_soc_u_top_u_core_pc_mux_id[2]),
+       .B (n_13472), .Y (n_1281));
+  sky130_fd_sc_hd__nand2_1 g443417(.A (n_642), .B
+       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[0]), .Y
+       (n_1280));
+  sky130_fd_sc_hd__nor2_1 g443418(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[8]), .B
+       (u_soc_main_swith_host_lsu_num_req_outstanding[9]), .Y (n_1279));
+  sky130_fd_sc_hd__nand2_1 g443421(.A
+       (u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69), .B
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_1278));
+  sky130_fd_sc_hd__nor2_1 g443422(.A (n_670), .B
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[2]), .Y (n_1276));
+  sky130_fd_sc_hd__nand2_1 g443424(.A
+       (u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69), .B (n_53), .Y
+       (n_1274));
+  sky130_fd_sc_hd__nor2_1 g443425(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[0]), .B
+       (u_soc_main_swith_host_lsu_num_req_outstanding[1]), .Y (n_1272));
+  sky130_fd_sc_hd__nand2_1 g443426(.A
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[2]), .B
+       (u_soc_u_uart_u_uart_core_rx_done), .Y (n_1271));
+  sky130_fd_sc_hd__nor2_1 g443427(.A (n_13316), .B (n_13322), .Y
+       (n_1270));
+  sky130_fd_sc_hd__nand2b_1 g443428(.A_N (n_13318), .B
+       (\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]), .Y (n_1269));
+  sky130_fd_sc_hd__nor2_1 g443429(.A
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[0]), .B (n_477), .Y
+       (n_1268));
+  sky130_fd_sc_hd__nor2_1 g443430(.A (n_13319), .B (n_13323), .Y
+       (n_1267));
+  sky130_fd_sc_hd__nor2_1 g443432(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [2]), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [1]), .Y (n_1264));
+  sky130_fd_sc_hd__nand2_1 g443435(.A (n_13415), .B
+       (u_soc_u_top_u_core_multdiv_signed_mode_ex[1]), .Y (n_1263));
+  sky130_fd_sc_hd__nor2_1 g443436(.A
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[5]), .B
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[4]), .Y (n_1262));
+  sky130_fd_sc_hd__nor2_1 g443437(.A (n_471), .B
+       (u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69), .Y (n_1261));
+  sky130_fd_sc_hd__nor2_1 g443438(.A
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
+       ), .B (n_13362), .Y (n_1260));
+  sky130_fd_sc_hd__nand2_1 g443439(.A (n_475), .B
+       (u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel), .Y (n_1258));
+  sky130_fd_sc_hd__nand2_1 g443440(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [1]), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [2]), .Y (n_1257));
+  sky130_fd_sc_hd__nand2_1 g443441(.A (\u_soc_tcam_to_xbar[d_valid] ),
+       .B (n_13451), .Y (n_1256));
+  sky130_fd_sc_hd__nand2_1 g443442(.A (n_43), .B (n_132), .Y (n_1254));
+  sky130_fd_sc_hd__nor2_1 g443443(.A (n_8), .B
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[0]), .Y
+       (n_1253));
+  sky130_fd_sc_hd__nand2_1 g443444(.A (n_13492), .B (n_13384), .Y
+       (n_1251));
+  sky130_fd_sc_hd__nand2_1 g443445(.A (n_13492), .B (n_13385), .Y
+       (n_1250));
+  sky130_fd_sc_hd__nand2_1 g443446(.A
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[0]), .B
+       (n_11130), .Y (n_1249));
+  sky130_fd_sc_hd__nand2_1 g443447(.A
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[4]), .B
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[5]), .Y (n_1248));
+  sky130_fd_sc_hd__nand2_1 g443448(.A (\u_soc_dccm_to_xbar[d_valid] ),
+       .B (n_13454), .Y (n_1246));
+  sky130_fd_sc_hd__nand2_1 g443449(.A (n_13448), .B
+       (u_soc_u_top_u_core_multdiv_signed_mode_ex[0]), .Y (n_1245));
+  sky130_fd_sc_hd__nor2_1 g443450(.A
+       (u_soc_u_top_u_core_rf_waddr_wb[4]), .B
+       (u_soc_u_top_u_core_rf_waddr_wb[3]), .Y (n_1243));
+  sky130_fd_sc_hd__nor2_1 g443451(.A
+       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[0]), .B
+       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]), .Y
+       (n_1241));
+  sky130_fd_sc_hd__nand2_1 g443452(.A
+       (u_soc_u_top_u_core_rf_waddr_wb[3]), .B
+       (u_soc_u_top_u_core_rf_waddr_wb[4]), .Y (n_1239));
+  sky130_fd_sc_hd__nor2_1 g443453(.A
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[1]), .B
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[5]), .Y (n_1237));
+  sky130_fd_sc_hd__nand2_1 g443454(.A
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[1]), .B
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[5]), .Y (n_1235));
+  sky130_fd_sc_hd__nor2_1 g443455(.A (n_13484), .B (n_13485), .Y
+       (n_1233));
+  sky130_fd_sc_hd__nand2b_1 g443456(.A_N (u_soc_u_dccm_bank_sel[1]), .B
+       (u_soc_u_dccm_bank_sel[0]), .Y (n_1231));
+  sky130_fd_sc_hd__nand2b_1 g443457(.A_N (u_soc_u_dccm_bank_sel[0]), .B
+       (u_soc_u_dccm_bank_sel[1]), .Y (n_1229));
+  sky130_fd_sc_hd__nor2_1 g443458(.A (u_soc_u_dccm_bank_sel[1]), .B
+       (u_soc_u_dccm_bank_sel[0]), .Y (n_1227));
+  sky130_fd_sc_hd__nand2_1 g443459(.A (u_soc_u_dccm_bank_sel[0]), .B
+       (u_soc_u_dccm_bank_sel[1]), .Y (n_1225));
+  sky130_fd_sc_hd__nor2_1 g443460(.A (n_13379), .B
+       (u_soc_u_top_u_core_illegal_csr_insn_id), .Y (n_1223));
+  sky130_fd_sc_hd__and2_1 g443461(.A (u_soc_u_top_u_core_pc_set), .B
+       (n_13489), .X (n_1221));
+  sky130_fd_sc_hd__and2_1 g443462(.A (u_soc_u_top_u_core_pc_set), .B
+       (n_13534), .X (n_1220));
+  sky130_fd_sc_hd__nor2_1 g443463(.A (n_13471), .B (n_6), .Y (n_1219));
+  sky130_fd_sc_hd__nor2_1 g443464(.A (n_13470), .B (n_6), .Y (n_1218));
+  sky130_fd_sc_hd__nor2_1 g443465(.A
+       (u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel), .B (n_13380), .Y
+       (n_1217));
+  sky130_fd_sc_hd__nand2_1 g443466(.A (u_soc_u_top_u_core_csr_op[0]),
+       .B (u_soc_u_top_u_core_csr_op[1]), .Y (n_1215));
+  sky130_fd_sc_hd__nor2_1 g443467(.A (n_13484), .B (n_13483), .Y
+       (n_1214));
+  sky130_fd_sc_hd__nand2_1 g443468(.A
+       (u_soc_u_top_u_core_csr_save_cause), .B
+       (u_soc_u_top_u_core_debug_csr_save), .Y (n_1213));
+  sky130_fd_sc_hd__inv_2 g443469(.A (n_1163), .Y (n_1164));
+  sky130_fd_sc_hd__inv_1 g443471(.A (n_1088), .Y (n_1087));
+  sky130_fd_sc_hd__inv_1 g443472(.A (n_1081), .Y (n_1082));
+  sky130_fd_sc_hd__inv_1 g443473(.A (n_1080), .Y (n_1079));
+  sky130_fd_sc_hd__inv_1 g443474(.A (n_1074), .Y (n_1073));
+  sky130_fd_sc_hd__inv_1 g443475(.A (n_1071), .Y (n_1072));
+  sky130_fd_sc_hd__inv_1 g443476(.A (n_1067), .Y (n_1066));
+  sky130_fd_sc_hd__clkinv_1 g443477(.A (n_1061), .Y (n_1062));
+  sky130_fd_sc_hd__clkinv_1 g443478(.A (n_1055), .Y (n_1056));
+  sky130_fd_sc_hd__clkinv_1 g443479(.A (n_1053), .Y (n_1054));
+  sky130_fd_sc_hd__inv_1 g443480(.A (n_1051), .Y (n_1050));
+  sky130_fd_sc_hd__inv_1 g443481(.A (n_1049), .Y (n_1048));
+  sky130_fd_sc_hd__inv_2 g443482(.A (n_1045), .Y (n_1046));
+  sky130_fd_sc_hd__clkinv_1 g443483(.A (n_1044), .Y (n_1043));
+  sky130_fd_sc_hd__inv_1 g443485(.A (n_1040), .Y (n_1039));
+  sky130_fd_sc_hd__inv_2 g443486(.A (n_1038), .Y (n_1037));
+  sky130_fd_sc_hd__inv_2 g443487(.A (n_1036), .Y (n_1035));
+  sky130_fd_sc_hd__inv_2 g443488(.A (n_1034), .Y (n_1033));
+  sky130_fd_sc_hd__inv_2 g443489(.A (n_1032), .Y (n_1031));
+  sky130_fd_sc_hd__inv_2 g443490(.A (n_1028), .Y (n_1029));
+  sky130_fd_sc_hd__inv_1 g443491(.A (n_1027), .Y (n_1026));
+  sky130_fd_sc_hd__inv_2 g443492(.A (n_1025), .Y (n_1024));
+  sky130_fd_sc_hd__inv_2 g443493(.A (n_1022), .Y (n_1023));
+  sky130_fd_sc_hd__nor2_1 g443494(.A (n_13502), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .Y (n_1021));
+  sky130_fd_sc_hd__nand2_1 g443495(.A (n_472), .B (n_30), .Y (n_1166));
+  sky130_fd_sc_hd__nand2_1 g443496(.A (n_472), .B (n_13508), .Y
+       (n_1165));
+  sky130_fd_sc_hd__nor2_1 g443497(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_1163));
+  sky130_fd_sc_hd__nand2_1 g443498(.A (n_472), .B (n_13498), .Y
+       (n_1162));
+  sky130_fd_sc_hd__nand2_1 g443499(.A (n_13517), .B (n_472), .Y
+       (n_1161));
+  sky130_fd_sc_hd__nand2_1 g443500(.A (n_472), .B (n_13505), .Y
+       (n_1160));
+  sky130_fd_sc_hd__nand2_1 g443501(.A (n_472), .B (n_13500), .Y
+       (n_1159));
+  sky130_fd_sc_hd__nand2_1 g443502(.A (n_13519), .B (n_472), .Y
+       (n_1158));
+  sky130_fd_sc_hd__nand2_1 g443503(.A (n_472), .B (n_13509), .Y
+       (n_1157));
+  sky130_fd_sc_hd__nand2_1 g443504(.A (n_13515), .B (n_472), .Y
+       (n_1156));
+  sky130_fd_sc_hd__nand2_1 g443505(.A (n_472), .B (n_13510), .Y
+       (n_1155));
+  sky130_fd_sc_hd__nand2_1 g443506(.A (n_472), .B (n_13503), .Y
+       (n_1154));
+  sky130_fd_sc_hd__nand2_1 g443507(.A
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .B (n_478), .Y
+       (n_1153));
+  sky130_fd_sc_hd__nand2_1 g443508(.A (n_472), .B (n_13507), .Y
+       (n_1152));
+  sky130_fd_sc_hd__nand2_1 g443509(.A (n_472), .B (n_13502), .Y
+       (n_1151));
+  sky130_fd_sc_hd__nand2_1 g443510(.A (n_13520), .B (n_472), .Y
+       (n_1150));
+  sky130_fd_sc_hd__nand2_1 g443511(.A (n_472), .B (n_13511), .Y
+       (n_1149));
+  sky130_fd_sc_hd__nand2_1 g443512(.A (n_13513), .B (n_472), .Y
+       (n_1148));
+  sky130_fd_sc_hd__nand2_1 g443513(.A (n_13521), .B (n_472), .Y
+       (n_1147));
+  sky130_fd_sc_hd__nor2_1 g443514(.A
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[8]), .B (n_129), .Y
+       (n_1146));
+  sky130_fd_sc_hd__nand2_1 g443515(.A (n_13522), .B (n_472), .Y
+       (n_1145));
+  sky130_fd_sc_hd__nand2_1 g443516(.A (n_472), .B (n_13497), .Y
+       (n_1144));
+  sky130_fd_sc_hd__nand2_1 g443517(.A (n_13524), .B (n_472), .Y
+       (n_1143));
+  sky130_fd_sc_hd__nand2_1 g443518(.A (n_472), .B (n_13501), .Y
+       (n_1142));
+  sky130_fd_sc_hd__nand2_1 g443519(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13495), .Y
+       (n_1141));
+  sky130_fd_sc_hd__nand2_1 g443520(.A (n_472), .B (n_13504), .Y
+       (n_1140));
+  sky130_fd_sc_hd__nand2_1 g443521(.A (n_13514), .B (n_472), .Y
+       (n_1139));
+  sky130_fd_sc_hd__nand2_1 g443522(.A (n_472), .B (n_13512), .Y
+       (n_1138));
+  sky130_fd_sc_hd__nand2_1 g443523(.A (n_13522), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1137));
+  sky130_fd_sc_hd__nand2_1 g443524(.A (n_13514), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1136));
+  sky130_fd_sc_hd__nand2_1 g443525(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13503), .Y
+       (n_1135));
+  sky130_fd_sc_hd__nand2_1 g443526(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13504), .Y
+       (n_1134));
+  sky130_fd_sc_hd__nand2_1 g443527(.A (n_13520), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1133));
+  sky130_fd_sc_hd__nand2_1 g443528(.A (n_13516), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1132));
+  sky130_fd_sc_hd__nand2_1 g443529(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13510), .Y
+       (n_1131));
+  sky130_fd_sc_hd__nand2_1 g443530(.A (n_13517), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1130));
+  sky130_fd_sc_hd__nand2_1 g443531(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13508), .Y
+       (n_1129));
+  sky130_fd_sc_hd__nand2_1 g443532(.A (n_13524), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1128));
+  sky130_fd_sc_hd__nand2_1 g443533(.A (n_13512), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1127));
+  sky130_fd_sc_hd__nand2_1 g443534(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13498), .Y
+       (n_1126));
+  sky130_fd_sc_hd__nand2_1 g443535(.A (n_13515), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1125));
+  sky130_fd_sc_hd__nand2_1 g443536(.A (n_13513), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1124));
+  sky130_fd_sc_hd__nand2_1 g443537(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13505), .Y
+       (n_1123));
+  sky130_fd_sc_hd__nand2_1 g443538(.A (n_13525), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1122));
+  sky130_fd_sc_hd__nand2_1 g443539(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13497), .Y
+       (n_1121));
+  sky130_fd_sc_hd__nand2_1 g443540(.A (n_13521), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1120));
+  sky130_fd_sc_hd__nand2_1 g443541(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13507), .Y
+       (n_1119));
+  sky130_fd_sc_hd__nand2_1 g443542(.A (n_13509), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1118));
+  sky130_fd_sc_hd__nand2_1 g443543(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13511), .Y
+       (n_1117));
+  sky130_fd_sc_hd__nand2_1 g443544(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13496), .Y
+       (n_1116));
+  sky130_fd_sc_hd__nand2_1 g443545(.A (n_13523), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1115));
+  sky130_fd_sc_hd__nand2_1 g443546(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13502), .Y
+       (n_1114));
+  sky130_fd_sc_hd__nand2_1 g443547(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13501), .Y
+       (n_1113));
+  sky130_fd_sc_hd__nand2_1 g443548(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13506), .Y
+       (n_1112));
+  sky130_fd_sc_hd__nand2_1 g443549(.A (n_13518), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1111));
+  sky130_fd_sc_hd__nand2_1 g443550(.A (n_13519), .B
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_1110));
+  sky130_fd_sc_hd__nand2_1 g443551(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13499), .Y
+       (n_1109));
+  sky130_fd_sc_hd__nand2_1 g443552(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .B (n_13500), .Y
+       (n_1108));
+  sky130_fd_sc_hd__nand2_1 g443553(.A (n_13516), .B (n_472), .Y
+       (n_1107));
+  sky130_fd_sc_hd__nand2_1 g443554(.A (n_13525), .B (n_472), .Y
+       (n_1106));
+  sky130_fd_sc_hd__nand2_1 g443555(.A (n_13526), .B (n_472), .Y
+       (n_1105));
+  sky130_fd_sc_hd__nor2_1 g443556(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .Y (n_1103));
+  sky130_fd_sc_hd__nand2_1 g443557(.A (n_13523), .B (n_472), .Y
+       (n_1102));
+  sky130_fd_sc_hd__nand2_1 g443558(.A (n_472), .B (n_13499), .Y
+       (n_1101));
+  sky130_fd_sc_hd__nand2_1 g443559(.A (n_472), .B (n_13506), .Y
+       (n_1100));
+  sky130_fd_sc_hd__nand2_1 g443560(.A (n_472), .B (n_13496), .Y
+       (n_1099));
+  sky130_fd_sc_hd__nand2_1 g443561(.A (n_13518), .B (n_472), .Y
+       (n_1098));
+  sky130_fd_sc_hd__nand2_1 g443562(.A
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_1097));
+  sky130_fd_sc_hd__nand2_1 g443563(.A (n_121), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_1096));
+  sky130_fd_sc_hd__nand2_1 g443564(.A (n_15943), .B (n_472), .Y
+       (n_1095));
+  sky130_fd_sc_hd__nor2_1 g443565(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .B (n_536), .Y (n_1094));
+  sky130_fd_sc_hd__nand2_1 g443566(.A
+       (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125), .B (n_104), .Y
+       (n_1093));
+  sky130_fd_sc_hd__nor2_1 g443567(.A (n_471), .B
+       (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125), .Y (n_1092));
+  sky130_fd_sc_hd__nor2_1 g443568(.A (n_579), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Y (n_1091));
+  sky130_fd_sc_hd__nor2_1 g443569(.A (n_559), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_1090));
+  sky130_fd_sc_hd__nand2_1 g443570(.A (n_94), .B
+       (u_soc_u_uart_u_uart_core_rx_done), .Y (n_1089));
+  sky130_fd_sc_hd__nand2_1 g443571(.A
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .B (n_62), .Y (n_1088));
+  sky130_fd_sc_hd__nor2_1 g443572(.A
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B (n_522), .Y (n_1086));
+  sky130_fd_sc_hd__nand2_1 g443573(.A
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B (n_19), .Y (n_1085));
+  sky130_fd_sc_hd__nor2_1 g443574(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B (n_32), .Y (n_1084));
+  sky130_fd_sc_hd__nor2_1 g443575(.A (n_62), .B
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Y (n_1083));
+  sky130_fd_sc_hd__nor2_1 g443576(.A
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B (n_615), .Y (n_1081));
+  sky130_fd_sc_hd__nand2_1 g443577(.A
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .B
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_1080));
+  sky130_fd_sc_hd__nand2_1 g443578(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_1078));
+  sky130_fd_sc_hd__nor2_1 g443579(.A (n_499), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Y (n_1077));
+  sky130_fd_sc_hd__nand2_1 g443580(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Y (n_1076));
+  sky130_fd_sc_hd__nand2_1 g443581(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_1075));
+  sky130_fd_sc_hd__nand2_1 g443582(.A
+       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [2]), .Y (n_1074));
+  sky130_fd_sc_hd__nor2_1 g443583(.A (n_82), .B (n_511), .Y (n_1071));
+  sky130_fd_sc_hd__nand2_1 g443584(.A
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_1070));
+  sky130_fd_sc_hd__nand2_1 g443585(.A
+       (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), .B (n_121), .Y
+       (n_1069));
+  sky130_fd_sc_hd__nor2_1 g443586(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [0]), .B (n_43), .Y (n_1068));
+  sky130_fd_sc_hd__nand2_1 g443587(.A (n_94), .B
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[1]), .Y (n_1067));
+  sky130_fd_sc_hd__nor2_1 g443588(.A (n_472), .B (n_15943), .Y
+       (n_1065));
+  sky130_fd_sc_hd__nand2_1 g443589(.A (n_547), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Y (n_1064));
+  sky130_fd_sc_hd__nor2_1 g443590(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B (n_568), .Y (n_1063));
+  sky130_fd_sc_hd__nor2_1 g443591(.A
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B (n_82), .Y (n_1061));
+  sky130_fd_sc_hd__nor2_1 g443592(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [1]), .B (n_195), .Y (n_1060));
+  sky130_fd_sc_hd__nor2_1 g443593(.A
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [2]), .B (n_654), .Y (n_1059));
+  sky130_fd_sc_hd__nor2_1 g443594(.A (n_104), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_1058));
+  sky130_fd_sc_hd__nor2_1 g443595(.A (n_89), .B
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Y (n_1057));
+  sky130_fd_sc_hd__nor2_1 g443596(.A
+       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .B
+       (u_soc_u_top_u_core_multdiv_operator_ex[1]), .Y (n_1055));
+  sky130_fd_sc_hd__nand2_1 g443597(.A (n_7), .B (u_soc_u_top_data_we),
+       .Y (n_1053));
+  sky130_fd_sc_hd__nand2_1 g443598(.A (n_472), .B (n_13495), .Y
+       (n_1052));
+  sky130_fd_sc_hd__nor2_1 g443599(.A (n_132), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [1]), .Y (n_1051));
+  sky130_fd_sc_hd__nor2_1 g443600(.A (\u_soc_lsu_to_xbar[a_address]
+       [31]), .B (n_15896), .Y (n_1049));
+  sky130_fd_sc_hd__nand2_1 g443601(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .B
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_1047));
+  sky130_fd_sc_hd__nor2_1 g443602(.A
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[5]), .B (n_665), .Y
+       (n_1045));
+  sky130_fd_sc_hd__nand2_1 g443603(.A
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[6]), .B (n_478), .Y
+       (n_1044));
+  sky130_fd_sc_hd__nand2_1 g443604(.A (n_665), .B
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[5]), .Y (n_1042));
+  sky130_fd_sc_hd__nor2_1 g443605(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .B
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[6]), .Y (n_1040));
+  sky130_fd_sc_hd__nand2_1 g443606(.A (n_47), .B
+       (u_soc_u_top_u_core_pc_if[1]), .Y (n_1038));
+  sky130_fd_sc_hd__nand2_1 g443607(.A
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
+       [0]), .B (u_soc_u_top_u_core_pc_if[1]), .Y (n_1036));
+  sky130_fd_sc_hd__nor2_1 g443608(.A
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[5]), .B (n_618), .Y
+       (n_1034));
+  sky130_fd_sc_hd__nand2_1 g443609(.A (n_618), .B
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[5]), .Y (n_1032));
+  sky130_fd_sc_hd__nor2_1 g443610(.A (n_13), .B (n_589), .Y (n_1030));
+  sky130_fd_sc_hd__nand2_1 g443611(.A (n_589), .B
+       (u_soc_u_top_u_core_pc_if[1]), .Y (n_1028));
+  sky130_fd_sc_hd__and2_1 g443612(.A (n_589), .B (n_13), .X (n_1027));
+  sky130_fd_sc_hd__nand2_1 g443613(.A
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
+       [0]), .B (n_13), .Y (n_1025));
+  sky130_fd_sc_hd__nand2_1 g443614(.A (n_477), .B
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[0]), .Y (n_1022));
+  sky130_fd_sc_hd__clkinv_1 g443615(.A (n_13877), .Y (n_1020));
+  sky130_fd_sc_hd__inv_1 g443616(.A (n_13486), .Y (n_1019));
+  sky130_fd_sc_hd__inv_1 g443624(.A (n_13324), .Y (n_1011));
+  sky130_fd_sc_hd__inv_1 g443654(.A (u_soc_u_uart_u_uart_core_rx_en),
+       .Y (n_981));
+  sky130_fd_sc_hd__inv_2 g443680(.A (n_13317), .Y (n_955));
+  sky130_fd_sc_hd__inv_1 g443946(.A
+       (u_soc_u_top_u_core_csr_save_cause), .Y (n_689));
+  sky130_fd_sc_hd__inv_2 g443965(.A (u_soc_u_uart_u_uart_core_rx_done),
+       .Y (n_670));
+  sky130_fd_sc_hd__inv_1 g443978(.A
+       (u_soc_main_swith_host_lsu_dev_select_outstanding[1]), .Y
+       (n_657));
+  sky130_fd_sc_hd__inv_1 g443989(.A
+       (u_soc_main_swith_host_lsu_dev_select_outstanding[0]), .Y
+       (n_646));
+  sky130_fd_sc_hd__inv_1 g443993(.A
+       (u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]), .Y
+       (n_642));
+  sky130_fd_sc_hd__clkinv_1 g443998(.A (n_13513), .Y (n_637));
+  sky130_fd_sc_hd__inv_1 g444000(.A (n_13519), .Y (n_635));
+  sky130_fd_sc_hd__inv_1 g444001(.A (n_13496), .Y (n_634));
+  sky130_fd_sc_hd__clkinv_1 g444011(.A (n_13517), .Y (n_624));
+  sky130_fd_sc_hd__clkinv_1 g444012(.A (n_13516), .Y (n_623));
+  sky130_fd_sc_hd__clkinv_1 g444013(.A (n_13521), .Y (n_622));
+  sky130_fd_sc_hd__inv_1 g444018(.A (n_13498), .Y (n_617));
+  sky130_fd_sc_hd__inv_2 g444020(.A
+       (u_soc_dccm_adapter_data_mem_u_rspfifo_n_125), .Y (n_615));
+  sky130_fd_sc_hd__clkinv_1 g444021(.A (n_13522), .Y (n_614));
+  sky130_fd_sc_hd__inv_2 g444038(.A (\u_soc_lsu_to_xbar[a_address]
+       [31]), .Y (n_597));
+  sky130_fd_sc_hd__inv_1 g444056(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_579));
+  sky130_fd_sc_hd__inv_1 g444076(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Y (n_559));
+  sky130_fd_sc_hd__inv_1 g444078(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_rst), .Y (n_557));
+  sky130_fd_sc_hd__inv_2 g444080(.A (\u_soc_xbar_to_dccm[a_address]
+       [5]), .Y (n_555));
+  sky130_fd_sc_hd__inv_1 g444088(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_547));
+  sky130_fd_sc_hd__inv_1 g444096(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_536));
+  sky130_fd_sc_hd__clkinv_1 g444101(.A
+       (\u_soc_xbar_to_dccm[a_address] [2]), .Y (n_534));
+  sky130_fd_sc_hd__inv_1 g444124(.A
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_511));
+  sky130_fd_sc_hd__inv_2 g444140(.A (n_13358), .Y (n_495));
+  sky130_fd_sc_hd__inv_2 g444141(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[7]), .Y (n_494));
+  sky130_fd_sc_hd__clkinv_1 g444142(.A
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Y (n_487));
+  sky130_fd_sc_hd__clkinv_1 g444155(.A
+       (\u_soc_xbar_to_dccm[a_address] [3]), .Y (n_480));
+  sky130_fd_sc_hd__inv_2 g444157(.A
+       (u_soc_u_uart_u_uart_core_rx_fifo_clr), .Y (n_478));
+  sky130_fd_sc_hd__inv_1 g444160(.A (n_13380), .Y (n_475));
+  sky130_fd_sc_hd__inv_2 g444161(.A (u_soc_u_uart_u_uart_core_rx[7]),
+       .Y (n_474));
+  sky130_fd_sc_hd__inv_2 g444162(.A (u_soc_u_uart_u_uart_core_rx[1]),
+       .Y (n_473));
+  sky130_fd_sc_hd__inv_2 g444163(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[0]), .Y (n_472));
+  sky130_fd_sc_hd__inv_1 g444166(.A (n_13314), .Y (n_469));
+  sky130_fd_sc_hd__inv_1 g444198(.A
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1]
+       [11]), .Y (n_437));
+  sky130_fd_sc_hd__inv_2 g444471(.A (n_13382), .Y (n_164));
+  sky130_fd_sc_hd__inv_1 g444478(.A (n_13495), .Y (n_157));
+  sky130_fd_sc_hd__clkinv_1 g444501(.A (n_13523), .Y (n_134));
+  sky130_fd_sc_hd__inv_1 g444502(.A (n_13505), .Y (n_133));
+  sky130_fd_sc_hd__clkinv_1 g444504(.A (n_13512), .Y (n_131));
+  sky130_fd_sc_hd__clkinv_1 g444507(.A (n_13520), .Y (n_128));
+  sky130_fd_sc_hd__clkinv_1 g444508(.A (n_13504), .Y (n_127));
+  sky130_fd_sc_hd__clkinv_1 g444517(.A (n_13501), .Y (n_118));
+  sky130_fd_sc_hd__inv_1 g444518(.A (n_13499), .Y (n_117));
+  sky130_fd_sc_hd__clkinv_1 g444520(.A
+       (u_soc_u_top_u_core_csr_restore_mret_id), .Y (n_115));
+  sky130_fd_sc_hd__inv_1 g444521(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[10]), .Y (n_114));
+  sky130_fd_sc_hd__inv_2 g444524(.A
+       (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), .Y (n_111));
+  sky130_fd_sc_hd__inv_1 g444525(.A (n_13497), .Y (n_110));
+  sky130_fd_sc_hd__inv_1 g444573(.A
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Y (n_62));
+  sky130_fd_sc_hd__clkinv_1 g444605(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[5]), .Y (n_30));
+  sky130_fd_sc_hd__inv_2 g444607(.A
+       (u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]), .Y
+       (n_28));
+  sky130_fd_sc_hd__inv_1 g444616(.A
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Y (n_19));
+  sky130_fd_sc_hd__inv_1 g444618(.A (n_15943), .Y (n_17));
+  sky130_fd_sc_hd__inv_2 g444619(.A
+       (u_soc_u_top_u_core_multdiv_operator_ex[0]), .Y (n_16));
+  sky130_fd_sc_hd__inv_2 g444623(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[2]), .Y (n_12));
+  sky130_fd_sc_hd__inv_1 g444625(.A (\u_soc_xbar_to_dccm[a_data] [0]),
+       .Y (n_10));
+  sky130_fd_sc_hd__inv_2 g444627(.A (n_11130), .Y (n_8));
+  sky130_fd_sc_hd__inv_2 g444628(.A (n_13558), .Y (n_7));
+  sky130_fd_sc_hd__inv_2 g444629(.A (u_soc_u_top_u_core_pc_set), .Y
+       (n_6));
+  sky130_fd_sc_hd__inv_2 g444630(.A (u_soc_u_uart_u_uart_core_rx[2]),
+       .Y (n_5));
+  sky130_fd_sc_hd__inv_2 g444631(.A (u_soc_u_uart_u_uart_core_rx[5]),
+       .Y (n_4));
+  sky130_fd_sc_hd__inv_2 g444632(.A (u_soc_u_uart_u_uart_core_rx[6]),
+       .Y (n_3));
+  sky130_fd_sc_hd__inv_2 g444633(.A (u_soc_u_uart_u_uart_core_rx[0]),
+       .Y (n_2));
+  sky130_fd_sc_hd__inv_2 g444634(.A (u_soc_u_uart_u_uart_core_rx[3]),
+       .Y (n_1));
+  sky130_fd_sc_hd__inv_2 g444635(.A (u_soc_u_uart_u_uart_core_rx[4]),
+       .Y (n_0));
+  sky130_fd_sc_hd__inv_2 hi_fo_buf444648(.A (n_8847), .Y (n_8845));
+  sky130_fd_sc_hd__inv_2 hi_fo_buf444649(.A (n_8844), .Y (n_8847));
+  sky130_fd_sc_hd__inv_2 hi_fo_buf444659(.A (n_8855), .Y (n_8854));
+  sky130_fd_sc_hd__clkinv_1 hi_fo_buf444838(.A (n_2226), .Y (n_2225));
+  sky130_fd_sc_hd__dfxbp_1
+       \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q_reg[1]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_1368), .Q
+       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q
+       [1]), .Q_N (n_8816));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[1] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_load_store_unit_i_n_938), .Q
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]), .Q_N
+       (n_11046));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1841), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Q_N (n_599));
+  sky130_fd_sc_hd__dfxbp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[15] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8721), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[15]), .Q_N (n_11040));
+  sky130_fd_sc_hd__dfxbp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[18] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8677), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[18]), .Q_N (n_11038));
+  sky130_fd_sc_hd__dfxbp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[23] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8699), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[23]), .Q_N (n_11037));
+  sky130_fd_sc_hd__dfxbp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[17] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8702), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[17]), .Q_N (n_11036));
+  sky130_fd_sc_hd__dfxbp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[19] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8717), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[19]), .Q_N (n_11034));
+  sky130_fd_sc_hd__dfxbp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[16] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8771), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[16]), .Q_N (n_11032));
+  sky130_fd_sc_hd__dfrbp_1
+       u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q_reg(.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_2974), .Q
+       (u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q), .Q_N
+       (n_11031));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5933), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Q_N (n_104));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1840), .Q
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Q_N (n_71));
+  sky130_fd_sc_hd__dfxbp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[20] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8761), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[20]), .Q_N (n_11022));
+  sky130_fd_sc_hd__dfxbp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[24] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8716), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[24]), .Q_N (n_11021));
+  sky130_fd_sc_hd__dfxbp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[22] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8762), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[22]), .Q_N (n_11020));
+  sky130_fd_sc_hd__dfxbp_1
+       \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[21] (.CLK
+       (u_soc_u_top_u_core_clk), .D (n_8778), .Q
+       (u_soc_u_top_u_core_instr_rdata_id[21]), .Q_N (n_11018));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_8662), .Q
+       (u_soc_u_uart_u_uart_core_fifo_read_size[8]), .Q_N (n_8824));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[0] (.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (u_soc_u_top_u_core_load_store_unit_i_n_939), .Q
+       (u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]), .Q_N
+       (n_8822));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q_reg
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_3061), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q
+       ), .Q_N (n_8819));
+  sky130_fd_sc_hd__dfrbp_1 u_soc_iccm_adapter_rvalid_reg(.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1481), .Q
+       (u_soc_iccm_adapter_rvalid), .Q_N (n_1018));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5877), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [0]), .Q_N
+       (n_1017));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5887), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [0]), .Q_N
+       (n_1016));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7334), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [0]), .Q_N
+       (n_1015));
+  sky130_fd_sc_hd__dfrbp_1
+       u_soc_u_top_u_core_if_stage_i_instr_valid_id_q_reg(.RESET_B
+       (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D (n_15913), .Q
+       (u_soc_u_top_u_core_instr_valid_id), .Q_N (n_1014));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5891), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [0]), .Q_N
+       (n_1013));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7333), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [0]), .Q_N
+       (n_1012));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5881), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [0]), .Q_N
+       (n_1010));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_2386), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Q_N (n_730));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_2926), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Q_N (n_728));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5544), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Q_N (n_666));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7158), .Q
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[4]), .Q_N (n_665));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7345), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
+       [1]), .Q_N (n_661));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6361), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [1]), .Q_N (n_654));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5587), .Q
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[4]), .Q_N (n_653));
+  sky130_fd_sc_hd__dfsbp_1
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst_reg
+       (.SET_B (io_out[37]), .CLK (wb_clk_i), .D (logic_0_1_net), .Q
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst
+       ), .Q_N (n_641));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5532), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Q_N (n_636));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5924), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Q_N (n_626));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3069), .Q
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[1]), .Q_N (n_618));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5930), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Q_N (n_610));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7821), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q
+       [0]), .Q_N (n_589));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8789), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [2]), .Q_N (n_587));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1936), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Q_N (n_568));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[3]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6906), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [3]), .Q_N (n_533));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5900), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Q_N (n_522));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_2975), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Q_N (n_499));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6838), .Q
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[7]), .Q_N (n_497));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_state_reg_reg[1] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1811), .Q
+       (u_soc_u_uart_u_uart_core_rx_time_state_reg[1]), .Q_N (n_477));
+  sky130_fd_sc_hd__dfrbp_1
+       u_soc_u_uart_u_uart_core_tx_fifo_clear_reg(.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5005), .Q
+       (u_soc_u_uart_u_uart_core_tx_fifo_clear), .Q_N (n_476));
+  sky130_fd_sc_hd__dfrbp_1 u_soc_reset_manager_rst_fq_reg(.RESET_B
+       (n_470), .CLK (wb_clk_i), .D (u_soc_reset_manager_rst_q), .Q
+       (io_out[37]), .Q_N (n_471));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6841), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [0]), .Q_N
+       (n_468));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][0] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7335), .Q
+       (\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [0]), .Q_N
+       (n_467));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_2385), .Q
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Q_N (n_198));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[2]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_6659), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [2]), .Q_N (n_195));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5525), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Q_N (n_188));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[5]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8739), .Q (u_soc_u_top_u_core_csr_mstatus_mie), .Q_N (n_175));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[31]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7239), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q
+       [31]), .Q_N (n_153));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_6903), .Q
+       (u_soc_u_uart_u_uart_core_fifo_read_size[4]), .Q_N (n_152));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_7569), .Q
+       (u_soc_u_uart_u_uart_core_fifo_read_size[5]), .Q_N (n_143));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5141), .Q
+       (\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .Q_N (n_136));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[0]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8790), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [0]), .Q_N (n_132));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[3] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_3054), .Q
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[3]), .Q_N (n_129));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_2389), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Q_N (n_121));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_5527), .Q
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [1]), .Q_N (n_98));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_6303), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Q_N (n_89));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_2380), .Q
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr
+       [1]), .Q_N (n_82));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_1944), .Q
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Q_N (n_53));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7652), .Q
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy
+       [0]), .Q_N (n_47));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[1]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_8788), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [1]), .Q_N (n_43));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]
+       (.RESET_B (io_out[37]), .CLK (wb_clk_i), .D (n_6307), .Q
+       (\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .Q_N (n_32));
+  sky130_fd_sc_hd__dfrbp_1
+       u_soc_u_uart_u_uart_core_tx_fifo_reset_reg(.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_5080), .Q
+       (u_soc_u_uart_u_uart_core_tx_fifo_reset), .Q_N (n_29));
+  sky130_fd_sc_hd__dfxbp_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[1]
+       (.CLK (u_soc_u_top_u_core_clk), .D (n_7736), .Q
+       (u_soc_u_top_u_core_pc_if[1]), .Q_N (n_13));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[4]
+       (.RESET_B (io_out[37]), .CLK (u_soc_u_top_u_core_clk), .D
+       (n_7343), .Q
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q
+       [4]), .Q_N (n_11));
+  sky130_fd_sc_hd__and2_1 g445254(.A (n_11631), .B (n_11255), .X
+       (n_15856));
+  sky130_fd_sc_hd__and2_1 g445255(.A (n_11635), .B (n_11281), .X
+       (n_15857));
+  sky130_fd_sc_hd__and2_1 g445256(.A (n_11628), .B (n_11245), .X
+       (n_15858));
+  sky130_fd_sc_hd__and2_1 g445257(.A (n_11632), .B (n_11243), .X
+       (n_15859));
+  sky130_fd_sc_hd__and3_2 g445258(.A (n_11307), .B (n_11467), .C
+       (n_11261), .X (n_15860));
+  sky130_fd_sc_hd__and2_1 g445259(.A (n_11633), .B (n_11249), .X
+       (n_15861));
+  sky130_fd_sc_hd__and2_1 g445260(.A (n_11458), .B
+       (u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]), .X
+       (n_15862));
+  sky130_fd_sc_hd__and4_1 g445261(.A (n_11294), .B
+       (u_soc_u_top_u_core_rf_waddr_wb[1]), .C
+       (u_soc_u_top_u_core_rf_waddr_wb[2]), .D (n_11043), .X (n_15863));
+  sky130_fd_sc_hd__and4_1 g445262(.A (n_11294), .B (n_652), .C
+       (u_soc_u_top_u_core_rf_waddr_wb[2]), .D (n_11043), .X (n_15864));
+  sky130_fd_sc_hd__and2_1 g445263(.A (n_11240), .B (n_11399), .X
+       (n_15865));
+  sky130_fd_sc_hd__and2_1 g445264(.A (n_11268), .B (n_11397), .X
+       (n_15866));
+  sky130_fd_sc_hd__and2_1 g445265(.A (n_11287), .B (n_11401), .X
+       (n_15867));
+  sky130_fd_sc_hd__and2_2 g445266(.A (n_11266), .B (n_11395), .X
+       (n_15868));
+  sky130_fd_sc_hd__and2_1 g445267(.A (n_11239), .B (n_11400), .X
+       (n_15869));
+  sky130_fd_sc_hd__and2_2 g445268(.A (n_11399), .B (n_11235), .X
+       (n_15870));
+  sky130_fd_sc_hd__and2_1 g445269(.A (n_11279), .B (n_11398), .X
+       (n_15871));
+  sky130_fd_sc_hd__and2_0 g445270(.A (n_11278), .B (n_11396), .X
+       (n_15872));
+  sky130_fd_sc_hd__and4_1 g445271(.A (n_11294), .B
+       (u_soc_u_top_u_core_instr_rdata_id[15]), .C (n_11094), .D
+       (u_soc_u_top_u_core_instr_rdata_id[17]), .X (n_15873));
+  sky130_fd_sc_hd__and3_1 g445272(.A (n_11294), .B (n_11098), .C
+       (n_652), .X (n_15874));
+  sky130_fd_sc_hd__and2_1 g445273(.A (n_11294), .B (n_11170), .X
+       (n_15875));
+  sky130_fd_sc_hd__and2_0 g445274(.A
+       (u_soc_u_top_u_core_instr_rdata_id[22]), .B
+       (u_soc_u_top_u_core_instr_rdata_id[20]), .X (n_15876));
+  sky130_fd_sc_hd__and2_0 g445275(.A
+       (u_soc_u_top_u_core_rf_waddr_wb[4]), .B
+       (u_soc_u_top_u_core_rf_waddr_wb[3]), .X (n_15877));
+  sky130_fd_sc_hd__and2_0 g445277(.A (n_11036), .B
+       (u_soc_u_top_u_core_instr_rdata_id[15]), .X (n_15879));
+  sky130_fd_sc_hd__and2_0 g445278(.A (n_11020), .B
+       (u_soc_u_top_u_core_instr_rdata_id[20]), .X (n_15880));
+  sky130_fd_sc_hd__nand2b_1 g445279(.A_N
+       (u_soc_u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec), .B
+       (n_11354), .Y (n_15881));
+  sky130_fd_sc_hd__nor3b_1 g445280(.A (n_11091), .B (n_11212), .C_N
+       (n_16002), .Y (n_15882));
+  sky130_fd_sc_hd__nand4b_1 g445281(.A_N (n_11446), .B (n_15881), .C
+       (u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]), .D
+       (n_11447), .Y (n_15883));
+  sky130_fd_sc_hd__nand2b_1 g445282(.A_N (n_11356), .B (n_1356), .Y
+       (n_15884));
+  sky130_fd_sc_hd__nor2b_1 g445283(.A (n_11143), .B_N (n_11296), .Y
+       (n_15885));
+  sky130_fd_sc_hd__nor2b_1 g445284(.A (n_13468), .B_N (n_11294), .Y
+       (n_15886));
+  sky130_fd_sc_hd__and3b_1 g445285(.A_N (n_11212), .B (n_11463), .C
+       (n_11111), .X (n_15887));
+  sky130_fd_sc_hd__nor4b_1 g445287(.A (n_13339), .B
+       (u_soc_u_top_u_core_instr_rdata_id[27]), .C
+       (u_soc_u_top_u_core_instr_rdata_id[25]), .D_N (n_11135), .Y
+       (n_15889));
+  sky130_fd_sc_hd__nand4b_1 g445288(.A_N (n_13459), .B (n_11122), .C
+       (u_soc_u_top_u_core_alu_operator_ex[3]), .D (n_621), .Y
+       (n_15890));
+  sky130_fd_sc_hd__nand2b_1 g445289(.A_N (n_10956), .B (n_5704), .Y
+       (n_15891));
+  sky130_fd_sc_hd__nand2b_1 g445294(.A_N (n_15898), .B (n_9349), .Y
+       (n_15896));
+  sky130_fd_sc_hd__nand3b_1 g445295(.A_N
+       (\u_soc_lsu_to_xbar[a_address] [31]), .B (n_10330), .C (n_9349),
+       .Y (n_15897));
+  sky130_fd_sc_hd__nand2b_1 g445296(.A_N (n_10148), .B
+       (\u_soc_lsu_to_xbar[a_address] [30]), .Y (n_15898));
+  sky130_fd_sc_hd__nand2b_1 g445297(.A_N (n_9014), .B (n_13382), .Y
+       (n_15899));
+  sky130_fd_sc_hd__nor2b_1 g445298(.A (n_13346), .B_N (n_9004), .Y
+       (n_15900));
+  sky130_fd_sc_hd__or4bb_1 g445299(.A
+       (u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_n_69), .B (n_8994),
+       .C_N
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .D_N
+       (\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .X (n_15901));
+  sky130_fd_sc_hd__or4bb_1 g445300(.A
+       (u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69), .B (n_8989),
+       .C_N
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .D_N
+       (\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .X (n_15902));
+  sky130_fd_sc_hd__or3b_1 g445301(.A
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[2]), .B
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[3]), .C_N (n_8970), .X
+       (n_15903));
+  sky130_fd_sc_hd__or4b_1 g445302(.A (n_8866), .B (n_13326), .C
+       (u_soc_u_uart_u_uart_core_fifo_read_size[7]), .D_N (n_8870), .X
+       (n_15904));
+  sky130_fd_sc_hd__and3b_1 g445303(.A_N
+       (u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]), .B (n_8802), .C
+       (u_soc_u_top_u_core_instr_rdata_id[20]), .X (n_15905));
+  sky130_fd_sc_hd__nand3b_1 g445304(.A_N (n_7966), .B (n_8533), .C
+       (n_7382), .Y (n_15906));
+  sky130_fd_sc_hd__nor2b_1 g445305(.A (n_15911), .B_N (n_7624), .Y
+       (n_15907));
+  sky130_fd_sc_hd__nor2b_1 g445306(.A (n_7814), .B_N (n_7622), .Y
+       (n_15908));
+  sky130_fd_sc_hd__and2_0 g445307(.A (n_6927), .B
+       (u_soc_u_uart_u_uart_core_fifo_read_size[6]), .X (n_15909));
+  sky130_fd_sc_hd__nor3b_1 g445308(.A (n_7916), .B (n_7396), .C_N
+       (n_7386), .Y (n_15910));
+  sky130_fd_sc_hd__nor2b_1 g445309(.A (n_6923), .B_N (n_7295), .Y
+       (n_15911));
+  sky130_fd_sc_hd__nor2b_1 g445310(.A (n_7256), .B_N (n_7279), .Y
+       (n_15912));
+  sky130_fd_sc_hd__o21bai_1 g445311(.A1 (n_1014), .A2
+       (u_soc_u_top_u_core_instr_valid_clear), .B1_N (n_6915), .Y
+       (n_15913));
+  sky130_fd_sc_hd__a21boi_0 g445312(.A1 (n_1035), .A2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1]
+       [11]), .B1_N (n_6848), .Y (n_15914));
+  sky130_fd_sc_hd__nor2b_1 g445313(.A
+       (u_soc_u_uart_u_uart_core_read_fifo_raddr[5]), .B_N (n_5696), .Y
+       (n_15915));
+  sky130_fd_sc_hd__nand2b_1 g445314(.A_N (n_5408), .B
+       (u_soc_main_swith_host_lsu_num_req_outstanding[4]), .Y
+       (n_15916));
+  sky130_fd_sc_hd__nand3b_1 g445315(.A_N (n_5699), .B (n_7368), .C
+       (n_5652), .Y (n_15917));
+  sky130_fd_sc_hd__or3b_1 g445316(.A (n_5366), .B (n_5360), .C_N
+       (n_5361), .X (n_15918));
+  sky130_fd_sc_hd__nand2b_1 g445317(.A_N (n_3093), .B (n_1262), .Y
+       (n_15919));
+  sky130_fd_sc_hd__nor2b_1 g445318(.A
+       (u_soc_u_uart_u_uart_core_write_fifo_waddr[3]), .B_N (n_2605),
+       .Y (n_15920));
+  sky130_fd_sc_hd__a21boi_1 g445319(.A1 (n_1741), .A2 (n_15925), .B1_N
+       (n_2167), .Y (n_15921));
+  sky130_fd_sc_hd__a21boi_1 g445320(.A1 (n_2600), .A2 (n_1743), .B1_N
+       (n_2156), .Y (n_15922));
+  sky130_fd_sc_hd__nand2b_1 g445321(.A_N (n_1779), .B (n_13452), .Y
+       (n_15923));
+  sky130_fd_sc_hd__nand3b_1 g445322(.A_N (n_1531), .B (n_1532), .C
+       (\u_soc_xbar_to_dccm[a_address] [3]), .Y (n_15924));
+  sky130_fd_sc_hd__nand2b_1 g445323(.A_N (n_1431), .B (n_1105), .Y
+       (n_15925));
+  sky130_fd_sc_hd__nor2b_1 g445324(.A
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B_N (n_1302), .Y (n_15926));
+  sky130_fd_sc_hd__nor2b_1 g445325(.A
+       (\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr
+       [0]), .B_N (n_1290), .Y (n_15927));
+  sky130_fd_sc_hd__nor2b_1 g445326(.A
+       (u_soc_u_uart_u_uart_core_rx_buffer_size[4]), .B_N (n_1276), .Y
+       (n_15928));
+  sky130_fd_sc_hd__nand2b_1 g445327(.A_N (n_1248), .B (n_476), .Y
+       (n_15929));
+  sky130_fd_sc_hd__and2b_1 g445328(.A_N (n_3101), .B (n_1243), .X
+       (n_15930));
+  sky130_fd_sc_hd__nand4b_1 g445329(.A_N (n_1233), .B (n_2956), .C
+       (n_2178), .D (n_1958), .Y (n_15931));
+  sky130_fd_sc_hd__nand2b_1 g445330(.A_N (n_1103), .B (n_1044), .Y
+       (n_15932));
+  sky130_fd_sc_hd__nand2b_1 g445331(.A_N (n_1042), .B (n_476), .Y
+       (n_15933));
+  sky130_fd_sc_hd__nor2b_1 g445332(.A (n_1076), .B_N
+       (u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125), .Y (n_15934));
+  sky130_fd_sc_hd__nor2b_1 g445333(.A
+       (u_soc_u_top_u_core_instr_first_cycle_id), .B_N (n_13484), .Y
+       (n_15935));
+  sky130_fd_sc_hd__nor2b_1 g445334(.A (n_13373), .B_N
+       (\u_soc_xbar_to_dccm[a_address] [4]), .Y (n_15936));
+  sky130_fd_sc_hd__nor3b_1 g445335(.A (n_13506), .B (n_7920), .C_N
+       (u_soc_u_top_u_core_csr_op[1]), .Y (n_15937));
+  sky130_fd_sc_hd__nor4b_1 g445336(.A
+       (\u_soc_lsu_to_xbar[a_address] [28]), .B
+       (\u_soc_lsu_to_xbar[a_address] [27]), .C
+       (\u_soc_lsu_to_xbar[a_address] [25]), .D_N (n_15940), .Y
+       (n_15938));
+  sky130_fd_sc_hd__or4b_1 g445337(.A
+       (\u_soc_xbar_to_dccm[a_address] [10]), .B
+       (\u_soc_xbar_to_dccm[a_address] [13]), .C
+       (\u_soc_xbar_to_dccm[a_address] [12]), .D_N (n_13277), .X
+       (n_15939));
+  sky130_fd_sc_hd__nor4b_1 g445338(.A
+       (\u_soc_lsu_to_xbar[a_address] [23]), .B
+       (\u_soc_lsu_to_xbar[a_address] [24]), .C
+       (\u_soc_lsu_to_xbar[a_address] [22]), .D_N (n_13272), .Y
+       (n_15940));
+  sky130_fd_sc_hd__o2111ai_1 g445339(.A1 (n_11208), .A2 (n_13410), .B1
+       (n_13160), .C1 (n_13242), .D1 (n_13267), .Y (n_15941));
+  sky130_fd_sc_hd__o2111ai_1 g445340(.A1 (n_11208), .A2 (n_13409), .B1
+       (n_13157), .C1 (n_13241), .D1 (n_13265), .Y (n_15942));
+  sky130_fd_sc_hd__a211o_1 g445341(.A1 (n_13416), .A2 (n_11443), .B1
+       (n_12664), .C1 (n_12788), .X (n_15943));
+  sky130_fd_sc_hd__nor3b_1 g445343(.A (n_12839), .B (n_12863), .C_N
+       (n_13028), .Y (n_15945));
+  sky130_fd_sc_hd__nand4b_2 g445344(.A_N (n_12919), .B (n_12319), .C
+       (n_12320), .D (n_15947), .Y (n_15946));
+  sky130_fd_sc_hd__and3b_1 g445345(.A_N (n_12981), .B (n_12322), .C
+       (n_12323), .X (n_15947));
+  sky130_fd_sc_hd__a221o_1 g445346(.A1 (n_11754), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [2]), .B1 (n_11765), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [2]), .C1 (n_11934), .X (n_15948));
+  sky130_fd_sc_hd__nand4_1 g445347(.A (n_11730), .B (n_11797), .C
+       (n_11580), .D (n_12673), .Y (n_15949));
+  sky130_fd_sc_hd__a221o_1 g445348(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [1]), .B1 (n_11757), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [1]), .C1 (n_15987), .X (n_15950));
+  sky130_fd_sc_hd__a221o_1 g445349(.A1 (n_15861), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28]
+       [0]), .B1 (n_11553), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [0]), .C1 (n_15990), .X (n_15951));
+  sky130_fd_sc_hd__a222oi_1 g445350(.A1 (n_11765), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22]
+       [3]), .B1 (n_15860), .B2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[3]), .C1 (n_11515), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [3]), .Y (n_15952));
+  sky130_fd_sc_hd__a222oi_1 g445351(.A1 (n_11756), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [3]), .B1 (n_15859), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [3]), .C1 (n_11555), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [3]), .Y (n_15953));
+  sky130_fd_sc_hd__a222oi_1 g445352(.A1 (n_11756), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [1]), .B1 (n_15857), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [1]), .C1 (n_11475), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [1]), .Y (n_15954));
+  sky130_fd_sc_hd__a221o_1 g445353(.A1 (n_11472), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [4]), .B1 (n_16001), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [4]), .C1 (n_11652), .X (n_15955));
+  sky130_fd_sc_hd__a221o_1 g445354(.A1 (n_11563), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [3]), .B1 (n_11476), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [3]), .C1 (n_11653), .X (n_15956));
+  sky130_fd_sc_hd__a221o_1 g445355(.A1 (n_11554), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [2]), .B1 (n_11514), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [2]), .C1 (n_15991), .X (n_15957));
+  sky130_fd_sc_hd__a211oi_1 g445356(.A1 (n_11564), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [0]), .B1 (n_11579), .C1 (n_15992), .Y (n_15958));
+  sky130_fd_sc_hd__a221oi_1 g445357(.A1 (n_11563), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27]
+       [0]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [0]), .C1 (n_15989), .Y (n_15959));
+  sky130_fd_sc_hd__a222oi_1 g445358(.A1 (n_11751), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [3]), .B1 (n_11478), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [3]), .C1 (n_11564), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [3]), .Y (n_15960));
+  sky130_fd_sc_hd__a222oi_1 g445359(.A1 (n_15995), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [2]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [2]), .C1 (n_15999), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [2]), .Y (n_15961));
+  sky130_fd_sc_hd__a222oi_1 g445360(.A1 (n_15860), .A2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[2]), .B1 (n_11513), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [2]), .C1 (n_11559), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [2]), .Y (n_15962));
+  sky130_fd_sc_hd__a222oi_1 g445361(.A1 (n_15857), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [2]), .B1 (n_11475), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [2]), .C1 (n_11516), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [2]), .Y (n_15963));
+  sky130_fd_sc_hd__a222oi_1 g445362(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [2]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [2]), .C1 (n_11517), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [2]), .Y (n_15964));
+  sky130_fd_sc_hd__a222oi_1 g445363(.A1 (n_15860), .A2
+       (u_soc_u_top_u_core_rf_wdata_fwd_wb[1]), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [1]), .C1 (n_11513), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [1]), .Y (n_15965));
+  sky130_fd_sc_hd__a222oi_1 g445364(.A1 (n_11557), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [1]), .B1 (n_11425), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [1]), .C1 (n_11474), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [1]), .Y (n_15966));
+  sky130_fd_sc_hd__a222oi_1 g445365(.A1 (n_15995), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14]
+       [1]), .B1 (n_11558), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [1]), .C1 (n_15999), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [1]), .Y (n_15967));
+  sky130_fd_sc_hd__a222oi_1 g445366(.A1 (n_11751), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4]
+       [1]), .B1 (n_11564), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [1]), .C1 (n_11478), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [1]), .Y (n_15968));
+  sky130_fd_sc_hd__a222oi_1 g445367(.A1 (n_11550), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [1]), .B1 (n_11473), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [1]), .C1 (n_15868), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [1]), .Y (n_15969));
+  sky130_fd_sc_hd__a222oi_1 g445368(.A1 (n_11555), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [1]), .B1 (n_11516), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [1]), .C1 (n_15887), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [1]), .Y (n_15970));
+  sky130_fd_sc_hd__a222oi_1 g445369(.A1 (n_11473), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [0]), .B1 (n_11514), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [0]), .C1 (n_15865), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [0]), .Y (n_15971));
+  sky130_fd_sc_hd__a222oi_1 g445370(.A1 (n_15856), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6]
+       [0]), .B1 (n_11516), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [0]), .C1 (n_15887), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5]
+       [0]), .Y (n_15972));
+  sky130_fd_sc_hd__a222oi_1 g445371(.A1 (n_15859), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12]
+       [0]), .B1 (n_11475), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3]
+       [0]), .C1 (n_11555), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11]
+       [0]), .Y (n_15973));
+  sky130_fd_sc_hd__a222oi_1 g445372(.A1 (n_15858), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20]
+       [0]), .B1 (n_11517), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [0]), .C1 (n_11479), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [0]), .Y (n_15974));
+  sky130_fd_sc_hd__a221o_1 g445373(.A1 (n_11746), .A2 (n_11175), .B1
+       (n_11393), .B2
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q
+       [0]), .C1 (n_11360), .X (n_15975));
+  sky130_fd_sc_hd__a22o_1 g445374(.A1 (n_11761), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30]
+       [6]), .B1 (n_11559), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31]
+       [6]), .X (n_15976));
+  sky130_fd_sc_hd__a221oi_1 g445375(.A1 (n_11428), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [0]), .B1 (n_11425), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [0]), .C1 (n_11647), .Y (n_15977));
+  sky130_fd_sc_hd__a222oi_1 g445376(.A1 (n_11471), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [5]), .B1 (n_15869), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [5]), .C1 (n_15866), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [5]), .Y (n_15978));
+  sky130_fd_sc_hd__a222oi_1 g445377(.A1 (n_11514), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [5]), .B1 (n_15865), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [5]), .C1 (n_15871), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [5]), .Y (n_15979));
+  sky130_fd_sc_hd__a222oi_1 g445378(.A1 (n_11480), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [2]), .B1 (n_15870), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [2]), .C1 (n_11415), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [2]), .Y (n_15980));
+  sky130_fd_sc_hd__a222oi_1 g445379(.A1 (n_16000), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [2]), .B1 (n_11418), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [2]), .C1 (n_11428), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [2]), .Y (n_15981));
+  sky130_fd_sc_hd__a222oi_1 g445380(.A1 (n_16001), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [1]), .B1 (n_15872), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [1]), .C1 (n_15867), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26]
+       [1]), .Y (n_15982));
+  sky130_fd_sc_hd__a222oi_1 g445381(.A1 (n_11514), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [1]), .B1 (n_15865), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [1]), .C1 (n_15871), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [1]), .Y (n_15983));
+  sky130_fd_sc_hd__a222oi_1 g445382(.A1 (n_11471), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19]
+       [1]), .B1 (n_15869), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [1]), .C1 (n_15866), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [1]), .Y (n_15984));
+  sky130_fd_sc_hd__a222oi_1 g445383(.A1 (n_11550), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1]
+       [0]), .B1 (n_15868), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2]
+       [0]), .C1 (n_15871), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [0]), .Y (n_15985));
+  sky130_fd_sc_hd__a222oi_1 g445384(.A1 (n_16001), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25]
+       [0]), .B1 (n_15872), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [0]), .C1 (n_15866), .C2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [0]), .Y (n_15986));
+  sky130_fd_sc_hd__a22o_1 g445385(.A1 (n_11517), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23]
+       [1]), .B1 (n_11479), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [1]), .X (n_15987));
+  sky130_fd_sc_hd__a22o_1 g445386(.A1 (n_11474), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15]
+       [0]), .B1 (n_11480), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7]
+       [0]), .X (n_15988));
+  sky130_fd_sc_hd__a22o_1 g445387(.A1 (n_11556), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21]
+       [0]), .B1 (n_16000), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17]
+       [0]), .X (n_15989));
+  sky130_fd_sc_hd__a22o_1 g445388(.A1 (n_15882), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29]
+       [0]), .B1 (n_15869), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [0]), .X (n_15990));
+  sky130_fd_sc_hd__a22o_1 g445389(.A1 (n_15871), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10]
+       [2]), .B1 (n_15865), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8]
+       [2]), .X (n_15991));
+  sky130_fd_sc_hd__a22o_1 g445390(.A1 (n_11418), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [0]), .B1 (n_11423), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24]
+       [0]), .X (n_15992));
+  sky130_fd_sc_hd__a22o_1 g445391(.A1 (n_15866), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18]
+       [2]), .B1 (n_15869), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16]
+       [2]), .X (n_15993));
+  sky130_fd_sc_hd__nand3b_1 g445392(.A_N
+       (u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]), .B
+       (n_15881), .C (n_11447), .Y (n_15994));
+  sky130_fd_sc_hd__and3_2 g445393(.A (n_11636), .B (n_11154), .C
+       (n_11092), .X (n_15995));
+  sky130_fd_sc_hd__or3b_2 g445394(.A (n_13338), .B (n_11153), .C_N
+       (n_11637), .X (n_15996));
+  sky130_fd_sc_hd__or3b_2 g445395(.A (n_11114), .B (n_11153), .C_N
+       (n_11629), .X (n_15997));
+  sky130_fd_sc_hd__or3b_2 g445396(.A (n_11114), .B (n_11219), .C_N
+       (n_11633), .X (n_15998));
+  sky130_fd_sc_hd__and3b_2 g445397(.A_N (n_11093), .B (n_11434), .C
+       (n_11217), .X (n_15999));
+  sky130_fd_sc_hd__and3b_4 g445398(.A_N (n_13338), .B (n_11450), .C
+       (n_11221), .X (n_16000));
+  sky130_fd_sc_hd__and3b_1 g445399(.A_N (n_11091), .B (n_11464), .C
+       (n_11155), .X (n_16001));
+  sky130_fd_sc_hd__nand4_1 g445400(.A (n_11294), .B (n_652), .C
+       (n_11094), .D (n_15877), .Y (n_16002));
+  sky130_fd_sc_hd__a21bo_2 g445401(.A1 (n_11028), .A2
+       (u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]), .B1_N
+       (n_11354), .X (n_16003));
+  sky130_fd_sc_hd__nand3b_1 g445402(.A_N
+       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q
+       [0]), .B
+       (\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+       .C (n_8816), .Y (n_16004));
+  sky130_fd_sc_hd__nand3b_2 g445403(.A_N (n_13879), .B
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [2]), .C
+       (\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q
+       [0]), .Y (n_16005));
+  sky130_fd_sc_hd__o41ai_1 g445404(.A1
+       (\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr
+       [0]), .A2 (n_626), .A3 (n_15926), .A4 (n_2543), .B1 (n_5610), .Y
+       (n_16006));
+  sky130_fd_sc_hd__a2111o_1 g445405(.A1 (n_1458), .A2 (n_511), .B1
+       (n_3124), .C1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [0]), .D1
+       (\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr
+       [1]), .X (n_16007));
+  sky130_fd_sc_hd__a22oi_2 g445406(.A1 (n_12263), .A2
+       (u_soc_u_top_u_core_instr_rdata_id[7]), .B1 (n_12261), .B2
+       (u_soc_u_top_u_core_instr_rdata_id[20]), .Y (n_16008));
+  sky130_fd_sc_hd__a21o_1 g2(.A1 (n_12668), .A2 (n_11413), .B1
+       (n_16004), .X (n_16009));
+  sky130_fd_sc_hd__o2bb2ai_1 inc_ADD_UNS_OP_g850(.A1_N
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]), .A2_N
+       (inc_ADD_UNS_OP_n_124), .B1
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]), .B2
+       (inc_ADD_UNS_OP_n_124), .Y (n_13740));
+  sky130_fd_sc_hd__o21a_1 inc_ADD_UNS_OP_g851(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]), .A2
+       (inc_ADD_UNS_OP_n_123), .B1 (inc_ADD_UNS_OP_n_124), .X
+       (n_13739));
+  sky130_fd_sc_hd__nand2_1 inc_ADD_UNS_OP_g852(.A
+       (inc_ADD_UNS_OP_n_123), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]), .Y
+       (inc_ADD_UNS_OP_n_124));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g853(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]), .B
+       (inc_ADD_UNS_OP_n_120), .COUT (inc_ADD_UNS_OP_n_123), .SUM
+       (n_13738));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g854(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]), .B
+       (inc_ADD_UNS_OP_n_118), .COUT (inc_ADD_UNS_OP_n_120), .SUM
+       (n_13737));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g855(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]), .B
+       (inc_ADD_UNS_OP_n_116), .COUT (inc_ADD_UNS_OP_n_118), .SUM
+       (n_13736));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g856(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]), .B
+       (inc_ADD_UNS_OP_n_114), .COUT (inc_ADD_UNS_OP_n_116), .SUM
+       (n_13735));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g857(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]), .B
+       (inc_ADD_UNS_OP_n_112), .COUT (inc_ADD_UNS_OP_n_114), .SUM
+       (n_13734));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g858(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]), .B
+       (inc_ADD_UNS_OP_n_110), .COUT (inc_ADD_UNS_OP_n_112), .SUM
+       (n_13733));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g859(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]), .B
+       (inc_ADD_UNS_OP_n_108), .COUT (inc_ADD_UNS_OP_n_110), .SUM
+       (n_13732));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g860(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]), .B
+       (inc_ADD_UNS_OP_n_106), .COUT (inc_ADD_UNS_OP_n_108), .SUM
+       (n_13731));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g861(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]), .B
+       (inc_ADD_UNS_OP_n_104), .COUT (inc_ADD_UNS_OP_n_106), .SUM
+       (n_13730));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g862(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]), .B
+       (inc_ADD_UNS_OP_n_102), .COUT (inc_ADD_UNS_OP_n_104), .SUM
+       (n_13729));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g863(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]), .B
+       (inc_ADD_UNS_OP_n_100), .COUT (inc_ADD_UNS_OP_n_102), .SUM
+       (n_13728));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g864(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]), .B
+       (inc_ADD_UNS_OP_n_98), .COUT (inc_ADD_UNS_OP_n_100), .SUM
+       (n_13727));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g865(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]), .B
+       (inc_ADD_UNS_OP_n_96), .COUT (inc_ADD_UNS_OP_n_98), .SUM
+       (n_13726));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g866(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]), .B
+       (inc_ADD_UNS_OP_n_94), .COUT (inc_ADD_UNS_OP_n_96), .SUM
+       (n_13725));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g867(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]), .B
+       (inc_ADD_UNS_OP_n_92), .COUT (inc_ADD_UNS_OP_n_94), .SUM
+       (n_13724));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g868(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]), .B
+       (inc_ADD_UNS_OP_n_90), .COUT (inc_ADD_UNS_OP_n_92), .SUM
+       (n_13723));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g869(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]), .B
+       (inc_ADD_UNS_OP_n_88), .COUT (inc_ADD_UNS_OP_n_90), .SUM
+       (n_13722));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g870(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]), .B
+       (inc_ADD_UNS_OP_n_86), .COUT (inc_ADD_UNS_OP_n_88), .SUM
+       (n_13721));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g871(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]), .B
+       (inc_ADD_UNS_OP_n_84), .COUT (inc_ADD_UNS_OP_n_86), .SUM
+       (n_13720));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g872(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]), .B
+       (inc_ADD_UNS_OP_n_82), .COUT (inc_ADD_UNS_OP_n_84), .SUM
+       (n_13719));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g873(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]), .B
+       (inc_ADD_UNS_OP_n_80), .COUT (inc_ADD_UNS_OP_n_82), .SUM
+       (n_13718));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g874(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]), .B
+       (inc_ADD_UNS_OP_n_78), .COUT (inc_ADD_UNS_OP_n_80), .SUM
+       (n_13717));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g875(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]), .B
+       (inc_ADD_UNS_OP_n_76), .COUT (inc_ADD_UNS_OP_n_78), .SUM
+       (n_13716));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g876(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]), .B
+       (inc_ADD_UNS_OP_n_74), .COUT (inc_ADD_UNS_OP_n_76), .SUM
+       (n_13715));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g877(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]), .B
+       (inc_ADD_UNS_OP_n_72), .COUT (inc_ADD_UNS_OP_n_74), .SUM
+       (n_13714));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g878(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]), .B
+       (inc_ADD_UNS_OP_n_70), .COUT (inc_ADD_UNS_OP_n_72), .SUM
+       (n_13713));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g879(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]), .B
+       (inc_ADD_UNS_OP_n_68), .COUT (inc_ADD_UNS_OP_n_70), .SUM
+       (n_13712));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g880(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]), .B
+       (inc_ADD_UNS_OP_n_66), .COUT (inc_ADD_UNS_OP_n_68), .SUM
+       (n_13711));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g881(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]), .B
+       (inc_ADD_UNS_OP_n_64), .COUT (inc_ADD_UNS_OP_n_66), .SUM
+       (n_13710));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g882(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]), .B
+       (inc_ADD_UNS_OP_n_62), .COUT (inc_ADD_UNS_OP_n_64), .SUM
+       (n_13709));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g883(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]), .B
+       (inc_ADD_UNS_OP_n_60), .COUT (inc_ADD_UNS_OP_n_62), .SUM
+       (n_13708));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g884(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]), .B
+       (inc_ADD_UNS_OP_n_58), .COUT (inc_ADD_UNS_OP_n_60), .SUM
+       (n_13707));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g885(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]), .B
+       (inc_ADD_UNS_OP_n_56), .COUT (inc_ADD_UNS_OP_n_58), .SUM
+       (n_13706));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g886(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]), .B
+       (inc_ADD_UNS_OP_n_54), .COUT (inc_ADD_UNS_OP_n_56), .SUM
+       (n_13705));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g887(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]), .B
+       (inc_ADD_UNS_OP_n_52), .COUT (inc_ADD_UNS_OP_n_54), .SUM
+       (n_13704));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g888(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]), .B
+       (inc_ADD_UNS_OP_n_50), .COUT (inc_ADD_UNS_OP_n_52), .SUM
+       (n_13703));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g889(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]), .B
+       (inc_ADD_UNS_OP_n_48), .COUT (inc_ADD_UNS_OP_n_50), .SUM
+       (n_13702));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g890(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]), .B
+       (inc_ADD_UNS_OP_n_46), .COUT (inc_ADD_UNS_OP_n_48), .SUM
+       (n_13701));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g891(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]), .B
+       (inc_ADD_UNS_OP_n_44), .COUT (inc_ADD_UNS_OP_n_46), .SUM
+       (n_13700));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g892(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]), .B
+       (inc_ADD_UNS_OP_n_42), .COUT (inc_ADD_UNS_OP_n_44), .SUM
+       (n_13699));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g893(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]), .B
+       (inc_ADD_UNS_OP_n_40), .COUT (inc_ADD_UNS_OP_n_42), .SUM
+       (n_13698));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g894(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]), .B
+       (inc_ADD_UNS_OP_n_38), .COUT (inc_ADD_UNS_OP_n_40), .SUM
+       (n_13697));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g895(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]), .B
+       (inc_ADD_UNS_OP_n_36), .COUT (inc_ADD_UNS_OP_n_38), .SUM
+       (n_13696));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g896(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]), .B
+       (inc_ADD_UNS_OP_n_34), .COUT (inc_ADD_UNS_OP_n_36), .SUM
+       (n_13695));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g897(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]), .B
+       (inc_ADD_UNS_OP_n_32), .COUT (inc_ADD_UNS_OP_n_34), .SUM
+       (n_13694));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g898(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]), .B
+       (inc_ADD_UNS_OP_n_30), .COUT (inc_ADD_UNS_OP_n_32), .SUM
+       (n_13693));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g899(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]), .B
+       (inc_ADD_UNS_OP_n_28), .COUT (inc_ADD_UNS_OP_n_30), .SUM
+       (n_13692));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g900(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]), .B
+       (inc_ADD_UNS_OP_n_26), .COUT (inc_ADD_UNS_OP_n_28), .SUM
+       (n_13691));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g901(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]), .B
+       (inc_ADD_UNS_OP_n_24), .COUT (inc_ADD_UNS_OP_n_26), .SUM
+       (n_13690));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g902(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]), .B
+       (inc_ADD_UNS_OP_n_22), .COUT (inc_ADD_UNS_OP_n_24), .SUM
+       (n_13689));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g903(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]), .B
+       (inc_ADD_UNS_OP_n_20), .COUT (inc_ADD_UNS_OP_n_22), .SUM
+       (n_13688));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g904(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]), .B
+       (inc_ADD_UNS_OP_n_18), .COUT (inc_ADD_UNS_OP_n_20), .SUM
+       (n_13687));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g905(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]), .B
+       (inc_ADD_UNS_OP_n_16), .COUT (inc_ADD_UNS_OP_n_18), .SUM
+       (n_13686));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g906(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]), .B
+       (inc_ADD_UNS_OP_n_14), .COUT (inc_ADD_UNS_OP_n_16), .SUM
+       (n_13685));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g907(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]), .B
+       (inc_ADD_UNS_OP_n_12), .COUT (inc_ADD_UNS_OP_n_14), .SUM
+       (n_13684));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g908(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]), .B
+       (inc_ADD_UNS_OP_n_10), .COUT (inc_ADD_UNS_OP_n_12), .SUM
+       (n_13683));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g909(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]), .B
+       (inc_ADD_UNS_OP_n_8), .COUT (inc_ADD_UNS_OP_n_10), .SUM
+       (n_13682));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g910(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]), .B
+       (inc_ADD_UNS_OP_n_6), .COUT (inc_ADD_UNS_OP_n_8), .SUM
+       (n_13681));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g911(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]), .B
+       (inc_ADD_UNS_OP_n_4), .COUT (inc_ADD_UNS_OP_n_6), .SUM
+       (n_13680));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g912(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]), .B
+       (inc_ADD_UNS_OP_n_2), .COUT (inc_ADD_UNS_OP_n_4), .SUM
+       (n_13679));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g913(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]), .B
+       (inc_ADD_UNS_OP_n_0), .COUT (inc_ADD_UNS_OP_n_2), .SUM
+       (n_13678));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g914(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]), .B
+       (n_13741), .COUT (inc_ADD_UNS_OP_n_0), .SUM (n_13677));
+  sky130_fd_sc_hd__o2bb2ai_1 inc_ADD_UNS_OP2_g850(.A1_N
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]), .A2_N
+       (inc_ADD_UNS_OP2_n_124), .B1
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]), .B2
+       (inc_ADD_UNS_OP2_n_124), .Y (n_13675));
+  sky130_fd_sc_hd__o21a_1 inc_ADD_UNS_OP2_g851(.A1
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]), .A2
+       (inc_ADD_UNS_OP2_n_123), .B1 (inc_ADD_UNS_OP2_n_124), .X
+       (n_13674));
+  sky130_fd_sc_hd__nand2_1 inc_ADD_UNS_OP2_g852(.A
+       (inc_ADD_UNS_OP2_n_123), .B
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]), .Y
+       (inc_ADD_UNS_OP2_n_124));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g853(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]), .B
+       (inc_ADD_UNS_OP2_n_120), .COUT (inc_ADD_UNS_OP2_n_123), .SUM
+       (n_13673));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g854(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]), .B
+       (inc_ADD_UNS_OP2_n_118), .COUT (inc_ADD_UNS_OP2_n_120), .SUM
+       (n_13672));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g855(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]), .B
+       (inc_ADD_UNS_OP2_n_116), .COUT (inc_ADD_UNS_OP2_n_118), .SUM
+       (n_13671));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g856(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]), .B
+       (inc_ADD_UNS_OP2_n_114), .COUT (inc_ADD_UNS_OP2_n_116), .SUM
+       (n_13670));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g857(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]), .B
+       (inc_ADD_UNS_OP2_n_112), .COUT (inc_ADD_UNS_OP2_n_114), .SUM
+       (n_13669));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g858(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]), .B
+       (inc_ADD_UNS_OP2_n_110), .COUT (inc_ADD_UNS_OP2_n_112), .SUM
+       (n_13668));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g859(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]), .B
+       (inc_ADD_UNS_OP2_n_108), .COUT (inc_ADD_UNS_OP2_n_110), .SUM
+       (n_13667));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g860(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]), .B
+       (inc_ADD_UNS_OP2_n_106), .COUT (inc_ADD_UNS_OP2_n_108), .SUM
+       (n_13666));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g861(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]), .B
+       (inc_ADD_UNS_OP2_n_104), .COUT (inc_ADD_UNS_OP2_n_106), .SUM
+       (n_13665));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g862(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]), .B
+       (inc_ADD_UNS_OP2_n_102), .COUT (inc_ADD_UNS_OP2_n_104), .SUM
+       (n_13664));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g863(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]), .B
+       (inc_ADD_UNS_OP2_n_100), .COUT (inc_ADD_UNS_OP2_n_102), .SUM
+       (n_13663));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g864(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]), .B
+       (inc_ADD_UNS_OP2_n_98), .COUT (inc_ADD_UNS_OP2_n_100), .SUM
+       (n_13662));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g865(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]), .B
+       (inc_ADD_UNS_OP2_n_96), .COUT (inc_ADD_UNS_OP2_n_98), .SUM
+       (n_13661));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g866(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]), .B
+       (inc_ADD_UNS_OP2_n_94), .COUT (inc_ADD_UNS_OP2_n_96), .SUM
+       (n_13660));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g867(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]), .B
+       (inc_ADD_UNS_OP2_n_92), .COUT (inc_ADD_UNS_OP2_n_94), .SUM
+       (n_13659));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g868(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]), .B
+       (inc_ADD_UNS_OP2_n_90), .COUT (inc_ADD_UNS_OP2_n_92), .SUM
+       (n_13658));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g869(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]), .B
+       (inc_ADD_UNS_OP2_n_88), .COUT (inc_ADD_UNS_OP2_n_90), .SUM
+       (n_13657));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g870(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]), .B
+       (inc_ADD_UNS_OP2_n_86), .COUT (inc_ADD_UNS_OP2_n_88), .SUM
+       (n_13656));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g871(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]), .B
+       (inc_ADD_UNS_OP2_n_84), .COUT (inc_ADD_UNS_OP2_n_86), .SUM
+       (n_13655));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g872(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]), .B
+       (inc_ADD_UNS_OP2_n_82), .COUT (inc_ADD_UNS_OP2_n_84), .SUM
+       (n_13654));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g873(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]), .B
+       (inc_ADD_UNS_OP2_n_80), .COUT (inc_ADD_UNS_OP2_n_82), .SUM
+       (n_13653));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g874(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]), .B
+       (inc_ADD_UNS_OP2_n_78), .COUT (inc_ADD_UNS_OP2_n_80), .SUM
+       (n_13652));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g875(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]), .B
+       (inc_ADD_UNS_OP2_n_76), .COUT (inc_ADD_UNS_OP2_n_78), .SUM
+       (n_13651));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g876(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]), .B
+       (inc_ADD_UNS_OP2_n_74), .COUT (inc_ADD_UNS_OP2_n_76), .SUM
+       (n_13650));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g877(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]), .B
+       (inc_ADD_UNS_OP2_n_72), .COUT (inc_ADD_UNS_OP2_n_74), .SUM
+       (n_13649));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g878(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]), .B
+       (inc_ADD_UNS_OP2_n_70), .COUT (inc_ADD_UNS_OP2_n_72), .SUM
+       (n_13648));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g879(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]), .B
+       (inc_ADD_UNS_OP2_n_68), .COUT (inc_ADD_UNS_OP2_n_70), .SUM
+       (n_13647));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g880(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]), .B
+       (inc_ADD_UNS_OP2_n_66), .COUT (inc_ADD_UNS_OP2_n_68), .SUM
+       (n_13646));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g881(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]), .B
+       (inc_ADD_UNS_OP2_n_64), .COUT (inc_ADD_UNS_OP2_n_66), .SUM
+       (n_13645));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g882(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]), .B
+       (inc_ADD_UNS_OP2_n_62), .COUT (inc_ADD_UNS_OP2_n_64), .SUM
+       (n_13644));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g883(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]), .B
+       (inc_ADD_UNS_OP2_n_60), .COUT (inc_ADD_UNS_OP2_n_62), .SUM
+       (n_13643));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g884(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]), .B
+       (inc_ADD_UNS_OP2_n_58), .COUT (inc_ADD_UNS_OP2_n_60), .SUM
+       (n_13642));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g885(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]), .B
+       (inc_ADD_UNS_OP2_n_56), .COUT (inc_ADD_UNS_OP2_n_58), .SUM
+       (n_13641));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g886(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]), .B
+       (inc_ADD_UNS_OP2_n_54), .COUT (inc_ADD_UNS_OP2_n_56), .SUM
+       (n_13640));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g887(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]), .B
+       (inc_ADD_UNS_OP2_n_52), .COUT (inc_ADD_UNS_OP2_n_54), .SUM
+       (n_13639));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g888(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]), .B
+       (inc_ADD_UNS_OP2_n_50), .COUT (inc_ADD_UNS_OP2_n_52), .SUM
+       (n_13638));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g889(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]), .B
+       (inc_ADD_UNS_OP2_n_48), .COUT (inc_ADD_UNS_OP2_n_50), .SUM
+       (n_13637));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g890(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]), .B
+       (inc_ADD_UNS_OP2_n_46), .COUT (inc_ADD_UNS_OP2_n_48), .SUM
+       (n_13636));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g891(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]), .B
+       (inc_ADD_UNS_OP2_n_44), .COUT (inc_ADD_UNS_OP2_n_46), .SUM
+       (n_13635));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g892(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]), .B
+       (inc_ADD_UNS_OP2_n_42), .COUT (inc_ADD_UNS_OP2_n_44), .SUM
+       (n_13634));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g893(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]), .B
+       (inc_ADD_UNS_OP2_n_40), .COUT (inc_ADD_UNS_OP2_n_42), .SUM
+       (n_13633));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g894(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]), .B
+       (inc_ADD_UNS_OP2_n_38), .COUT (inc_ADD_UNS_OP2_n_40), .SUM
+       (n_13632));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g895(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]), .B
+       (inc_ADD_UNS_OP2_n_36), .COUT (inc_ADD_UNS_OP2_n_38), .SUM
+       (n_13631));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g896(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]), .B
+       (inc_ADD_UNS_OP2_n_34), .COUT (inc_ADD_UNS_OP2_n_36), .SUM
+       (n_13630));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g897(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]), .B
+       (inc_ADD_UNS_OP2_n_32), .COUT (inc_ADD_UNS_OP2_n_34), .SUM
+       (n_13629));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g898(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]), .B
+       (inc_ADD_UNS_OP2_n_30), .COUT (inc_ADD_UNS_OP2_n_32), .SUM
+       (n_13628));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g899(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]), .B
+       (inc_ADD_UNS_OP2_n_28), .COUT (inc_ADD_UNS_OP2_n_30), .SUM
+       (n_13627));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g900(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]), .B
+       (inc_ADD_UNS_OP2_n_26), .COUT (inc_ADD_UNS_OP2_n_28), .SUM
+       (n_13626));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g901(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]), .B
+       (inc_ADD_UNS_OP2_n_24), .COUT (inc_ADD_UNS_OP2_n_26), .SUM
+       (n_13625));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g902(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]), .B
+       (inc_ADD_UNS_OP2_n_22), .COUT (inc_ADD_UNS_OP2_n_24), .SUM
+       (n_13624));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g903(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]), .B
+       (inc_ADD_UNS_OP2_n_20), .COUT (inc_ADD_UNS_OP2_n_22), .SUM
+       (n_13623));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g904(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]), .B
+       (inc_ADD_UNS_OP2_n_18), .COUT (inc_ADD_UNS_OP2_n_20), .SUM
+       (n_13622));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g905(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]), .B
+       (inc_ADD_UNS_OP2_n_16), .COUT (inc_ADD_UNS_OP2_n_18), .SUM
+       (n_13621));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g906(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]), .B
+       (inc_ADD_UNS_OP2_n_14), .COUT (inc_ADD_UNS_OP2_n_16), .SUM
+       (n_13620));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g907(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]), .B
+       (inc_ADD_UNS_OP2_n_12), .COUT (inc_ADD_UNS_OP2_n_14), .SUM
+       (n_13619));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g908(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]), .B
+       (inc_ADD_UNS_OP2_n_10), .COUT (inc_ADD_UNS_OP2_n_12), .SUM
+       (n_13618));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g909(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]), .B
+       (inc_ADD_UNS_OP2_n_8), .COUT (inc_ADD_UNS_OP2_n_10), .SUM
+       (n_13617));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g910(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]), .B
+       (inc_ADD_UNS_OP2_n_6), .COUT (inc_ADD_UNS_OP2_n_8), .SUM
+       (n_13616));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g911(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]), .B
+       (inc_ADD_UNS_OP2_n_4), .COUT (inc_ADD_UNS_OP2_n_6), .SUM
+       (n_13615));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g912(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]), .B
+       (inc_ADD_UNS_OP2_n_2), .COUT (inc_ADD_UNS_OP2_n_4), .SUM
+       (n_13614));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g913(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]), .B
+       (inc_ADD_UNS_OP2_n_0), .COUT (inc_ADD_UNS_OP2_n_2), .SUM
+       (n_13613));
+  sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g914(.A
+       (\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]), .B
+       (n_13676), .COUT (inc_ADD_UNS_OP2_n_0), .SUM (n_13612));
+  sky130_fd_sc_hd__o2bb2ai_1
+       inc_u_soc_main_swith_host_lsu_add_105_52_g212(.A1_N
+       (u_soc_main_swith_host_lsu_num_req_outstanding[16]), .A2_N
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_28), .B1
+       (u_soc_main_swith_host_lsu_num_req_outstanding[16]), .B2
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_28), .Y (n_13574));
+  sky130_fd_sc_hd__o21a_1
+       inc_u_soc_main_swith_host_lsu_add_105_52_g213(.A1
+       (u_soc_main_swith_host_lsu_num_req_outstanding[15]), .A2
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_27), .B1
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_28), .X (n_13573));
+  sky130_fd_sc_hd__nand2_1
+       inc_u_soc_main_swith_host_lsu_add_105_52_g214(.A
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_27), .B
+       (u_soc_main_swith_host_lsu_num_req_outstanding[15]), .Y
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_28));
+  sky130_fd_sc_hd__ha_1
+       inc_u_soc_main_swith_host_lsu_add_105_52_g215(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[14]), .B
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_24), .COUT
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_27), .SUM (n_13572));
+  sky130_fd_sc_hd__ha_1
+       inc_u_soc_main_swith_host_lsu_add_105_52_g216(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[13]), .B
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_22), .COUT
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_24), .SUM (n_13571));
+  sky130_fd_sc_hd__ha_1
+       inc_u_soc_main_swith_host_lsu_add_105_52_g217(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[12]), .B
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_20), .COUT
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_22), .SUM (n_13570));
+  sky130_fd_sc_hd__ha_1
+       inc_u_soc_main_swith_host_lsu_add_105_52_g218(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[11]), .B
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_18), .COUT
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_20), .SUM (n_13569));
+  sky130_fd_sc_hd__ha_1
+       inc_u_soc_main_swith_host_lsu_add_105_52_g219(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[10]), .B
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_16), .COUT
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_18), .SUM (n_13568));
+  sky130_fd_sc_hd__ha_1
+       inc_u_soc_main_swith_host_lsu_add_105_52_g220(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[9]), .B
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_14), .COUT
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_16), .SUM (n_13567));
+  sky130_fd_sc_hd__ha_1
+       inc_u_soc_main_swith_host_lsu_add_105_52_g221(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[8]), .B
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_12), .COUT
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_14), .SUM (n_13566));
+  sky130_fd_sc_hd__ha_1
+       inc_u_soc_main_swith_host_lsu_add_105_52_g222(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[7]), .B
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_10), .COUT
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_12), .SUM (n_13565));
+  sky130_fd_sc_hd__ha_1
+       inc_u_soc_main_swith_host_lsu_add_105_52_g223(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[6]), .B
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_8), .COUT
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_10), .SUM (n_13564));
+  sky130_fd_sc_hd__ha_1
+       inc_u_soc_main_swith_host_lsu_add_105_52_g224(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[5]), .B
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_6), .COUT
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_8), .SUM (n_13563));
+  sky130_fd_sc_hd__ha_1
+       inc_u_soc_main_swith_host_lsu_add_105_52_g225(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[4]), .B
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_4), .COUT
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_6), .SUM (n_13562));
+  sky130_fd_sc_hd__ha_1
+       inc_u_soc_main_swith_host_lsu_add_105_52_g226(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[3]), .B
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_2), .COUT
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_4), .SUM (n_13561));
+  sky130_fd_sc_hd__ha_1
+       inc_u_soc_main_swith_host_lsu_add_105_52_g227(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[2]), .B
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_0), .COUT
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_2), .SUM (n_13560));
+  sky130_fd_sc_hd__ha_1
+       inc_u_soc_main_swith_host_lsu_add_105_52_g228(.A
+       (u_soc_main_swith_host_lsu_num_req_outstanding[0]), .B
+       (u_soc_main_swith_host_lsu_num_req_outstanding[1]), .COUT
+       (inc_u_soc_main_swith_host_lsu_add_105_52_n_0), .SUM (n_13559));
+  sky130_fd_sc_hd__o2bb2ai_1
+       u_soc_u_top_u_core_ex_block_i_add_98_45_g743(.A1_N
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_1), .A2_N
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_61), .B1
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_1), .B2
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_61), .Y (n_13773));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g744(.A
+       (n_13557), .B (n_13804), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_58), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_61), .SUM (n_13772));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g745(.A
+       (n_13557), .B (n_13803), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_56), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_58), .SUM (n_13771));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g746(.A
+       (n_13557), .B (n_13802), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_54), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_56), .SUM (n_13770));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g747(.A
+       (n_13557), .B (n_13801), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_52), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_54), .SUM (n_13769));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g748(.A
+       (n_13557), .B (n_13800), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_50), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_52), .SUM (n_13768));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g749(.A
+       (n_13557), .B (n_13799), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_48), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_50), .SUM (n_13767));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g750(.A
+       (n_13557), .B (n_13798), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_46), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_48), .SUM (n_13766));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g751(.A
+       (n_13557), .B (n_13797), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_44), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_46), .SUM (n_13765));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g752(.A
+       (n_13557), .B (n_13796), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_42), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_44), .SUM (n_13764));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g753(.A
+       (n_13557), .B (n_13795), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_40), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_42), .SUM (n_13763));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g754(.A
+       (n_13557), .B (n_13794), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_38), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_40), .SUM (n_13762));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g755(.A
+       (n_13554), .B (n_13793), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_36), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_38), .SUM (n_13761));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g756(.A
+       (n_13553), .B (n_13792), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_34), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_36), .SUM (n_13760));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g757(.A
+       (n_13552), .B (n_13791), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_32), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_34), .SUM (n_13759));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g758(.A
+       (n_13551), .B (n_13790), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_30), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_32), .SUM (n_13758));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g759(.A
+       (n_13550), .B (n_13789), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_28), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_30), .SUM (n_13757));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g760(.A
+       (n_13549), .B (n_13788), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_26), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_28), .SUM (n_13756));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g761(.A
+       (n_13548), .B (n_13787), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_24), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_26), .SUM (n_13755));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g762(.A
+       (n_13547), .B (n_13786), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_22), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_24), .SUM (n_13754));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g763(.A
+       (n_13546), .B (n_13785), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_20), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_22), .SUM (n_13753));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g764(.A
+       (n_13545), .B (n_13784), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_18), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_20), .SUM (n_13752));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g765(.A
+       (n_13544), .B (n_13783), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_16), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_18), .SUM (n_13751));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g766(.A
+       (n_13543), .B (n_13782), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_14), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_16), .SUM (n_13750));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g767(.A
+       (n_13542), .B (n_13781), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_12), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_14), .SUM (n_13749));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g768(.A
+       (n_13541), .B (n_13780), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_10), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_12), .SUM (n_13748));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g769(.A
+       (n_13540), .B (n_13779), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_8), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_10), .SUM (n_13747));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g770(.A
+       (n_13539), .B (n_13778), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_6), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_8), .SUM (n_13746));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g771(.A
+       (n_13538), .B (n_13777), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_4), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_6), .SUM (n_13745));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g772(.A
+       (n_13536), .B (n_13776), .CIN
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_2), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_4), .SUM (n_13744));
+  sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g773(.A
+       (n_13535), .B (n_13775), .CIN (n_17399), .COUT
+       (u_soc_u_top_u_core_ex_block_i_add_98_45_n_2), .SUM (n_13743));
+  sky130_fd_sc_hd__xnor2_1
+       u_soc_u_top_u_core_ex_block_i_add_98_45_g774(.A (n_13557), .B
+       (n_13805), .Y (u_soc_u_top_u_core_ex_block_i_add_98_45_n_1));
+  sky130_fd_sc_hd__o21ai_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2366(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_61), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_214), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_23), .Y
+       (n_13575));
+  sky130_fd_sc_hd__o21ai_2
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2367(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_103), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_285), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_215), .Y
+       (\u_soc_lsu_to_xbar[a_address] [31]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2368(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_285), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_103), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_215));
+  sky130_fd_sc_hd__inv_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2369(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_285), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_214));
+  sky130_fd_sc_hd__o21ai_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2371(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_104), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_210), .Y
+       (\u_soc_lsu_to_xbar[a_address] [30]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2373(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_104), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_210));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2375(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_47), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208));
+  sky130_fd_sc_hd__o21ai_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2376(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_86), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_205), .Y
+       (\u_soc_lsu_to_xbar[a_address] [29]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2377(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_57), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2378(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_86), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_205));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2379(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_83), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_201), .X
+       (\u_soc_lsu_to_xbar[a_address] [28]));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2381(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_80), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_196), .X
+       (\u_soc_lsu_to_xbar[a_address] [26]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2382(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_7), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_201));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2384(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_81), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193), .X
+       (\u_soc_lsu_to_xbar[a_address] [27]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2386(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_60), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197));
+  sky130_fd_sc_hd__o21ai_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2387(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_196));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2388(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_76), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192), .X
+       (\u_soc_lsu_to_xbar[a_address] [25]));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2389(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_74), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_191), .X
+       (\u_soc_lsu_to_xbar[a_address] [24]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2390(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_190), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2391(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_109), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2392(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_188), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_5), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_191));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2393(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_190));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2394(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_72), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287), .X
+       (\u_soc_lsu_to_xbar[a_address] [23]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2395(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_188));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2398(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_71), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183), .X
+       (\u_soc_lsu_to_xbar[a_address] [22]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2400(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_181), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_62), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2401(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_70), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288), .X
+       (\u_soc_lsu_to_xbar[a_address] [21]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2402(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_48), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_181));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2403(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_97), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_177), .X
+       (\u_soc_lsu_to_xbar[a_address] [20]));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2405(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_96), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_172), .X
+       (\u_soc_lsu_to_xbar[a_address] [18]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2406(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_177));
+  sky130_fd_sc_hd__o2bb2ai_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2408(.A1_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_106), .A2_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_106), .B2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169), .Y
+       (\u_soc_lsu_to_xbar[a_address] [19]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2410(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_20), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173));
+  sky130_fd_sc_hd__o21ai_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2411(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_168), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_8), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_172));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2412(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_94), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_168), .X
+       (\u_soc_lsu_to_xbar[a_address] [17]));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2413(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_93), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_167), .X
+       (\u_soc_lsu_to_xbar[a_address] [16]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2414(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_166), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_120), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2415(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_108), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_168));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2416(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_164), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_3), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_167));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2417(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_98), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_166));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2418(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_92), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162), .X
+       (\u_soc_lsu_to_xbar[a_address] [15]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2419(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_42), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_164));
+  sky130_fd_sc_hd__and2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2420(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_101), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2421(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_160), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_56), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162));
+  sky130_fd_sc_hd__o2bb2ai_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2422(.A1_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_105), .A2_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_105), .B2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159), .Y
+       (\u_soc_lsu_to_xbar[a_address] [14]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2423(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_26), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_160));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2424(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_157), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_46), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2425(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_95), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156), .X
+       (\u_soc_xbar_to_dccm[a_address] [13]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2426(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_50), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_157));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2427(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_154), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_15), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2428(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_91), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152), .X
+       (\u_soc_xbar_to_dccm[a_address] [12]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2429(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_66), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_154));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2430(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_79), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_149), .X
+       (\u_soc_xbar_to_dccm[a_address] [10]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2431(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_150), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_53), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2432(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_90), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_146), .X
+       (\u_soc_xbar_to_dccm[a_address] [11]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2433(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_146), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_55), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_150));
+  sky130_fd_sc_hd__o21ai_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2434(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_145), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_35), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_149));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2435(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_89), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_145), .X
+       (\u_soc_xbar_to_dccm[a_address] [9]));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2436(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_75), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_144), .X
+       (\u_soc_xbar_to_dccm[a_address] [8]));
+  sky130_fd_sc_hd__nand3_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2437(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_143), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_119), .C
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_58), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_146));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2438(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_145));
+  sky130_fd_sc_hd__o21ai_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2439(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_140), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_144));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2440(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_102), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_143));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2441(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_88), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139), .X
+       (\u_soc_xbar_to_dccm[a_address] [7]));
+  sky130_fd_sc_hd__and2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2442(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_99), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141));
+  sky130_fd_sc_hd__inv_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2443(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_140));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2444(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_137), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_18), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2445(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_77), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136), .X
+       (\u_soc_xbar_to_dccm[a_address] [6]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2446(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_52), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_137));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2447(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_134), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_67), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2448(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_73), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133), .X
+       (\u_soc_xbar_to_dccm[a_address] [5]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2449(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_30), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_134));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2450(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_131), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_21), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2451(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_78), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130), .X
+       (\u_soc_xbar_to_dccm[a_address] [4]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2452(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_63), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_131));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2453(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_128), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_24), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2454(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_69), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127), .X
+       (\u_soc_xbar_to_dccm[a_address] [3]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2455(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_31), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_128));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2456(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_125), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_22), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2457(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_82), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124), .X
+       (\u_soc_xbar_to_dccm[a_address] [2]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2458(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_28), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_125));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2459(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_122), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124));
+  sky130_fd_sc_hd__a2bb2o_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2460(.A1_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_85), .A2_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_85), .B2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116), .X
+       (u_soc_u_top_u_core_alu_adder_result_ex[1]));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2461(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_122));
+  sky130_fd_sc_hd__nor2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2462(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_118), .B_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_59), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121));
+  sky130_fd_sc_hd__nor2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2463(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_117), .B_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_51), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_120));
+  sky130_fd_sc_hd__a21o_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2464(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_114), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_35), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_119));
+  sky130_fd_sc_hd__a21oi_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2465(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_112), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_39), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_118));
+  sky130_fd_sc_hd__a21oi_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2466(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_113), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_8), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_10), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_117));
+  sky130_fd_sc_hd__o21ai_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2467(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_65), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_33), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116));
+  sky130_fd_sc_hd__nand2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2469(.A_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_114));
+  sky130_fd_sc_hd__nand2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2470(.A_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_108), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_113));
+  sky130_fd_sc_hd__nand2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2471(.A_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_109), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_112));
+  sky130_fd_sc_hd__o21ai_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2472(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_64), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111));
+  sky130_fd_sc_hd__o21a_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2473(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_19), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_110));
+  sky130_fd_sc_hd__o21ai_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2474(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_5), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_12), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_29), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_109));
+  sky130_fd_sc_hd__o21ai_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2475(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_3), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_25), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_108));
+  sky130_fd_sc_hd__o21a_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2476(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_7), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_54), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_107));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2477(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_102));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2478(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_41), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_101));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2479(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_39), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2480(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_99));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2481(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_10), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_98));
+  sky130_fd_sc_hd__nor2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2482(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44), .B_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_19), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_97));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2483(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_20), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_106));
+  sky130_fd_sc_hd__nor2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2484(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_10), .B_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_51), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_96));
+  sky130_fd_sc_hd__and2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2485(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_50), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_46), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_95));
+  sky130_fd_sc_hd__nand2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2486(.A_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_8), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_94));
+  sky130_fd_sc_hd__nor2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2487(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14), .B_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_25), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_93));
+  sky130_fd_sc_hd__and2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2488(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_42), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_3), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_92));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2489(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_26), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_56), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_105));
+  sky130_fd_sc_hd__and2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2490(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_66), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_15), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_91));
+  sky130_fd_sc_hd__and2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2491(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_55), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_53), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_90));
+  sky130_fd_sc_hd__nand2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2492(.A_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_35), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_89));
+  sky130_fd_sc_hd__nor2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2493(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9), .B_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_88));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2494(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_49), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_68), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_104));
+  sky130_fd_sc_hd__nand2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2495(.A_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_61), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_23), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_103));
+  sky130_fd_sc_hd__xor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2496(.A
+       (n_13839), .B (n_15975), .X (n_13576));
+  sky130_fd_sc_hd__nor2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2497(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_65), .B_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_33), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_87));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2498(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_57), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_47), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_86));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2499(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_85));
+  sky130_fd_sc_hd__nor2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2500(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38), .B_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_54), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_83));
+  sky130_fd_sc_hd__and2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2501(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_28), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_22), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_82));
+  sky130_fd_sc_hd__and2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2502(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_60), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_7), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_81));
+  sky130_fd_sc_hd__nor2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2503(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_39), .B_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_59), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_80));
+  sky130_fd_sc_hd__nor2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2504(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36), .B_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_58), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_79));
+  sky130_fd_sc_hd__and2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2505(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_63), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_21), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_78));
+  sky130_fd_sc_hd__and2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2506(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_52), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_18), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_77));
+  sky130_fd_sc_hd__nand2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2507(.A_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_76));
+  sky130_fd_sc_hd__nor2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2508(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6), .B_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_64), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_75));
+  sky130_fd_sc_hd__nor2b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2509(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_12), .B_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_29), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_74));
+  sky130_fd_sc_hd__and2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2510(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_30), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_67), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_73));
+  sky130_fd_sc_hd__and2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2511(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_5), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_72));
+  sky130_fd_sc_hd__and2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2512(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_16), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_17), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_71));
+  sky130_fd_sc_hd__and2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2513(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_48), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_62), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_70));
+  sky130_fd_sc_hd__and2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2514(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_31), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_24), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_69));
+  sky130_fd_sc_hd__inv_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2516(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_41), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_42));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2518(.A
+       (n_13837), .B (n_13870), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_68));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2519(.A
+       (n_13812), .B (n_13845), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_67));
+  sky130_fd_sc_hd__or2_0
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2520(.A
+       (n_13852), .B (n_13819), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_66));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2521(.A
+       (n_13840), .B (n_13807), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_65));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2522(.A
+       (n_13815), .B (n_13848), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_64));
+  sky130_fd_sc_hd__or2_0
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2523(.A
+       (n_13844), .B (n_13811), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_63));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2524(.A
+       (n_13828), .B (n_13861), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_62));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2525(.A
+       (n_13871), .B (n_13838), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_61));
+  sky130_fd_sc_hd__or2_0
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2526(.A
+       (n_13867), .B (n_13834), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_60));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2527(.A
+       (n_15941), .B (n_13866), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_59));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2528(.A
+       (n_13817), .B (n_13850), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_58));
+  sky130_fd_sc_hd__or2_0
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2529(.A
+       (n_13869), .B (n_13836), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_57));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2530(.A
+       (n_13821), .B (n_13854), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_56));
+  sky130_fd_sc_hd__or2_0
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2531(.A
+       (n_13851), .B (n_13818), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_55));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2532(.A
+       (n_13835), .B (n_13868), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_54));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2533(.A
+       (n_13818), .B (n_13851), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_53));
+  sky130_fd_sc_hd__or2_0
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2534(.A
+       (n_13846), .B (n_13813), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_52));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2535(.A
+       (n_13825), .B (n_13858), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_51));
+  sky130_fd_sc_hd__or2_0
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2536(.A
+       (n_13853), .B (n_13820), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_50));
+  sky130_fd_sc_hd__or2_0
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2537(.A
+       (n_13870), .B (n_13837), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_49));
+  sky130_fd_sc_hd__or2_0
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2538(.A
+       (n_13861), .B (n_13828), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_48));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2539(.A
+       (n_13836), .B (n_13869), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_47));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2540(.A
+       (n_13820), .B (n_13853), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_46));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2541(.A
+       (n_13814), .B (n_13847), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2542(.A
+       (n_13860), .B (n_13827), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2543(.A
+       (n_13855), .B (n_13822), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_41));
+  sky130_fd_sc_hd__or2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2544(.A
+       (n_13863), .B (n_13830), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2545(.A
+       (n_13866), .B (n_15941), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_39));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2546(.A
+       (n_13868), .B (n_13835), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2547(.A
+       (n_13850), .B (n_13817), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2548(.A
+       (n_13816), .B (n_13849), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_35));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2549(.A
+       (n_13849), .B (n_13816), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2551(.A
+       (n_13807), .B (n_13840), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_33));
+  sky130_fd_sc_hd__or2_0
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2552(.A
+       (n_13841), .B (n_13808), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32));
+  sky130_fd_sc_hd__or2_0
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2553(.A
+       (n_13843), .B (n_13810), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_31));
+  sky130_fd_sc_hd__or2_0
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2554(.A
+       (n_13845), .B (n_13812), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_30));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2555(.A
+       (n_13831), .B (n_13864), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_29));
+  sky130_fd_sc_hd__or2_0
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2556(.A
+       (n_13842), .B (n_13809), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_28));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2557(.A
+       (n_13808), .B (n_13841), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27));
+  sky130_fd_sc_hd__or2_0
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2558(.A
+       (n_13854), .B (n_13821), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_26));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2559(.A
+       (n_13823), .B (n_13856), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_25));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2560(.A
+       (n_13810), .B (n_13843), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_24));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2561(.A
+       (n_13838), .B (n_13871), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_23));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2562(.A
+       (n_13809), .B (n_13842), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_22));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2563(.A
+       (n_13811), .B (n_13844), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_21));
+  sky130_fd_sc_hd__or2_0
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2564(.A
+       (n_13859), .B (n_13826), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_20));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2565(.A
+       (n_13827), .B (n_13860), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_19));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2566(.A
+       (n_13813), .B (n_13846), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_18));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2567(.A
+       (n_13829), .B (n_13862), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_17));
+  sky130_fd_sc_hd__or2_0
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2568(.A
+       (n_13862), .B (n_13829), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_16));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2569(.A
+       (n_13819), .B (n_13852), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_15));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2570(.A
+       (n_13856), .B (n_13823), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2571(.A
+       (n_15975), .B (n_13839), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2572(.A
+       (n_13864), .B (n_13831), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_12));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2573(.A
+       (n_13858), .B (n_13825), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_10));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2574(.A
+       (n_13847), .B (n_13814), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2575(.A
+       (n_13824), .B (n_13857), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_8));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2576(.A
+       (n_13834), .B (n_13867), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_7));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2577(.A
+       (n_13848), .B (n_13815), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2578(.A
+       (n_13830), .B (n_13863), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_5));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2579(.A
+       (n_15942), .B (n_13865), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2580(.A
+       (n_13822), .B (n_13855), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_3));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2581(.A
+       (n_13826), .B (n_13859), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2582(.A
+       (n_13857), .B (n_13824), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_1));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2583(.A
+       (n_13865), .B (n_15942), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0));
+  sky130_fd_sc_hd__and3b_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2(.A_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_12), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287), .C
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284));
+  sky130_fd_sc_hd__a21bo_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2584(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_49), .B1_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_68), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_285));
+  sky130_fd_sc_hd__o21ai_2
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2585(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_107), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286));
+  sky130_fd_sc_hd__a21bo_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2586(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_16), .B1_N
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_17), .X
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287));
+  sky130_fd_sc_hd__o21ai_1
+       u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2587(.A1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173), .A2
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44), .B1
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_110), .Y
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288));
+  sky130_fd_sc_hd__xor2_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g401
+       (.A (u_soc_u_top_u_core_pc_if[31]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_60
+       ), .X (n_13607));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g402
+       (.A (u_soc_u_top_u_core_pc_if[30]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_58
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_60
+       ), .SUM (n_13606));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g403
+       (.A (u_soc_u_top_u_core_pc_if[29]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_56
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_58
+       ), .SUM (n_13605));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g404
+       (.A (u_soc_u_top_u_core_pc_if[28]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_54
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_56
+       ), .SUM (n_13604));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g405
+       (.A (u_soc_u_top_u_core_pc_if[27]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_52
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_54
+       ), .SUM (n_13603));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g406
+       (.A (u_soc_u_top_u_core_pc_if[26]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_50
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_52
+       ), .SUM (n_13602));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g407
+       (.A (u_soc_u_top_u_core_pc_if[25]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_48
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_50
+       ), .SUM (n_13601));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g408
+       (.A (u_soc_u_top_u_core_pc_if[24]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_46
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_48
+       ), .SUM (n_13600));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g409
+       (.A (u_soc_u_top_u_core_pc_if[23]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_44
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_46
+       ), .SUM (n_13599));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g410
+       (.A (u_soc_u_top_u_core_pc_if[22]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_42
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_44
+       ), .SUM (n_13598));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g411
+       (.A (u_soc_u_top_u_core_pc_if[21]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_40
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_42
+       ), .SUM (n_13597));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g412
+       (.A (u_soc_u_top_u_core_pc_if[20]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_38
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_40
+       ), .SUM (n_13596));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g413
+       (.A (u_soc_u_top_u_core_pc_if[19]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_36
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_38
+       ), .SUM (n_13595));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g414
+       (.A (u_soc_u_top_u_core_pc_if[18]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_34
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_36
+       ), .SUM (n_13594));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g415
+       (.A (u_soc_u_top_u_core_pc_if[17]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_32
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_34
+       ), .SUM (n_13593));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g416
+       (.A (u_soc_u_top_u_core_pc_if[16]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_30
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_32
+       ), .SUM (n_13592));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g417
+       (.A (u_soc_u_top_u_core_pc_if[15]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_28
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_30
+       ), .SUM (n_13591));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g418
+       (.A (u_soc_u_top_u_core_pc_if[14]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_26
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_28
+       ), .SUM (n_13590));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g419
+       (.A (u_soc_u_top_u_core_pc_if[13]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_24
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_26
+       ), .SUM (n_13589));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g420
+       (.A (u_soc_u_top_u_core_pc_if[12]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_22
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_24
+       ), .SUM (n_13588));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g421
+       (.A (u_soc_u_top_u_core_pc_if[11]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_20
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_22
+       ), .SUM (n_13587));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g422
+       (.A (u_soc_u_top_u_core_pc_if[10]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_18
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_20
+       ), .SUM (n_13586));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g423
+       (.A (u_soc_u_top_u_core_pc_if[9]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_16
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_18
+       ), .SUM (n_13585));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g424
+       (.A (u_soc_u_top_u_core_pc_if[8]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_14
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_16
+       ), .SUM (n_13584));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g425
+       (.A (u_soc_u_top_u_core_pc_if[7]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_12
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_14
+       ), .SUM (n_13583));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g426
+       (.A (u_soc_u_top_u_core_pc_if[6]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_10
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_12
+       ), .SUM (n_13582));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g427
+       (.A (u_soc_u_top_u_core_pc_if[5]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_8
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_10
+       ), .SUM (n_13581));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g428
+       (.A (u_soc_u_top_u_core_pc_if[4]), .B
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_6
+       ), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_8
+       ), .SUM (n_13580));
+  sky130_fd_sc_hd__ha_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g429
+       (.A (u_soc_u_top_u_core_pc_if[3]), .B (n_17397), .COUT
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_6
+       ), .SUM (n_13579));
+  sky130_fd_sc_hd__o2bb2ai_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g430
+       (.A1_N
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1
+       ), .A2_N
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_2
+       ), .B1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1
+       ), .B2
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_2
+       ), .Y (n_13578));
+  sky130_fd_sc_hd__o21a_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g432
+       (.A1 (u_soc_u_top_u_core_pc_if[1]), .A2
+       (u_soc_u_top_u_core_if_stage_i_instr_is_compressed), .B1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1
+       ), .X (n_13577));
+  sky130_fd_sc_hd__o2bb2ai_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g433
+       (.A1_N (u_soc_u_top_u_core_pc_if[2]), .A2_N
+       (u_soc_u_top_u_core_if_stage_i_instr_is_compressed), .B1
+       (u_soc_u_top_u_core_pc_if[2]), .B2
+       (u_soc_u_top_u_core_if_stage_i_instr_is_compressed), .Y
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_2
+       ));
+  sky130_fd_sc_hd__nand2_1
+       \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g434
+       (.A (u_soc_u_top_u_core_if_stage_i_instr_is_compressed), .B
+       (u_soc_u_top_u_core_pc_if[1]), .Y
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1
+       ));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g706(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_25), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_61), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[31]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_n_217));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g707(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_27), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_60), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[30]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_61));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g708(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_2), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_59), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[29]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_60));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g709(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_0), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_58), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[28]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_59));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g710(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_14), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_57), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[27]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_58));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g711(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_23), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_56), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[26]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_57));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g712(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_13), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_55), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[25]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_56));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g713(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_29), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_54), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[24]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_55));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g714(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_9), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_53), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[23]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_54));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g715(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_17), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_52), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[22]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_53));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g716(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_21), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_51), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[21]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_52));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g717(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_16), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_50), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[20]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_51));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g718(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_7), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_49), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[19]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_50));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g719(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_11), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_48), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[18]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_49));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g720(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_6), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_47), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[17]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_48));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g721(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_20), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_46), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[16]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_47));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g722(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_5), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_45), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[15]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_46));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g723(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_1), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_44), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[14]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_45));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g724(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_18), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_43), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[13]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_44));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g725(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_4), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_42), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[12]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_43));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g726(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_8), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_41), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[11]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_42));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g727(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_19), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_40), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[10]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_41));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g728(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_12), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_39), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[9]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_40));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g729(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_26), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_38), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[8]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_39));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g730(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_15), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_37), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[7]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_38));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g731(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_10), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_36), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[6]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_37));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g732(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_3), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_35), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[5]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_36));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g733(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_22), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_34), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[4]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_35));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g734(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_24), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_33), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[3]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_34));
+  sky130_fd_sc_hd__maj3_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g735(.A
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_28), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_32), .C
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[2]), .X
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_33));
+  sky130_fd_sc_hd__o2bb2ai_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g736(.A1_N
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[1]), .A2_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_30), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_rx_timeout[1]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_31), .Y
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_32));
+  sky130_fd_sc_hd__nor2_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g737(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[1]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_30), .Y
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_31));
+  sky130_fd_sc_hd__nand2b_1
+       u_soc_u_uart_u_uart_core_rx_time_gte_47_24_g738(.A_N
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[0]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_rx_timeout[0]), .Y
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_30));
+  sky130_fd_sc_hd__o2bb2ai_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g407(.A1_N
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[31]), .A2_N
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_58), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[31]), .B2
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_58), .Y
+       (u_soc_u_uart_u_uart_core_rx_time_n_576));
+  sky130_fd_sc_hd__o21a_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g408(.A1
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[30]), .A2
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_57), .B1
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_58), .X
+       (u_soc_u_uart_u_uart_core_rx_time_n_577));
+  sky130_fd_sc_hd__nand2_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g409(.A
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_57), .B
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[30]), .Y
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_58));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g410(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[29]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_54), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_57), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_578));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g411(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[28]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_52), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_54), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_579));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g412(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[27]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_50), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_52), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_580));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g413(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[26]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_48), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_50), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_581));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g414(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[25]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_46), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_48), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_582));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g415(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[24]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_44), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_46), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_583));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g416(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[23]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_42), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_44), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_584));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g417(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[22]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_40), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_42), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_585));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g418(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[21]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_38), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_40), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_586));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g419(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[20]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_36), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_38), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_587));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g420(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[19]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_34), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_36), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_588));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g421(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[18]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_32), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_34), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_589));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g422(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[17]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_30), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_32), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_590));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g423(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[16]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_28), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_30), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_591));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g424(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[15]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_26), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_28), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_592));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g425(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[14]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_24), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_26), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_593));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g426(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[13]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_22), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_24), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_594));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g427(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[12]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_20), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_22), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_595));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g428(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[11]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_18), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_20), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_596));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g429(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[10]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_18), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_597));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g430(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[9]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_14), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_598));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g431(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[8]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_12), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_14), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_599));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g432(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[7]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_10), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_12), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_600));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g433(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[6]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_10), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_601));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g434(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[5]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_6), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_602));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g435(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[4]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_4), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_6), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_603));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g436(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[3]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_2), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_4), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_604));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g437(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[2]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_0), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_2), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_605));
+  sky130_fd_sc_hd__ha_1
+       u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_g438(.A
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[0]), .B
+       (u_soc_u_uart_u_uart_core_rx_time_rx_time[1]), .COUT
+       (u_soc_u_uart_u_uart_core_rx_time_inc_add_40_24_n_0), .SUM
+       (u_soc_u_uart_u_uart_core_rx_time_n_606));
+  sky130_fd_sc_hd__a22oi_1 g445408(.A1 (n_8543), .A2 (n_5857), .B1
+       (u_soc_u_top_u_core_csr_restore_mret_id), .B2
+       (u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[7]), .Y
+       (n_16010));
+  sky130_fd_sc_hd__xnor2_1 g445409(.A
+       (u_soc_u_top_u_core_alu_operand_b_ex[3]), .B (n_1441), .Y
+       (n_16011));
+  sky130_fd_sc_hd__xnor2_1 g445410(.A
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13), .B
+       (u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_87), .Y
+       (n_16012));
+  sky130_fd_sc_hd__inv_2 drc_bufs445499(.A (n_16153), .Y (n_16152));
+  sky130_fd_sc_hd__inv_1 drc_bufs445500(.A (u_soc_u_iccm_addr2[3]), .Y
+       (n_16153));
+  sky130_fd_sc_hd__inv_2 drc_bufs445502(.A (n_16158), .Y (n_16157));
+  sky130_fd_sc_hd__inv_1 drc_bufs445503(.A (u_soc_u_iccm_addr2[2]), .Y
+       (n_16158));
+  sky130_fd_sc_hd__inv_2 drc_bufs445505(.A (n_16163), .Y (n_16162));
+  sky130_fd_sc_hd__inv_1 drc_bufs445506(.A (u_soc_u_iccm_addr2[1]), .Y
+       (n_16163));
+  sky130_fd_sc_hd__inv_2 drc_bufs445508(.A (n_16168), .Y (n_16167));
+  sky130_fd_sc_hd__inv_1 drc_bufs445509(.A (u_soc_u_iccm_addr2[0]), .Y
+       (n_16168));
+  sky130_fd_sc_hd__inv_2 drc_bufs445511(.A (n_16173), .Y (n_16172));
+  sky130_fd_sc_hd__inv_1 drc_bufs445512(.A (u_soc_u_iccm_addr1[7]), .Y
+       (n_16173));
+  sky130_fd_sc_hd__inv_2 drc_bufs445514(.A (n_16178), .Y (n_16177));
+  sky130_fd_sc_hd__inv_1 drc_bufs445515(.A (u_soc_u_iccm_addr1[6]), .Y
+       (n_16178));
+  sky130_fd_sc_hd__inv_2 drc_bufs445517(.A (n_16183), .Y (n_16182));
+  sky130_fd_sc_hd__inv_1 drc_bufs445518(.A (u_soc_u_iccm_addr1[5]), .Y
+       (n_16183));
+  sky130_fd_sc_hd__inv_2 drc_bufs445520(.A (n_16188), .Y (n_16187));
+  sky130_fd_sc_hd__inv_1 drc_bufs445521(.A (u_soc_u_iccm_addr1[4]), .Y
+       (n_16188));
+  sky130_fd_sc_hd__inv_2 drc_bufs445523(.A (n_16193), .Y (n_16192));
+  sky130_fd_sc_hd__inv_1 drc_bufs445524(.A (u_soc_u_iccm_addr1[3]), .Y
+       (n_16193));
+  sky130_fd_sc_hd__inv_2 drc_bufs445526(.A (n_16198), .Y (n_16197));
+  sky130_fd_sc_hd__inv_1 drc_bufs445527(.A (u_soc_u_iccm_addr1[2]), .Y
+       (n_16198));
+  sky130_fd_sc_hd__inv_2 drc_bufs445529(.A (n_16203), .Y (n_16202));
+  sky130_fd_sc_hd__inv_1 drc_bufs445530(.A (u_soc_u_iccm_addr1[1]), .Y
+       (n_16203));
+  sky130_fd_sc_hd__inv_2 drc_bufs445532(.A (n_16208), .Y (n_16207));
+  sky130_fd_sc_hd__inv_1 drc_bufs445533(.A (u_soc_u_iccm_addr1[0]), .Y
+       (n_16208));
+  sky130_fd_sc_hd__inv_2 drc_bufs445535(.A (n_16213), .Y (n_16212));
+  sky130_fd_sc_hd__inv_1 drc_bufs445536(.A (u_soc_u_dccm_addr1[3]), .Y
+       (n_16213));
+  sky130_fd_sc_hd__inv_2 drc_bufs445538(.A (n_16218), .Y (n_16217));
+  sky130_fd_sc_hd__inv_1 drc_bufs445539(.A (u_soc_u_dccm_addr2[4]), .Y
+       (n_16218));
+  sky130_fd_sc_hd__inv_2 drc_bufs445541(.A (n_16223), .Y (n_16222));
+  sky130_fd_sc_hd__inv_1 drc_bufs445542(.A (u_soc_u_iccm_addr3[6]), .Y
+       (n_16223));
+  sky130_fd_sc_hd__inv_2 drc_bufs445544(.A (n_16228), .Y (n_16227));
+  sky130_fd_sc_hd__inv_1 drc_bufs445545(.A (u_soc_u_iccm_addr3[7]), .Y
+       (n_16228));
+  sky130_fd_sc_hd__inv_2 drc_bufs445547(.A (n_16233), .Y (n_16232));
+  sky130_fd_sc_hd__inv_1 drc_bufs445548(.A (u_soc_u_dccm_addr1[2]), .Y
+       (n_16233));
+  sky130_fd_sc_hd__inv_2 drc_bufs445550(.A (n_16238), .Y (n_16237));
+  sky130_fd_sc_hd__inv_1 drc_bufs445551(.A (u_soc_u_dccm_addr2[2]), .Y
+       (n_16238));
+  sky130_fd_sc_hd__inv_2 drc_bufs445553(.A (n_16243), .Y (n_16242));
+  sky130_fd_sc_hd__inv_1 drc_bufs445554(.A (u_soc_u_iccm_addr2[7]), .Y
+       (n_16243));
+  sky130_fd_sc_hd__inv_2 drc_bufs445556(.A (n_16248), .Y (n_16247));
+  sky130_fd_sc_hd__inv_1 drc_bufs445557(.A (u_soc_u_dccm_addr2[1]), .Y
+       (n_16248));
+  sky130_fd_sc_hd__inv_2 drc_bufs445559(.A (n_16253), .Y (n_16252));
+  sky130_fd_sc_hd__inv_1 drc_bufs445560(.A (u_soc_u_dccm_addr3[7]), .Y
+       (n_16253));
+  sky130_fd_sc_hd__inv_2 drc_bufs445562(.A (n_16258), .Y (n_16257));
+  sky130_fd_sc_hd__inv_1 drc_bufs445563(.A (u_soc_u_dccm_addr3[6]), .Y
+       (n_16258));
+  sky130_fd_sc_hd__inv_2 drc_bufs445565(.A (n_16263), .Y (n_16262));
+  sky130_fd_sc_hd__inv_1 drc_bufs445566(.A (u_soc_u_dccm_addr3[5]), .Y
+       (n_16263));
+  sky130_fd_sc_hd__inv_2 drc_bufs445568(.A (n_16268), .Y (n_16267));
+  sky130_fd_sc_hd__inv_1 drc_bufs445569(.A (u_soc_u_dccm_addr3[4]), .Y
+       (n_16268));
+  sky130_fd_sc_hd__inv_2 drc_bufs445571(.A (n_16273), .Y (n_16272));
+  sky130_fd_sc_hd__inv_1 drc_bufs445572(.A (u_soc_u_dccm_addr3[3]), .Y
+       (n_16273));
+  sky130_fd_sc_hd__inv_2 drc_bufs445574(.A (n_16278), .Y (n_16277));
+  sky130_fd_sc_hd__inv_1 drc_bufs445575(.A (u_soc_u_dccm_addr3[2]), .Y
+       (n_16278));
+  sky130_fd_sc_hd__inv_2 drc_bufs445577(.A (n_16283), .Y (n_16282));
+  sky130_fd_sc_hd__inv_1 drc_bufs445578(.A (u_soc_u_dccm_addr3[1]), .Y
+       (n_16283));
+  sky130_fd_sc_hd__inv_2 drc_bufs445580(.A (n_16288), .Y (n_16287));
+  sky130_fd_sc_hd__inv_1 drc_bufs445581(.A (u_soc_u_dccm_addr3[0]), .Y
+       (n_16288));
+  sky130_fd_sc_hd__inv_2 drc_bufs445583(.A (n_16293), .Y (n_16292));
+  sky130_fd_sc_hd__inv_1 drc_bufs445584(.A (u_soc_u_dccm_addr2[7]), .Y
+       (n_16293));
+  sky130_fd_sc_hd__inv_2 drc_bufs445586(.A (n_16298), .Y (n_16297));
+  sky130_fd_sc_hd__inv_1 drc_bufs445587(.A (u_soc_u_dccm_addr2[6]), .Y
+       (n_16298));
+  sky130_fd_sc_hd__inv_2 drc_bufs445589(.A (n_16303), .Y (n_16302));
+  sky130_fd_sc_hd__inv_1 drc_bufs445590(.A (u_soc_u_dccm_addr2[5]), .Y
+       (n_16303));
+  sky130_fd_sc_hd__inv_2 drc_bufs445592(.A (n_16308), .Y (n_16307));
+  sky130_fd_sc_hd__inv_1 drc_bufs445593(.A (u_soc_u_iccm_addr3[3]), .Y
+       (n_16308));
+  sky130_fd_sc_hd__inv_2 drc_bufs445595(.A (n_16313), .Y (n_16312));
+  sky130_fd_sc_hd__inv_1 drc_bufs445596(.A (u_soc_u_iccm_addr3[5]), .Y
+       (n_16313));
+  sky130_fd_sc_hd__inv_2 drc_bufs445598(.A (n_16318), .Y (n_16317));
+  sky130_fd_sc_hd__inv_1 drc_bufs445599(.A (u_soc_u_dccm_addr1[1]), .Y
+       (n_16318));
+  sky130_fd_sc_hd__inv_2 drc_bufs445601(.A (n_16323), .Y (n_16322));
+  sky130_fd_sc_hd__inv_1 drc_bufs445602(.A (u_soc_u_iccm_addr2[6]), .Y
+       (n_16323));
+  sky130_fd_sc_hd__inv_2 drc_bufs445604(.A (n_16328), .Y (n_16327));
+  sky130_fd_sc_hd__inv_1 drc_bufs445605(.A (u_soc_u_dccm_addr2[0]), .Y
+       (n_16328));
+  sky130_fd_sc_hd__inv_2 drc_bufs445607(.A (n_16333), .Y (n_16332));
+  sky130_fd_sc_hd__inv_1 drc_bufs445608(.A (u_soc_u_dccm_addr1[7]), .Y
+       (n_16333));
+  sky130_fd_sc_hd__inv_2 drc_bufs445610(.A (n_16338), .Y (n_16337));
+  sky130_fd_sc_hd__inv_1 drc_bufs445611(.A (u_soc_u_dccm_addr1[6]), .Y
+       (n_16338));
+  sky130_fd_sc_hd__inv_2 drc_bufs445613(.A (n_16343), .Y (n_16342));
+  sky130_fd_sc_hd__inv_1 drc_bufs445614(.A (u_soc_u_dccm_addr1[5]), .Y
+       (n_16343));
+  sky130_fd_sc_hd__inv_2 drc_bufs445616(.A (n_16348), .Y (n_16347));
+  sky130_fd_sc_hd__inv_1 drc_bufs445617(.A (u_soc_u_dccm_addr1[4]), .Y
+       (n_16348));
+  sky130_fd_sc_hd__inv_2 drc_bufs445619(.A (n_16353), .Y (n_16352));
+  sky130_fd_sc_hd__inv_1 drc_bufs445620(.A (u_soc_u_iccm_addr3[2]), .Y
+       (n_16353));
+  sky130_fd_sc_hd__inv_2 drc_bufs445622(.A (n_16358), .Y (n_16357));
+  sky130_fd_sc_hd__inv_1 drc_bufs445623(.A (u_soc_u_iccm_addr3[4]), .Y
+       (n_16358));
+  sky130_fd_sc_hd__inv_2 drc_bufs445625(.A (n_16363), .Y (n_16362));
+  sky130_fd_sc_hd__inv_1 drc_bufs445626(.A (u_soc_u_iccm_addr2[5]), .Y
+       (n_16363));
+  sky130_fd_sc_hd__inv_2 drc_bufs445628(.A (n_16368), .Y (n_16367));
+  sky130_fd_sc_hd__inv_1 drc_bufs445629(.A (u_soc_u_dccm_addr1[0]), .Y
+       (n_16368));
+  sky130_fd_sc_hd__inv_2 drc_bufs445631(.A (n_16373), .Y (n_16372));
+  sky130_fd_sc_hd__inv_1 drc_bufs445632(.A (u_soc_u_dccm_addr2[3]), .Y
+       (n_16373));
+  sky130_fd_sc_hd__inv_2 drc_bufs445634(.A (n_16378), .Y (n_16377));
+  sky130_fd_sc_hd__inv_1 drc_bufs445635(.A (u_soc_u_iccm_addr3[1]), .Y
+       (n_16378));
+  sky130_fd_sc_hd__inv_2 drc_bufs445637(.A (n_16383), .Y (n_16382));
+  sky130_fd_sc_hd__inv_1 drc_bufs445638(.A (u_soc_u_iccm_addr2[4]), .Y
+       (n_16383));
+  sky130_fd_sc_hd__inv_2 drc_bufs445640(.A (n_16388), .Y (n_16387));
+  sky130_fd_sc_hd__inv_1 drc_bufs445641(.A (u_soc_u_iccm_addr3[0]), .Y
+       (n_16388));
+  sky130_fd_sc_hd__inv_2 drc_bufs445709(.A (n_16483), .Y (n_16482));
+  sky130_fd_sc_hd__inv_1 drc_bufs445710(.A (u_soc_u_iccm_addr4[2]), .Y
+       (n_16483));
+  sky130_fd_sc_hd__inv_2 drc_bufs445712(.A (n_16488), .Y (n_16487));
+  sky130_fd_sc_hd__inv_1 drc_bufs445713(.A (u_soc_u_iccm_addr4[4]), .Y
+       (n_16488));
+  sky130_fd_sc_hd__inv_2 drc_bufs445715(.A (n_16493), .Y (n_16492));
+  sky130_fd_sc_hd__inv_1 drc_bufs445716(.A (u_soc_u_dccm_addr4[4]), .Y
+       (n_16493));
+  sky130_fd_sc_hd__inv_2 drc_bufs445718(.A (n_16498), .Y (n_16497));
+  sky130_fd_sc_hd__inv_1 drc_bufs445719(.A (u_soc_u_iccm_addr4[6]), .Y
+       (n_16498));
+  sky130_fd_sc_hd__inv_2 drc_bufs445721(.A (n_16503), .Y (n_16502));
+  sky130_fd_sc_hd__inv_1 drc_bufs445722(.A (u_soc_u_dccm_addr4[5]), .Y
+       (n_16503));
+  sky130_fd_sc_hd__inv_2 drc_bufs445724(.A (n_16508), .Y (n_16507));
+  sky130_fd_sc_hd__inv_1 drc_bufs445725(.A (u_soc_u_dccm_addr4[6]), .Y
+       (n_16508));
+  sky130_fd_sc_hd__inv_2 drc_bufs445727(.A (n_16513), .Y (n_16512));
+  sky130_fd_sc_hd__inv_1 drc_bufs445728(.A (u_soc_u_iccm_addr4[3]), .Y
+       (n_16513));
+  sky130_fd_sc_hd__inv_2 drc_bufs445730(.A (n_16518), .Y (n_16517));
+  sky130_fd_sc_hd__inv_1 drc_bufs445731(.A (u_soc_u_dccm_addr4[7]), .Y
+       (n_16518));
+  sky130_fd_sc_hd__inv_2 drc_bufs445733(.A (n_16523), .Y (n_16522));
+  sky130_fd_sc_hd__inv_1 drc_bufs445734(.A (u_soc_u_dccm_addr4[0]), .Y
+       (n_16523));
+  sky130_fd_sc_hd__inv_2 drc_bufs445736(.A (n_16528), .Y (n_16527));
+  sky130_fd_sc_hd__inv_1 drc_bufs445737(.A (u_soc_u_iccm_addr4[5]), .Y
+       (n_16528));
+  sky130_fd_sc_hd__inv_2 drc_bufs445739(.A (n_16533), .Y (n_16532));
+  sky130_fd_sc_hd__inv_1 drc_bufs445740(.A (u_soc_u_dccm_addr4[1]), .Y
+       (n_16533));
+  sky130_fd_sc_hd__inv_2 drc_bufs445742(.A (n_16538), .Y (n_16537));
+  sky130_fd_sc_hd__inv_1 drc_bufs445743(.A (u_soc_u_iccm_addr4[0]), .Y
+       (n_16538));
+  sky130_fd_sc_hd__inv_2 drc_bufs445745(.A (n_16543), .Y (n_16542));
+  sky130_fd_sc_hd__inv_1 drc_bufs445746(.A (u_soc_u_iccm_addr4[7]), .Y
+       (n_16543));
+  sky130_fd_sc_hd__inv_2 drc_bufs445748(.A (n_16548), .Y (n_16547));
+  sky130_fd_sc_hd__inv_1 drc_bufs445749(.A (u_soc_u_iccm_addr4[1]), .Y
+       (n_16548));
+  sky130_fd_sc_hd__inv_2 drc_bufs445751(.A (n_16553), .Y (n_16552));
+  sky130_fd_sc_hd__inv_1 drc_bufs445752(.A (u_soc_u_dccm_addr4[2]), .Y
+       (n_16553));
+  sky130_fd_sc_hd__inv_2 drc_bufs445754(.A (n_16558), .Y (n_16557));
+  sky130_fd_sc_hd__inv_1 drc_bufs445755(.A (u_soc_u_dccm_addr4[3]), .Y
+       (n_16558));
+  sky130_fd_sc_hd__inv_8 drc_bufs445947(.A (n_16849), .Y (n_16847));
+  sky130_fd_sc_hd__inv_4 drc_bufs445949(.A (u_soc_instr_wmask[0]), .Y
+       (n_16849));
+  sky130_fd_sc_hd__inv_8 drc_bufs445953(.A (n_16855), .Y (n_16853));
+  sky130_fd_sc_hd__inv_4 drc_bufs445955(.A (u_soc_instr_wmask[0]), .Y
+       (n_16855));
+  sky130_fd_sc_hd__inv_4 drc_bufs445963(.A (n_16849), .Y (n_16865));
+  sky130_fd_sc_hd__inv_4 drc_bufs445969(.A (n_16855), .Y (n_16873));
+  sky130_fd_sc_hd__inv_2 drc_bufs446156(.A (n_16849), .Y (n_16400));
+  sky130_fd_sc_hd__inv_2 drc_bufs446162(.A (n_16855), .Y (n_16413));
+  sky130_fd_sc_hd__buf_8 drc446198(.A (u_soc_data_wmask[1]), .X
+       (n_16477));
+  sky130_fd_sc_hd__buf_8 drc446210(.A (u_soc_data_wmask[3]), .X
+       (n_16445));
+  sky130_fd_sc_hd__buf_8 drc446222(.A (u_soc_data_wmask[0]), .X
+       (n_16461));
+  sky130_fd_sc_hd__buf_8 drc446234(.A (u_soc_data_wmask[3]), .X
+       (n_16469));
+  sky130_fd_sc_hd__buf_8 drc446246(.A (u_soc_data_wmask[2]), .X
+       (n_16437));
+  sky130_fd_sc_hd__buf_8 drc446258(.A (u_soc_data_wmask[2]), .X
+       (n_16453));
+  sky130_fd_sc_hd__buf_8 drc446270(.A (u_soc_data_wmask[0]), .X
+       (n_16421));
+  sky130_fd_sc_hd__buf_8 drc446282(.A (u_soc_data_wmask[1]), .X
+       (n_16429));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[24] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1827), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_29));
+  sky130_fd_sc_hd__dfsbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[2] (.SET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1792), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_28));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[30] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1797), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_27));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[8] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1812), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_26));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[31] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1789), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_25));
+  sky130_fd_sc_hd__dfsbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[3] (.SET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1817), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_24));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[26] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1784), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_23));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[4] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1795), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_22));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[21] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1829), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_21));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[16] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1804), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_20));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[10] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1798), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_19));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[13] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1821), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_18));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[22] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1820), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_17));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[20] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1810), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_16));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[7] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1816), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_15));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[27] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1825), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_14));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[25] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1809), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_13));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[9] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1802), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_12));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[18] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1788), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_11));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[6] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1793), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_10));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[23] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1814), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_9));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[11] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1822), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_8));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[19] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1824), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_7));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[17] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1823), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_6));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[15] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1808), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_5));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[12] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1815), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_4));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[5] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1818), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_3));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[29] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1786), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_2));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[14] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1826), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_1));
+  sky130_fd_sc_hd__dfrbp_1
+       \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[28] (.RESET_B
+       (io_out[37]), .CLK (wb_clk_i), .D (n_1791), .Q_N
+       (u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_0));
+  sky130_fd_sc_hd__nor2b_1 g446493(.A (u_soc_instr_addr[9]), .B_N
+       (u_soc_instr_addr[8]), .Y (n_17393));
+  sky130_fd_sc_hd__nor2b_1 g446494(.A (u_soc_instr_addr[8]), .B_N
+       (u_soc_instr_addr[9]), .Y (n_17394));
+  sky130_fd_sc_hd__nor2b_1 g446495(.A (u_soc_data_addr[9]), .B_N
+       (u_soc_data_addr[8]), .Y (n_17395));
+  sky130_fd_sc_hd__nor2b_1 g446496(.A (u_soc_data_addr[8]), .B_N
+       (u_soc_data_addr[9]), .Y (n_17396));
+  sky130_fd_sc_hd__a21boi_0 g446497(.A1
+       (\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1
+       ), .A2 (u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
+       .B1_N (u_soc_u_top_u_core_pc_if[2]), .Y (n_17397));
+  sky130_fd_sc_hd__a22o_1 g446498(.A1 (n_15999), .A2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13]
+       [0]), .B1 (n_11518), .B2
+       (\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9]
+       [0]), .X (n_17398));
+  sky130_fd_sc_hd__and3_1 g446499(.A (n_13417), .B (n_8791), .C
+       (n_15905), .X (n_17399));
+  sky130_fd_sc_hd__buf_8 drc(.A (n_16400), .X (n_17413));
+  sky130_fd_sc_hd__buf_8 drc446520(.A (n_16413), .X (n_17427));
+  sky130_fd_sc_hd__buf_8 drc446559(.A (n_17481), .X (n_17482));
+  sky130_fd_sc_hd__inv_2 drc_bufs446560(.A (n_16849), .Y (n_17481));
+  sky130_fd_sc_hd__buf_4 drc446531_dup(.A (n_17481), .X (n_17483));
+  sky130_fd_sc_hd__buf_8 drc446571(.A (n_17497), .X (n_17498));
+  sky130_fd_sc_hd__inv_2 drc_bufs446572(.A (n_16855), .Y (n_17497));
+  sky130_fd_sc_hd__buf_4 drc446542_dup(.A (n_17497), .X (n_17499));
+  sky130_fd_sc_hd__conb_1 tie_0_cell(.HI (n_17933), .LO
+       (logic_0_1_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell1(.HI (n_17934), .LO
+       (logic_0_2_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell2(.HI (n_17935), .LO
+       (logic_0_3_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell3(.HI (n_17936), .LO
+       (logic_0_4_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell4(.HI (n_17937), .LO
+       (logic_0_5_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell5(.HI (n_17938), .LO
+       (logic_0_6_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell6(.HI (n_17939), .LO
+       (logic_0_7_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell7(.HI (n_17940), .LO
+       (logic_0_8_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell8(.HI (n_17941), .LO
+       (logic_0_9_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell9(.HI (n_17942), .LO
+       (logic_0_10_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell10(.HI (n_17943), .LO
+       (logic_0_11_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell11(.HI (n_17944), .LO
+       (logic_0_12_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell12(.HI (n_17945), .LO
+       (logic_0_13_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell13(.HI (n_17946), .LO
+       (logic_0_14_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell14(.HI (n_17947), .LO
+       (logic_0_15_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell15(.HI (n_17948), .LO
+       (logic_0_16_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell16(.HI (n_17949), .LO
+       (logic_0_17_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell17(.HI (n_17950), .LO
+       (logic_0_18_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell18(.HI (n_17951), .LO
+       (logic_0_19_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell19(.HI (n_17952), .LO
+       (logic_0_20_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell20(.HI (n_17953), .LO
+       (logic_0_21_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell21(.HI (n_17954), .LO
+       (logic_0_22_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell22(.HI (n_17955), .LO
+       (logic_0_23_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell23(.HI (n_17956), .LO
+       (logic_0_24_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell24(.HI (n_17957), .LO
+       (logic_0_25_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell25(.HI (n_17958), .LO
+       (logic_0_26_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell26(.HI (n_17959), .LO
+       (logic_0_27_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell27(.HI (n_17960), .LO
+       (logic_0_28_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell28(.HI (n_17961), .LO
+       (logic_0_29_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell29(.HI (n_17962), .LO
+       (logic_0_30_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell30(.HI (n_17963), .LO
+       (logic_0_31_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell31(.HI (n_17964), .LO
+       (logic_0_32_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell32(.HI (n_17965), .LO
+       (logic_0_33_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell33(.HI (n_17966), .LO
+       (logic_0_34_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell34(.HI (n_17967), .LO
+       (logic_0_35_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell35(.HI (n_17968), .LO
+       (logic_0_36_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell36(.HI (n_17969), .LO
+       (logic_0_37_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell37(.HI (n_17970), .LO
+       (logic_0_38_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell38(.HI (n_17971), .LO
+       (logic_0_39_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell39(.HI (n_17972), .LO
+       (logic_0_40_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell40(.HI (n_17973), .LO
+       (logic_0_41_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell41(.HI (n_17974), .LO
+       (logic_0_42_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell42(.HI (n_17975), .LO
+       (logic_0_43_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell43(.HI (n_17976), .LO
+       (logic_0_44_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell44(.HI (n_17977), .LO
+       (logic_0_45_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell45(.HI (n_17978), .LO
+       (logic_0_46_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell46(.HI (n_17979), .LO
+       (logic_0_47_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell47(.HI (n_17980), .LO
+       (logic_0_48_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell48(.HI (n_17981), .LO
+       (logic_0_49_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell49(.HI (n_17982), .LO
+       (logic_0_50_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell50(.HI (n_17983), .LO
+       (logic_0_51_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell51(.HI (n_17984), .LO
+       (logic_0_52_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell52(.HI (n_17985), .LO
+       (logic_0_53_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell53(.HI (n_17986), .LO
+       (logic_0_54_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell54(.HI (n_17987), .LO
+       (logic_0_55_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell55(.HI (io_oeb[24]), .LO
+       (logic_0_56_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell56(.HI (io_oeb[35]), .LO
+       (logic_0_57_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell57(.HI (io_oeb[36]), .LO
+       (logic_0_58_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell58(.HI (io_oeb[5]), .LO
+       (logic_0_59_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell59(.HI (io_oeb[8]), .LO
+       (logic_0_60_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell60(.LO (logic_0_61_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell61(.LO (logic_0_62_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell62(.LO (logic_0_63_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell63(.LO (logic_0_64_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell64(.LO (logic_0_65_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell65(.LO (logic_0_66_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell66(.LO (logic_0_67_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell67(.LO (logic_0_68_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell68(.LO (logic_0_69_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell69(.LO (logic_0_70_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell70(.LO (logic_0_71_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell71(.LO (logic_0_72_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell72(.LO (logic_0_73_net));
+  sky130_fd_sc_hd__conb_1 tie_0_cell73(.LO (io_oeb[0]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell74(.LO (io_oeb[10]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell75(.LO (io_oeb[11]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell76(.LO (io_oeb[12]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell77(.LO (io_oeb[13]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell78(.LO (io_oeb[14]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell79(.LO (io_oeb[15]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell80(.LO (io_oeb[16]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell81(.LO (io_oeb[17]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell82(.LO (io_oeb[18]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell83(.LO (io_oeb[19]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell84(.LO (io_oeb[1]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell85(.LO (io_oeb[20]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell86(.LO (io_oeb[21]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell87(.LO (io_oeb[22]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell88(.LO (io_oeb[23]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell89(.LO (io_oeb[25]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell90(.LO (io_oeb[26]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell91(.LO (io_oeb[27]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell92(.LO (io_oeb[28]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell93(.LO (io_oeb[29]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell94(.LO (io_oeb[2]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell95(.LO (io_oeb[30]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell96(.LO (io_oeb[31]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell97(.LO (io_oeb[32]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell98(.LO (io_oeb[33]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell99(.LO (io_oeb[34]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell100(.LO (io_oeb[37]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell101(.LO (io_oeb[3]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell102(.LO (io_oeb[4]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell103(.LO (io_oeb[6]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell104(.LO (io_oeb[7]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell105(.LO (io_oeb[9]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell106(.LO (io_out[0]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell107(.LO (io_out[10]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell108(.LO (io_out[11]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell109(.LO (io_out[12]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell110(.LO (io_out[13]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell111(.LO (io_out[14]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell112(.LO (io_out[15]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell113(.LO (io_out[16]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell114(.LO (io_out[17]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell115(.LO (io_out[18]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell116(.LO (io_out[19]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell117(.LO (io_out[1]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell118(.LO (io_out[20]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell119(.LO (io_out[21]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell120(.LO (io_out[22]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell121(.LO (io_out[23]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell122(.LO (io_out[24]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell123(.LO (io_out[25]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell124(.LO (io_out[26]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell125(.LO (io_out[27]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell126(.LO (io_out[28]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell127(.LO (io_out[29]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell128(.LO (io_out[2]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell129(.LO (io_out[30]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell130(.LO (io_out[31]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell131(.LO (io_out[32]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell132(.LO (io_out[33]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell133(.LO (io_out[35]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell134(.LO (io_out[36]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell135(.LO (io_out[3]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell136(.LO (io_out[4]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell137(.LO (io_out[5]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell138(.LO (io_out[7]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell139(.LO (io_out[8]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell140(.LO (io_out[9]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell141(.LO (la_data_out[0]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell142(.LO (la_data_out[100]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell143(.LO (la_data_out[101]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell144(.LO (la_data_out[102]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell145(.LO (la_data_out[103]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell146(.LO (la_data_out[104]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell147(.LO (la_data_out[105]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell148(.LO (la_data_out[106]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell149(.LO (la_data_out[107]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell150(.LO (la_data_out[108]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell151(.LO (la_data_out[109]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell152(.LO (la_data_out[10]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell153(.LO (la_data_out[110]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell154(.LO (la_data_out[111]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell155(.LO (la_data_out[112]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell156(.LO (la_data_out[113]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell157(.LO (la_data_out[114]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell158(.LO (la_data_out[115]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell159(.LO (la_data_out[116]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell160(.LO (la_data_out[117]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell161(.LO (la_data_out[118]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell162(.LO (la_data_out[119]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell163(.LO (la_data_out[11]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell164(.LO (la_data_out[120]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell165(.LO (la_data_out[121]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell166(.LO (la_data_out[122]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell167(.LO (la_data_out[123]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell168(.LO (la_data_out[124]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell169(.LO (la_data_out[125]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell170(.LO (la_data_out[126]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell171(.LO (la_data_out[127]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell172(.LO (la_data_out[12]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell173(.LO (la_data_out[13]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell174(.LO (la_data_out[14]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell175(.LO (la_data_out[15]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell176(.LO (la_data_out[16]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell177(.LO (la_data_out[17]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell178(.LO (la_data_out[18]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell179(.LO (la_data_out[19]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell180(.LO (la_data_out[1]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell181(.LO (la_data_out[20]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell182(.LO (la_data_out[21]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell183(.LO (la_data_out[22]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell184(.LO (la_data_out[23]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell185(.LO (la_data_out[24]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell186(.LO (la_data_out[25]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell187(.LO (la_data_out[26]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell188(.LO (la_data_out[27]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell189(.LO (la_data_out[28]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell190(.LO (la_data_out[29]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell191(.LO (la_data_out[2]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell192(.LO (la_data_out[30]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell193(.LO (la_data_out[31]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell194(.LO (la_data_out[32]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell195(.LO (la_data_out[33]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell196(.LO (la_data_out[34]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell197(.LO (la_data_out[35]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell198(.LO (la_data_out[36]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell199(.LO (la_data_out[37]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell200(.LO (la_data_out[38]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell201(.LO (la_data_out[39]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell202(.LO (la_data_out[3]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell203(.LO (la_data_out[40]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell204(.LO (la_data_out[41]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell205(.LO (la_data_out[42]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell206(.LO (la_data_out[43]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell207(.LO (la_data_out[44]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell208(.LO (la_data_out[45]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell209(.LO (la_data_out[46]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell210(.LO (la_data_out[47]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell211(.LO (la_data_out[48]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell212(.LO (la_data_out[49]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell213(.LO (la_data_out[4]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell214(.LO (la_data_out[50]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell215(.LO (la_data_out[51]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell216(.LO (la_data_out[52]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell217(.LO (la_data_out[53]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell218(.LO (la_data_out[54]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell219(.LO (la_data_out[55]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell220(.LO (la_data_out[56]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell221(.LO (la_data_out[57]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell222(.LO (la_data_out[58]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell223(.LO (la_data_out[59]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell224(.LO (la_data_out[5]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell225(.LO (la_data_out[60]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell226(.LO (la_data_out[61]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell227(.LO (la_data_out[62]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell228(.LO (la_data_out[63]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell229(.LO (la_data_out[64]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell230(.LO (la_data_out[65]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell231(.LO (la_data_out[66]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell232(.LO (la_data_out[67]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell233(.LO (la_data_out[68]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell234(.LO (la_data_out[69]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell235(.LO (la_data_out[6]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell236(.LO (la_data_out[70]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell237(.LO (la_data_out[71]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell238(.LO (la_data_out[72]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell239(.LO (la_data_out[73]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell240(.LO (la_data_out[74]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell241(.LO (la_data_out[75]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell242(.LO (la_data_out[76]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell243(.LO (la_data_out[77]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell244(.LO (la_data_out[78]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell245(.LO (la_data_out[79]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell246(.LO (la_data_out[7]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell247(.LO (la_data_out[80]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell248(.LO (la_data_out[81]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell249(.LO (la_data_out[82]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell250(.LO (la_data_out[83]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell251(.LO (la_data_out[84]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell252(.LO (la_data_out[85]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell253(.LO (la_data_out[86]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell254(.LO (la_data_out[87]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell255(.LO (la_data_out[88]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell256(.LO (la_data_out[89]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell257(.LO (la_data_out[8]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell258(.LO (la_data_out[90]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell259(.LO (la_data_out[91]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell260(.LO (la_data_out[92]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell261(.LO (la_data_out[93]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell262(.LO (la_data_out[94]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell263(.LO (la_data_out[95]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell264(.LO (la_data_out[96]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell265(.LO (la_data_out[97]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell266(.LO (la_data_out[98]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell267(.LO (la_data_out[99]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell268(.LO (la_data_out[9]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell269(.LO (user_irq[0]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell270(.LO (user_irq[1]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell271(.LO (user_irq[2]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell272(.LO (wbs_ack_o));
+  sky130_fd_sc_hd__conb_1 tie_0_cell273(.LO (wbs_dat_o[0]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell274(.LO (wbs_dat_o[10]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell275(.LO (wbs_dat_o[11]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell276(.LO (wbs_dat_o[12]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell277(.LO (wbs_dat_o[13]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell278(.LO (wbs_dat_o[14]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell279(.LO (wbs_dat_o[15]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell280(.LO (wbs_dat_o[16]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell281(.LO (wbs_dat_o[17]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell282(.LO (wbs_dat_o[18]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell283(.LO (wbs_dat_o[19]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell284(.LO (wbs_dat_o[1]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell285(.LO (wbs_dat_o[20]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell286(.LO (wbs_dat_o[21]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell287(.LO (wbs_dat_o[22]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell288(.LO (wbs_dat_o[23]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell289(.LO (wbs_dat_o[24]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell290(.LO (wbs_dat_o[25]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell291(.LO (wbs_dat_o[26]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell292(.LO (wbs_dat_o[27]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell293(.LO (wbs_dat_o[28]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell294(.LO (wbs_dat_o[29]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell295(.LO (wbs_dat_o[2]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell296(.LO (wbs_dat_o[30]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell297(.LO (wbs_dat_o[31]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell298(.LO (wbs_dat_o[3]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell299(.LO (wbs_dat_o[4]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell300(.LO (wbs_dat_o[5]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell301(.LO (wbs_dat_o[6]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell302(.LO (wbs_dat_o[7]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell303(.LO (wbs_dat_o[8]));
+  sky130_fd_sc_hd__conb_1 tie_0_cell304(.LO (wbs_dat_o[9]));
+  sky130_fd_sc_hd__buf_1 rm_assigns_buf_io_out_6(.A (io_out[34]), .X
+       (io_out[6]));
 endmodule
 
diff --git a/verilog/gl/user_project_wrapper.v b/verilog/gl/user_project_wrapper.v
index 4315461..d5cfcc4 100644
--- a/verilog/gl/user_project_wrapper.v
+++ b/verilog/gl/user_project_wrapper.v
@@ -1,18 +1,3 @@
-/**
- * Copyright 2020 MERL
- *
- * Licensed under the Apache License, Version 2.0 (the "License");
- * you may not use this file except in compliance with the License.
- * You may obtain a copy of the License at
- *
- *      https://www.apache.org/licenses/LICENSE-2.0
- *
- * Unless required by applicable law or agreed to in writing, software
- * distributed under the License is distributed on an "AS IS" BASIS,
- * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
- * See the License for the specific language governing permissions and
- * limitations under the License.
- */
 module user_project_wrapper (user_clock2,
     vccd1,
     vccd2,
diff --git a/verilog/rtl/rest_top.sv b/verilog/rtl/rest_top.sv
index f71500e..21b399a 100644
--- a/verilog/rtl/rest_top.sv
+++ b/verilog/rtl/rest_top.sv
@@ -1,18 +1,3 @@
-/**
- * Copyright 2020 MERL
- *
- * Licensed under the Apache License, Version 2.0 (the "License");
- * you may not use this file except in compliance with the License.
- * You may obtain a copy of the License at
- *
- *      https://www.apache.org/licenses/LICENSE-2.0
- *
- * Unless required by applicable law or agreed to in writing, software
- * distributed under the License is distributed on an "AS IS" BASIS,
- * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
- * See the License for the specific language governing permissions and
- * limitations under the License.
- */
 `define MPRJ_IO_PADS 38
 
 
@@ -63,9 +48,31 @@
     output [2:0] user_irq
 );
 
-  assign io_oeb[6] = 1'b0;
-  assign io_oeb[5] = 1'b1;
-  assign io_oeb[8] = 1'b1;
+  assign io_oeb[6]     = 1'b0;
+  assign io_oeb[5]     = 1'b1;
+  assign io_oeb[8]     = 1'b1;
+  assign io_oeb[33:24] = 10'b1;
+  assign io_oeb[34]    = 1'b0;
+  
+  assign io_out[33:24] = 10'b0;
+  assign io_out[5]     = 1'b0;
+  assign io_out[8]     = 1'b0;
+  
+  logic rx;
+  logic tx;
+  
+  assign io_out[34] = tx;
+  assign io_oeb[37] = 1'b0;
+  assign io_oeb[34] = 1'b0;
+  assign io_out[6]  = tx;
+  
+  assign rx = io_in[35] ? io_in[5] : io_in[36];
+  
+  assign io_oeb[35] = 1'b1;
+  assign io_out[35] = 1'b0;
+  assign io_oeb[36] = 1'b1;
+  assign io_out[36] = 1'b0;
+  
 azadi_soc_top u_soc(
 `ifdef USE_POWER_PINS
    .vccd1	(),
@@ -74,13 +81,17 @@
   .clk_i	(wb_clk_i),
   .rst_ni	(~wb_rst_i),
   .prog		(io_in[8]),
+  .d_up		(io_out[37]),
+  .uart_init    (io_in[32]),
+  .uart_init_rx (io_in[33]),
+  .baud_sel     (io_in[31:24]),
   
   .clks_per_bit	(la_data_in[15:0]), 
 
   // uart-periph interface
-  .uart_tx	(io_out[6]),
-  .uart_rx	(io_in[5])
+  .uart_tx	(tx),
+  .uart_rx	(rx)
 
 );
 
-endmodule	// user_project_wrapper
+endmodule	// user_project_wrapper
\ No newline at end of file